-- dump date 20170509_025307 -- class Genbank::CDS -- table cds_translation -- id translation CC84DRAFT_1158751 MDLKGARDATFRVPISSKEFVNVKIHVPAIRAQGLNLLPWASTY VLACQLHNLGINPPREESLNIPILELGAGIGLVGLVGSMIWHQRAILTDLPQVVPGLT TNIDLNSKLIHHYNGSVSAGSLDWTEPDTLTLHDGSTISARGTKANIVMAADTIYDEQ HPEMLTQTILRWLAPGRDARLIIVYPLRIAYLDQIRELWERLENAGLEAILEGTKEAE EIDNTSWDDERLCEWSVWRWRIDEDSSGSDSSS CC84DRAFT_1158752 MGAPFDYAPDRPEHIEQILNGLDRYNPETTAVFQDYVMQQCENQ TYDCYANLALLKLYQFNPHLARDETITNILVKALTVFPNPDFSLCLALLPSHTLAPLS TSSHTPAAGDAPLSEAVQKLNHLKNLLEGANYAEFWSTLDSDDLYADLVADVSGFEEL MRVRIAATVSQSIREVEKSILEGWLDLSGKEFDHFVGTVCGWNVDGERIKVPINKDNE AKGTVVRENVKFDQFSRVVRRAFEQPA CC84DRAFT_1170082 MISACQDGDLVTVRDSIVNRKFSPTNVSRKGGSLAWYAAQSSST ELMKYLIDRGAAVLHHVGCDGMTAIMFLYCAGRKPEAQEEFLAIMACNSFTDINRQGP RG CC84DRAFT_1223855 MAQSNGTSTISIVDFSAFPTGGKVEQEQVARQIDDAFREVGFVY LKNHGIPKAKVEECFSWSKKFFALPMETKMLAPHPPSGAHHRGYSAPGLEKVTQHQYE KDDIAKSREVSDCKESYESGNVNDEAQPNIWLPEEKLPGFRAFMESYFAECAALIHRI LDALSIALAVPEPGLSPTHSKSLFQLRLLHYPAIDAAELKNNKRSRINAHSDFGTMTL LFQDEVGGLEVEDPKHPGKFSCAGPIDDAVLVNIGDLMMRWSNDRWRSTVHRVGLPPP KTGAVVPDRYSIPFFATADMDTVIEALPGTWGEDRPKKYEPVTAWGYVQMRMAATYEE CC84DRAFT_1077702 MAVTSYPSDLEKNSSVQMDDVVLNEKDLKSSAAVEGGDYSGAVA KTDPAEIALVRKLDFRIMPALFCLYFLNKLDQNAIANARLNGLEKDLGLKKTQYNTCI SILYVGYLFAQIPSNMLMSSKKVRPSLYMALCAGIWGAVACLTAVVKNYTGLVLVRFF LGFVEAPFYPGALYILSLFYTRKEIATRVSILYAGNIFAVSFAGLIAAAVFATLDDKH GMHGWQWLFIIEGLVTIGVAIICVFLLPDEPLTTRWLTPEERQLAHDRMHRDTVGAEQ GKGARAGFMQALRDPRLYLFVFMQNMHLSATSFNQVVVSSLGFTTTITLVLTAPPSLV AGVVGIAVGISSGKFNERTWHISAMMGLATVGFVISAVTLNVAARYISCFLFASGVYS VNSVILGWVSGTLGQTPEKKAVSLSMVNMVSMASFIYTPYLYPKSDGPKYVIAMSANA SFAFATVAAAWAMRVWLQTQNRRLKREGGNVYYAY CC84DRAFT_1079265 MGSVPEVQQAPKIDLAGLVPAPVTPFNRDGSIDYAAIQRLGSWL GSIPGVKGLVVLGHAGEGTFLTQEEQVDVIKAFVKSVDNKIPIIAGITTEGTEVAALE AQRAKAAGAQAGLLYPSHGWLRFGYQEGAPEDRYKVVYEKSGLPLILFQYPDNTKATY SLKTLLNIAAQPGVIAMKNGVRNMRRWDTEIPVIKAKNPDLTILTCHDEYLLHTAFDV DGMLVGYGNIAPEPLLEMIEAGKARDYPRARAIHDRLLPVTKSVYHRGSHMEGTVALK HALVARGILEHATVRSALLPLPDGAEQEIHSAIKSASLSKAVVPNSK CC84DRAFT_1182188 MSESTANNKRRVRQSESEHAGGPEGSAAEARKRRAFLQTMGQQL REFGASGTSPQDHARFAFGTSPSDRPMTRGAPSTTAPVQHIDTPAEIDAAPGEDDQLP AGAAKSAAKWLWDENEVHPTNSGRAEPSFTFDDLLDWSQSYFDHWHPAFPFVHAPSLL DHFRQTVSRGPVLSTLSPSDAFQNVILRSVMSISIADRRQMKIPARVLPSTLVFHTFN DAINSTMAVLTEESSILSLQALVSVQLFLLTMHRYNAASRLEGLAVRIAFQLDLHRCP LHTHPKADKETELCKRLFWSIFCIDRYICIRLGNPLGMRSDDVDVCYPHTEHHGDTST NREDEDTGRDDRLDLLEFLAQHASIRGSIMENRSKSAIKGNRDDVDNAMQTEAEHAKW WNTVDEYLSNDEQAQTITKIHQVTLIVLRFESILALHRSLLAASRKDAAYNSALQRCI TASRSIINTLHKALKGFGAFDGSPGVHGYESTPLLWPSFTWAVWMSTFVIISAATEEQ VPRGVAVRLAEKSIEVLRHLALRGTSWPEACIVAIENLSARLNGVSTRSSSIGPRNSS NGTLPNAGPQRAPHTFRGDSAVRGYPYHQPLSQAASQYRAPGPTVQPFASAPYQDPNT STMHFTEFVPMNNRSFHASTHELDFQNHASLASAHLGGAGNFLGLAQQSSDIPRPGDD IMQLFSGEDIASWNGGGLGFGSLG CC84DRAFT_1223859 MPAKPVEIRNQRPVCSQCASSGASCRYPPTNKRGIPSGYIALVE QRLLESEIVIFELLSTLYNYNPTVRQHRLSFDERHSLGEWSKQQSKAKKVEEWTNFPL GTDEQRQAWWLKKQDSIGRCEGSDAGNVDSPATNAFLGVLPDASPISAGFEETQQQQQ QQQQQKSMDTPLPLASTVSETTTVLQHPWTSQMDSQSGSDADNSFRSSSMSGPPMMDE RPQYATVNNSVNHASPEARHSTSDRWRKYF CC84DRAFT_1077387 MTSSMEDAFEPKWPRSWRAYTCWLGCFFLMFNSWGLVNAYGTFS SYYVGNSLREVDQLELNLIGSTQSFLVLLFSAPVGKLLDAGHFRYVVAIGTFLVPFGL FMLSVAHPKDGTLASFGSIWATQGLVVGLGMACFFVSSSQIASTWFRRSKSLAVGVVA CGASVAGVVYPAMIRFLIEELGFNNAVRGVAGLAGVTCVYSFIFATPNPAHVHPKSQS YRKLSTWIDKEALANKAFCWFTAAVAFLFLGFYPVFFNLEEWAAVSGLGTRGGSRAPI SATAPTTAPMQTYFMLMIMNGASTFGRLAFAFFGDYTGALNMHIVTQIVSSLLIYILW TLAGSTAAAVAFCVFFGMFSGSVIGLPPASIANILNCTYTDPSKEHLAHSKLGQWTGM MYSVAAIPALIGPLIAGHLVTEYSTYITVKMWSAVSLTLSAVCMIVARWYLPTVDGTH ISTHLYRFFGKHDKADEAEKRRPRRATVDPTNALNQSLSRSTTRVNSKVASRQMSEEK IDPPPELVLLAPERNV CC84DRAFT_1158755 MSLRYRSLSYKASVLPTSPICLIVLSEETETCSLGVGYKQIVPS SRHLLELIIPIFVVEPLHQRVRLCQGNEATCPDNIIAMASVSVDAYTL CC84DRAFT_1210811 MQPGQGFLWYERSLGEDVFIRSPAEKKDLDARSQAYASFGDDGT TVSLSAYGHILQISRYLGYGSSGMFCVDLQYSKPWYVQSRMEDIMSSATDPEKGLRLD LLDWTTIDERPSLGFMFDRWPRYVFKQRPQDEGPAFSEDAPRQEAQEADRTEQGGGPN NLGTEANEDQETAEGESTTTLSKAFLLSVQYFCYKGTVVQSYFIPSSEVSNQAFDKAI NLDTMLSIRSLDFVSNQDFDTTGESSETLKTFVLSNKHLVITRTIPKYVLEQGNPSPL PSDGKYLPVAVALVISPFINGEPATIDTEHCVTFKREDAPSHCEITVAYKLSLLQEAD MSFLISTQAGGAADLRGLPSLANVENSATKQDTVSHGCNSEAKDALDYFGKEFVRDSL YHKIFFSPDPFLDFALRRNLEHTLSVCSLPTFGGAVAITCGDISGHRVGPRASLSAIQ FLSSMLKYLDPDDGKSKELRLKNRKANILLSKKSDGSKGSKGGKDLPKEGETGKPHLI ATFERVREVLKGHLTWICEKAAMEEGEIFAPHYWPSGRRIDEPDGSEYLPPPSLIDTP LQLMKVLHCLEAFPNQGTYPDVLLSIEGKFNSKVDNWTNQLHTLNTRGTYAFPRLYKN GDIYESYEEVPGGAKYSLTDHVMIGMALKFVGLLNLSTSNQSRPYYSYEEVRAKTLKR FTTENPMSKQRTIATSRWAHKTRFLLHSKDTFLFTNNSLDFFNDPKRDSLASTRKRKG LTQDESTVAGRFADQRWVRLLEAQAYHDEFQVLDWDEPLWYVLVVVLGFKGVRLNQQP AEALALEIRTKLLGRSAYNGLFPGLFGQKMEPVVYESETNRDSYWFSTFEIPHMLWIH GAANDFTTTFKQSQSASPDAAAQLNTYKDSVRIGKQVGKYVSFTNIGSSKDQLGLDVL SDDWLQSPSTVLNCDSVCENRLQLDKSAFSNHQNRRDPVKTLDEVVMEFPIRSDSEFI GTVIDVPKWSLGTEITGALLTVEEACKALGTRSVWMSKKRIVWLPYSDRTVVGKCHSA SSATEKDDILSFLARHIKSEKYFFDSATAARNEWETELHLSFFHMSDSKDESLHEFEG GKYLASTVMSFRFFGDFSDRFWTCHFLENGLPKDSHINLALRLLPSKRCGIRDGLDML RPHEELEPEERRKARGNVTKPWQQRRILELLIYSKMLEQLLQDRTEIFRVVRGRALRL DANEDTGPNSDPFKRAIDEASQLQKLANEDDYFSVALQWSRYGQILLVVEDNLSDNIE KIREWSRRENDRKSQQPRWTKGDEEKHRTTILKLDVFTQRQAREVERLKNQIEAFRES LPTQLASIRDDISFRGSQNINLFTYVTIVFLPLGFATGLFSMSGAPEHSVLMDLITLA IGAFGITLFALLNAKTFRTLLPFLLQLPPRLLRYTFFHTVVAPTISRLVQRAKLRKAT NLPPSLFLSAFVSSVATVPSLAHVHWGPDWTNSYLRAYTIEELRDMSRGRNFRTYLKE LLHMYPRYYSEIMMFSFWKAAKEEKRKEDEAPMPKRSGENGLKGAPFQHQRMKLLNRK RHRRPDPEQGQES CC84DRAFT_90 MILRLSTLALGLVANASLSHALSAADIPADTPVSQLIKDAGMKL ATGNAQEALTYFDVAIQRDPKNYLTFFRRGAAYLSLGKTAQAQNDFDKVLELKPGFEG ALVQRAKIRARKADWAAARKDYEAAGKADDVLQLDEAQGAAMLAKEAADKGDWETCVS QAGTAILVAGAAYDIRRTRAHCRFEKGEVVEGISDLQHLLQINTGDIEPHLQSSAMAF YSLGEVEKGLKHIAQCLQSDPDSKACMKLRRREKNLEKELKKVRKAFEKQQWATGVKY LVERGEEDPGLLKEVKEDFEGYVKDGYIFKNSPQGLYNELVEMTCEAYTEMNNFKKGA QYCDEALKLNPNSLPGLLHQAQKQLDADDFEDAIRTLETAKEAHGQTEKIQSLLRKAH TLLKRSKQKDYYKVLGVTRDADERDIKKAYRKLSKVYHPDKASAQGITPEEAQKKMAA INEAYEVLSDPELKQRFDNGDDPNNPEQQQGGHPFHGSPFGGGQQFFFQQGGGFPGGG GGFKFQGGPFGF CC84DRAFT_1210813 MAPLRLRIDGRTFRDSANREVTLHGINCAADAKFPATPDQPSHV ADKFFDGDNVSFVDRPFAVEDAATHFARLRSWGYNTIRYIFTWEAIEHAGPGKYDEEW IQHTIAILRKAKAYGFYIFMDPHQDVWSRFSGGSGAPMWTLYACGLDPTFFDVTEAAL VQNVYPEPENYPKMIWATNYTRLACQVTFTLFFAGNEFAPKAIIDGKNIQEYLQDHYI GAVEHFAKRINEAGDLWHDPIVGFESMNEPNRGIIGYQDLSAIPSEQKLQKGTSPTAW QAILTGSGNACEIDTWDFGGLGPYKSGSTLVDPQGKSAWLSSSYDDTKYGWKRDPGWR LGECLWAQHDIWDPATNTLLKKDYFAKDPRNGATIDYEYFSNHWFLDHWRRYSKMVKA IFPDSLMFLQAPVLEIPPAIKGTSDDDPNIVFCPHFYDGITLLTKKWNRVWNVDVFGV LRGKYLTPAFAIKIGENAIRNCFAHQLAAIREEGADKMGIHPCLFSEIGIPYDMDDKF AYKTGNYSSQIAALDANHFALEQSLANGFALWTYTVNNNHFWGDQWNGEDLSIYSVDD KPVPNAGSFPEAQSRASLDVNSPSYSRAQSAETLSVDPANLRKSLTVDRMSSKSDNGD VRGLRAAEAFIRPTPIATHGDVTAYGFDLKNATFKLSLSAPSSTPDDAPTVVYLPEFH YPSQTVEISGGKWSIATEEHNGAVQQILKWWHAEGDQTMSVKGVVRKQGGPLSTEEDE GYLKQCQKQACVVM CC84DRAFT_1079247 MDGASTTPQDGLVGGANGHTTDLSQVLAALQAIYEPKSNNETRR QATEYLEQAKRHPEAPSHGHTLALDRSQPAQLRHYGLTMLEFSIRYNWEDFTEDQGNV LRSYVVELAQSIAAEDPVYLRNKVAQLWTEIAKRSWGAEWMDMDQQLVELWQSSLHHQ AVVLYVLETLSEEIFNREDPTAGLRGSDLGRACVEIFTPQAVLTEHLPGRDKSLNVRC GDEGWLQRLCDNLSWCLSQDYQHQEAVKLCAVKTMNALRACMPWVIPKAIAAVQVIEH VCKALAVPVTELQVASVDVLQAIYTRHHLHDDEFVELVCPMFTPGSVSLLQEVYNWTL ADMDIHSLDEKKYNLCKKLSELANSLGLFIEQKPQQVPEGSDLPGIFQFLYDVARNPS LVVSIPVLHCWTKLLRSKIVRDSPVVTNMVGGLLEICCARLIRYEVFPEDSEDVTILF LNEDIDTVPERHAFLGNYRRFCADVIDVLVRRTPVEAMEHILGQATNTFHGLYNESPP FQPQTFTKHSAPVLKVDAQVTIIDAALKGYLKWLSTHGNEPQEDERKRNAMEDSFEQW GRQVLQARFDDPEIAKKIIQLMSTFSTKALPDRPAFALSFLEYMLTVRLADNPAYPQY ADTVKDLERICSLEMQKLAMKFADDFMNVYEQLENKINEMMADPTTDDRQRMAYSAFL FIIVHRCTQLDRESQEQRMRQMLTQIKDAWQNNEFATSVSSFQAFCSSLGMAQLPEFL SANNFRGVQDWSEQPLSGDGQAMQATILDHSQRLPLRLTKTLLAASTEKLRDGSPAYE SAARLWAEVIPILLPNLLQLVSHAQAFNDIDSNWSYLPQDLQQVIQRVLTDRFWQAGI STESRDDFFARVSGSKSTYEGFASTVRGTVRQIRESSYYILYSLTRFRDFFYGIENLS GPLSQALFGHAHALTAHHLSVLLTVSTHLIEGCPSHLRPQFLPAMIQGLFRELNGKIT KEWDEVSQQVVNAGENDNLTDEMKTESILRQLTYSSVTLVAVLLDSRQEFARLDDDAR KDGPPICDFILSSPAVLEPILLFCNTCIHVRDTRSVNTTVRVLRTLLPRFREKSPIRD YFCNELLKTAIISLHEPYFVDCQKDLASLIAGIIHLDDDIPRSIILSLPGMGDTSRVD RKLGKLKAVSRSDERQQRSIVLDLLSSVRGLSIQEQGRIERSKPRRKTKFEEQYMQVE PGAGAIVRGTSPGLEGVAGMFEE CC84DRAFT_1158758 MSSSSGTVRRPLRRTPPCNACTQHAVLTRCATELDDIVSTRCQP HDHEIIDNALRSYLNVTTSYQTEYLQTEYSLAKCVYRLIQGELFTQHKAYVRRQIIYC LLQEDDNPTLHMVAAFLLFDGRNDQNDATFEMMHEEGVFARLIELIQLESVQEEPRLH QMLLELLYESSRIQRLKWEDFVAVDDSFILYLLGVIEGVSDDHEDPYHYPVIRVLLVL NEQYLVASTQSQDGRVTNRVIKALSTHGNIYKTFGCNLILLLNRESETSLQLLILKAL YLIFGNPQTAEYFYTNDLHVLVDVILRNLMDLPSDSPAANALRHTYLRVLHPILTHSQ ISKPPHYKRGDIHQILTLLIASGNHFAPVDETTKRLVNRCTAVPWLKPPVITRTDTSE TNGSDSAVQSPVESAVGDGQKEIARRMLGMSVEGGEASSTSVLEVASHTEKPGVLTPS RLKDKEEGG CC84DRAFT_1106383 MDLYRSTFVGLLLLNSVVFARSYRSKKRAGSFSEAPSNSEKVEH RGREDDDAERVRRLKWKFLPVFLLVNGADWLQGPYIYPIYKDEKGLPESMVALLFMVG FLSGGISASFAGSIADRCGRKAGCLAYCVIYSCSCLTLLTDRIEVLFLGRILGGVSGT LLYSVFESWLVAEYNKLMVDDEGSALGGIFSMMTTLNSFVAIVAGIFAGWLVDHVGTA KAPFMASLGCMSIAFIAISKTWSENYGSRQENTAEATSLLAEEAKDDHVQAPAKSALQ LILGDKNILTLALTSCFFEGTLFLFIFFKFPALKLSHELSGSTTDLPFGLIFAILMCC MMFGSMLYNNLSTSASSMPTKQILIYTLIAASLCFFLPAHIREEKVVLWCFCIFEVCC GIYYPSMGSLKSKLIEDGMRASVYTILRVPLNAFVVLALSTTQEGEHHRNMVFTLCSG LLLAAALAVYRILA CC84DRAFT_1182195 MALSESAWKKSVQLRGKMAEAHPSNPQIPLFPAQSLDQFGKYPY TLWDFFPPTWTCPHDVQRVGRLGDGGKWVCGMSVYESIPVPTTWMRGVPTGGQEGVVI YSFGINGESSFEAEMFERIPSARIWGYDFTVDAWGKQIASKYNDRAFFKKVGIGKTDE PAKSPPFFTLQTLMAQNNHTYMDILKIDVEGSEYISFDSVMDYFTSAWRGNGDAVLPI GQIMIEIHVGEDQKGIDFKRFRNWWERLESMGMRPTWMEVNLMAMTIPDHKTDPRCTE YVLVNARDGNNVLWQE CC84DRAFT_1210819 MAYLDRNSEAIAQENMKPMTQQRLNAFYKKSNRLLANVLFYSDG IDESQYARVQVTEVLGIKSGYQAFAESTGISQDPKITTVIVTRRHHTRFYPSNVINGH ENSRESNCSPGTCVDSGVTHPNYFEFYLQSHHPIKATARPARYFVLDNGMSLTEQQLQ KFMYELCYSYVRATLPVGYAPPAYYADRLCERARCYFAYFLKS CC84DRAFT_1158760 MLDSAHEDDGDTWFVETSMVETDEKTWNFGSHYFLKSTKDGGLS GWLPDIGGKELKKWKVGSTSEADSFIQPRRSKAHPSRDGKKLKAHCHCGGVEFYISPP RNAEVHHGSPESMTPKDKTKWYALVDACNSCRLVSGCAVIGWAFPEATHITLVDGSPY KPIFGTLKAYKSSEKVDRTFCGTCGAVVTYTCDERPDYVDVAVGLLDAESGVRAEEWL EWRTHRMSYEEDCIWKLFRESIKKGLQSHGAGVE CC84DRAFT_1106392 MAKRKRNNPQASISIKSKGPLTPPQDTSATGPSTINAVTSPEEV EITVETLQALAEHPSLIKSKACKDLRTAVYAFKQASTTGMIAAEGGNLTARISAALTD GRYLDARILLSEMRIRNETPSLGALCRWVRTLDVISGLSIHVRVPNKDVVGTNSHNAE LIRVLDAILRVTGPTDTTSHGLNASPGAISLQETWDLRGTVEPTQHIRASIADGSIFA SCAPGLKSCFRAIETTPGPQRKPPNLHPAILFASQDNTLPLGPAPRTTVHTHPLVPHL RLIRDVLTPAECASIIAAGETMEFIPDAPLRPQGEDTSVLAHNFYWIVDQAFHDALWA RVKEFVPGVKEGKKVRGINRRFRVYRYVPGAEYRCHIDGAWPPSGIDPTTDAYIYDAS PATAKQSSLYTFLIYLNDDFRGGETTFFLPSVKEGVLNAYPVKPVMGSVAVFPHGEAQ DALLHEGTGVVEGAKYVIRTDVEYDVEPSVSSE CC84DRAFT_1210822 MSPSISLPIHPQRRSDTPMAAYQINPDRSTKPTRIKKICCIGAG YVGGPTSAVIASKNPNIQVTVVDYNIERIEAWKTDDLPIYEPGLLDVVQAARDGDSTK RTPNLFFSTDVDRCIAEAELILLSVNTPTKSLGRGAGHASELSYLESAVRKIGEVAET NKIIVEKSTVPCRAADTLRDVLAATAKPGLHFEILSNPEFLAEGTAIPNLLNPDRILI GSLKTPRGLEAAQALAAVYGAWVDPDRIITINLYSSELAKLAANALLAQRISSVNALS AMCESVGADIDEVSYAIGLDKRIGSRMLKASVGFGGSCFRKDILSLAYIAESLHLFEV ADYWRSIVDINEYQKDRFTRRIVRCLYNSLSGKTLAVFGFAYKKNTGDTRETAAITVI DNVLTEGANVRIYDPLVKESQIRQELNSTTVRLNCAEKQLTVCESAYEACTGADAIII LTEWDEFSNRDEPMRRDSGHGRKTDERAERKLDWTRVAELMRRPMFVFDGRNIVDAAA LEELGFRIECIGKA CC84DRAFT_1078005 MQRSDLGQLSAVLDEEKDYVESNRPLLRDDEKTADSESQVPGRT KEQDANRTRTIAYLGMYFVMNLSLTFYNKLVLGSFAFPWILTSIHTGTSALGCSILLL FGHFQLSRLTLREHFVLIAFSFLFTLNIAMSNVSLEMVSIPFHQVMRATTPVFCILIY RVLYARSYAPAIYASIIPVIAGVGLATFGDYHFTALGFTLTLSGVVLAAVKTVVTNRL MTGRLKLPAYEVLLRMGPLAAMQSLLYSVLTGEFSEFLTYVRQGQLDGNRFMAVAGNG ILAFALNVASFQTNKLAGALSMTICANLKQCLTIVLGAAFWNIRLNAVNGAGILLALA GGAWYSSIEVRGRTQR CC84DRAFT_1106401 MLSMPRPRALFVAVGFVFITLITFYSFSTGSSVSQRTLEVDLEV ASDIAQESSNTRGFHLLTTATGNDLNVCRLVLSAAVLSYPPTVLLAWKGEGDFDAAET HLAKVRAPLRYFDTLPKSSEDDLVLLIDGYDVIFQLGPDVLLQRYHEVVKASNERLER QFGAKHVKSHNMYTTILFGPDVLCYPIDFRRPACWLVPESTLPRDAFGSETDKNDEHR RPRWLNSGTMLGPVKDLRRLFEATMDKVNQTWDPEFYGRNSDQMYLADVWADQEFVRM TSTGKNATIPLPDQVPDEVKPNVFTPAPEDRKKAEHHIGIDYESRMFQTVALFYDILQ WATFDKPRSFITKDEIHQFSLKLPKDVEQSKAPFRALNQWGWGNAWLKRKSWKDVPLG INTVTKNMFPVIHFTGLKNYRDEWWDRMWFFPHAEKLLKA CC84DRAFT_1223873 MDKWAKGEKRAPRIFGEVVKEKSPEESDVIDLGSSPADTAAVLE VDDKFGRLAPRSSDESIRENEDDEDEAAATRDGNGPGTVDGENTRGPADIRVAAGSEE GQDAAVSGEKSVGRRRTIVDYYKGTDWSDTGHCWGSGDWERSSHLVLVFMRQQRRLFN GRIGTTGFK CC84DRAFT_1132687 MLFKVANVITGAGQSAAKQDEGASLTSVLETPALRVELALLVLL CTDAMRSDLVATFDADKTHETESSTATPPPTSPPLQTATQDLISFDGPQNEAAIEAEG RRRVRQREVESTQMLGLRRAALTYFDKWRAGVMHRICDVLCVRGDVVRQAKAKRKQMM AEGERHKQGNSLLLDFEGDPFSMRYTSKTRKHGHYEVIETQMLAFEEEKKVLILHCLL LLILSLEHYSAHSRLLLLHLTSSLELEPDLLAEHEKSIAQGLLATAASQMDAEESAKK QASNDATSRKWKVGLAAVGGAVLIGVTGGLAAPLLAAGLGTVMGGLGLGVVSTYLGAL AGSSVLVGSLFGAYGAKMTGRIMEQYAREVQDFEFIPVQDPDRPAAQRDHEWAAELDA RDPTKREQHRLRVTVGISGWLTSPTDVNKPWEILDAGGTEPFALRFELEAMLRMGNSL NDVLFSYAWDGVTYTIVSRTLLGALYAGLWPLGLVKVASVLDNPFSVALARADKAGKV LAHALIDGVQGKRPVTLMGYSIGARVIYSCLVELAEQNAFGLVEAAVLMGTPAPSDSK SWRKIRSVVAARVVNVFSTEDYILGFLYRSTKLEFGVAGLQEIKDVFGVENADMSKLV SGHDRYRYLVGSILVKIGFGDIDFNRVAEQERALEVAEKRKEQVQKYVQQHKKDSQQP DLSASRATEMVIAQHSSNSLVNVSAPSSAEPPSPPPSPSRPRRQMISMQSRSGQQPFV RRQPTLPASAPAPASAQDPSRMAARSASVAQVGKPATTENMDPLSDSIEMESSAPALS TRPPAYPSSSLSRKQLPTNMTPTPAAEPQRTNFTRPTWSSSSQYDPEPHQIDMRDLET QKVSPSLEGMLKFPKDAPPSPVAVETSRSAPLFTSAAKKTPVVAIAEVDESEYSDDDV ASEFGELNMVEPEPLDDNDYGLM CC84DRAFT_240 MFQCPTRFVLASLICSNNSFISLLFLSYAHLLTWFSPGPLVTAL RQPCNVSFKRPNNKVVLVNNKESFPRQIDEMRSIEEAFKTVAMVSNSEMSPISLVICC SPFSAR CC84DRAFT_1077744 MIERLSLKGRTTVITGGGRGIGLAIAEAAAEAGSNIAVLDVLDK PHKSISKLGVKGKYYRVDVTKMDDLERAFGEIGDEFGRVDGCVPAAGIAVDKPFLDHT WDECERLLRVNVLGAFFSSQLAARAMRAQGTGGSIVLIASMASHHAVPLQHLSMYGST KGAVRIMMTQMAAELAPWNIRVNSISPGFIRTDMTLLCARQQPELFKLMGNAPPLGRI GETSDIVGAVNYLLSDAAAYTTGADIPIAGGMTCGRIAS CC84DRAFT_1182203 MSAPDAIIIGAGPAGISMAYTLKHELGFDDFTIYEKLDGVGGTW QTNKYPGVGCDVPTILYSFHFNLNPNWSKELCDGPEILDYMNATVDKFDLRKHMHFSI ECVGATWNANSNKWDVRFRDSKTKLEFIRNATVFISAVGGISRPRDTRFEGMDKFKGA LFHTARWDHSYDYKGKRMAIIGNGCSAAQVVPTVKKEAAFITQYARSAQWYHERPNRY FTRLEKLAFQYLPLWERWKRLQLFLENDDLVATYMPGVTAAKKRKKVEAYAKNYIYSR TPEKYHDFIVPDFPLGCKRRIFDPNYLDALNAPNVELVPEGIREINETGIISSSGRQE NFDVIVLATGFQVQQFLTPMEIIGKEGKSLNQQWKEHRGAQAYMGSYVHNFPNLAILF GPNTFPAHNSALFAIEVQVSFVARTLLAPLIDRRFSTFEVKPTAENQWVNSIHQQLQG SVFDAGCSNWYINEHGRNAASWPGYASMFWRETWVPRFGVFAKSGGDNKWVLRRMWRW LRTMGWSGRVLALLCVLVALGRRGVDLVWGSRYQQVPWDTANIGRGYSRQLLPTTMTL HAKVHKKQLRDFLPRKGIRPK CC84DRAFT_1223879 MALRAILSVLLLAHLSVTTPTPKHKKEKPAAFFLAGDSTTAVQS AGGGGWGNGFLATLQPPAYGVNKGHNGATTVSFVKGGDWATVLNLVKNATDAYNVFVT IQFGHNDQKPANNVSIAQFKTNLASLASDVQELGATPLLFTSLSRRNFNGAQLIQDLG DVVNATREVAAASHIKLIDLNAASRTYVQAIGSAEADKYNLVAGDRTHLNAHGSDVFG RIVADLILKSDKSLSRWIGRNATLSHLIAEGVYA CC84DRAFT_1223882 MAHAVLLSSLIFAAARANPIARDVANQTMVTPFSALQWTPCYDN FTCARLIVPLDYAEPDAGNTTIAYIKLPSATQPAEDILYNPGGPGNSGVDAVLHGSAQ LLNTLGTTYNLIGFDPRGVNNSGPSLSCFPGDPASEALFKSQFHRPINSKSPESLARQ FEIAGAWGERCSSVHRNDSARYVGTIATARDMLNYVEKRAVAEGQEVKVAKLWYWGIS YGTVLGSTFATLFPDRIGRMILDGVVDVETYYKNNASGLSQSDEAVLSFAKACHTAGR EKCAFYSSTTKDITKRMRNIIEDVRKDPVPVADLAISPVPTLITYEDLVFTLFVLLYS PVQGFPLLAQIFAELEQRNGSSIALTIQAVPPTGVDYGGLISCMDSVKVPGAYNISTM AIWEQHVKEENKQSQWVGDGWATVSLLCRKMNIIPPESQRFSGKLGANETSFPILFIG NTIDPITPIVGARRMSNLFPGSVLFTQDSIGHTSLAASSACTSHNVQQYLGGVLPAAN ITCKIESVPFITDV CC84DRAFT_1210830 MSEAAPQPSAPAWRAFVHLYLPVITIAFLTLFLPNPFSHRALLL ASALPTYFLASLVHQPRPGPAERFTRRSHIHHAIVLFTYGRLLGTPFNLFTYLEDLFA SYSVRPILDRPEGAPPRPSEFFVQALWTTATTVAFGLVPPSWKWTWSIMGWTDRIMYR AAYLALVDDLVRVLGYPQVASKRGRALVVAVQAVFIAVSVMWVHFFLVLGMRAQIEKD IVMPMAS CC84DRAFT_1132694 MTTTKQRTSHRTWTKDSYFISTDPALVPIAELNAVFASDLFYWA DALPEDVMRETLNNSLCFGMYESSPANSNTLPIGLLETGTTESKLIGFARCITDSTTF LYLTDVYVDPGMQGKGLGAWLIKCVQEVIEEMPHLRRSLLFTSSWEKSVPFYEKLMGM SVLECQKPAEGEKGSGVAIMQMRGPAFPDALR CC84DRAFT_1080786 MARRPDEREPLLGNRHSDSPKPERTPLSHIKSILWGPASIEQSV VMKLDLTILPYFSLIWFLFGVNRASYSHAYISGMKEDLNFQGNQFNLMITIYMVFYAV FQIPSTSLLTLARPKYVFVAANVAWSVLTLLTFRMQNVYQLFILSGFEGAFSAVAYVG AHFIYGSWYKKSELSTRAAIFCCFGHTGSIAGGWIQAGLLSAFDGKGGLPAWRWVFVV VSVITIPVAALGWIVIPNLPTHRSAWYLSDEEKDIAVSRLGKTKKASWDLTVFRRVLL SWQFWLLPMIFMLYSLCIQACGNNVMPLWMASRGYTTIQQNTYPTAIYLFAILGTVTY SLISDHLQSRWQPSLAIGLTFIVGSAILVADPAADGWHFFAFYLLGTTYAPQAVWYSW MADVTAHDVQLRAITTGWMNSFDFAFVTWWPLVFYPVTDAPHYEKGYIASLVTGALVV PFVGLIAYLEMRDRRLEKIGMSFEGECAEGAETAREEVHSGLVSVPQIS CC84DRAFT_1132697 MRFSALAFSVPSLLSLATAHPSFLPDINSTTTCTRSLPDFTNCS PSASSDDKQCPHAYTFSEYAPGTYLNHDSNRQPYFRFMILFKMNPDLCEEQANEHWKT VHADLTLAMKGTGVLIERYVQFHADQASRVAIQSLEDTGSVEVAPYDGIAEFHAKDAE SVLKFINNAFGDPVIGKDQEYFVDGAMKLQVMAGYDTLIYGSGIRTSGGTDGILPGDP RFNNGH CC84DRAFT_1132701 MRVVSFLAIGLFSGALAATVSNDATCGGTNKYTCLGSTFGNCCS SAGWCGSTSAYCGTGCQSAYGNCGSNSPTSSKPVSSKSIASSTKSSSAPASTSTKKVS TDATCGGSKGYTCLGSSFGNCCSANGWCGSTSAYCGTGCQSGFGNCGSNNGASSTVLS STKVTSATSKTSAVSSPSATASGSATQCLTGKKVPYKVSSDAAYSQLAQPYNLRLPYK PAVIVLPTTNQHVQDAVVCGAKGGLKIQAKSGGHSYASFSSGGKDGSMVIDLESFQNV ALDTSSGVVQVGGGVRLGNLADGIYQQGKRALSHGTCPGVGIGGHSTHGGYGTTSRSW GLAMDAITAADVVLANGTLIKASSTQNSEIYWGIRGAAESLGIIVNFYMQTRAAPESV TYFAIPWTGMFDQKSTFTNGWLRLQEIARNSSVIDNRISFGVYLDNAGTFSLSGFFSG TVAEFNSKIKPEFLRNMPTAGTPTVKSYGWYDYLVLLGGKDTIKEPTTGYAEHDTFFA KSLTVPETDGLSAAALNAYYDYIKSGSVTFYSIINLYGGPGSAINSKDTTFAAYSDRD SLWVFQNYGTGAGADVVSYMNGMNDAIIKAQPNTKFGAYLNYVDPSYDAATAHKLYYG DAVYARLAALKKVVDPANVFWNPQSIGA CC84DRAFT_1079046 ITSPSGTCVPLASDLNDKVSSAGPDQGSLCYFFVNANCDDTGDF FHVEYPGVADLSKTPVNGPTGSTRNFEDKLSSYRCTSV CC84DRAFT_1210835 MALKLPLAMHLLHWRVDGVAFSNGGAPQPWRIRGILKNRNRIRY CFATAEMKEKIGCRLNQAIALWGKALGGGAGKETGHNLAFSEVKFAGIPQHCFKEFFP AYWNGKRNNLGSNDVLAVIWKDEASSGGVSSATVGYTPRERASPEELKGLRHRLYIAE NTEAHTIAHEIGHVLGLGHEMSRPDRDDFVEFRCTKLKGLASAILSAQSKPKNSDLTV LEISNKLCTDRNFALENRFPAFNYLSSTDKRDKDKNFDIDSLMMYGSTAFASPDCRAT SLELCPLVKIKRNNGVKVGVEEIKWAVRPSKEDIAFFRKYYP CC84DRAFT_1210836 MPESSYARKYYADLDLTPSATAAQIKAAYHRLALLYHPDKKGPG SNGDASEFRKAQEAYEKLYEAKVREENREAAQKKTADNNANADSRPFNIFNPRRRARP PTPEPARTTSIPTPTTKRPYTYNPNAKFHDVGSEMEEH CC84DRAFT_411 MSGLEIPGLVVGVVPLALKATVEAWKVLDDTVSFSDDSEDLVIR LETLKAHLGIWAMKAGLTEGGLLSALLPFEELIERTLKRICELVTEVELQGTKYGLKP PETGSGNTKRTNAAIVQMRKSLHAALSNTKSPRTNIAVKIEADAARIANPERKDEPNV LRRICWAVHDKQKFEGFVNTLEKHVNGLQNFVVDHDRRKLQQEGTRITLDIIRGLSEP DALIHLRGAPIWDDQFSQMDISTLARWKAIAVTPPSPPVTTTESLKDWSLASIHADDR SQVRFIKRGLVNNDAAYFFEKKEYDPNITDDEKDILQDRIRQLMALLGEARSQRHLNT LQALGYLDDPSYHCWWIVFRFPLSPLDVLDDKANRPLSLKDLYSVPYRPALEARYRLA KRLVDSFARLYGSDWYHKGINSSNIIFPQIYTASSRASFKSINTALVQGFNYSRQLTQ SQTIDRGKVLNDLEAAIYRHPLYQGDAASGYQIQYDIYSLGLVLFEIAIWGPLMDMFV PGKGKKPPVALSPNMKQFHEAEAFELKRRIDMRVENELAYRVGTRYKEVVQWCLSLRG TVTALEFYNAVAIPLDELCSQ CC84DRAFT_1210838 MDEFDWVRSFPTSSYGTVSHEVPAIERHASSSSASRGSFASFVC HVTNLESKLRTLAPIVLDDSSSVRATGKILGQGKTFMVRHALWVKDPNEPPLEVALKE IIPDVQAEEETSQSASSTTNKPQVDWKEILFELRALLHEPVRYHPNIVRLLGVQWGLS PISESTFPVLIMEYASFGTFKTLQSSQNALPLPVKQKLCYDVGRGLSALHSAGIVHGD MKHENVLIFPFKRSRNSNAEPEPYEMMYTAKLADFGGTVMDMTFRETRKLETWTWPFQ APEVTDGRLLTREGMMLTDVYSFGLLVWRAFEDGEGFVSFPGAAQSAPDEEKRNLNAI KSTEGLTQSGLCSIQDYATSHKVSRAFYDMFAYTILHTVRLVPTDRDLVKALAALRGV KLGHIPEYQEFVQEKNNERTATEAREAPGRHGITRDALSFYLGRSGDDVDLQDNLPGF RPQLDEPNAEEFTFEPEKLKNILTWDQQRQILEELKSAASGTRASTSGLLDMRKTVAA FFVFQCYLLEFGTPFNDLNASEWLLKAASDDDSHEDEDYLSQAWVWRISRALGVSLDL PRHRLDTLLKLSVMRGHRICLQDIQELASTGSATDRQQWWSTFQQARRFLLSQMGAVG MGYFFSSHLTPPWNNIEIDNLPSLDRTIRDILGSAYDSCLRGSSFQTLSDAGSDATES RFDKIYVNRRGHGLLHYAAAAGLSETLQHLVMTYNCNINLPNQHVDEPPLLCACAGGK LDCALFLLDNGADPNGYRFGQEGPLHWLSSFLPNEMETIASKLVAAGAEVELRSGGMR HDVRGIRADWERIFEIRTTPLGRAVLMNNMDAVRVLLKLGADPLAKSAKKHRGEWEGS NDMSKMVDVTSPFELAAVLTYPEILAAFITHIDGGTTTPSLRLLDEVGMLDLARSKAI TQTDPLSLQSRLVRCGSRFKQNLRASLMVLYARALPFTGGMASENVQKERSRVLSREV KLGSVDIVETLLELRYHPDGTNHHRPIEEAIRMNHDALFDLLKRYNANLSVTRMTPTG RISLLHICASRPRQSRPGRYIADALISAGVPLESVDPRSKSPLAMAILNQNFDVAAAL VENGANVDALYPLQVSGLHGLETKHTTVLVEVLSQHTMRTIKSLKFLFGKSTGGPKQR PSFHIDPANKFSILHLLSGSPNYTHIAQITPKILSLCLETYNEPEFINYRHPLLGSAL CYAAANGQKSMVDLLLKHGADDSVAAGPVVRDSVQVLLRPKNSWTPLWATILKLDEEL SKGILLPSKDSPYDWIHSSLLQNLEKCIVFLLNNTDDALAQQAVEQLKQKKRSIEDDE VRWRVERRRNNQAAETAEAERPINLGFLPGSASENDERRIREICDRPEPEWRSGELDR LMRSTHL CC84DRAFT_1253691 MPPAYAHDLFRRVEQQKTAESNATLAVSGLIIALAVVSVGLRFY TRIFTKSGLKADDWSIFAAVLFTLATAAVTLVANSVAPNGVWVSENTDTSYVYTSHDI LYLKLAFATSILYFTIAGTTKLAILLMYNRIFNVSNAFRAQLYFAIFLVVGWWLGCTV ASFTNCIPLEWSWINSFADPRYCFDYNMFWMASGACEIVLDVIILAMPVSVVVRMKMS WQRKGLVLGIFALGAFTIITGLVRVVLGFKKNSRVPSYSNTEVWTTVHAGMSIVCASL PIFRPLMRRIAKSAFVSRISSVLSIRKPTSTRGSTTEKKHSPSSSADTSIASFVHNER VAPAFVATSFITRPEPAFVEPAFCQLPTIHVEERHNSLTAQWAGFLEESARKSKEGDS MACEEV CC84DRAFT_1210840 MGALLTKNELPVEGRTVLITGGSKGLGLAAAQQLAAKGANLIIV ARGQESLSTALRSVTQSARNSETQRFHSIQADVTTSKVCSRVISEATEWNDGHPPDIV WCCSGSAHPTLFADTPIEQFQTMMDSNYFSCVYMAHATLNAWLRHNPKPAITDKCGPK GPAQPARHIIFTGSFVSFYSFAGFTPYAPSKAAIRSLSDSLSQEMNLYATSRPELPRM RIHTVFPATMHTQSCADEDAVKSDLTKSLEEGDQILEPEECARRAIRGLEAGEELVAT SLIIRAVMASVLGGAIRGGFWKGLANTVLGWITAMVMVFIRWEMDTKVRKWGAEHGPS GYRKE CC84DRAFT_1106435 MADSDQQPYRQESIAANSYDTALCIIPPQHWCEEIDKLRSLYDR AFEKWPPHVNLIYPFVSPERLLEAKERIESHLHGNIDWAGARTVALGGAGLFKHRKDI TVFLGTKDTNEESVLRSIRSAALHALGAKVSSSVFHLTVGQAQDNSVSACQFLLDKVN RMPAFEFDVGSLAILVREKGTGRGADTASQMRLWGTIDLPGSTQAAPTPMTEFWHPES DSTNETSSDKDIVGEIPTSNDRRVQPGTTYVFDQKAQTWTPSTSSAEVKKPESLSVST YNVLIDSEYPPARDRDPLLVDIILEESALADVLVLQEVSDEFLSHVLGVQEVREAFPY TSHGPPTQPDIGPLPSLRNIVMLSKWHFSWDFVPFQRRHKGAIVACFPSILTNEPLDA TSLVVAGVHLTAGLTDGSVAAKKVQLQNVTNHLKRNYPFNPWIVAGDFNVPTSRRTID EAVKEKSISLETSRILDLTESSMSEIGLLDAWTVARVEGVDETAALDADGLFEGEEGA TFDPQANPLAAYISGTAENRPQRYDRIFIRPQDKFILTRFNQFGRPAFADGTTSIASD HYGIRGCFQVIADAVHPSLDDRELIQKRIVYHKHTPPELSSTTKLTGTLSKRAIFPTH EDEERYKQAFGLIKEILLGNSDDSSNMPEIPMVIVPVGSYALNVWTPESDIDCLCIGS ISSKTFFQLARQRILKADGKGVRLLRKVEANTGTMLELLINGVSMDLQYCPAANIVHR WSDFANLSATDPIFNLPILSLRKLKPIRDLTYIQRTIPSMASFRLAYHFIRRWAVERG IYSAKFGYFGGIHLILMLSWVCKRLAHDSGSVSAGDLILSFFHHYANFDWQNDMVYDA FFHKRKPRYQRSAREAMVILGFHAPNSNIAHTATVPGMQVLVSELQQADDRLSRPGVT WETFFEVDIIGPEPVVGGFLSSFDSYVKIDIQYWGRALSRGKGLVGWVESRCINLVVD LHKALPQLSSRIWPARFAGKEANASETYYHGCYLIGLSRSKDDTLNRQEERAEGKAAL QKVFDRFLTLVRADDKYYDESSAWIGVSLAKPAEVKDLQLDRREWGDYMPDMEPDSDD EEEFDENEDDFAPQTARKLPLRPAPSATSTPVSPNKLRPASDILNRLRWDSNLDPSDY IVGYEDRFLGAKETTLEKWKTEQTDEEFIPQHRILYFKKKSDDGGEVVWERATRIDKI FGSGLGSGE CC84DRAFT_1223890 MRLLQCSDSDGYHSMIALPGNEAVPPYAILSHTWGAEGDEVTFD DLINGTGTDKPGYDKIRFCADQARQDGLEYFWIDSCCINQEDKAELSKAINSMFRWYR NADRCYVYLSDVSTAKRKVNGDACEWEAAFRRSRWFTRGWTLQELLAPRVVEFFSRQR KRLGDMYSLKKHIHEITAIPEAALEGELLSQFSVNDRLSWIEHRETKREEDKAYSLLG IFGVYMPPIYGEGIGRAFSRLQDEIKKLEECTRDLYVTDPRRDKTRIEETKGGLLEES YNWIFQNPDFLYWRNDPQSRLLWIKGDPGKGKTMLLCGIINELRKSTVKTSLLSYFFC QATDLRINSATAVLRGLLFMLVSQQPSLISHLRKKYDQTGKAIFEDANAWVVLCEIFT DILQDSSLDNTYFLIDALDECVKDLEKLLAFLIQKSSLSPRVKWIVTSRNHTSIEQRL LLDNFGARLCLELKENTEQVSRAVSTYINHRLMELEQIQHDRALQLFVQEKMQQKANG TFLWVSLVMKELKDALVWEILQVLDEVPTELTDVYRRMMERIRQLKRRNPELCRRILS TVVVTYRPLHLQELYVLADLPNQGSSVEQTTTAMVNMCGSFLTIRGNHVYVIHQSARD FLLDGAGSSVFLSRAGEVHERILSRSMQIMSKDLQRDIYQLKALGYPIEQVQPPEPDP LVALRYLCVYWVDHLYEWSSTSSRYGSDVLQSGGPIDSFLREKYLYWLEALSLCKSVS KGVLSMAKLEALSNEVTAVSLTEIVQDARRFIMYHKSAIESSPLQVYASALLFSPTRS LVRNIFQNEELNGIVFKPAIGKEWSSCLLRLEGHSSYVNSVAFSHDSTRLASGSHDHT VRIWDASSGEHLLTLKGHSHFVSSVAFSHDSNRLASGSWDKTVKIWDAYSGECLLTFK GHSNIVLSVAFSHHSNRLASASQDGTMKVWNTYSGECLSTLKGHSDAVCSVAFSHDSN RLASASRDCTVKVWEAHSGECLLTLKSHSDVCSWVSSVALSHDSTRLASASWDKTVQV WDMHSGECLLTLEGHSSFVDSVAFSHNSTWLASTSYDRTIKVWDAYSGVCLSTLKGHS DAVCSVAFSCDSTRLASASWDKTVKVWDTNSGERSSTLEDYNDFIEGHSDHVTSVTFS HDSTRLASASDDSTVKVWDTSSCECLLTLEGHSGGIKSVAFSYDSTRLASASWDSSVK MWDASSGECLITLEGHRSCVSSVAFSQDLARLASASYDGTIKVWDAHSGECLSTIKNQ SNFVESHNSLSENSSKFIESVAFSHDSKQVASASWENTVKVWDTISGELVSILKGHGG FVYLMAFSHDSNQLASASYDKTIKLWNISNGECLSTTKVENPLNRISFDSSDSHIYTD IGVMNISALPILTPTSTGTELEITQYRGVGLSADNAWITYNSENLLLLPAEYQPSHSA VSGDTIAMGFGKGGVWICEVQSDKF CC84DRAFT_1078975 MALDNIMHIVLFKYRTDVTWSDLEDHFKSFMSLKTRSLHPQSGL PLIKSLRAGKNTSWEPFSKGMTHAFILEFASQDDLDYYLTAEPVHVQFSKDAKPLIED SLVVDIRDGVL CC84DRAFT_1052512 GSCHCETVRWTARLESAEHVLCHCATCQKLGGGPYSCNQIIPRD ELNIVVGNENVGEYKYRGSSGKFVHCFFCKTCTSHIYHHQDVMPEKVIVRTLLLEGGS KMPATGEIFAEGRLDWVNEL CC84DRAFT_1158774 MKTSTVWASLALAHAPTVLSQGLRQATGGPAPTLTSTSTAPTAS STCTANLVTTLCDYPSPEPGTAVASSGKANCWEYCNAHPPCDFVIFAAGNPYTGTGTC WLYPGEKFDETKGETGCDYLSVFDKPTCAGDAATPTSGACEATASPSAIAEVCGYPEP DDKCWSTCAASSGAVDCLSQCAEDDCAFAIFYTGEGGGSQYGSGTCWKYPNGTYDASK AGTCAGRTEQYVYENKCPKKEAASSSSASSAPSASASESGKAKETGAAGGDDAKAAEA KQGQNGTGEGSAAAGVTFSGMLAAGVVGLMWWGL CC84DRAFT_1223910 MRFLHALVLAATAVEAHYTFPRLVINGKPDTADWTTTRRTKNAD SKTGIENPASADIRCYQSANAGSVATVPAGATIHYVSSQQVNHPGPTQYYLARVPAGA SVKTWDGSGAVWFKISTTKPQVDAQKQMTWPAQNEYKTANTTIPAAVPAGDYLLRVEH IALHMAMRSGGAQFYLACSQITITGGGSGSPGPLAAFPGAYKASDPGILVDLSKIASA PDSYQPPGPAVWTG CC84DRAFT_1210845 MYEDAAWYSSFVPRAVKPAEQTTHRRRESSRLEQPPEGPADVER AEAIAASRRDAAAPLNGPPPATVARRAKSYSDFYGAVRAHLRKDKGSGRPRSRANISN ELAFAQWYNGVHDELLDASHDEYKLYQDQLHLTRAHLDTIVADTTHALATLASLTASF QAVDAQTTAFRTQCEGLIDDQKRITTLAEDMEQNLRYYLYLEPMTKRLNAPGAAAIVR GSDFTDMLANLDSCLDYMHAHPKHRESATYRSRYRLLLTRALTLIRVHFTNALREIAA DVAKRIADRQLNDTTSSALLYAKFRVGAPELKQMGLEIQKRAVLPAGAEPGAEAEYQS LMNELYQSYSATRGRLILPMVTKKIGEIAQAPSSANDLVAFARSSISYMRRICSDESD LWAEWFDGDRGMYDYLEAMCEPMYDHLRPRTIHETQILKLCELSTFIQTQYMEDDDDD ESPLEAPRLDFQNLVQPALHDAQNRLVFLSLAVLRDDIERYKPKPEDLQYPLKNKKQA LSGSKSGQPALSGKKEPKTDLPPTPQMPKTPTVVEEDDFDAKYSFNTEAAFKDWYPTL RKAIWLLSKIYRLVHSSVFDDLAHRIVHSTTVSLRHASTLISKSASPTDAALFLISHL LLLKQQIVAFDIEFVTPETDLQYDVSTITNTFWELRSRGNLFNPRELVGLLIPKVVEN MLDAKAEVDTVLRQAINDFTGQFVNRITEPIKSKDGTSVIKVPPAEAAPRTTKIRNNV EHETPFLRGKLEEYITDHRTREMLVAAVLEAVGQTYEDWFDTAYTPSLNGAGRSAKGK GPADGVWDPDVFSEWCGGVFRVGTQGLGILGAGEDEYEGRGGESDDDSMRAVSERTGT ERTGGTGIRIRM CC84DRAFT_1106451 MRPPPTLDTIRHTMLSTRGLSRATARAAHAPRTSRAIVTRGASQ SRVRALPHPYAQRRQQRFVRSLVAVTALAATATAWQWYNGEPFLRDVHAEEPPREQHE LTFEKPRRKPASAEENRTIISSQHLQVQKSWENPGVYAWGSNAGRVVAPDSNESFVKT PRRIPFFDGKLLRDIKLDKAFGAAIDERGDLLQWGTAFSKETTQPTPTLRGKNLTSLS ISRDRIIALSKSGHVYSIPVSQQEQKTGPKPASTSWLPFWGSSSDISYRLRTPQNLGW SERVTQVSSGLEHALLLTSAGRVFSFASGTQDYPRKGQLGIPGLTWESRPDGAFDMPH EITTLKGFPVQHIATGDFHSLVSDAAGRAFGFGDNSSGQLGFDFNPEATFIDAPSLLP TQRLYAGTAQQASVTNVFAGGNTSFLTIEATKPVAGASPSASRIQADVWGFGFGLTGQ LGNGRWTHLQSTPTKLPAFSSLYEYDEPTSTVIPIRLAYMSVGAAHAAAVMDNVASVA VPANTRRASSRLTENDTNWGRDILFWGNNEFYQLGTGKRANAPNPTYIQPLDQAAEVE REKALGAFGEKREREMHRFQITPRNKVKVNGRTVEMEQRVACGRGCTAVFSAV CC84DRAFT_1106454 MTEKTDVKRIKEELDKDTRDVSDLWNDALRNYKGIVGEGLVPTY TSVDEMIKAGTEQMNAFHKFRHDSQKVDKLRTLFASNLDYIEKGAQQIIAAATPAFPP AAAIGTALTYMLSACRQVSADYDVVTAFFEDMNAFLQRITILESRLPSYPAYRNCLMD VFTSVLEMCGFATKYIQLKRFKKWILNMIRGEDSELAGARKKMDTRISRLQSATEYAI LGNTEKLQTMSAELKENEEMQTRMLEEQSKMLTTVIESQENVRNDLKDIRKLLSVFQE QRKEESHGGKQKSAMGKPPTSSRVRSFFWDLIDPEHEHRNLKETLIPEMSTWIFGEEE WTYWLAQDKKKETSKLLYIAGAPGTGKSHLALTAHERLVQLAEQDPARHTCVVHFYFR ETRNETRCFYYAVNWLVIQMAERNAHLCEKINVELSREDKDIDVDDWKDIWKKVIAPL FESSSPFRLQIVFDGLDELAPDGVQRPAGLEFLKTIAESELNISVLCTTRSNSPTSTF PKEMDDLGSKSIEVTKEKQMPDYKTLIWHHLDNDTELKKLSQYMRQRVSSTLEEKADC LLYAEHVLRRFNNLSREPLILRHLEQEMPANLEQLYSIMLSDLLRKTSNEQKQALKSL FCWIAFAVRPITLSEALAIVELLPGDLDLEEELQSPQLGRFLRIADRIEEVDLSSPSD SELDDLKVQGNRHESAYDDWNLPLKFQERSMRDYFRVAKFDEDPGSLRTTGFEAHRQI FVTLSQILRKKAGTDVSDRLRGFAGYAWLIHLGWVWWYNKLGRPTEEQKIELLEAMGA VFNGEDEVAASIEKQDLYYEFLGTEGLETKVKYFVGIASELGEGKLNESTSAWVKDTS SNWQKAFINLAKAHIRRWLSATDLRSANQSYGFARSALGRTDLHKMLRDIDYDEDTDE ETFESEEILAISKAFPDVADDNASYAIALLLYDSGYYADALSKAQNAVEVASSDPTKR FEATDLLANILYWQENYEDAYSTIKSGLSDTTNIPPALVRRSLVTRAKIESEQSLIEE AVASYEAARRTLPDTPMLGQDLQDQLGSLISNKFDDPAAIVNAVRSWTPLERLAWATW KYDDNDDQDQAFQHQAFQRACGRANETDFMVETYKEIIDLLDAVEAGAPMRLELAYAQ WRVRGDLSAAKQLADEILETESDGSTYRFTGRDSAWTMVATIDFISDFLYEQFRTSGD PERKAAIIAEMKGVTSRPLARSVSSWKSMENHHRLVLARMVKKMGPMVEYQEILQQVF DVCYDCLTDNVGWNDSDNLHHMAMVLDVMGGFEKEARTLISAVFSVVDKSLDAEEGGD GAEGQAEAKTGAQKDGEDGDGDGDSADEGDEGDDDDDDDDAASTTSTLPTNEGDLDGE MYYTCCGACTDRTSYHAWKGRTLYFCTICADTCLCAPCYEQRQAYNDGTSSVHAAVGG AYCGRNHRYLKGPVEGWKGVTDGAVMLEGEDGVDFKAWLRVLKEEKWKRAWDAFW CC84DRAFT_558 MLQEGKYLWYRARMEYKRLFDSAILSHSFVSTSHKHPRTTSFIR TILHSDTSQDAVHHPPRNRALRRRRIRLSRRQCRGEPGRPNYHCQGLGRTPVVLHPVQ EWRTELLQLDGVLCVQLLERERERERERERERKREEDVIEGLEVTRTGGMLLGKMIWT GRSELR CC84DRAFT_1253699 MSDAGRKDFHTKLGEGLTPDSTKSTQQKMKETVTDTGDKFARGV QPDHEKSLGQEATDKVGRSHDRTAHGSSGGSILDKGKHALGLDKH CC84DRAFT_1253700 MANMRFAVSMQRLIPFLGYHHVLMILIAIAVILLSLLLAGCSST SPLIPGIFLVSMWYEKYTPTYSTEQVDPGVTAAIANIVGNAQLVVRVGYFGICINKDG GDYICSNNATALVQGVSVDQDPLNLVWVASTFKDAVVFPYLLIVAIILAFFCFVLLAT FPGWHEERDRETGSEVDIKPFPSRPVSQVALALIFIASIFVLVSVLWQHTASVAAATI AQDLGNGSVKAGVGTSAMVLGWFGFVLLIIVTIGLLVMILSIAVLDRLTDD CC84DRAFT_1106463 MATYALSESHKDLMHKNLVELDPAVDEIMKKEFQRQRESILLIA SENVTSRAVFDALGSAMSNKYSEGYPGARYYGGNQHIDEIELLCQKRALEAFGLDSEK WGVNVQCLSGSPANLQAYQAIMRPHDRLMGLDLPHGGHLSHGYQTPQRKISAVSTYFE TFPYRVDLKTGLIDYDQLEQNALMYRPKVLVAGTSAYCREIDYARMREIADKVGAYLL MDMAHISGLIAAGVNKSPFPYCDIVTTTTHKSLRGPRGAMIFFRKGVRKTDAKTGKET LYDLEGPINFSVFPGHQGGPHNHTITALAVALKQAQTDEFKAYQKQVINNAKALEVAF KELGYTLVTGGTDNHMVLIDLKPIKLDGARVEAVLEQVNITCNKNTTPGDQSALTPMG IRIGAPAMTSRGLGEEDFRRIAGYVDTVIKLTQKIQGELPKEANKLKDFKAKVASGSV DEINSLKKEIAAWAVTFPLPI CC84DRAFT_1210851 MVEPLADLPKVEKLSSRVIRVLGGNPSKFTLQGTNTYIVGSGAR RILIDTGEGKPEWLASLKRVLADERITLSHALISHWHHDHTQGVPDLLAVSPSTAVHK RDPQPGWHDITDGQTFTADGATLRAYFCPGHTNDHMAFVLEEEDAMFTADNVLGQGTA VFEDLAVYMTSLEGMATQFTGRAYPGHGPVISDGPAKVREYIAHRRQREKQVLDVLGS EAREGGWTAMEIVKVIYKNYPESLWEPAKAGVLQILRKLEGEGKVVREGEGWKVGGSG KAAL CC84DRAFT_1158782 MADSDDDGPIQLSSGALDALKEFYGERDARAKQFEDLKTQAEDE FAGKLSMEAFTEDWNASQFWYSDETATTLARQLLDGATDDTRIAVVSAPSTFIQLKNL LTSAAYTAKPHLTLLEYDTRFNVFKEFVPYDFEAPLKLPAELKGSFDAIIVDPPFLSE DCQTKAALTVRWLSRSWIPGSAGVKLIACTGERMESLITKLYAKVGVRTTDFEVRHAK GLSNEFRCYANFECGEWKWAKQE CC84DRAFT_1078508 MHEKKLSVMSNGSEDFSVQRQSMLLRELEMLLDLNPRELSSNLL ALPRELRNRIYDFALPEITNGHRPELHTCLWGADMVHEPWRHDIFGYGYGVPKKHRFH PHLQMINRQLRTEMLARYFRRSKLTLHAELRNSQNNNGHFEHSPHILRLPMLKFVTHI RFYVEWNYTVLSKNDRIDDQIRIAKDLMATMDTLLTSLEAVENIELSVLFFWKLRSGK HYMLSMQDLFDLEDVFKRHAESRWLRILRSNNSTVTSPNPSAGVGYKLSSEDKGTDQS GGMEIFVSQDLEEAMRSKRKSMIDFYGNYGISDPLPHPAYEHGKMI CC84DRAFT_1158784 MAAPQDDFRWFGEGFDGFPKRLPDDVVEYMVFVIDSRLSDLQTR ERLQAFKRALTALEKKFLKEYIWQRDPVKLDLVRENARWLLKGTTNYGDSVADEWLIV YLLRELSKEFKDAWIRIYDSDGEFLLIEAANALPNWLNPEVAENRIWINNHRLLVTPL SKDQTPAPLDMSEALLIIRETPARPQHYPKIEKEAFHRLNGYPDAISTNQHHATLQLP RKVAHILHLNPAYISPAVEAFYLRDPVVLRLLQPEKSKKTLNFFPEDFVEVSTRFTKV LYAQLLGQNWDPPTAHDAALKELQKQGKAPEKAEIAVKLVAGLEMLLQHEIYADKPAV REIKLLLEDLVTGDDVLPADAEIAAWPKREDDEAWLNIDFAEFERELAGKGGKEGFGD KDAQQNLKKMVERFNAFLADDEAGIDGAHGLDPMDMDNDSDAEGRAWDDPEDSDSSSN PTGVDEEKEDEDEDEEADAEYAEYEKAYEKFMTLSAAEKAILTDDARELALAEEADRE EDDEIKKLSDMMEAELFGHGALSLDPERGSMSQGAKSQAIQQITSAKGKGKAKVEQPP EKDVDEEDSDDDVLDDDYNLAENMLKAFKGQVGMAGPAGNLMGLMGVQFPPDADDEQK SRAKGKVPLR CC84DRAFT_1191827 MSILSTSFGSFKGKHADGVIQYLGIPYATLKDQLSCPEIVDAYE ELVNATDYGPRAPALDASIFEQQFLIQAVIDVTPSPRMSGTECLNLNITVPSSIGPAK TRKLPVMVFIHGGGYMMGSNYAPYFDPSRLVALSVELGTPVIVVSINYRLAVLGNITS SELRSAGYPGNNALRDQKCAFQWVKSHIEAFGGDAENVTAFGVSAGSVAVLTQLFSTE PLFKRAIAMSGTPMMLKPLSASTAEATYQCIIRALGLQTATVAQRIQRLLTILPEELV EKTPMTAKVAPFLDGDILPEAITFEKLTGGIDSPGTIWCEELMIGDCQHDVRLLGADS ATAVLEAYGITSSISDDVALQRAIDLATDILYTAPTRDYACAWPGKKYVYHFNEGNPW EGQFKGMATHMLDAAFLFQNYDEKMGVPGRDVARALAKGFIAFANGNMPWHQFNGVTP NVKVFGGGEVERTVGRTGWDDDRRNTLFRLAEEGKVNLDRLSAAWDDFLAGK CC84DRAFT_1223946 MSKPVAVVTGAGSGIGLTVATHLHSKGYRVVIAELNPKTGAEAA KKLGDNATFIQVDVASYPSQARLFKQAYEWGGNRLDFFHANAGIDDRQMLYDGMEKEE VDAEGLVKPLNTKSMQVNLEAVIQGLWLFKYYVRRSKEAGGGKGKFVATSSAAGLYVM TQNPQYTASKYGVVGFVRAAGPILVKEGITVNAICPAFIPTGLCPPEILPLWPKEHIT PLSTVIKAIEAILADDSLTAETIELSQENIYFRKPVDWANESQRWVGEESQSIWDLGY QKVPERPTF CC84DRAFT_1191828 MPTAKEIEQAHKILFDAGIEIRREVAGSDYVDAALKRFSSDFSK PLQSSLTEIGWGWIWSRPGLTRKQRSLLNLGMLCALNRSTEFGVHVRGALNNGLSEVE IREALLQVGVYCGLPASLEAFRVAEEVINKYNNESKPKAKL CC84DRAFT_1210860 MMGSLLLQAALFVSALVLLFLTVYFYLVPNFIKDSRRRKLPPGP PGLPFIGHLLDFADSEAVRDKVTAWHKQYGSIFYTKLGGTDYIWLSTPKAVKDLMDKK SSIYSSRAPFPLAQDVMSAGRRQLFMPYGPRWRSIRKHSHALLNLNTSVKYQPVQDFE SKQLLVDFLTSPKDFYMMNRRYSASVIMLVTYGYRIPSWEDPLIKKIYTVLDNFTEMT APGAYAIDSFPSLTFVPERLLGNWRTHGRRAFEHDSKVYMDLWNRLKRETDAGEAKDC FTKTFYLNDPAKSGIDDLAAAYTCGGLIEAGSETTATTLNNFMLCMTLFQDAQRKAQE ELDRVVGSGRLPDWGDEKDLPYVRSLIKEVLRWRPVNKFGMTHATSEDDWYDGYFVPK GAVAVLNWWAIHRDPDLWENPDHFDPSRYLDKPLSAADYINATDPYERDHFTYGAGRR VCPGVHVAERSLFINISRVLWGFNIVKKKGPDGKVIEPTQEMVKGFFSVPIPFECEIL PRSEEHAKVMQEEFAKAEKEGINF CC84DRAFT_1182228 MPLERDAGGYTFVRHPSDQLTHYIRDSFVDPWKPAETILIQHGF GRHAEFWYHWIPVLSRQYHVIRRDLRGHGRSSYAKKGDNYIINLDTVIHEIVDTLDQL CIRKVHFLGESTGGMLGEILAAKHPERLHSLTVCSTPTHLPPPALSLFAFDHADWPTA CRTLGARGWTEALSKIPGTIPISDPAYLPWYLSRIELSDGEGLAQYAEFLMALDARPY LKDIKVPTLILAPQNSAATSVEEQEGLAKSIEAARLEVVDAVGHEIYVTGAESCQRVF LEFLEGLRK CC84DRAFT_1170132 MANRPDLNQSYEAEFKPACVLLTKENILFFYPASGGAFTVGDEP YKWVPEGVPPSAAPHTAQVSHTAASNSRPSRMKQEEVAPKNVFQQHGGEETNALSGRD VARLDTLASPNDAFGLGMEKNVSVVSTKVDSSYSDLCKENAWPCRKKRLPFISPGLWH ASPLTQFWVYKLLRKPSLGEVQPNSDSAPRNTPNKPIS CC84DRAFT_1046110 YQLGPRELDKHSKWPMLLMIHGSCLPELIIPLLFVGAWTTGICL FSRHVHDVGIATTLLTVLGFIVALSLSFRSSTAYERYMEGRRAWTQLTMVSQNLARNI WVNAVEREESAKDDLLSKVTALNLIVAFAQALKHRLRFEPYTHYRDLKDLVGHLDTFA NEATELETHRDEDPPTFWESWGDYLAIPMLTSNPRKTIKRARYPLGNLPLEILNHLGV HIHSLIACGCFRANGYQAQALNAILALNDIQANTDRILNTPLPLAYAIAISQLTWVYI LILPFQLYSTLGMLAIPGTLLAAYMILGFASIGREIENPFGHDVNDLPLDDFCAQLAV DIDIIAAMAPKDADTFVKSDKNQLMHPLSRSGYEAWSESSVDEIRDALKRK CC84DRAFT_1200534 MSAAGPSAAPATPSYPMLTRIPGMGASIQLPPSNDGTPAITIHF EIGGGTITLPDGRQITYSPQGAQLYPTAQPVPLVNEQVARQHGVTDSNFSTRASDSEV PDVNSEMMDVGNLDGDVDADIEDCTDVPFSDQRVLQWRTSVPRGELNAPYPAFEDQSI ATESGGTHYGSPSTVLSGGSTIGAEEENSVGDETAHDRNVRYYDQLLNSEEDTTDEGA TDEDAGYKGGNEEDEIDEASASSYEAHTSSSSSSATVIAPNRKTASKKHAARKNASRK NLADDSSSTSSLSSPPSSMLSTPTIVAPTRRAKQPVRSDRASHPDTTDSEPVVVPVRR KQESNIVRLKRFKRQQAEARALRSSNPSPERRPDAENNGSDLDAEFEGSLEPETETET GPASESEERSEYEPDDDAGDE CC84DRAFT_1158787 MANQPTMPSSRDILLPTELTDFFFAEGDESEHFPAIFLYTIWPI LLVLIYPTLFIVFLIEGIVELVFVCWLKTRGLLDLHPLSTEGTPLLASEDRAEVASRR NTVATRYPAVDVAAIDLSELKHGAQISSLPVRLVEMPMEDPSHIAVVSWRWDFSQPNT LSSGLSLNVAQAIKYAKAHGIHFLFIDIISLDQNLSPEELIPEVARFSTLYETIPVIA AYDDTRLDFEYIMIRPWIFSEIKKMMRNPHKIVYVGHLRQGTYICKSVLHWWLGRVPR HRMADTRFLNQLRKAWFADYVPPVLALLNGHNNMTDIHDFKFIIPPLAEAFTAAEKLP PNDYLLTIALLLSNSQWGGIREYDVEGAFLGLPYTKYQVRSFVSNKERAMTSADNYTV KHIEHTEMHEIRLGDEKIATFSYRHDHWKDTLVPRYTVTVDLHIRRRLLGMLELDTDD ARYAISDDDMVGYFLGSTLSESQNIEVVEQ CC84DRAFT_1158788 MASRFLIPAGRTAARSIQRVPVRGFQTSNALRQEVVAPAPVKKP VGAFRGGLFGFLLGATTAGAGMYYYVIDEYRVANELLTEDIYALQSAVQRIESYVKTL EEKVDARKK CC84DRAFT_1200537 MFHKALLVLPLLSLASPAFATPLETREASPGLIDGLLTGTLDAI RQSIKDILTGVKSGVADGDISSKPLICLSAVDKCCVWWDVSAELTKRFKETNGECNDA ARAAIRFGFHDAGAWDKDTTTGGADGSLMMDFGEIDRPENNGLQSVRLLLREVQALFK VGYADLAQYAHNHATISCPKGPRIRTWVGRKDATGPAPTGKLPDVHDSPDNLIALFER KGFSAHDLAALVGAHAAAKQRFVDTSQAGKPLDTTPGVWDVEFYNDTLQEPQNPDIFV LPSDKKLSVHPKINDEWLSFVGDQPHWNEDYAKAYIRMSLLGVTSAELKGLKDCTKTL PKKTTK CC84DRAFT_1158789 MPLGFERINERTQRPNAHINFIRPLPGPTAKTAEEILSRVAAVC YPFMKSNMVLVQALEEFPFNREFVGRNFNAGEVIQLVLRDRTGMWLPQRMIEMVMVHE LAHCKQMNHSPAFWKVRNAYAEDLRALWAKGYKGEGMWGRGRNLDTGSLQQEDRDPSD MPEHVCGGTYGRRSSKKRRRGGDTKQTLTYAERKQRRILKKFGAGGQSLGSDEDTKVK LEGGVAKKGKPRVAGSARGRELRAAAALARFDTAKKEPVEVKNEETPSDSETEDDEIE FVEGGAAIDVDGKEMTDDKGRALVKICEDEDTKDGNSQREMDELQGLNQPKPKLKPST DSSFTAAKDSRSSVHSTETPKPLPAKSNAALSNSTNTKSSAQVIHIDEIYKRRPAQSP ERRPALATTTCTVCSLENEIGSFTCMACAHVLNPHMDPHQWSCKSTACKGSQYVNAGD VGRCGVCGASK CC84DRAFT_1170140 MADLGLHDLFNVNDMVFVITGGGSGLGEWMALALDRNGASKVNG NVIPVQCDVAKKEDLKAAAATIATQTPFVNAVVANAGLIGPVTSLPPRAADASIASIQ AEFWALPEEANQKTMDVNVMGVFHTFVAFLSLLDAGNTHEASRGRRDFIQSQFITVSS MAGLSRGEDVSYLYGAGKAAVVALTKKLSTGFAKMGIRVNSIAPGFYITEMTEFASNG EDWSVPGSLPKDTHAMTRSGSAQDIAGAILFLTSKAGAYVNGNVLLSDGGQFGQGPMM Y CC84DRAFT_1210870 MKLFTQILGCAATTQLVSAIISPIYQPHNFSTGNTSLSTSNHAN HTRRYAEYLSSGYSMNLRVSPWPMRSSLWHIDTGYLRTIPYCFVDQRSYEKIGDTGGF CKIRGALGVWAEALNGGADAISGHALGFRFPAKDEGFCCTNYRYGAENQPRADSDFQC DWDHDKWPSDTLAVHWVDEVKSGGIAASATIGYVRTGDPYERPGRHWIRVSDGASPGD IAHEVGHAVGMAHEHQRWDRDDHVEFRCANLVGMSDIINHYRVAAKVDYATASKALCE DQLTAEKWFAPSAEYVRGAGLDVNTKPKFDGPGGFDVDSIMLYDSYSFSKAGYQVNVG TSVLVAIERDADGNKVPGSEKMFPRNTKPSPKDVEFVKTFYPWDAEKYQEYRKAHPEH GDGRKRERDVFSEIILGVIPAHWGCKVEKSKPKLE CC84DRAFT_1191832 MSVTVVLGAQWGDEGKGKLADILAHSSKLCCRAQGGNNAGHTIV VDGVTYDFHILPSGLVNPGCVNLIGSGCVVHVPSFFKELEALDAKGLHTDGRIYISDR AQVVFDMHQLVDGLEEVELGGGLIGTTKKGIGPSYSTKMTRSGMRMCDIFNEDKFEAG MRRLADGYKKRFGDLLQYDVEEEIKRYKEYRTKLQDYVVDQVPLLASAKEKKTPILVE GANALMLDIDHGTYPFVTSSNTGLGGVMTGLTLGWRSIREVIGVVKAYTTRVGSGPFP TEQLSADGEKLQQVGHEVGVTTGRKRRCGWLDLVVVKHSHACNDYTAINLTKLDVLDD FDELKVATSYAYNGQTLDYFPADTEILSKVEVHYETLPGWKTATTGIKTWADLPENAR KYIEYIEKFIDVPVKWIGVGPARDHMISR CC84DRAFT_1210872 MSDGFAETSLGYAAIERAQGLTVNNFDNVTVEVHFHAQSVSEIG DPSYLTAKSLYDVLDYINLHFNRWGFYFRMKGFDHTVDAISANATEPLFDSYNLKAST YVGTVNTLNIWTFTQFYPGVAGTTDNIGIFMHYEALPPLNAGSTLVHEIGHWLGLGHT FNTGHGDGPEECDYPDADSVDDTPIHRQPMTLNMDDEYFNCKPIDSCPGQPGMDPINN FMNYNWPKCATEFTEGQAVRMHNFWKDFANIKRGQGNPFA CC84DRAFT_1182235 MEKRATPTIYLAGDSTMAKNGANDGSTDGWGQYLSKYVTVPVVN KAIGGRSARSYSNEGRFTEIANLIKSGDIVVIEFGHNDGGSPNSATDNGRSDCPGTGE ETCTSGKDGSTVYTFGHYVKAAAKTYIAKGATVVVSSQTPNNLWEGGSFSTSAPRFVA YAAQAGKEIGSGASFVDHFQAVLNAYRKLGNAATNALYPKDHTHTSPAGADLSAQAFA QAIKLNLNGTTSIAPYVKAGNPTVW CC84DRAFT_1077244 MGLTVEEEATLVDDAIPLVGNGTFQQPIDHKDPSKGTFSTSFWY NATNWGGPGSPVVVFTPGEAAAAGYTGYLTPRTLTSAIATAIDGAIILIEHRYWGASS PCENQTTTCLQYLTLQQSVDDFVNFAKHAPIPFDVTNSSNADQAPWIWVGGSYSGALA AWIEKLSPGTFWAYHSTSGPVEAIYDFWEYYYPIQQGMPKNCSLDYEAIVDHVDYVFK NGTAEEKAALKQQFLLQDLEHDDDAAVAISSPIWAWQSIQFYSGYSQFYQMCDAIEGF NGNSTGNYSTGYSDNGVGVEKALPNFATWFTTTYLPGYCEAYGYNDWAGELNVQCFDS YNSSWEMFNDWTPDNAADRPWVWMTCNEPLFYWQGGAPEGVPTVMTRLATAEYYQRQC SLYFPTEGNNTFRSALGIREDYVNDRTDGWFNTDAPRLLYVNGEFDPWRSGSVASDFR PNGQFNGTEETPAILIAGSRHCNDLIMTNNVHPPVAEAQEAAISQMKAWAHEF CC84DRAFT_1182237 MTAPWNELATAHRANQRACINKDWLLSPELLAQIAGTGQSDEGD LIARRAVDKSGLLTDKEIEITERYSVRGLLEEMATGMMSAFEVTQAFCKRAALAQQLT SCLTEIFFDTGLQRAKELDAYFSKHKKTKGPLHGLPISLKESFQVEGQFGTLGFVKGI AAGPASSNSDVVRLLLDAGAVLYCKTNIPQTMMTADSENNIFGRTLNPHKTTLTAGGS SGGEGALVAFRGSILGVGTDIAGSIRIPSLCCGIYGFKPSINRIPYGGQAPFPYPMLR VPGGIAPAAGPLAHSVEDLELFMRTVIGTENLNASRYDPQSLPLVWRDLETVGEKKQL TIGLLAEDPEYPLQPPVRRAFQEAALRLTHAGHRLVVLPHNATSSGAGLGGRLSFQYY AILPPGPDVKPLDEILGEPLVASVAKGVHPFTGGFPVNPALDLPQRLHELTEARDAYT AAWHGIWQAHALDVVLAPGAATTAVPHDSYGVPVYTCMWNLIDYPAGIIPFGKSSREL DPQPQKAVGPFEPHYDPDACEGAPCAVQVVAPRLQDEECLRAMRIIDKVLNDPSSFTP PIPPQ CC84DRAFT_1223960 MASTSDQRGEAFPPGTVTLTGYQESIASARIVLSPQPSSDPNEP LNWPTWRKVTNMTLIFGMTLAVFTSLMVQTVFWQQMKVDMGVSTVNLNNAQSANLAGV ALGCLFFIPLTVKYGRRPSYLLSIAVLAGSMWWASKMKTNAELIVIAVISGLSGAINE TAVQMTIADLFFVHQRGAANAVYFGAVMMGSFLTPLAAGSQAATQGWRWSYTTLSICL TILFVLFLFAYEETKYIPVYVGAPNTNATTRSLDDGDLKNTCGGGSEEIKSPDAIAKL DSVPSLYVTTYTPHTYRQRMRLWTPTDESLGRLFVLPLQVIMLPHVLYTSLQFAAVIA WLVLVVAMISVIFSMPPYSFTTAGVGYMTLGPFVGNVFGTLYGGPLSDWVVVRLARRN RDIFEPEMRLHLLCVPVVTMAGGLIMFGVTADRGMHWIFPSIGGAFYAFGMGSIGEIA FTVLLDSYRDLVAESFIVVAFFRNAISMAVPFAMVPWWLSMGLSNMFITIGCLSLFIG LFYVPLLIWGKEIRIKLAPRYEKLVAKKRLIG CC84DRAFT_855 MSGSHRRTRGGCVNCKKRKRKCDEQRPGCMACIDRNIQCEGYAH PVRWVEGIASRGRFVGVRDPGLLLQPVEATTAAPTVDRVTSSSPADTAHTTPLQFPGD TLHMAEEDQNRISDEESIAFEKFLVTGLHQLCNAEIYGWLSVFFRAVSKESRALPLVG AALQAYYDNGWTVTAMERMDRAIRTFRTDLEILGSERRSATICSGLLICTMCLYQTRP ITPYLRLLADMHNLKGSLEGLTPNPLVHVPSQHVLEVLGVMDMTSFLIGRLHPSLGIW KRVRDLRSDTSHRVLGDIEVVTGLPRTLLDIFSEITEQCDVNSQVEAMFWGWRGCVGT LDQCHLWDSWRYAGILDIRRRLRRLWASNAPCGSSVFDNLDQAANNEAVLCRLIASLD ALSRKAKTVGGLEPSFLNKGLIFPFTLANLEVPLLKINPSWKSTLEDFQSVFFSKDDV TLGGSAVLTLINQAWEAGTHSFDIDQAARERGIEIGIF CC84DRAFT_1191833 MTLVSPTPTPSRLSVTLSLFSRGQVRRPNNSTASPPWAMEHYSR IYFHPLRHVPGPFYASISSLFLYIICYLGIEGHVLRSLHLRYGTPVLRVGPNSVSVSD SGALQEIYVSGGGFPKDSRYTNFDLGPVKSIFSSVDREYRDHRAKAVAPLFAPSRIRS ACAPTEAIGKAITQFVHIIQQFKNERISFDLVDVCARLSIDVVTAYLLDEPYGGLAEI GHLPPKAQARTKLSANPFIFAIVAFSRFSLFPKWLFSQLYKLSVRLSLTDEAKQSFRK LDIFATKAVTRITSQKDPNNEYTYQSRLLAAGISVSETTAQCKAITFAGADSTAVMLA TILFHLIRNPSARRTLVTEIEEHQKESAGSFDPETIPYLRAVVKEGLRLGMANPTRLT RVVPKKTVLDVGGYSLPAGTVVGCAAYTLHHDPMVFSEPFEFRPERWLESGREGSLTR PDMERNMMPFGVGLRACIGKNLALRQLYETVSAVLQMNVGGGLLEGAKVRQERIEIIE WFNGEIKGHCLDVDWE CC84DRAFT_1200541 MNGHTEIAGILDGVSLSSFENEDQRGKALLAAYALAARLETPWE TTARLCMSQPALGAALKVCKDLQLFEKWYEGGDKALSSEQLSDLVPCEAALLERLLRH LASNHMLHEPSIGTYEQTPFTKSLLDPVFGEWINHLYDATLPTFFKLPEYLAQTKYKV PLDPENGVFQYAKGWKGDMFNYYAAHPREGESFNHVMGGVMARQAGWLDIFPHDRVVE TAVGDGPLVVDVGGNIGHDIERFRQAHPGTAERLYLQDRPEVVKLSKSPDPVNKVAYD FFTPQPVKGARVYYMHGVLHDWSDEPARKILAMQRDALKPGYSTLLIHDHIAPRERAH PHTTAYDLTMMVMVAGTERTEAQWQELLQSEGYKVVKIWTSPLAVQSIIEAELA CC84DRAFT_1106497 MASAKLTWLITGCSSGFGLSLARIAQAGGHIVIATSRNPSRTPD LVAEIEGKGGKWVQLDVDSPQSGDVVAELEKGGNKIDVLVNNAGYSIYAPIETMTEAE ARSQLETNYFGPLRLIRAVLPHMRQRRSGVIVNISSGASLDGIPTMGVYAGAKAGLDA TTRILAKEVAPWNIRTLTVVAGTFNTNMPNAVVLGETPLPDDFKGTFAEQVQQLLVAG KVKPNGDKDKAMKAVYEVVVGEGVGEGKEAEKLLPLGSDITPRLKGLQDNAGHVIEVF GSVTNGVDVEK CC84DRAFT_1132787 MASPIQLVLFGPQQPRWTHERLQTLQTSLVTDPSLRFLQICLEE LDVFLDATPLARIVGAAQPVGLVDFARGGQIPQPDTLANAQLAVLTVVYQTIEWHRFA TAHGHDGAVVQGFCIGFLTAAVASCTTDSHDDFELYAASAIRLAACVGLIVDAEDAAH SLPDQATIISVRCHSLSDRTMLAATLDSFDQTYISCVTDDRTLTVTVPNRHLEALTSR LDRESIPVVSIGLTGSYHHPKHSNAAQQLKEICAETLGLQLPSAEHLRRPLRSTAETE LITSGLLHEIAIELILCKRANWFQTVKSALKGLPSHVQLVSVGTEAHIPPSLSNARNL QSETSQSHHEEIAVIGMSCRFPQADTLEEFWELISSGKTAFGSLPATRFDPASLWREP NLPEYWGNFLRKPDVFDHRFFGISGREAKSMDPQQRLALQVAYEAMESAGYCSLPSVA QEKNVGCYLGVGAVDYEGNIASENANAFSATGTLRAFIPGRISHFFGWTGPSITFDTA CSSSAVAISSACKVNCSMAIAGGVNVITSPNLHQNLSAASFLNPSTTSRAFDASAAGY CRGEGAGVVVLKPLSKAIEAGDNILAVIAGSAINQSSNVSPITVPDSQSQSALYQRAL KSARLDPMSVQYVEAHGTGTQVGDPIEYESVKLALTGNRDDRLSLGSVKDNIGHAEAA SGAAGIVKVILMMQKRKIPKQANFVTLNPRITPSDMIQVPMATQLWHTSRPVALLNNY GASGNNAAVVLRGFEHTPCTTPEPGIYPMVISAKSHNSLKAYLNELKSFTSRADVALQ DISYSLARRQNPTFEYRVAFMARSTADMVTKLDHAIVHANVSASPSDECAAICRELGL PDILSDIFSGKPIQDLVQLHCMLLAMQISYARTWIDCGMQINSMIGHSFGQISALCVA NSISTRDAFRFIGGRARLICDKWGPDCGAMMSIECDRSDLETLVSRINASNESKVDIA CYNGPRSFVLAGNTPSVDKLEGACYSFKTTRLRNTHAFHSYAADSIMDELKDLARSIV VRSPDIHVETCSRDGSQTVLSAMALSEHTREPVYFSDAVQRLAARLPSAVWLEAGSET PVISMARRALAQSTGAHKFLPAEFGTDNPVEKLAEVSCQLWSAGIRTRLPFWPFYGRH RADHVNLPPYQFEQTKHWIPYDPSPASAIQPTKAPIGALVSMVGGMDHDGGYTFAVDT SNTVFELATSGHAVAGQSLCPASMYIEIVARSAILLLDSVKDALLPPYIEDLVMSAPL GFASDLFLRLLPIKSTAWVFTIFSNSSISEITEHGKGRIHLPNSGDVVYETQLKLLQR MITTSSIDRVMNSPAATSIGGPIIYQLFSEIVEYKSYYRAVKSVSALGNEAVGHVDIS EVAQPINNRSTLCNPIELDNFLQVAGIHINCLSSRRKSEVFMCTAIDEVILAPSFGAA TATTKNWKVYSRYERVKDGVVTNNIFVYDFTDKLVAAMTGVTFRSVNIKSLVRSLSRV GLLSNPLPIIAKEDVDLLQADSGYQSTSPVMSIFPEPDQDSQPVSRTEAEQPRSSSSS EPESMLTRLRSLLSDVIEVPLDEVAPSSSLENLGIDSLLVTEVLWEIQQRLNIRVTQE QIMGCEDVLSLSDVIEGQTRPHVTPSSASSGSPAVTEVVRVEDAAVSKSTRNFGSLAQ ECFSQFKSSYDTYAERTGFSGFYAGPFVSQSQLVLRYVVDAFEALGCGIENLPVGENI PIIPHVEAHQNLIPQLYMILEDAGLVARSKDGSLQRTEIPVPSVATSSLLSELLKKYP QHTSEMKLLHTTGHQLADCLSGSVDPISLIFRDASARALLEDVYTNAPMFKAGTLLLA QYLSSVVARSGAGRKLKILELGGGTGGTTKEIVATLANLTSGSELTYTFTDLSSSLVA SARKKFSQWSFMRYSVLDIEKLPDPEHLGQYDIIISTNCIHATHDLTRSTTHIQRMLR PDGILCLIELTRNLFWFDLVFGLLSGWWLFNDGRTHVLADENRWKRSLSDAGFQWVDW SDGPSEESNLLRLITASPSAPPTSLVQKETVTFKRIDGLDLEADIYFPAKVANSEKPL PIALMIHGGGHVMLSRDDIRPNQTELLLQHGFLPISIDYRLCPEVALLEGPMSDVADA LQWARTVLPSITLSRNDVRVDGSQVVAVGWSTGGTLALSLGWTSMERRIAPPEAILVF YSPLDYEDPFWMQPNIPLGSEASTTYELDETIWDAVREQPMTRWNVSPSKRALGGWMT PSDPRSRLALYMNYHGRTLHVLLNGLDPYSREAPVTPTEAEIQAVSPLAQVRNGTFHT PTFVVHPTEDDLIPWAQAERMEEALRQHGVESHLRLVDGVPHLFDMYREYQKQERLQE IIREGYKFLLSHVS CC84DRAFT_1223990 MSRPVSSARAVTAPPVGIPPSPNTVDVRVIDTQTLLHLNPSLFW QPSLGGFDGLDAPVYCFLITHGNEHVIFDLGVRTDWENYPPKIVSLIKATTRVEPGQD VASVINGSHITRSSEVSAVILSHNHFDHIGDIGTIPNAEIIVGPGVRNTLFPGWPQDP DGPLKSEDLHGRVIREIAFDEDLKIGRFDAVDLFQDGSFFLLDAPGHAIGHMCALART TPDSFIFMGADACHHPGVLRPTKYLTLPKPTHESLLGQCPGDVLERIRGSELSPCSPF FTVANGPLFPDREAAVSTVEKIHELDACEDVFVILGHDNSLRDRIPMFPEKINDWKST DVRPETRWLFCEDFKNVRFL CC84DRAFT_1253719 MSVAVESSTFQSLKPNGPFAESTSLYWWETSGRDLARMLQEANY PADAQRQFLVFFRDTICPALGARPERNSLRSGVGWDGNPFEYSFELKNSSAEVAVRFV VDVSALRPADEAHPLSIKNFETVLNKLSNATPGFDDTWYKSLERWFVHSKSSSEEQKN LVSHVGYRMPMILGFDINRRLPTSDSIPVMAKVYFPPCFTAAAEGITRWAAVRRGVHQ LPNIESHPNILRSLALIQEYLDTKPKEYENGPRYLATDFVMMDKARLKIYFRHPATDF EDIWDYYTLGGRIPGLDEDKEKFRELMSFTSYHPDPAAIQNGDKPHYTAVQRKMTAIY FSLSTDNPTPAPKICFYPANFAQNDEVIGDGVDSWLQKYGWNTGGKPIKQRVSSVFTH RNLSDDTGIFTFLGIGRKEDPSKKDLSMQCYVTGELYRNPRM CC84DRAFT_1253720 MKPKTLLSEPGTVKEAVVWAVALILVLLALQSGRTWWRLRHIPG PFLASLTDLYRINWVWSKQAHLKLQQCHKRYGKVVRIGPNTVSFSDPAAVPTVYPMRA GIPKSNFYVTLRPYTSGQALHAVFNTTEEDLLKQIKPPIAPLFSVSSAATLEPLVDTV LECIHTRFDERFADKEQVFDMGQWLQFFAFDVMGSITFSKRYGFLDEGRDVGGMLGTI VDFMRAAAPMTQSYLLDKFLRKNIIADTIRRHIGATPSLTILAFVARAIKEKREALES GNESKAEAMHTDYLTRYLALQRSKPSIPQWAPTAWTFSNVIAGSDSVGTTMRTLLFNL LSYPHTLSKLQSELSAAGLSRPYPRYAEVRDLPYLDACVQEAIRVHPPFALPLERVVP PEGLSVLGTWLPGGSVVGGSPYVVNRDVGLYGEDAEFWRPERWLGVDAALRRDMENSM LTFGAGRRICLGRHVAFLEIKKLIPFLVLTYNIRLVDREKFETENSWFFFQRNFNTQI RKAGGIETL CC84DRAFT_1253721 MARSSLPSYLVVASTVLPFLSLPTSAGPVITRSLGQRTFDYVIV GSGPGGSVVANRLTEIPGVSVAIIEAGTWAEETSGNLTFVPGYDGSFLLKSVSQEPSP VDWGFITTPQSGVNNQTIRYPRGKVIGGSSRLNAMAWGESSVGTFQKWADEVGDASFT YDNIRQYYKKPVHFSPPREGTRFANATPTFNAEDVGSSGTLQVTYPPYAYSWTTWLAK MLDSAGMKATASFSNGNLNGSAWHMSAVDQATGTRSCADVAYLRPILDKSTLTVFDNT LAEQIIFDNKKTATGVRVSSSKGAFTVMATKEVIVAGGVFMSPQLLQVSGVGPKKLLQ KYNIPVVADRPGVGQNMQDQIFADVVYKVDLPTSSTLGITEVDIQAWKTNGTGPLSNP GGEFGGFEKIPQDLRVGFSKATSKTLQSFPADWPEIQYLSLPTFLGDLGTTPRPTDGG NYASLMGTLMTPTSRGTVTISSSSMHDAPIIDPKWMTTKEDLEVMTAIFKRMRQIWTT PAIKKITIGDEAWPGPSIKTDEDIVAFLKQTATPMSHATSTNKMGKKNDPLAVVDSHC KVLGVKSLRVIDGSALPFLPPGEAPQSAIYMLAEKIAEGMKHGN CC84DRAFT_1253722 MGDGENFNLDEESDDEDDYDEDGINLSPNQESAQSTNTILSSTD MMPSPTGGAWFSPDGHDDELMALFSSHDAFPTIPPAANFAQEPLASNLGTLEHSLLSV KRPLHPETGQLSFINNTTRAEANGLGQIQGNSAGDPAIPLSPGGGGFFTGAKL CC84DRAFT_1076517 WRELAKEIPGRSNKDCRRRWWNSLAEGKSKGVWSEEEDEQLMQA VKKYGTDWRRVAQEVISRTPDQCSSHWSQVLDPEINHCDYTSQEDELLLHEVLSHGTN WSAISTSHVPRRTTLSLKNRY CC84DRAFT_1170156 MPVTPGGVAGNKQSMLAASQWAPEDSFHFAPGTIQTIVIAARTA EWPAALPEGGAPCMAFPSLKSVGTAVHDAFIFPWRIPAMDSALGWVAGSDSVNRLQSS IHPSWSGHTANGEGMSTRSVFIKDSAYRSQKRQKKEKKTCQYRNVRPRTGPESVKEK CC84DRAFT_1170157 MWSTSYLELLGIVAMSVIDRLFGSNIDEHPLVLGLISLKTRSNV DTIICRKVDLLDRTTSRQGGYMMFDVSKRKRNNENSFRGPSRPHPITFTAYRDKVSGI WDLVSNMLIPLLSLLVTTAACPLDGGGFYLGPKHRSNPYDRSSIASH CC84DRAFT_1075415 QSIQAAINAANSGSSIFVKPGTYYEQLTITTSGISLIGSNAVLV PPNTRTSNTCTGLAGNDVNGLPTQAGICVSGSDIQLGPYPGQEHRKVLSVGHPIKDVL IKGFTIKGFTGQNIAFVGGKNCRATKNILVDGANYGFLTVGSENTAADNNVVTSEAAP LNFIGMCMDDQANPRVASNDISKYIIALCVQTNGARVEDNKVHDSCVGAFVDPGIMGA KVVRNHITSLNQACTFGLASGIMVNGAHNTLVKENYVEGQKLDGKGAGIVVVDDVPSG SVASGNVVVMNVLRDNDVDIFFKTTGNGNVFLKNTCETIVP CC84DRAFT_1170159 MWRGQGEQASRWRGRPRFQQSGARLCKTAAGARVVAVGVSWWIR VLVTKEGEGGAVQSIKEREDKEEQLQEAETAELRKAAKLYREKIQQDKRFAREVAKEA KERERAEKAAQRGA CC84DRAFT_1210890 MADQKNRTWIPEDSKALRYSNCTSPSAWLVEFIGSMDLLSLRTV DAIFEGYDYYWRENNITKPKIDEVLNWVLNVDECDGQSMCGSHPVSQIIDYAFDHCQA DVCRGLPWQGNADQAGRGMIFAYGSQAVLVTIYLIILFISRISKLDSTTDARSTTKTG QTRTLLRRIHDSARETLRTFLDASLLFSIAMIIAAIVTANIALASVRKLETEHLSTGI ITRELPLNSTVQLSAFAALLSIFPAIALHSSASSLLRRKVYRQSVWILVGVLVVLMFV LSRMAGSEIFTFHDKNDEDMTFNGKALFENLCIDNQVPSHLRLIVLVFFISLSAFGSI YILSMIPWIQKHLEKIQDALSSMMALFATIAMWIAFGAFYYYRKQVEKNAGETNENHK WTFGQVIGLCTFAPIVVEFLFVLVERPEKALTGTMSKRFQVNSVKHSIEEEQTYTEIG FSDEVPLRVVERAK CC84DRAFT_941 MAGKMTLYKLVVLGDGGVGKTALTIQLCLNHFVETYDPTIEDSY RKQVQIDGQSCMLEVLDTAGQEEYIALRDQWIRDGEGFVLVYSISSRSSFARIQRFHN QIQRVKESSLSGSPTYPGSPLTSSSLGSTFGAAPVMLVGNKCDRVTEREVSTQEGSAL AKELGCEFVEASAKNCVNVEKAFFDVVRQLRKQRHQGSSRDSKPPRDRTHVRPGPTRT ANGEKARGRGRPGPRDKKGPKCVIL CC84DRAFT_1253724 MVSEHTQDLVYRRANAKPDDFWGTQAKNVHWHKEPETVIKKSKK TLKGGVSHDDWTWFPGGEISTTFNCVDRHVRHGKGSNIAIYWDSPVTGAKEQFTYYQL LQEVETLAGVLREEGVKQGDVVLIYMPMIPAALFAMLAIARLGAIHAVVFGGFAPAAL AQRIDASRPKAIMTASCGIEGSKGPSGYKKIIEEAMERSTFKPYKTIIWQRDELRWDP VFKENGQRNWQRLVKSARNRGLKADAVPVKSEDGLYIIYTSGTTGLPKGVVRTAGGHA VGLNFSIKYLFGVHGPGDVMFTASDIGWVVGHSYIVYAPLLIGATTVLFEGKPVGTPD ASTFWRIIEEYKVTTMFTAPTALRAIRREDGENTFFEQAYGERGGLKSLRALFLAGER SEPSIVEMYQKLLKNHCAEGAVVVDNWWSSESGSPISGVALSATAGEDFATQQRERPL PIKPGSAGKAMPGFDVQVVDDEGHPVERGQMGNIVMSIPLAPTAFTTLWGDEDRFYKS YLKRFNGRWVDTGDAGMIDNDGYISIMSRSDDILNVAAHRFSTGAIEQAITSHPNIAE AAVVGIPDQLKGHLPFAFITLSTHQHPDAAVPDEELSKEVQKLVRDQIGAIASLGGMI QGRAMIPKTRSGKTLRRVLRELIENATHNQLDKEVAVPSTIEDKDAVEVARLKVREYF RLKGKDLHKPAEARAKL CC84DRAFT_1077557 MALTTPPRALFFDVFGTCVDWRSTVTQALNAQSHAALNSATASL ASRVRLKASDMTLAHWGDFAQQWRNSYKTFTRKLAADPAVPWKSVDEHHFESLKELLT QWELDGLWSDEEVRALSLVWHRLDPWSDSAQGITLLNRLFWTATLSNGNVSLLSDLKV HSKIPFTHLLSAELFGTYKPSPAVYRGAAGKLGLPIKECVMVAAHLNDLQAAKSHGMQ VVYVERPGEEDWSDDEVDKARDAGWVDIWVSLAEGNRGFVTVAEKLGVEPPAGYARKL SSSA CC84DRAFT_1158798 MTGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISAMIYEETRGVLKTFLESVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G CC84DRAFT_1253727 MCLPAHRTVAVASALQPPSRPVQVSQSLGSPPSRKSTGGKAPRK QLASKAARKSAPSTGGVKKPHRYKPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQ DFKSDLRFQSSAIGALQESVEAYLVSLFEDTNLCAIHAKRVTIQSKDIQLARRLRGER G CC84DRAFT_1132812 MSWQTELQSWMSPTSLQTANAPDVGENAPSSSRLTLPAEDGKPV VVTFLRHCGCPFAEKTFLNMRSAASQHKDTIHFVAVSHSDRASTDHWLASLPQSSEDG DVAVIVDDERDVYAQWGLGVSSFWHVLNPWSLLSVYRLGRQGIYNRPTESGTRWQTSG SFAVDGNGKVTWSKPSASADELPDFEDALKSLTM CC84DRAFT_1253728 MDNHVAAAIAQAANSDYGSDVSLAALSDYGSDIDLSDIQEDTAL GSLLVKLTASAPDEIAYPTIEGDAQDVVVATHTRHQSLVHREERAVQSSPVVASGLSM EFEYDAPSRRTFSVPRVSPRSQMQPTPELSLSADQSRTPLERFRTKPKKPLSVTDLVS PAWCELQYSYTLSKFGRKPRTEAMRTGSKIHQDLEDEVHITVPVETVSKEDRFGLKIW NAIQGLRCLRETGLTRELEIWGVVEGQVVNGVIDELSFTRPDPDTERNLVELSNAKQS SGTLPQGQPSIEQAFSNAGGGKLDPPGVSMSAWRGAKGKDRQVYIADVKTRGARSLPK GASLRPTWMQLMLYRKLLESLSLNTVDAETIFSRYGLAPLESFSEAFTLSGGVLDSTG PDIDPENPYSVLQANALQSHPNLSSLWSLMISEYQYIMPAISDILRAEFRSATTGEVI GNELIKYSADTIDAYVKSEMDWWKGDREAKGVEIEEAFKCRTCDFAEECTWRKTKIEE ATEKSRLRKGARMKSAG CC84DRAFT_1191846 MGMLALLRAPSTAPREGTGGLLLLLSPLPPRCSGESTSSRRNCW LFMAVEGLTRKSRTYFRTNSFSEPHRWVFRHDGWYYMTYTTNDDVTLLRSQVLTDWNN VESKVLFTPPPGKNYSTDLWAPELHQLNGTWYVIFTADPRSDSPSPEVDMYCPYNCPA VHHRMYVLEGHGSDPWSANFAYKTQLDTYDQFAIDGTYFQHTSGLYHIYSCWTTQYAA WPANLCITKLENPWTVASPFSERQIISVPSLPWEKTPYGRAENDRLSSNEGPQQLTNL RTGQQFLIYSAARSDNRNYCLGLLELTLGGDPMNPSDWHKHQYPVFYQNPAESAFGVG HASFVLSPDGTEDWIVYHGMQDPTNGWSARTIRAQKFTWNDDGTPNFPKPGYGPYETP SGQ CC84DRAFT_2029 MQGQTLQRRSLERIADEDDEAAQRIKNLEEAMKLGQIDRDMFEK LVQDITGGDIAATHLVKGLDRKLLERVRKGEDVFEQPAPEEEVPEQAADVEDEFDELA ERDIGSIVREKGEKKGEVAPPKPVAGVKRTRADILAELRRQREVAAAAAATEYEKRYP SLGKGFRKVSEPGETSRIEIDKQGREILIITDAQGKEKRKVRKQKAQEPLPEVRHDLD DENKPINTHHLPPAKEEQEGSEEDDIFEGVGSNYNPLAALDSEVDSSEEEGEAQDTAA LFENEKTIPKEQDANDDDPDRHSTKPSVSACDPAPKRNYFASSKILTSSESIQPSESS TADATVRAALQKVRTLDPNSSLINDADSEEFRLKARLAKLSASDRDMEDMDMGFGASR FDDAEDMEREGEKVKFSEWKGIGGAEDEDGEDGPRSGKKRKRGPKKKKGDKNSATDVL MAMEKQKEKKTLG CC84DRAFT_1158800 MDSLVAQYTRPAFTEEGPTHEEQQELCQAAPELSLKFALPPVAQ SAAWLRAMTDDYSNPSCPIKIAHGTTTLAFRFKGGIIVATDSRATAGNWIASQTVKKV IEINNCLLGTMAGGAADCQYWLAYLGMQCRLHELRHKRRISVAAASKILANLVYSYKG MGLSMGTMCAGVTPQEGPALYYIDSDGTRLAGNLFCVGSGQTFAYGVLDANYKYDLSD EDALELGRRSILAATHRDAYSGGFINLYHVKEDGWVKHGFNDTNPIFWETKLNKGEFS NVTADLV CC84DRAFT_1132824 MRGLSFKPQPGQAPPRSATPPRPVWEPLSPVLHPQQAPPAHPAA SVARPTASGNGLASPSPHAPHHNAALDAQLPRDAAAEAYGPRAAPLPPPRDAPYSPAL GSPIEALADAAIKSLNDGPVFVEPTRRSAQLPSTSPNTFAHPPGLRAPKHSYALDGSY PHTERPAKRARSDYFTSPQHGQHHYSRPATSHIPGWSYNVEQMMDSGHRMYQETARPV QDPDESSMKRLSDAQLLMDFAAAASSSTPRRDSTAKRWSIPHQETSSPYARQPHGEPS NTYVGASLLSPRAHHHISPSEFKYTSIAPPAPVEAVPDEVSTQTETPPDEGMTAAAEF PVMSNAAVDAGTKTKKHQGWPKGKPRGTRTGASGTKKKRSTPKPKPVSAAAHEPAKQL QSPQSLPAERDELLDGASTSALAPFPGSDEPSSQARRYSFSDLSSTAVPDNPTSDISR ALSVPLETTALSAPSFARPPPKNNTAEPVTICSGCGSSDSETMIGDGEQWIRCDGCKG WHHYVCAGFQSEREVRDVDKFYCERCQPKFGKTTKVRKSTRAHTAVDYAGLNEGILKT SDDNPEHHYIAAFKNGDIEFTPETFARLPPELVSADFLEKTNGFKEPILIPAALNPRP RYPGRGHPEHNKSTTTTDAAADSRYALEVSLDDGQDNLDMVIPEGLTVRRVSELYGPQ ERVPVIDVKAQEGEDKRWTMAKWADYYEQQGEKPVRNVISLEVSRSRLGRLIRRPKAV RDMDLQDAVWRDDDKKAPPAVQFYCLMSVADCYTDFHIDFGGSSVYYHIVKGRKVFFF IPPTKQNLKKYEDWCLSPKQGHEWLGHQVKECYRVDLYPGDTMLIPSGWIHAVWTPED SLVIGGNFLTRIHYGMQIKVLEIEKNTKVAPKFRYPFFQKLMWLSLIRYLEEDPLPAQ IEHLLLGGGQFHRNVPTYCETDKFGHNSHLGPENYHRRYYSKAELEGLTDLANYVWRS VLISLGRIEGITEKVQKSVTSSIPKGFGDPLVLAKRFAMWIAWKRGNECIPQWAQSDA VLPDAQEAEKKLSAAQFKRMEKQAMGEGLRASSEKQYSRARATETPFTNGMEHRSPGL VTPPPPPPPMMTGFLQKPDPSRPQTTPKTSQLGPKRIACDACRKRRIRCKHKDEIFDP TKPAGMAGGMNTIDLSGSLGLSVKRRYSDFGASHGQQSSSADKSMSNGGPLLADANGD PYALKSGRVKACADCRKSKRRCIHDEYGNVDPIKANEVPIPRGAAAKKRRVSDEDPTV GQRKLKKEATADSASLYTSLHHRNSSSGEFVLGGHAELQDLAFSAQQALSSHGFDDDA MPIDPALQSYNHGNDEYSADLTHTPHQDAVMFSVEDLPNGAVERGGSSMDAQSGPVLD PVSPGTVPNGFHVQSNGIAEDHPSLHANRTSIQFSPLTPNAQRSGWPCPSSRKPSQTP HRSHRQSNTPKTPSGRRRDSKESIKLEPRSEPKVRATSSAVIDSEEDMASLALALQLQ MEEHGLRRRSMV CC84DRAFT_1079292 MSRGGKLAPEVNRALFVKNLSFNVTPEDLFDLFGKFGPVRQIRQ GIANNTKGTAFVVYEDVMDAKSACDKLNGFNFQNRYLVVLYHQPEKMIKAANDLAERQ ENLEKLKKQHGID CC84DRAFT_1158804 MASEAVKSGEKLDKASLSPYGEARATVNDNPLSEDEVSRLTEYF HATLYLCLGMIYLKQNPLLREPLTVDHLKRRLLGHWGSDAGQSFTYIHMNRLIRKYDL NAIFISGPGHGAPAVLSNSYLEGTYSEVYPDKSEDIDGMRRFFKQFSFPGGIGSHATP ETPGSLHEGGELGYSISHAFGTVFDHPDLITLTMVGDGESETGPLATSWHSTKFLNPI TDGAVIPVLHLNGYKINNPTVLSRISHRELEALFVGYGWTPYFVEGSDLPTMHQAMAT TLEKCVTEIKSYQRKARDSGKAFRPLWPMIVLRTPKGWTGPRKLGDHFLEGFWRAHQV PITDVLKNKASLQKLEEWMRSYQPEKLFGEDGKIRPDLKELAPTGTRRMSANPIANGG VLRRKLNLPDWREYCIEVEMHATSRFGSMSNFAKYLRDVVVKNQDQFRVFGPDETESN KLSAIYEGGKKVWMGDYFEEDEDGGNLAFGGRVMEMLSEHTVEGWLEGYVLSGRHGLL NSYEPFIHIIDSMVNQHCKWLEKCLEVDWRGKVPSLNILLTATVWRQDHNGFTHQDPG FLDVVANKSPEVVRIYLPPDANCLLSTMDHCFRSSNYVNVIVADKQEHLQFCTKEQAI AHCSKGVGIWPWASNDQDDEPDLVMASCGDVVTAEALAATALLREHLPQLKIRFVNVV DLFKLISPDEHPHGLKDHEFKAIFTDDKPIIFNFHSYPGIIHRMTYRRKGQHNLHVRG YREKGNIDTPLELAIRNGIDRFSLAIDAIDHLPGLGNKGGVAREMLLDKRVKAENYAF HEGIDPEDLESWTWPQTLNDKDSEV CC84DRAFT_1132831 MPTGSRRTPSRKGSMADVPKDLLDQINKLEDLFIVDGQKLKEVT NQFVSELERGLGENDATIPMNPTWCMAFPDGNETGTFLALDMGGTNMRVCEVILTEEK GEFDIIQSKYRMPEELKTGTADELWGYVADCLQQFIEYHHGDDQIEQLPLGFTFSYPA TQEYIDHGILQRWTKGFDIDGVEGKDVVPPFEAALAERGLPIKLTALVNDTTGTMIAS AYTDPLMRIGCIFGTGCNAAYMEDCGSIPKIAHMNLDPSLPMAINCEYGAFDNEHKVL PRTPYDIIIDKESPRPGQQAFEKMIAGLYLGEIFRLVLLDLHQNHECDFFAGQDISKL KKAYSLDAGFLAAIEEDPFENLSETHDLMQNKLSISCTKPELELLRRLAELIGTRAAR LSACGVAAICKHKGWETCHVGADGSVFNKYPHFKIRGAQALKEILDWPENKGKGKGDP IEILPAEDGSGVGAALIAALTLKRVQQGQNAGIRDPEAMKRAAELASKAKPFK CC84DRAFT_1132835 MNTMSAPQASAGATFEDLSGVSTATFSNPYDALIKASKNDPVQM QALYNTHRSTRNSQQKAKMLDKDFLGPNIDPILLRLSDPTIEPGFVDPRHCLVFWGRP SECIKNLIERVQQELLAAAPNLWIMPRENLHITALEVTHSKKQEEIQLIVDSVRDKIP SITDFTFDHRARLIKPMIGFDASALALSFVPATGEPSELARTRRDDAYTYHHLRRDLY SVCQDIGVAVDSRYVVPSSHLTIGRFINSSDFADDKGAYNPQKMEAFIKKIEEINEWL EKEFWPEHNEGKVPAGGDWLVGQEKGLNCRMGTLWYGGGSSIHAEPIYLMVNISQFIS SLQGDSPQVLYESAILMAQVKEFCTLLFQNTYIMFNRERLPGLPGSSPRPPQRNDGYG RPPQQPPPPQAPPQRSPGYDARASGYDQPPPPGYPVQGGQQRMPARESQSGRALQLRP IKSPGGNAYAFGNLVAVSPQDFPPSRDGSDVYIKVNRNYVLSARPTEGCRPGEIGLTD AQRTWAGISLGPQDVVTVEHYDAFSQGGQSYLGNLEVEVGFATRKTTEAPYDQEELGA IFKKNFENQVLAPGQQLLVDVKSIVLRMSIRTVQLVDLSMEKSESASGATLTDPGARG ILTRHTQIDFFKDARTDIKIKASSRRPAANSIIQPGFKFEDMGIGGLDTEFSAIFRRA FASRIFPPGLVERLGIQHVRGILLYGPPGTGKTLIARQIGKMLNAREPKVINGPEVLN KYVGQSEENIRKMFADAEKEYKEKGDESGLHIIIFDELDAVCKQRGSSSGGTGVGDSV VNQLLSKLDGVDQLNNILLIGMTNRMDMIDEALLRPGRLEVHMEISLPDEAGRAQILK IHTTKMRNNNVIEGDVNVEELAKLTKNFSGAEINGLVKAASSYAFSRHIKVGTMASIN PDVENMKVNREDFLHALEEVKPLFGAAEEELGQRVRGGIIHFSPFINDILEEARLFIN QVRKGSTPVLSVALHGPPGSGKTALAAKMAMDSEFPFIKLVSPEDMVGFSEMQKVQQL DKIFRDAYKSTLSIIVIDNIELLVDWVPIGPRFSNSVLVALKVLLAKPPPKDRRLLIF ATTTERSVLTQLDLFSRFDADIAVPNVNSQPELAQVLQQSGAFSDRDQQRAIGEIQEI TGSSDVGVGIKKILTAIETAKEDQDVPGRFARVMSSAISANRSSFT CC84DRAFT_1224024 MSSTHAYYPSYPSGPMPINMPQKGGYYYPHPQHVYGRVSASPPE APESVTTSGGPSYEPSATSSSYAGSASDYDSSGTTTGVDLMDYMGDRINGSFNPTPLD RSLARQAQTSGELNAKHRELMELQALAQRRLAGARVNFADGMRAAKDVQKDLQWTQKR VDALNNRAARKYPEQYKAAQHRYPAPVDY CC84DRAFT_1224026 MLACISVTPDIMSYRKPEADGQRNDCQSEADHGSDGDARLSPYW FDCSYRDFVGTASGLAWAHQSSFTARMPGSCSRLPVWTLLPETCLDSRNSSTLYLFQK TRQICATGKPGCKRAPTDYTYADVAEWLICCRAMIWSTNPNLPRAASAAVGSHINETT LTSLCMPRKYIAFLQLESQQITGSITLTRHAKGPGATRAP CC84DRAFT_2369 MEDMEEDDIAAAMGFSSFGGTKKRKYDQTNSPKARADASGANTT LLGVRSKVKLDPSQEASDNGADPEASSYGIESQQALAKNKTKEKQEQPAATGLAAFLS RGQDIPGRPATEENRVVVPPHDDDSSASFTVSFGGPPIPQAELAALRNGVVDENGDKA YFLPSFVEDPWEKLPSGSK CC84DRAFT_1191858 MSQSPQRPAEPRPRASMRAATMIQASTGERIKKTFTLRRQRARS HGSTEFLLAPGQSGPDRHRDMGRMERFLWRCKGKVQGAYRFITSPTGINILKCSLAYI LGSLATFVPLISGLLGRNDGKHMVATVTVYFHPARSVGSMIEAILLAFAAFLYAAFIS FTSMAVNVFFGRHHLLVVGDIIVLLVFCGGGLGLVGWTKQKLGNPLVNVACSLTSLAL ITVLTKEGAVQAAKFSYIKVWQVLKMVIMGTVASSAVALLIRPSSARNEFRDTFIKAT DAMGEMLTHITRSFLSGSEADLKTPEFLRASDQSKSTYKMLVKNLGEAKYEHYALGTE EEYKIASRLVKCLEELMQSIGGLRSAAETQFTLLAQTNTPNGGTRVAMTNLSTASSAI SLIESGSSPVLSPSLSHTDRRSSILASIDELPESSADVTDDESDQPNRNSIRVPQGIQ SNLTPADMFTVFIAHLGPPMKSLAYTLRDVLDELPFGPGPEYEMAINEHFRHSLIDAT ALFLNAREGALAAVYQNKITTKTGSLEVAADFEEVAASCGYFTSSLQDFAEDMVTFLD VLEQLKDNAKRYPRKRSWTWLKFWRRWAWFRSSKLNEDAGLLDDRGVQWQIRRPIYRK STRGDPDKGIDEQPLTYRVWLRLSVFRQDDIRYAFKVGIGAVLYAMWAFIPATRDFYG HWRGEWGLLSYMLVCSMTIGASNTTGFHRFFGTCLGAAYAIVAWIMAHENPFVLGFFG WLVSLQCFYIIVGKGKGPMGRFIMLTYNLSALYAYSLSVRDEEDDDDEGGISPEIWEI VLHRVVAVMTGCIWGIIVTRLIWPISARKKIKKGTSLLWLKMGLIWQRGPLRVLYESQ TSDSHSGVSYMTSREDIDLRRFLSTLEALQSSASSEFELKGPFPARTFRGILQATSRM LDSFHAMNVIVLKNLKLTEGEKEILKYTKTEWTELSWRISHLFSVMASSMKLEYPLNA VLPKVERIRDRLLARVFEFRRSGLGNELAKDEDYELLYTYALITGQLGRDLAIISREI EKLYGVLHEEDLRLQVNLRTQKRLAASVVGCGKRKIWLDPNEVSEISNANSRQTIRKL VEDGLIIRKPVTMHSRARARELTAARRIGRHRGFGKRKGTKDARMPSQVLWMRRLRVL RRLLVKYRAAGKIDKHLYHELYHLSKGNTFKHKRALVEHIHKAKAEKARDRALKEEMD AKRAKTKAARERRQERVQQKRQALVGGDDE CC84DRAFT_2416 MSDKDKMAKYRTEIQQMMFVSGETGEPSPETTTLIESIVQDQVQ HMLRECTTLATRRGSKSIGTDDLFMLIRHDRAKISRLRHFLQWKDVRRSVKDSDDKGG DAGADVAAGDADLAAGVVGAGPAAPGDAGKKAKRAKVDLVWEVQSFFAENPPLMDDVE DEEEEEMNYATLQRLKNADERTKNMTREEYVHWSDCRQASFTYRKGKRFKEWAGFGLI TDSKPSDDIIDILGFLTFEIVQTLTEEALRVKEAEDLYKKTSGGGAEANRLKRKRERG LFDPPEEAREPVQPGHVQEAYRRLQRGNMKARAMLNFTKSVHRAALKLI CC84DRAFT_1132844 MLYLVGLGLADERDITVKGLEIVKKAARVYLEAYTAVLLVDKDV LEAFYGRQVIVADREMVESSSDDILRDADKVDVAFLVVGDPFGATTHTDLVLRARELS IPTRSIPNASILTSIGTTGLQLYNFGQTVSMVFFLDNWKPASFYDRIRENVSVGLHTL VLLDIKVKEQSLENMARGRKIYEPPRYMTVAQCAQQMLEIEEDVKKEGVYSRESLAVG VARVGAEDEKIVAGTLGELCDADLGRPLHSLVLLGRRTHDLERDFLVEFAVDKETFAR VWKSDYEGKQ CC84DRAFT_1253742 MFPASDHDAIQKYMLLSSQQEVLNRRLSLHVPCGAPVTTASPAS QSLASSPRTSFSSTWSPEPEFTSNPIPVQQSSAGHGHGVANPEDAHKLFQVNKDIKAT LTELLNTESVRSDGKYRQWIQERLMDAEQQIRRQRRRRSSGSTSDREFASSIAEHLDM DMTLSKTWT CC84DRAFT_2488 MIPQPRYCQVAHLTLPHCALVTRAVLMREVRYRPRRHCGRPRRG SQKASSLSKLDARVPCAEDVSNPIVSISFACFATVKTVSQWIHVFQFVAYGSVPRVID SILYQVHENGVEVIRLRDLARLLPVVILTITRVWCPGREGTGHLGDVFSR CC84DRAFT_2494 MQTNRKRAPSKTPRTGGAGSMKQSQQLPKNWPTHIPYLRLPSYS KVLTYEAMSVLVLAKADLPSGEEARQVVAPYSNVKITPIGDERHPAHGQYGLFASQSL QPGAFILSYLGYVHDHSETDDNSDYDLSLDRDHGLSVDASRSGNEARFINDYRGVSSA PNAEFKDTYIDLGNGKIEKRVGIFVLRVGKSGKNSRGIPKGQEILVSYGKGFWNERTA TQEM CC84DRAFT_2788 MLQRMSARFHGDGSRTPTPQCNHREAESLTVVNHGRIQSCSSDH QRPSSRRTPGLDKPRDLQRRNRLQESSESTGNSEHAEARDEGSNGEGLHFDCGLGIIT RRY CC84DRAFT_6174 MSVQKVALITASSAGLGAQVARVLAPDFRIVINYATNASRASEL VDELSTIPSTHSNPSTPRFHILQADVSSRSSVEALVKDAVKTMGRLDVVVSNAGWTRM TNFLNIDEAVVDEDWDLCFTMNIKTHLWLAYAAKDALAESEGTFITTASVAGVKPSGS SLAYSVTKAGQIHLAKGLAVILAPKIRVNSVSPGMMLTEWGLKFPAAKREKAVENTKL KRLATVEDVADQIRTLALSRSMTGQNLCIDGGSSI CC84DRAFT_1132852 MANTALDSENKVPLLLLKTKSAPTDAYEEYFTSLDDGKYEPVFV PVLEHRFKQETVSQVRQHIENGDFASTHPGSRPKFGAMVFTSQRAVEAFARIVEDMKK SKESHNVEYWLPSHMPLYVVGPATARGLNSVDLHCPVVGEGTGNGQALAEFIIDHYNK LYKGNDKPHILFLVGEKRRDVIPKTLQSPILPPDQRIVVQELEIYETAEMQSFKSNFT SIWQGHAGSGKTLQWVVVFSPTGCQAMLESLGLLDSETGRASTSSASRRDILIVTIGP TTRDYLIEEFGFIPEVCAPKPSAEGIAEGIESFLSPRNDSSPRKQDPVAGSKRKADSN SPKGSRKAAKQTTIEESLAGANTEDPPKNPNEDAEMKDGPATDDYKNKDEEQAKTELK TIKEDAKMEETRAVDDDKSKGDKQVETEAVTKEVDTKTEDTNVKNGGGDVESTEIKNE GPVQESSQREKNIASNILEKGIVYFFTRNRVGIEDSESIGDLARTYFVLRPLPIGTKL GEGALPDLENNRLLALPKKVFPKSHSDRFMAFVEKANTTIQDLKDSFFKGDEYETRTQ GTRRVEPVATVAEGVYFITRTEDRSTHLVYAITIPSKLGEVQEDLGLREQGSFVISVK NPQRPGPANSNLPQGPDFPKEFFEEFRGLAWSEVKPKYLDYPNTQILLIGEHTDNAVQ ATTKDQKHDKDTPKEELEKLEHEDELRVEHLHGDDSIFDDLNISRKEYSQVATTW CC84DRAFT_1170186 MADTTAAPAAPKTEASEGKQQFVRPEKPDETVYKDHLYKLEKAH SDAQAKFNAAKAKLDNARPNNKDSPNAVRQQELKAELTKIRQTQQGQKSSRTAILDKV KRLDEQLKGRIGELKTAKGKVNFKSVEDVDREIARLQKEVDTGRMKIVDEKKALNEIT NLNKARKNFSGFDSQQKAIDDLKAQIAEQKKLLDDPEQKALSSRYTELQDELDKLKAE QDGAFKNMKVLMEARDKAREDQQNKFQAIKEHKDQYYQQKRAFAEYDFQARKARQERK RQEQAEYQANKRKEVAAKRLEEASAPAYQDEILASEGLIRYFDPSALPAKETATPSKF AASAQRTVDDSGLKGTRLSKKGDDEEAYFIGSGGKKNKKGRKAAAPTSEKFNLNIGVL DELAKVGVNPPSSQADVPATVEKLKEKLEKWKSEQDAKTKEPMPKLASVLAQSTSLLY IPRYCKRKRTNIAKAQKEIDRLEAEETEGVTDTARKPSEKNQAVNGGPVSAAAELEQE KAAEADVAEELEKAKIEDSEAPES CC84DRAFT_6208 MSSYSNANTGSKEADPYTAQNKQEPSLKEKVDDLFTFIDRTKIC LLTAQTAQSDLLTSRAMALAAKEGNGFDLLFHTNTESGKTDDLKTHPSVNIGFINNSG EWASISGHASIEVDRNVIRKHYSPALKAWLGDLGDGKHDGGPEDPRIGIIRVKASTVT YAVSNSTTLGSFVELAKGVATGQPPNVNKLRELTEAEISQWKGQ CC84DRAFT_1182274 MSLLDAHLEQISLCARSIAELPFPPPKIFTNALLQSHDITSLIR DTESHERALFSVPPAATAPKAQESVGSSNRRNTIFSATGATAGIAGGGANAMRAPKRN TAVAAVLGTELVERIRRGGGGGAGSGLGYRAYDGGNKNDIDVDTLLEGAEKLLGVYPI PGAHDRIASLRQRHGRLEASIEYYESRIAEQAAQLSKLNRSREYVEHEPEEVENVAHV MTEEDLRREEEEVRQLERRKRGLEDHVNSMGRDISGFLR CC84DRAFT_1132864 MAESMEGVVTEVEQPNTENVEDKTVSEIRSNFALLERAVAQFDS RFTLRALRSISSLRKRLTDRVLCTVIVVTYSAKNPTARLLIQAVGMEAEDLKAVADQH KDAVQALKNSTKEPLPEVDVYIGILLQVYLYDQQDYEEGAEFSTQLVDRIRELNRRTL DSLAAKAYFYFSLFHEELDPKPPSKQSQVITIRGKLLAALRSAVLRKDLDTQASVTTL LLRNYLSTADITQADLLVAQTQFPENAPNNQVARYLYYLGRIRAIQLSYTDAHEHLIS ATRKAPTSSVAAGFYQSSMKLLIVVELLMGDIPERELFSQPRLERTLEPYFRLVQAVR AGDLPSFLKIVQNSAKAFHRDGTYTLILRLRQNVIKTGIRVLSLSYSRISLRDICLRL GLESEESAEYIVAKAIRDGVIEASIDHEKGFMQTKQAGDIYATREPGEAFHERIRACL TLHDECVKAMRYPMNQHRLELKNAQEARERERELAKEIQEGDLDDDDAAGDFDGL CC84DRAFT_1158820 MIDVPRRLRRAILLNDLDLVKRIVRNNPVYLQNPDFDDKSNTSL HLAAKHGFTAIAEFLVEAGHEAELVSRNNELETPLMLAAMAGKEEVSVMLAKRFPECI AWQNRAGLDALMLVCKSGTGTLHLIPTLLLHAPQILTSYDHVGNTALHHASAAGELKA LRMLLQYGANPLASNAYSWTPVHYSATPAAEAYFKTLIIEFEKKKAEGKRLEREREKQ RSAGVRLVTDQEAVGGAVGGGERQRARDDAAIAGLPMPGLEWSPVERRRAMTPTEGVR AGPWPFTQAESGRPRAESGESA CC84DRAFT_6237 MDPDTLEPMKIGAPSGLHYPITITELVKAQGDDVARSQPLFHYS FESLREETNRWGETKEVAQKFFASFEAPVDGQIEAWHIKKDQVVSRPGTLLLDITEPC THEEQFGGLCTMCGKDMTEVDYLTEKLNSSRATVNMTHDNTALLVSHKEARKAEEASK TRLLEAKKLTLIVDLDQTVIHTTCERTIAEWKEDPTNPNYEFVKDVEGFQLADDNVAH VAANWYYVKKRPGLKDFFDNMSQLYEMHIYTMATRAYAQAVAKIVDPERKYFGDRILS RDENGTDKAKSLHRLFPNNPGMVVIIDDRADVWSYSPYLVRVPVFNFFPGAGDINASF LPKQLEVPAPAPAPREKIANNVESDTEGISFSVAGKPLPPLSVKPDSGDVANGIENEL EERMMAMGAAESQEVLEERVREQEKLIVAQQTERPLLQQQLTLEKEDDEEEAARSETP ENGETKPVEHHKHRAILNNNDDGLEVVEKNLRRVYEAFYDEYQNSIVKPRGTRVAELK GEKSPRKPRLEGIVPDIAYIMPRIKSETLATCRIVFSGIIPLGMDVETSDFALWVKSF GAQISLNVDKRTTHVIANPDRKTSKVKKAARILHDGGNIQIVTIQWMIACCTRWEHVE EEPYYINVDDERNSPALAEDLEDDESINGTDDDDAKSPISIFDMPENDWEALEKEIAE LDDISDAESEGSRASDSESVHSTNSTNSDTNGSKAARQKRKRDTESDAESEAGDSDSS VNSTSRLQKRKKRTMERVTSLTNVVNADKESSGLPSPETTGPEEDQGDEFDPQGGGAD LAEDNDQDLEDDMLAQFNAEFEDD CC84DRAFT_6235 MAAEDRYKERPARKLTKKRKESRRVSLDIPERFRDAGDADEDVA APKHSNAVSMHQSIFSMIARAGHQSQTDLGLPRDVDSGESDDEARRNINYGSLDGAAR MSRLSSVHDFHSPLEEVEEGKPLKSKHRKALSEHKLLRSLPKLKISGRKESKSEAHAT DTMSSSQFLPSRQSEDELPLSSRDESLVDMKPKPVSGQDLRIAKRRGSDRKSRYGGTA SGSKSKTTAPLANRLQQIFEFEDLEEVISEYPCWLLQSILLQGYMYITQKHICFYAYI PKKHHDVSKTGYLSKRGRSKYNRYWFVLRGDVLAYYTNPTELYFPRNRINLQYAISAE VLESKDKHKDETTFVVTTDEKTFQFKADSAVSAKEWVRSIQKVIFRTHNEGNSVKISL PIQNVLEIEESTILDFAETVKIRVIDNDETYAIDEYFFSFFSQGQDALNVLRIMINDN EHHQTMQQQGDPSAATPTIVNLPSSRNAGPAQDSPGHAPYIEENVRATLSPLPGPVVG RSSMSADSRSSLDVQKSLDVRRSMDASRSFRRPSHEVRRSFSGHRYDKQSKGRSGDKS PLSPRPQDTSDSATNSVDPGTESSAHVQSMDESNASASQILGRSDVFRAPTVHSGQAT TSERSDELGRHSQDTTRSSGGRNFHPKASRQSKESGGLGARQSARYKHDLENDHDQTT ARLSTSSTTALQEIASYPLQKAAGLAGFLRTRSKKMGNLLSTESMGYYEKVSGMLAGG RKHYNVADGLQPNDRVHDPEEDEDAAKAAHHFQEHFALPDEQLQSSYFAYLQRILPNY GKIYISGRSFCFRSLVPTVKTKIILPMRDIETINKEKGFRMGYHGLVVVIRGHEELFF EFAKAEHRDECAISILRILESTKYIEEDQSSDDADSEDEAAKAEHELLQAARDTAEGD VNLPTTPAAELDRIPLIFDDPLASIVDFKPAEPLKIVCLTIGSRGDVQPYISLCKELI KEGHEPIIATHGMFESWVKEHGIGFAPVAGDPAELMRICVQHGMFSYGFLKETNSKFR GWLDELCDTAWKACQGANVLIESPSAMVGIHIAEALGIPYFRAFTMPWTRTRAYPHAF AVGPNKMGGAWNSMTYLTIDKVFWVAIAGQMNSWRREQLKLRNTSQARMQANQRPFLY NFSPHVVPPPCDWPDWIRVTGYWFLDEVDFKPPEDLSQFIAKARADKKRLVYIGFGSI VIQDPAALTRTIIEAVQLADVRCVLSKGWSDKWQGDKESTKDASEVEIPLPPEIFKIE SAPHDWLFKQMDAVVHHGGSGTTGASLRAGKPTIIKPFFGDQYFFATRVEDLGVGMYL KRINTKMLGKALWEATNSERMKVKARILGNKIRKENGAQLAVQTIYRELDRAKALSCR QPERAEDEEHYGDMDADWTLVDDEGDVQGMDLPLEAISGMREQAMDDSETGPSTLAVG AVLSQQRKAD CC84DRAFT_1210930 MKLKKVPLSEQLQHASFQEQAKALGQKYMGIKTESHMEQVFKAP YVADGTHPVPITNFLNAQYFSEVSLGTPPQDFKVILDTGSSNLWVPSSECSSIACYLH TKYDSSASSTYKKNGSSFEIRYGSGSLSGFVSQDTFQIGDLKVKNQDFAEATSEPGLA FAFGRFDGILGLGYDTISVNKIVPPFYNMLDQGLLDEPVFAFYLGDANGGADSEAIFG GVNEDHYTGKLTKLPLRRKAYWEVDLDAITFGDETAEMDNTGVILDTGTSLIALPSTI AELLNKEIGAKKGFNGQYTVECDKVDSLPDLTFTLTGHNFTIGAHDYVLEVQGSCISA FMGMDFPEPVGPLAILGDAFLRRWYSVYDLGNSAVGLAKAK CC84DRAFT_1210931 MRQREHTGPQLPKELRDQFGGGAPTRKRGGHVYNGPVNRKDRRK AERDAKKSHKQQKPNRSSSHNALARARVQREAEPQDEEEEDAVDWSDEDEPAQSPART TQAPAKGILKKSQAAPILVAEASPPPAAKVPRIVKDRLAQDDAEIAALEKKLGLKKRK KKSKGGDDDDALDDIFGDLGDFGSDADDLRLNPTKRKRHQDEEWLAIKRRKALGGSVE TAQDEESDLDGLDSDAVDSEGESAIAEDEEGPEDVDDSEDDAADRNGSDFGDLDSEEE EEEEEVEPPPRVRENPYVAPVPAGAVPAKYIPPALRAPPSSDSEALARLRRQTQGLFN RLSDANMMSIVKDIEKLYQNNPRGYVTTTLIDLLIGMLADPTMLIDTFLILHAGFIAA IYKIVGPDFGAQMLERIVLEFDRHYQPNKDGIGKHTTNLTSVLAELYCFQVVGCKLIF DYIKLFLDELSEINTELLLRIVRVSGSQLRQDDPSSLKDIVILLQKSVARVGEKNLPV RTKFMIETINDLKNNRMKTGVAASAVVREHTISMKKQLGTLNTRNLKGSEPLRIGLAD IRDTDKKGKWWLVGASWRSNMAGEPQEKMNDKEDEEEHVEVADTGDDGEIDLLQLAKE QRMNTDVRRAIFVTVMSSSDYKDAHIRLLKLRLKKAEEVEIPRVILHCAGCEDLYNPY YTALARKFCTDHKSRKTFQFALWDIFRKLGEKKHDDDASDDEDDLESDMSLRRVVTLG KLYGTLIANGDLSIVGLKTLNFPYLKPKTKTMVEVMLVTIIVESQKSHKDKRSEKALL NVFINLDAVPEMITGVQYFVKKVVSKTEITANSKEKDTVKWACKVIMGLLERLMASTT LDED CC84DRAFT_1078518 MGREHLTNDEFFAQLNNLFEYNRNKGHGSVYLSQKRLTFDTESQ PQTPTKVADDPLWDTHPKNPLPILVRAHNNKSSKLHGKSNGTDRKAIDKIVISTVVQP EKLDAFFVQYVEACKAGMSGLKKRDRRGKKDKKKKRKGAAETKG CC84DRAFT_1106596 MSEPWNYTYTSPLEGYRGLESLPNEKAADGKSFVNPPAEKRSEA YTRFIAPITNDIRGGFDIHIYFLQTDAAETKFANELWERIRREFPELRIYRVWNMPIG PHPLAMFEVNLFTPEQFGAFVPWLVINRGPLSALIHPNTGDELRDHTQRATWMGQPLP LYVGGMKKKQS CC84DRAFT_6906 MAESTYYIDEDVGQDIDTAGAESTPFKSLGFGVLTHGESHKFLT RKSVTGEVPEGSDASARLEWKPAAKAAMKKAVNFAKAQKKKAEKAGELEAQQAKLLAD RTKVLDEAKKITLEEDKSLPAPLRIKLDETDPQKVTLGDGKEKKGTRVRIFGRVHRER RQKENMFITLRDGYGFMQVILQGQLAKTYDALTLTRETSMEILGELREVPEGAHAPNG RELHADYYKIHPGWQAAGGDDAITNRVSKDTEHATLLDLRHLTLRGETASKVLIVRDA VEWAFHVAYKELRFRKVSPPALVQTMVEGGATLFGLPYYNEEAFLTQSSQLYLETCLP SMGDVYCIEKSFRAEKSLTRRHLAEYTHVEAELDFVHFDDLLDHLETLMCRVLEVALE DPTIKQYVKDLNPEFQMPERPFKRMKYSEAIDWLVEHNIENEDGEPHKFGDDIAEAAE RKMTDIINRPIFLTHFPTEIKAFYMLKDKEDPRVTESVDCLMPGVGEIVGGSMRIDQH EELMAAFKREGIDPSSYYWYTDQRKYGSSPHGGYGLGLERFLAWLCKQHTVRDTCLYP RYFGRCKP CC84DRAFT_1170194 MPSIRKSKSRTSTPIASHSSPYDAEPRKAKMQSSLDMWVEPAPQ NPAPSFEEHGFARHGVLETMAALGVPPTSRVKQRVRSLGDSLAKGPVQGRKAAAFGDE ETSTPELTPAPEIERDISEHLDDDDDIPMPLPELDEDEDDDYMPKPGPKKKQKTSHGT KTPVRGKGTAQSKPASTAKSPAKNGISKTPSVPPAPAVGSTPTRTLDAALMQTIEIAV NDAHARSIRDNRPNVGIALRRMFNSGKSDVTLAQALNGIIHQKESAEDWSNFRGFIKS AKKTIKREWKIQKAEEAAKAAKTRASSEFDGADVSSPAAGGEGLPHPTNLEVQPAIVA PLTESPSLDATRSPADVSDGNEVDGPILHPLSTAPAFPATEASSPRAPRMGSKSPRKR TANGVHDLSIDADVASTAPTPAPKSPAGSDSGLSEVDEEILHTGPPQPAAHVNGNGTA ATNKKLKTLSGRGGKKQQASRASSEKPPTKPHRKFKQNVPRTAEQLVEDAEVEKRRQE LAYDQQKRFGEQLSGYAQVSDMRFDDDETASMTESIAAMGPPGDINRPRRAGRPSARN GMSIQTSGMKRGRENSIFSSPHPDSAATSRPSTPAAVSHMPSKRTKLNNGQAGHPNQA ARTKKSPVKNRDGPIAGIPHTGGGGSRHSGPDDNDPDSPPSESDDLCSACRGAGEFVC CDTCPRVFHFLCCDPPRLDAPRGSFFCHVCAKPAEDLPIETPLRPLFQALEHINTRAF TLPADIQNHFENVAARPDGSYHEDVKKFPLSKNSGYGYQRPDYTKVLEGDKPILCSSC GLTSGNKRQMLKCDYCAAYWHLDCLDPPMANPPHINLESSHRDAWRCPRHIEHDFRSG NVTQNDINGAQDAVMVDAPNSRLGRKVRKPRHPQVIEPAFSRGIRNNGLIEILNDPDD DTDGEGNYVFGGEEKDSSSSVYRVPEKGLILDFIDKVKTSRLNKAAKLAQSRVQSKAS MQNFAARPIQQQQAALNLAKLANKETEIGLNESNVDALILSLTAEAPKAVVTAIDTAP PPPVSNEEREMLLKLQDLIKARLGGATA CC84DRAFT_1158828 MADETAVVDYTLANPDTLTKYKTAATIAEKVLKEVSGWIKADAN IVELCERGDKLLEEETAKVYKGKKIAKGIGHCTTISPSSYVTPYTPLKSDAEEAAVTL KEGEVVKIQLGAQIDGFPAIVCDSVLVGASDEVSGREADLFLATHYANELLLRLMVPP SLVPTTGTDEEQKKAAARKPYTQSQITNLLEKVTSAYECNLVESTTIWLFEHNEIEAK KKIILAPGEGVKGEGLPDVGEVWGVEMGVSLGSGKVKTVDNNRTTLHRRTDTRYALKR PTSRALLSEATKKFGTFPFSLRQLEDEKSAKVGVIECVRGGVLRQYEVVADKNNEPVA RLFSTIAITKNGLTRLAQPPAVDVSKYKTDKKITDEEVLKILEQPLGKASSNKNRKKK KKPAKKAAAAGGAEEEESDDE CC84DRAFT_1170196 MFPSFLKGLLLAGAFVLRVQADIPIRITSHNIRYATSSPFTGEK PWTDRKLLVINELKYNTLYNDEAFVCLQEVLNAQLTDVMGDFGSDWDYIGVGRDDGKK AGEYSPIIFRKAVWKVDTWKTVWLSQTPDKPGSKGWDAASIRIVTVGTFVHQASKQKV VGMCTHLDDQGATSRTESAKLILKVANETTHNGTTTKPIPMFLGGDLNSEPSGSAYQI LNAKDSALQAVKDVAPRKYGNPKTTFTGFQESDAKTELDHVFVDRRADVWEATGFAVL PNKFDDGVYASDHRAVVGDVVLKVQT CC84DRAFT_1210938 MPSTSTDPHPDASPAQNGLNPAQQPDAPAAAHGKDAASDENAAI REGKLKAKAVLAAQMDATAAEKQEGRAEMGMSAHDEGANGASPSRKRSRSGSRKPSHT PTREAPMNEQKQKALLDQMIQRDLRGASYVLEKRALGKVATDELKDEIKYYQEVRAHN AALVFGPGYRGYGNGITELPPAQGFALKYPAHCKPPANRRARRLPLKKDTVELQSDVV EELVPVRLDIELDKIKLRDTFTWNLHDRYTTSELFAEHLVEDFRVPPEIREPVKQQVN REIQEQIQDYYPHAFFDDDALDPTLPYAAYKNDEMRILIKLNIAIGQHTLVDQFEWEI NNPHNSPEEFARQTAADLSLSGEFTTAIAHSIREQCQMFTKSLYITGHPFDGRPVEDT DIRDNFLPSPIPSVFRPMQSAKDFSPYLYELSEAELERAELSIMREQRRQKRSVNRRG GPALPDLKDRQRTVRTLIVSSVLPGAAETIDDSHLFKAVRKARERRRGMDEDSDESES DDSAPESPAPSMMMTGGTARTRGMRGAATAAQAAMRSAMGRSMTPEISALQQSQHELR TARQLRYDARDESVVSEPARLIVKLKISPAKFREYLRNPKAYLQKPVSTPLMAHTPTP ARSTPGAISMPPPPSPAVPPRNTPAPTASSVAVSPQPPSTPTPNSQSQPKWNYHPDGR ADAPWPQAQGAPSAPPPSWLQEALKELKQKNPGDRFEAAMRYTIIDQNTLQSVKPDSF AASGSLPPGHKAQYVPRIRCLDCPGKLYNSGPEQTVGNFQTHLNNKQHKQNVEKRNAA CC84DRAFT_6991 MFQHWEGPNGLYGIQTWSKNVIRPCKMRYVWFNCLQFGFEYSVF CFLNPSLRIGPRMRKSTEDATRPLLRNHLETAFQRESTGPTQRSPRGLHQPILFPDLF LTLVPFLPALLGCDPDGGVVPILICLKYCLCDRDVRISLYSSFLFRFFRESLNLSTRS VHAVRKTQTP CC84DRAFT_1158831 MPATVLGPQVKPIANPTSTEWNLAIKDTDYDSVLKGFKPQQMED RWVCVADGPDAHGNTILHAARSWTSEEQVSLTIEAGKDDVGPKIGKLTWDISEYDSEQ EAKELAVNICRHILGCELENA CC84DRAFT_1158832 MATTTSGLTRRRGGGAATNNGDDEAARVASPVPKRNDDRTPETS YENSANGHKIAYDPRDISENAERSKQPKLTLMEEVILMGLKDKQGYLSFWNDNISYAL RGCIVIELAFRGRISMQNDSSRRRFPLADRVIEVVDSKLTGEVLLDEALKMMNTSEKM SVNSWIDLMSGETWNLMKIGYQLKQVRERLCKGLVDKGILRTEKKNFLLFDMATHPVA DGGAKEEIRRRVRTVLTSRTVVLGASQFLPEELEFRYLRTIVMVCAAYAANVLENALT TLGHEARERAFAQVDELLAEYSQWPFARRAGGSGGVGANLGQVINDEVNNAKDRELQL EVVAACLSVFTRLDSLL CC84DRAFT_1253764 MFRTALLRSARQAVRAAPRWSAPIARPAARSSLLQAKQIAPSAR FQAVRCYSASAGLSKDEVEGRIMDLLKNFDKVTDTSKLNGEAHFHNDLGLDSLDTVEV VMAIEEEFSIEIPDKQADAIHSVKQAVDYILAQPDAH CC84DRAFT_1200586 MASTRPLTMLARTRPAATLLRPSAFAAGRLPSPATVALFSTTFP RAATPAGPPPPGFRLPPPKKWDEGESALDRAGKYFLLVEMFRGMYILLEQFFRPPYTI MYPFEKGPISPRFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEERMDGSRRT TRYDIDMTKCIYCGLCQESCPVDAIVESPNAEYATETREELLYNKEKLLANGDKWEPE IAAAARADAPYR CC84DRAFT_1106623 MGDDLEARLKTHQRAFEGLMSLIPAKDYYGKDESITSTEWTRVG KKQSKAERQAAKRAKLDPANHKSALDVLEENARKRKRELEAEAEADGNDSSDLDLDIE REKPGEGMRTPASKAKKQKTTKDDADADADATSTQQTKDEEKKVAREARAKAKADKKQ QQREKKKEKQAQKQQNQQLKKAQQDEFSRGLTDTKESDDEDEEASDSAGDEVEHDDDQ IEAMDVSGLVEEEQSTATPSAADSTASTASVASNASSSSSMPPPSEIPHKKEKKSLIP NQQKHEEFRARLQARLEEMRAKRKADGPDGRPAKNRAELIEARRKKEAERKQAKKAQR QLAQEDEDRLKAEEQLARIRGGSGSPSIFSHRSSPDRERNLSFGRVAWSDGKQLEGDL SGFRESKKSKGKSDAKTALEAAKNKQARLNALDEEKRKDIQEKDLWLNAKRRAQGERV HDDPKLLKKSVKRMDQAKAKSSREWGDRKEAVEKGKEQRQKKREENLRKRREEKGVKG KKKASKPGKKVKKRAGFEGTFKAK CC84DRAFT_1106625 MSAPAIEARSLSTLTSLASNPPSYPRNPTHVRHEPLVLYIARVP GSRDVFLSPMKPREKVVTAEDIQNSLYFVHVEQPEDEQLIETPSLDGPPYPDSRPNSS HGSQLATVQRKPVSNPAAAVSPAAKRKPVPSTLAPVNGFEITHNNAGNSQQIPTGLLG PDYVPRRSFEDARYHDENRPPLQQRPTQQPTSPGTSLVLIRRDPASGAQWNVARIDDP PVMDVSSSTLNGSTAKKKPGAPAYIAVTNPGYSKFLYNQQPTVPPLISRNTNASTATF NPPQGPLSASEFGIDREDGVFRRRLWMEGSKHPGGEFGHRRLKSHDSNMSGGSSRNSF EGVQRTSIDSRPPVTPPFLTRENQSYSSIQVSEKQSAFRGYVFTSPWNGRCEFITGAG GGSLKCRHIVPGLQGAPPVALTVSELRFNLPSSSKASTPRGEESSKRTSFFHKSRHNR HSSSVDSRVESTDDVRRSFDRMDLSLGQEFAGGGFGGKQAKLGKLIIEDEGLKMMDLL VAANVALWWRAYER CC84DRAFT_7179 MGKFAMGVSAERRICTAMGGLIGMAPKYAKRGDRIAIMMGCDMA FVLGPNGENYGFVGAAFVEGLMKGEAIERVEQGELTLEMIRICWFNHKIQCMEQWDRM ESIVFRATTFSRSFDL CC84DRAFT_1253768 MSSRLLTALKSMMFTGVILLPTAFPHAVQDLVDLKTVIDATAAT IDAAPNNSSWGFFSPASPAGSLGTADLIANISTTILRAKYLLNVDRTAWTTIPDNTTA LNNTANPTPTEIRQASTPTPPPSSNISLDAPYVDYVSSIPSLSNALVDLGRAWHKELN SPVSEAIGALQEAITQFSTSMLEGDLLNSTSILRTIRASSSLESAQVAWSRVLNLPGA AGTKKKRGEVEVKRPPLPDGQFYTHKDLWGRGSGAVPRQAKVENDDSSKASTVEATWS EWRIEKIARRFVA CC84DRAFT_7207 MNVFAAADVAAPRRRPSRSNSLSSTSTVTVKRTASFKDPVVDPP QPHRSSTPPGARRRSSAAAGAGDALGVFRDDIANLNLWDKPAASLHPLPQPSFSRHLQ SQSLSLPLVNGAAAHPHAHRRPDPSPTRTRTPTAAHHHQRSPASSPRRSQRPRSPIHN PPPPPARPPQIPVLPALLPATVYDPNSPHTGSSSDLPSTAGLLTPTTYSQAPTDYFAA KPRPPPAQRPPSRTKADRILGRSPLGSPPVGVLESEARYRASPGASRPLAAGRPSTGT AREAPPKPAQSAAHERSFSKEQDYRDRSGSNASSRLDDGVQGTPPRTRERREKDKKTM LSRALQKANTAVLLDNAQNFEGAMEAYGDACKLLQQVMIRSSGEEDKRKLDAIRVTYT NRIEELRRLDPGYHSSTGKSLPARPMSNESLEAGRSMLFGDDDEEPVIETAKISRIVD DRPVDDDDVHVRSDSRSRNPQHLPLRSVQPGGQRESVISTAIRDVQTGMASPPQFHLQ PPPGRLAGNSAGLEAPGLESPMDRSYMPPPLSPRRPISPLPSSKPDADPSSRDRLEEP TRAGHQRVNSTESISWLDTIDESGGSSCSSSVHSLSPRGLRRKHIRNGSGATEAEFDA ALDAAVEAAYDEGYEPYEDGMPDSAELRANRLRNVELAKERVREAEREEAIAAAKYRY KETQLRNEPLPHVRESAELNFHDEAEEEERLLDEITREYMLDGFDFDLQTKSSLPRQS DSSGFSGSTYNSSVSSHRTTGGTSLSTVAEVLQPTKPKAPISSPPPVSASSGTLASVS ESRTSDGNDASETTPKAQIVTKDAASVRSRRLSGQNLKSLKIETSLPPVPPAPHTDKA SHVEREARPEVPPLPKSAAAATFSSAQPLVDATFKIPTIPQSHPQTGLNAPSPQTLGP SSADTAFAVSPATLALNNAMSNDSLPAPASPKAGKGSSIGIRKNKSSLSLKQRNLSVS SPDGSDGSVATPMSATFNFSGRKASIAPIPTPTPSVPTFNVDGLPSGGMHLFESDIHS PYSPGSPSGAVANAPIPLEPCPESYLLRPFWLMRCFYQTIVHPRGGYLSTKLFIPRDV WRVKGVKLKNVDDKIANCDLLTAALQRLSTVDTLDADAVLEEMQAFEVVLDQVQTQLA KKLGNEVGMQGVSVLFKDAYTVGVGSAADGNSEKGSHGSQDHAPKSGMSQSKSYLTSW RKLRSKNSAVGLSNMAGAKSAAPEVSKDSLTMATLPMTNLPNIRFAKRDVSNVAFEGP NGGYMSSLAKLFDSVQILDQIARQVEDPGLKHSSPTHVGLELCARHAAEFFGFYICRF VLADMTLMLDKFIKRGSEWVLV CC84DRAFT_1158838 MSAETITSLLSFQPDAPELQQKREYDKEAREFTKLVNGLTPATF LKGADSPQDPLNVLEPATNSIAYAFTLRHRIEAANKKSVDQLRPGASLWNKLVLFLES FDPVQMRYAGEQWRKLVEYVELIARNEGAPALAIAPIRSAMFRLDPTTGTFTSTHLRF IQLCMETRSYKAALPVLDNYIHSLPTVIAKETLEDLEYSVMAADSVASGEFINLKSGH TAKISLTDLQEYYVLGAMAYLGERRVYDALYFLEHVLVTPTNNALNGLMLEAYKKWVL ISCLADRSHKTPPRTMSSTAVKNCRNAAKAYEALAEAFQQVNNLPKLKAQVNAGRDIW AEDGNTGLVEELLEHQNRAYLSRLSRTYSAIPVSNIARNVGSSADQVTTFVDSLIRQG LLNAQLEANDKSGAGAVLRFYLDPTQGPLAKTEKQQQQALFEQTQRTNALAEQVRDAD YRLSITKEYVEYLKRQKKKNGAGAGGGAGVDAMDMGFEDGDLDEDIMGDLR CC84DRAFT_1132919 MGSDDLIDFEVIENQKENIQSLPSGRSAKALAQLYTPPLSSAAG ATPSPSQLQDAHSETRQAFEKELQAIDESDDPLDVYDRYVKWTLDAYPSAQNTPQSQL CPLLERATKAFQSSSHYQNDPRYLKMWLHYIRLFSDAPRETFAYLARHNIGDELALYY EEFAAWLETAGRWTQAEEVYSMGIDKEARPVERLVRKYAEFQHRFESRPQQTAEPTSP ALPAVRPALAAKVDPFATGPIDPQAQSRAQVGGSSARSGKSKLAIFSDGDEPPNPSSS GSSKGWDSIGSLADRKKENTPEARSWVGETLKVGKKNTGVSKMKIFKDETALVNLNRN YEHVIPHPVHLEAPSVNPKTGRAEVPFANLRLIYPRADAEYSFEELRAQHRGLLNIDW NAARRRENDETNTATLAVEEMTPIPQEISPAPQELEQVKEQVLIPIEPEAVTESVASP LADGDERLGARPQIHAVAAPVSLVDDDQTIKANTKLLIHIDNEMPSSLLDQVETTKAN TKLLIHVDNEEPAPSLEEPPTKISEKLLIHVDDEDPASVPEENQAIEVITNLVVHVDS EVPPQSASLEQKVQKRANKAFAVLADEEPKPQINPLAEQIPTRGPFKTQTVPLKGFDD EGNFNDENTPPSQLEVEKAKATKKARREERSNRTRKIKVMEVKEIRNETQTIQTNLDS PTGRKIRRKKLGKEATMTVHTKEAMDEIYDIFNEPLKEATEEMESEEGTTDGDDEDDY TSGGESTGTGHLSGATSEFGDETTAADFTLGTTIGDYEVEESDVDETDTKSVSAWSDF TESKLVPKDHKGSGSEDESEGSEDTSFSEISHSHDHTGHTDEQSEDLVTPTSPELPSH SLPTRYVPVPPDSYDVPTGPYRDPALAANNRLPFMTPIVEKTESSLGIATAHAQKDYF AAKTPSRSKGTPAIIEDDDEPWSSPFHGGLAPSVDGAGKVTKLALCEAKPAKDVGIKD TKSKGPIIHDAQCNPVDEMIRETILREIQPPLDSYDGYFADTECAYGKGADIRKYTKA VKAASKLNSRASGDKTTTSLTVPPTLRFPGSERMYTVKKELGKGAFAPVYLAESTCIE DEQDEDGPIKMGKGDFGVRRHTLEALKMEEPPSPWEFYIMRQAKRRLGVSRAAESVIH AYEMHLFKDECYLVEEFRDQGTLLDLVNVARADNGVMDEQLAMFFTVELFRTVEALHS KGLIHGDLKADNILVRFDALSKDELWDSQYKRDGRDGWASKGITLIDFGRGIDMKVFK PDVQFIADWPTTEADCAEMRELRPWTYQIDYHGLAGIIHNLLFGKYISTVAERAATLG AGATKTYRIKESLKRYWQTEIWQEALDLLLNPLMHLEIEEDRKMPVSRGMRDVREKME VWLEANCEKGVGLKALVRRMEEAVKKR CC84DRAFT_1106639 MSSKTMFAQQYDARDNKLHLNQVPIPEPREHELLVKIKNASLCH SDVMLFEPNDQGLILGQNPVTIGHEATGTVVGRGSGEAANKFKDGDNVGFLCAVECCF DCVNCRTVHNSWCVTGKTKMQGFSLDGYFQEYAVVDARAAMVLPEGLDVNTAAPLFCA GVTAFHGIQDCELDPGQWVAVIGCGGLGHLGIQYAKAMGLKVIGLDIADQALEEAKTC GADHVFNSIKDKDWKDKVLEITGGGVDAAVNFTASKKSYDDCPAIIKPGQGLLMVVGI PRDPLQLNALDIALGRYRVKGSNNGTCYNMRPAIEFSAKHNIQPHQTLFKLEELPKMI ELMNSHKAQGRMGVVFEGK CC84DRAFT_7245 MLTMRWTSLLGLVLAFFSFSICPVLGQLPDCAQTCFGAAVQNQT ECKPTDMPCICASPAINAAIQGCVGASCTIRQTLVAVNTTQTMCHAPIRDKTGKLLWV SIVSGALALLAIIMRSTVALLSENWRWDDVCALAAWFFSIPLTVLQLITPGLGLGKDT WTVEPWKIIRVLQMIYASQAGYFITIGFTKMTFLFFFLYIFPSKGVRVTVFILMGITV CHSLGFELALIFSCLPIKAVWTGWMREEPYQYCMNQNAFIYAAAGTNLAIDLGVLVVP IPQLLKLKLSLRRKFFLLCIFSTGFLTMIVSCIRIQTIATYGNSSNPMYDSLEAALYS VLEQNVGIICICMPMFRRFLGHLFPRCFASSDDDSNFKYDDGTPNARLSSGKRSTPRN KISTLDGSLFETQITKTVDTRVSSNQLDDEVGLVELQNDGKHLAASP CC84DRAFT_1191889 MALLTILPSGPSLSVWACVYVPLAFVVYYTGWVIYTRTLHPLAS VPGPLWPSISRTWLMWRMHVGDFEIELRKAHDQYGPLVRIAPDEVSSGVPADIPVIYR TQKPLEKTVWYLPWRAVPQFHERPDMFTTLLGKDHAAYKKIIAGAFTMGSILRNEDQM DDCLRLFVNRMEEFADREESFDFGLWLEMYAFDIIGAVFFGKQFGFMENRHDHGKYIE SVHLAMPFLSVVTMTPTYLRYPVMACAVAIPKLLKAVIAVDGIRAIAIQNTKEQMKAA EDATSKRHDILSQLLTIVHEKGEKVNFTEHNVMAEMHVGIMAGADSTSILLRSIFYYM MKNPETMAKATAEVDEAFAAGDLSWPPKHQEVVASCPYVCAVIKEASRIFPSFAVQIQ RYSPPEGVQLSGHVIPSGYRVGMNPHIIQMDKEVFGHDADIFRPERWLQDEERSKAMD RAYISFGVGTRPCAGKNLALAEIHKLVPAILRTFTVDMAHNRPWKIHNASFIVQTDVI CRLKRRN CC84DRAFT_1253775 MSPHALQNKYSIATMGADGIGPEVVDASVQVMKKLVELDGSFEL AFENFDWSSDTYKKTGKYIPDGGLDALKKHDAILFGAVGAPDVPDHISLWGLRLAICQ PFQQYANVRPTKIFRGTSSPLRKAEHGDLDWVIIRENSEGEYAGQGGRSHRGQPWETA TEVAIFSRHGVERIMRFAFESAQKRPRKLLTVVTKSNAQRNGMVLWDEVAAEVAKEFP DVKWDKMLVDAMTCRMVLDPKSLDTIVATNLHADILSDLAAALAGSIGIAPTSNLDPT RQNPSMFEPIHGSAFDITGKGVANPVATFWTAAEMLTWLGEEEAAKKMMEAVEAVTER GITTRDLGGSAGTKEVTDAVCQELEKVYSTVPAKKA CC84DRAFT_1158843 MSSLDASVQQILELLVPKFRSHKQQSPHPIVLGITGLQGSGKST WAGKIVDVLSSNYNLHAITVSLDDFYHTHDNLVARRDQDPDNKLYRTRGQPGTHDQQL AHDTLQALRNWDDSSSSGVAIPAFDKSRFNGEGDRAPRPSWPHCASKPDVVVFEGWCV GFRAIPDATLHSKHDAARAAPPDANPECIVTLPDHAFAHIQEVNRHLEQYNETFMGPQ HFDFFVHLDTEDLRNVYRWRLQQEHAMWKVKGAGMSDESVRAFVRGYMPAYELYLEGL RGGFFGQGSGRHVRVVMDKERGVEKVEVI CC84DRAFT_1132941 MPPHATLKEIESEKPAGILLEDAPVDEKQVETGGDYSGAVKKTS PEEIKLVRKLDIRIMTILWAMYFLNYLDRNAITQARLDNLEDDLGLVGSQYNTAISIL FVGYLLMQVPSNMLMSSQKVRPSIFMCACMMAWAVVSACTALTHNYTGLVLVRFFLGV AEAPYYPGAIYLLSLFYTRKEIATRLSILYSGNIFATSFSGLIAEATFASLGGVHGIA GWKWLFIIEGIVTFAIAIVGMWMLPDFPLTTRWLTPEERQLAHDRIVRDTVGIEASKG ARAGFAQAIRDPRLYLFCFMQNMHLSACSFNNFFPTVVGSLGFDRTITLVLTCPPYLV SGLFGIVIGLTSGRFNERTWHITVCMGAALVGFVISCVTMNVAARYVSCFLFASGAYA VNSVILGWVSATLGQTAEKKAVSLSIVNVVANASYIYTAYLYPKSDGPKYLTAMASNA AFAFMTIACAWAMRVWLQMTNRKLRQERPEEHVYYAY CC84DRAFT_1079410 METYDREADSITEGDQRPSKRRRSTATTLHPPREVGLMRSIPGD KISSFVGSSSGVYFIRSVYSAFRSHLNATAPIPSPEVPGEDDNLPSASPNGSKRIWRG NEVAPHGSSTITFQKLVDWSQSYFANWHPAYPFLHAPAVLSFFESLSQGRDARESPTS DSEFIILRAIMSISLADRRQSSSDNEEPYPAELVFQSYDEASNSVQHAFSQPVSMQSL QAALSVQLFLVSMLRFNAASRLGGLIIRMALQMGLHRCPQRYPSFSPIQRELRHRVFF SLYCIDRFICQSTGLPLSLRDDDIDVCYPSLERHADGQASSVDGRLRLLEFLSRHCHI RGDIMEFKHKSIQHAQRETDQAMVITSKLVKLANDVDEFIDFDENHPPTLSPFHSTLL KILHHESVVSLNRPIIASNSSGSTYEAALQQCIGSARAIITSLHDAIATTPLREQASF TLFWPSFTWAVWMSTFILFHAAYTKHVSTAMVSRLADKSLRVLEHLARRGSVWPEACG AAIKDLQTRLTRNTSKRIWESGVIRPESSTPSPYDNATSVASPHVITSHTPTQTTIPT LSEPAQEHIVADATPTHRPGARVTATGRISRGSYDLDNISVPADTGSYVQTSDNNPNI VPLGDFSQNGLTLDQSFPFSSNDDHDPFAGFDIPFWLGQDQYAGMINEWS CC84DRAFT_1191894 MSTPETKPGFLSVGINALSPWGSRSGTPKPPGTPNTPKKPDEDR DKDKEKAAADGAGPQRGGDHTVNRRHRLSLKRYPDDCPPLAVRWYHAVDVPKRKPFAS NAVVPEKQPVPRPRKWIPFSFDDSRSIEHAFQKTAAEADAAETRRGILSPSGPESGLD KEPETSTKVPVNEDYLFDVEIETRELSPAYWLGPVYDVKRGTWFTPDGEPCDENLATQ LEEGYLKVKPWRLAKSPEKRSESQPRTRPISLNMKVDDDYRKELGRLNHRDSTSNPVT PKASFDNLRAEAGTESPQTKPSTNSATPPDDSSRTYRLFGSHMNSVVTYQDDVTAYML TDDMWSRMGSTLYQRFAGAAHFAGQKYVRGYVDSARKKEEKPSQEGTNKKDTDRPVTP SLAYGSDHERQRAASGSETVSDMESEGEERGREPSPGETRRKNLERQISSLIISTQTD SSERQEEAVRKREEKEMREDYKDQNKGEQGREIEHLLLVTHGIGQRLGMRMESVNFVH DVNSLRKSLKAVYSNSPDLQALNSDVDKETKNSRVQVLPICWRHLLDFPQQSLKHNRK EHDLGDLDIDDHEYPNLEDITVEGVPAVRNLLTDLALDILLYQSPAYKGHITRIVVKE LNRIYRLFKERNPSFNGKISLVGHSLGSAIMFDILCQQKDPRSKPSMSSKARRATEEG IKLDFEVEDFYALGSPIGLFQMLKGRTIAARPSASYVTPETPASPLDDPFSAGSDHNR VNDFIVSSPLCKQLFNIFHPTDPISYRLEPLISPAMSSLKAQPLPYTKRGLFGGSSAQ GLTNIGQSLGQGVTNFWTSVSTGIASGLLNRSLGITGSDASKMSNDLHGQRTSRPLSV GQSAGNLGSNAPNVEDPARALMLEERKRRLGEEPIAPGDDGEHPPTLIDSEIETLFAG FQKRRKSREDDTNESIEKDLEWQELEERSRKLRKEEGKVRALNANGRVDYSIQEGAFD ISLLASIASHLSYWADEDVSHFMISQLLARHRVFTKTDK CC84DRAFT_1253780 MFNFLIMALTALRDLGLDALEFLKFLFLVAVFLGFGILFGVVYS AIFNLTTVPAPLQEEPLLRRDRRANAASVRCSSFSSRPPVTLSDFDIKVMARISEYNS APRSLSSGTSLTMSYPSAIDYTVEKMASCGLQPKFRNGLIVDWEPIAPQGASLVTAEM VATKRPSTPVVAAPADLATDTSPRVGPAKPPQCHFEAAPATDTGRAGVPTPTEGLVSR VVEPLQGDSAASAVDPGLANVQASTDGMARPIAPTWTPSSGPVYLPPRERDPRFTLRN WRPSTGVVYELRQPHPVLAAVFASPAPLPRPLPVVTVSPPSPVVFSSPAPVVFSSPSP KPAPAPAPRPLPATPAPAAHISRPPRHTRSLAAAISRPRAPISTPAPTTTAPAPAPIP APAPAQGQLANQNWMASLSETLALTPQKLGLTEDQLMAWETPSLLPASLLPDAAAEAA AQQDAMTMTQRYGTLARDLKRAAVLLRLQLQRVPAGRYPARNQFHKIFRPLHEAAKAF NVLKTVEGARNAADFGEFKNAVKFFSDHVLTMGEYEECLVHYDGEVKGKGKAVKVKDA AAHVRKFLGIQPPNVLDRLGLA CC84DRAFT_1078978 MTADHPKVLFFGVGSVGAVYLYLISKVASTTAVCRSNYDVVKEK GFTINSTIFGNGLHVAPNVVRSCEEAAAQDSRPFDYVILTCKVLPGIYPKIIKSVVTP GHTVIALLQNGIGIEEEYLEAFPNNPTLTAATYCATVQRPPGVIAHQEVERLEIGSYP SSAPNTHAQAFTSLLQQAGATATVFDDVQAKRWYKLLLNASWNPICALTQSSDVQFMQ SSEDATNFVLDVMLETREIALSQGYDIARAEVEAQWERATRRVATGKAVEPSMLQDVK AGRKTEVEAIVGNTVRMARAKGVPCGKLETIYLLMKSLDRRMGGGA CC84DRAFT_1078804 MTATSLQTATLTATTSCSDVIQPSVSSTRHSWACNCCHISFDNG TVQREHMKSSWHVYNLKRRIASLPPISLAVFDGQVQPRCEASESTIKAAIVDNKEEED EVVSPRQCLFCHQRFDGDADDFEDMLEHMSTAHGLFIADHDRISDLESFLGYLTTEVR VWHECLYCGTTRTSTLAIQSHMRDSAHCKLNFDREPELLDFWEERSDEDGSSLGPVRP GLECEEVKPKTSRVSHQRRAKAARVRGARLLLQTSAAPMLPPRGRQEQSCRQLSRRDE MGIQNIGPQQRHALMMAVQRSQKVEESASRASEWSYARKANKQKHDQAHGPLSWAKGG LHQLLPR CC84DRAFT_7454 MPRAQLRCCSRRPCICLSVSTALVVVGLTCFAFWLFFTVILPAR RAAHLELDYLNALARAKFNKMFLPRIHEARKHSNESKVENEPFRDVQPLIKDVPQLLY WSDASNKEYDELLRLNLDGTGQ CC84DRAFT_1182307 MVKPTLEFNYDISCPFAYIASTRVEALASRAGATLVWRPVLLGA IYRATAAPQGASGSASDVFNPTKKAVAAASMQRTLRRYKIRYNPPPQHPRKTVNALRL LYAVEGEERRVLTKKLFEAYWVEGRDVSDGVELLKIVRESGIKSATGISEKTFGDASA RRELEKATADAIERGAFGVPGFWIPEESWVDVSGEKRKGRFYWGQDRMHFVEATLSAL RSGGPWEHVNILKSLMPRCIPDHKISNKVRVEFWYDFSSPWAFLGWTQLERLKRTFGA NLEIVMKPFLLGILFREIGAPNLPSSAISPTKAVWARQDHGDWVRFWNAINAQEGKPD KNIDFHWAEIFPIRTPTVLRCAIVDPQTVPVLYRACWESNANVADDSVLVEVLTKGGF DGAGLLKKANAPDIKQKLRDSTAEAKNLGICGVPTYRVFRQNLNREWEHVGGLVWGQD ESNVVEDLIAGWSGEGTAALAEPRKTTVSDKITGSRL CC84DRAFT_1080047 MSGNRDSGVFLSDNEDLQPSSRPSSISSTRSLSTRTTPQRTESI ARLRRPAELNLGFNSNVDFAKPRSELDLRFDVIRNSQNQNKAALRSPTQLLKDRLNLS PKKAEHEKVRIFTPPKPMLNGCILPGPKIQMEAFSGSSVRAWIERSGRPAWWCKFDKL VIFDGFEQSDGGDVKFKTRTSKGLSIARRRGDTETVVIPMDCAHCQEMLNRTEWKYDI QVCKRGVCWNCRERCRWEMMQDEDKKAEVELDEERTDANRVRADSVLQDDVQEEELLA KIGIEQLPKTPIETVGSIEERLDVAALPADT CC84DRAFT_7485 MSLATSRYAPKTPLESLVQSKAEKLKQDKARTTRLLNRLQWKAE SLAVSYVRAIEILRAEVDQNGNIDSRVELRYAFMRATNSKQAESMFKVDFFEFYTLLE RYISTSLEIMGVHVSGTAPRTNVNALKYITNPDLHRIRPRALHAFHANLLEALDDPKC PLHISLGNQEVRIQLGIAKDYRNAWKDADEKVSKTGGHNKDDESRKNVNLTDLDLDTM LRYLLAGCEHAHGIVQDRPDADPNSFTSRDFEQQAQQSTSNAMETDDVPFEYMDDAMD LD CC84DRAFT_7527 MPQSIPSQPKIHQTKLPPHLHLYLLNIHSSSHCYHPSWRPLLYS QSSSVRIPRVRHTLGVRISHFSLRLLLARECTFTAGIPLE CC84DRAFT_7521 MFAMSTTAVRHIVQLCTFVCAASALPLENITLPLPIGTSNHGTP GLLCTPTKWTDVVLFYLFNYVAHAATVLTRPGERSDDFMVSVIGSLLFPALGLYRGIE AILSRAAFVRHDNLRKAARSGALCLVVRGKDWRPHYGDEVTNAMFRRAKKKLVSKLEG GACESLPATCESACDPSVHVLTYSPPWLKSQFRTPVYVQRQTIHGSYTLPDGYRFAIV PSDAQFTASASPNAISEVSATHNMIKALIALVQSCYALATLYRSRGDQIEQFGYAAFG LTVAPYAVMSLVNLLGNLCRPDYASLYIVENSVLDEARRRGGVFEGAVGRVREESTTV CGCGISDAEDAEHLQFKSNKDGELTATFHTPAPPEIWHSRGEEETQFPEKSGSVCSSM FTHSHGVNTLPSKLNYTNTEDDPVLIIPCCNPIVRGSAVPCHDNELPTQLYRLSSLSL SKPCWPFTHRDWTVKFTCSTPSHSLRLQIIKYLVTTLVALTPLAVYGGMSHFQAGSIP LEDSSTWRAYTVQWLILGVICGIWWVVDQENKDARLNRAWQFGPLMRACVYIVSASPA IGGFVVVAQMLVRYGVCVWVGD CC84DRAFT_1158853 MSNKYDVPAGAPPAYPQSPPPAHHDAGPYQPPAPYYGNSPAPPN DYYGASPNPYAQGPGPYGPPQGQYGPPQGQYGQPGYGPPQQGMYYQQGPPPPGGYYDN QRRGGASDGICAGLLAALACCCCLDCLF CC84DRAFT_7576 MPPPTPFPCATPATFSFLSLSPFMLHTHLTSSPATVVLFAATSL RRVLPPAGCKWPRYPGYINQLTMRGRFAFVEYESRRDADDAYHEMHNKRIGRDDLLKI EVCSASHVLQLVYLTSNSGHAPHPRLRGASTPAVIALVVSVASEVSAAAVAVTAHRVA SVLVVAPLVAAVAVTTLRARMTVGSATTIVATETVPGALNVTAIGR CC84DRAFT_1158858 MSRGGGTTLYVTGFGHGTRARDLAYEFERYVAQRTALPDGYHAL RRPSFTRDRTA CC84DRAFT_1079193 MATDLAAGTPLAAALQNLVQPKLAEFGWTTGGDDTTLFDYILLM LSNGKNEEQVATELSNDLLDEAEGENTDTHRFSRWLFEQVDQLRGHSAGGNAQITDNH MGQAVQDSTAASQDMEMDGVSEAGDASSGTIPTGPKAMRNGSGPKQARGGRMMNQVNR QMNRNDDPLHRVRGSQGAGRINSHARDPPKGPRGQNIGRGLEAMANGRGGGNINVGPG MNAMGGMGMNMGMPNMPMPPMGQNLPGGGLNPQQQMALMSMYEQQAQMMQQIFSGQTP QPFVNPNFQKGKKPFARGSQGNRQNLPPSTKFTKKEGDDENMTDGAAAEGDGMQAETS RQGPPDPSTTMCHFNQKCAKADCPYVHSSPAAPKTAVVDMNTTCDYGAACKNFKCVGK HPSPAKKQQFQAEQECIYFPNCRDPQNCPYKHPIEKPCRNGADCATPGCTFWHSSVVC KFNPCTNARCTYKHPQEGQKTGIKGKANVWVAPKNGEEQKKEHVSERKFIDENQEEEL IIPGKADAAMDEDAIE CC84DRAFT_1210966 MRLRKHVPVIESTLKRVALRPAASSLCSRCRRYASSQAYPEKVA VLGGGISGLASAYFVAKEFPKSKITIYEANNHSGGWIQSRRVQTPTGDVLFEYGARTL RPGSNALITAQLIQDLGLTDNVIFAKKGSTGAKNRYIYYPDRLQRLPSPGEPPDFWHI AELWRSGLLAGAPSALLLEPFRPKRPDSLKDESIGAFIERRMDKRVGNNMASAVMHGI YAGDIWKLSARTLLSQAWMLEGLAGSIFRGMLKIQSETPLEEKVMLFHPYDLDVYKAV REEVKLDETFQRHLNGCAMFTFEDGMAELVMALKKNLENSGQVDFKFGSWVQDTKLVR DESRQYVDVTTGPTKSPSTERYDLVVSTLRNKHLTPFVTVQTVNLYFPNTNLIPQKGF GYLIPQSVAFEENPERALGVIFDSDAIQGQDTAEGTKLTVMLGGHYWDGWEHYPSDDE AYGLAMSLLRRHLKIKEEPTHYHVNLSKDCIPQYTVGYEERLKSYAAQVTDAYKGKLR VVGSQTHGVGVNDCIRGAWDMARRLRGAGWKKIESGLERATDDRYDGAWVSAPSDQGP PKIKQ CC84DRAFT_8042 MITSPPVAGMRDLACTIRCMDYAALLHRISGIGACCYQLRPPRR NHRTHFVRAANTNDLKHDQYNVIPQTRHGQSALQVCGGRGVPHEFAARLIFCSPLLCS RGYLFVRTMALTSVFWLTVAGTLVQGELVTVQVRARPPPSWCSESGNSCPSTTAEQTS TQVPTFVTLPSAVSITEAPEPSSTTSSFFNASAWPTGNVAKPVPPPCAGRCLRGGVFY DCKLDDASNDFSCVCSKQRRFVDEFFDSVAPCVANSTGCSEGEWAAYMYMMESTCGAL GNPISTLPSTISTWMKYTYSPTDSQTGSIPTTLATTTSSGASGPAGSSNSENSTGSAK GSGGMPTGAAAGLGAGIAVGALAIIAGVAFFIWRKRRGKAQEKETEEVDDATPPPDGP VGPADEKKALVYEHSAPVGASELDGGDERAELYSPPAPAAADIGTSTFVSELPGDSNY LQTLPKPDAARMSTVSELDADVWKRDK CC84DRAFT_7976 MHHRHQRLRPTNGVPDHSHAPSIPKYDINFGDIPLQVPASFAVF VFLNWNLRLRIFIIILQASIIARNHGILQLHPTLLPPRPAQDHNSPNPTPRHSPPKPP TRNRPPSPQPRRHNPPPSALPPHRTPDTRPLPRNVPPSAATPHASHPTRRHHHAELGP RNPAAESRPPAAPSGAHDNVQHDAAGRGRCEWARAVRAGASGDGSSPDDNVRCEPLSC GAGGVGDAVFGGEWGGARGC CC84DRAFT_1253792 MPAREIQTCTRCKDSKRRCDKRKPSCTRCHRAGQTCIYPENAPK ENSIEHQTGLLSPSSSSHPSPLETESAPGRLVKKRDRATLSCTRCHRLKVKCDKRQPC CSRCARLGHGRDCMYTHKIEPPTSAGPFVVDGDDAETIVSLWFLRKRGSSHWKILLTR LESLSGLGDPPFQWLSISVRAHMQGDCSKDLALPGNFPFGSTESIPFRSPPEVQRLIE SHRHMASSYLESYFALYACTQLFDNSKFQEEVHQFWHTPEKFDLSWLAQYLAVLGLGA SATGVEDKVAAELFFASEACLAQTPYMYRSTVANIRTLFLHVQAKQVCYATCWALDAS WNVVGLIVRLSIMMGLHRSWIPEPDEMPSIFEERVQRKRLWNAVVKMDIQTSLVTGQA SPLPADAFLANALDNIQGLPHNSCDLIPASYPLIYEILTRLNTSMEMISYEEVMHYDT EVRRIMRLAIAAAENESYVMRTTTDIFFRRVLMVLHRYYALDPDAPNIYPTSYWSSLE CCLALIVHQRAMMAQCGALPGNVHLVARPFMLDFFAAALTTCIHLLSVDAPLSTTVGS ANPIPPRRTIVETLESCLEIFARESSHSVCFGTGYRLMSVVFGLVPKCTA CC84DRAFT_1158862 MSDTLLTERGLFVAGAAIALFIVYQAVLSLKTRPTRAKSLRISE IYVYPIKSLRPTKLTSALATRYGFEHDRVFMLLQVVPDGYKNMAVSKYPDMTQFLTSI EDRKDGQAVVNVTFRAFGSGQKTNTLSVPLAPETGKLEPFNVDMHGSPTAAFKMPNEY NAWFSECFGYQVVLVYLGNNKREVLWTDLVQTKNASLLAQVPVLGSAIPQTPKITFAD CAPYLVVSSTSVDNVSSRLAPDEPMDVTKFRPNIVLSGADEAWDEDYWGKVRIGSVDL IMKHNCVRCASINVDYETGKPGTGESGQVLKKLQKDRRIDKGAKWSPVFGRYAFWRGR NNEQVFRVGDEAVVTDRNKDRTVFNWPGIG CC84DRAFT_1106682 MSNNGIRRKDTTKGPPLRILSLDGGGVRGYSMLIILQELMHRTF VETEGRAPKRHEIPKPCDHFDLIGGTGTGGLIAIMLGRLRMDVDTCKDVYVRMTKRVF ETDKTFAGIPYRHTLFKASKLEDAIMECVREHTVYDDEGNDSENAMSSRADLRTPMTP GSAYPNRMERSASTSSRYSQIGMAPVNQRIAALKWGNPNARLYDNREERTKTAVTAVY KGSKNASTGQILLRSYDSRKETSVEPNATIWQAGRATCATLLAFKPIRVGQSDFLDEG VGKYNPAPMILDEAVCNEWPGREVGVFISIGTGKRPEGTNQQAHLWWEGFVSTGIGDF AEARRRLITKIEDCEKIHLSMKDHLAKRGVNQEHYYRLNVNVGVGEFGMNEWNRLAEI SSSTRMYLGDKEVQSKTLDSAAKLARIYFAKVRWERAEKGLPPRGGQFPQLKSPYERP LPTVPAPALAIELPAEEVAPNFYSHQQQEPDIMLHPAYRLASDNDKFAVVSSDEYPEP VDSALSPRYSGEFINMNRRSGEQFTVGSSHNSPRVSNVSFEEQQQPGSPYPNHPPPRP PKTPFEEGSRPADLTAGPPLSPPPTSSLPRPPPGAPLPYPDTDGPPPVNKSRKPEFRR CC84DRAFT_1170230 MGCIIYHKYDTNRADEYGEFEYNPKDSQFEDFFFNPDTPGVVST PIDQTPSTDYDSYYGYEGYCGGVEFNDHYGTPGPYRSPGFDIPGFYGSSEFYGSPGMY GGYEGMYDFYHSPGMCYVYDISVMYDMYGDYNSPAICQIQSSSNHPPATPRNGRLTSR PTSPSNDARSNLVSFPFRLPIITAIRICIYNISHTAATAQVSVRAIVANSTHPYPLRS LFRMPRTFICTLPRIKIVVECEEAETEDDSKAQFEPLKEEEETPLVFAGYEEPEHDQD PAEEVFGSASAGSCTQ CC84DRAFT_1210973 MSSPMTMQNWVIGILRGDNASWLKSYVQSKFFTQAGEANKENTG FDVNRLVEIFFLIHAGAKYMPSLVRIIKDSVSSGVEIHTSNIELLKSLDTLISQQPES LCTSWLPSGTEKESYTSGLPKDFQYQTQKYTRRFFWYHNVLFMMESSPEARSVVSNSR PYNNQWGEQDDEDNDQNPYGTVTVRCFGNSAQPVRDLITVLEKNSKKETSITVHEHKA GGQTKSFEVRQRPLSTVDLDPDLLSEIKQDAESFFHESTQDFCEATGLPNRRGYLFCG PPGTGKTTLSRALASDLNHPLHIMSLAGMNDEDLEQAFQSVPTSSIILCEELDLVGLP NRAQKDTTTPKIILTEAELQERIDSEVNKAQKQWQKNHVTPAPPPGHSWADVVRDPNL NEPSLPTSVLPPAPRSPKKITLGGLLNVIDGVLAPEGSLLILTTNSPKSLDPALYREG RVDRIFEINYASKTTAELTFKRLFGFGDQTRRFTLEAVNRMAIAFRDQFPTNSTISTA QLTGYCGKYRGCPDVAVLKFPEWIRRLKTGEEQFSYDINDVVDESASAGPINMPEIYD KALLELRPSDYEKPRTDSRLFSPKRTEVTERVGNQLALRQDQPPRSKKFHYMPSLQWF SLGHIESLPWLSDPASHAEPVKALTDGAVEDDLAEDISGRLLLEQLPFLER CC84DRAFT_1132970 MIGLPSFLRSVLVLATLWENGNGQMLGQWGNRHRVVARQNGNGN NNGQPNTSAFNIVNGRIFTPGLGIILAPQPFTPMGGDFLHIAIDVSGDGKLQVPPKDI SDPLTQVFNFTMFLTSNTLFKNFTISNITTTTPPLADIMNQEPGQTVKHINFEWPDCL VGDGKEDIGTTARGEYNISIHQNFRLNGSDFYSVFNLPISVTNSISQFPGARQLLTNP APGPLSANGGRMSCGMISNPMMNFTALVRSVNNPPGQPYQAIPIETTPRDDGGQIGGP GVANGNGRTPAGGTAEGQVGNGVPGLSPRYRGTLNLGAAMFILFITSL CC84DRAFT_1182314 MERDVEGASLPKASSDWVHVTGNPASGNAEEVTSTPDRASSGTT SPPAKRRRETKERSRTDQQGHYVGPASGVSFLLRIQKTLHQNSSLSHDSSIFTFGDAP LPDFDPTFFVLPPKAEGERLVERYFDFAAPTHRFLHRPTIEGLLQEFYETQGDMKNKE EAPGKTALLLIVMAQAKAYMPGEADAHSASARYYFASEHQLSKERGSVRLASVQARLG QCFYLLTQSRVNHCWSLFGTLAHLAFAIGLNRGRSCSPSSSVDYIELESRRRLFWVAY VLDKYLAAALGRPRTFKDEDIDQELPTLVNDTDLTSHSMNPTPSLGYSIPVMMAPIEH IKLSKILSAVLRDLYPIRSPSLPHRLSLAQKYTTDLTAWRQSNAHFLDTNNLPSSLLI PIYQRQRNVLNLAYYHAVLLVHRPFLLSNFANLTHFEQTAAQRGGGLVGDAHPAIELT CKENLKHCLDAAMGIVRVVDDIAESQIFRAFWFTQYYAFCAVVVLYIYRIQQGIVEPG QCEGYYAAGLRCQGVLSSISEADCLSKRYVLVLEELRIEAAKVRGPAKQQQQQQQAQA QSATTPASATATSPAVGSGVTPNLGGSMGESPGFVDPYFGAGSGGVGNNLPTPDSTVF NTSFLPTSSIMADLTSWGQFDSLVTAGIGMLDGGGYGGDAGFGFGLGM CC84DRAFT_1210975 MQIKVRTLTGKEIELDIEADYKVSRIKERVEEKEGIPPAQQRLI YGGKQMADDKTAEDYQLEGGATLHLVLALRGGC CC84DRAFT_8244 MGTPHRGLPPPSAMTLPDPGRGSSISQPMGSLPPAPTQWQGAED GMKNWLAAKAEEEKRRQEEERTRQEDLRVEQRRIEQSMLRESLQGGVPPHLIPMIFAG MGGGSLASISADMLREHQLELQAAQRQAQAQSQSQLSPELRRETRLIGQSQPAAYGGQ PQTPQTVLPPTAVLPGQPLPSQPQHGAFSQSPYAAGSMSPRARAAQPPHVGAPTSAPR PPPQSQLPRLTTNELHIQQPPAAPSNVQQLQSNQTSQQEQQSSPSIYFHHWVPPNSQQ EKPSSGNPPATPSDYTSSPKKRKAQGGHTAPPPPTTAPQSYTSPSFSHVSTSSTSTPG RRGHTRARSDASTRGPEGRNSALSRRGTVSTHAHAEGGAQHHEATEYRFQSQLRGPEE HQQRESSYTTPMRSEGGGHGQHSQSTSSMSYQGETRQAGPHQQLDRVFASSPKREPGG Q CC84DRAFT_1170235 MRRVLITARCAFLLVLYASLSRAAPLKVGPTAQTVREGLLVRAG LRNHREPGTGLNDRLSVKFPCLRWRLARFCSGGDLPETRWRPRSVQRIVWARFGSLGI RRAPIKDPWAFA CC84DRAFT_1253798 MSAEAVRSADSDATAEPTLPASQHQVAPPLQQQRGWLSSVRRPK TSPAPKAAIKRTLLTEDDARRDSALASSNSTASTDADAGAVNAPSTPTSLRKTPSLPA IVVQDEPAVPSSSSLHRIPSSDGDAAADFQAIDTVIPTGGFDDLTSPTQISFSKRGSM LLGGKRPNRMNKQLGLVAEGAPATELETPQEPKQQVLSTPATPCHIPQTRSPSRVSAR GRVVSSRALSTDEMMLSRKVRSMYQHGNESAANWDDVEEETESMRNSYVDNSSVTGTP ANSSSLVAEGSRAESSSIMSSRRESVLIAKEPNETAGGIEDWSELDGGEVDRYGFIVP KKTASGGSGGGPDEPRIQRVSTALQLVSEEPRRKGLGRSVSKARSSRSATVATGTPKR RRSHKSSRPAASIMSNRTARSTPQRPFRQAANRLPHNRDRRLLDEASDMLRLPPGLAE IAEQKEGSRAAEKTRAKEMEREEKWRKMAKVVQAGAKSGGMMFEFDVKDPKVISRTWK GIPDRWRATAWYAFLAASAKADKNSPTDEELVTSFYELQDENSADDMQIDVDVPRTIN RHIMFRRRYRGGQRLLFRVLHAMSLYLPDTGYVQGMAALAATLLCYFEEDRAFVMLVR LWMFRGLERLYESGFAGLMEALEDFEKNWLRNGDVAKQLDEMGITSTAYGTRWYLTLF NYSLPFSAQLRVWDVFMLLGDASYSNDKSSSFSGDLDMLHATSAALIDATREILLDSD FENAMKVLTSWVPIKDDDLLMKVAKAEYKLRKKRAKAG CC84DRAFT_1224131 MSRNTYEERPTIRPFDPSIHKDEVDRLFRKLKDTRLPQIPIVPD AGDDFGPSLEWTNKLYNYWLNDFSWPDAQKQISEWHHFTTTIEELKVHFIHEKARVRK EKAIPLLLVHGWPGTFFEFQNVMHALLEPSEADQPSFHLVVPSLPGFCWSQGPPHGTW TLQDTARVYDTLMQSLGYKTYVAQAGDWGHWVVRELGTGRYPACKAVHTNMCPGAPPK GTKLNEKEQAAMDRAKWWIGDPLNEGHMGYAIEMRTRPQTIGVAFNDNPVGIMMFIGE KYQELADPTLKTATLDNKQFNHDLCTTLSLYFFTPPSIMTSMLCYYNNVRHEVYTEFN AKEENLIRVPLGVSTFPYDAFPVPKAGAETTATNLQFFKEYDHGGHFACMECPKEMVS DMREFFPQHYKR CC84DRAFT_1132984 MGKKAQTLDGHVSGQANTPLSRPSHALTFEQVLEEIGCNSENGL SASEATKRHEQYGNNDLGEDGGVQPAKILLRQVANAMTLVLILAMAVSFAIRSWIEGG VVTAIILLNIIVGFIQEWKAEKTMDSLRSLSSPTATAVRDGKTITVPTIEVVPGDMVE MKTGDTVPADVRLIEAVNFETDEALLTGESLPVSKDAEATFDDDTGPGDRLNVAFSSS TVTKGRARGIVFATGMYTEIGSIAMSLRDKGSRRRPVKRKEDGSAGPHRHVQAWGLTA TDAVGHFLGVNVGTPLQRKLSRLALLLFGIAVVCAIIVLAANNFVNDQEVIIYAVATG LSMIPASLIVVLTITMAAGTKRMVERNVIVRNLKSLEALGGVTDICSDKTGTLTQGKM VAKKAWIPAKGTYSVGTTDSPHDPTVGTLSFTPKPPRQLDPEKDGDESTYEQLLENNT HLLEFLKVASLANLAHVHPNEEEGGWNARGDPTEIAIQVLASRFKWNRLDHTGDNNPE WKQLAEFPFDSDVKKMSVIFEEASTSQKYVFTKGAVERVIYSCTSLFQSEDSTTEMTD AIRDEILKNMDALASQGLRVLALASRSWDGTITKGEDVDRSQIEEGLTFRGLVGLYDP PRPETAGSVRQCQKAGIQVHMLTGDHPGTARAIAEEVGILPSNIATIAKDVVDAMVMT ASSFDKLSEDEIDALPLLPLVIARCAPNTKVRMIDALHRRKAFAAMTGDGVNDSPSLK RADVGIGMGTGSDVAKDASDIVLTDDNFASILNAIEEGRRMFDNIQKFILHLLAQNIA QACILLIGLVFKDDTGLSVFPLSPVEVMWIIMVTSSVPDMGLGFEVASPDILSRPPQS LKRGVFTIEVLLDMLVYGLWIAALCLASFTLVLHGWGDGNLGTACNDSYSDDCETVFR ARATCFACLTWFSLFLAWQMIDMRRSFFRMQPGSKKYFTQWFHDVWRNKFLFWSILAG FITIFPVVYIPGLNTIVFKHKGISWEWGVVFVATVLFFLGIETWKFAKRQYFKRQEHA KGMRKGSIDLEKRTFERYLSTAISTDDEKV CC84DRAFT_8338 MRSNVDAKLEKVASRRVTSGAVFRFERAWAIEVPAIGGAARGAE TLAPAKVQERNAQHSRAVASRPPPARIETPLRHRLRTDASTSTALSSQFSESVLVSLA RCGRQRRGGIATRTSPCRGEELLSARRLILGATTQPRSPRTSPSLQK CC84DRAFT_8346 MPLPSRFTRVRRCFRQINGLHAPTSFLVFPLACLLVSWCVTSTA EPFPGTNKFQQVCCPVRSCCLWNCRQLCTRVPSDYCTSHVLSITRDRPLADLLSVHGQ PQKGEGTCERGYSSGDEAVRKSRR CC84DRAFT_1170239 MSAMVPLRMRKALAGKKIGILKMYIGGVDFDPTAKPHTPNLVAS RADSLVEKLIVPPHHEVDMCKVMEYAWDDLLASNGDHNVATSLAQVDSGTIFPRPPGA IKDKYADDDPIVRHIDVEAHVTNGLVITHETPILGDALKNLKPKRKSDYEDWPAEVGL DAVVWPCNGDVGKVDADVNKLSAADTWRNGVLYSNGDCAIRQLEIPTVSAPMGVMADM GMPFNLTFASKAYGMITTTSSAAAFVVKDKEGRRVNLSGTCGQDLVSLGVYVDGDEAE NVKFGGGQWVDSAVTESV CC84DRAFT_1253800 MPLLGNIKDLPPPGKLEYTHWLELKNIYGPISSITVLGQTLVFI HDKNIADELLNKRSAIYSGRPRLRFGLDMVGWIDAMSSLQNNALHRLYRKYAYQQIGS KAAVSRYHSMQEAIVGRTLQRMKECGGRDLVHNLKTQTGSLILRVLYGYKIEQNEADP LIAMADVAMDQFSQATVPGRWLVDTIPMLAHVPEWLPGAGFKKTARLWRHNVLSCVNV PYNFARKRHSGGSASMSFVSRSMDEAEADNGGCALSEEQEHAIKWTAVSLYVGGEDTS AETLNAFFLAMSMFHNVQRKAQEEIDRVVGAQRMPNFGDRDRLPYLNAVVDEALRWHP IVPMGVPHLSDEEDCINGYRIPKGAIVLPNVWWFTRDSKVYHDPETFKPERYMEPYNE PSPRDFVFGFGRRICPGRVLADSSLYLTFAQVLATFNVVPAVNERGDTVKNVHTFGPG LVSKVGPFSIEVRVRSEAHGATLDELMRRSPSEESDAKYLDGLAKGVLSNGSQ CC84DRAFT_1106705 LQAPEFGLIQERICDSLFALVIQAILWNKTKGTAARPILWKVLC TYPTPELLASADPTAVQELIRILGLQERRAQCLVKLAQVWVAAPPSADRRYGRRDYPK GEGRDVKNRELLGPDDEREGWEIGHLPGIGEYALDSYRIFGRDRLRGLQDAEGVEPEW KRVIPNDKELAPYVKFKWAQEG CC84DRAFT_1158869 MATSTQPSSLFFRIPLEIREQIYHHVFLYSRCMHAAFPTQPRSS TASQPHLNDITLRYRLPDPNCREQRYASGYEHLQIDWIMSCKAIFSEALEQFTRNAEW VVCGIEGVICRSCKHCSSEGRRTSAIKRHWTTRIPVNSARIRKMEVNVDNLTNFETPK RYEHWDEYDGIDTTEQLKALSEVMRAAHMQLDTLRFVGNSYQLRGTGKRKTKPSIWTS GSEQTINIMQQLISFFDGIKVDRWELGIVDMPRWTCWVLFEWVDSEGLRIIRNEGRQK ATDPRPEEDLLNLLPPGWVLKIPTCGDEDCDDCNPL CC84DRAFT_8366 MSHAQVLKGELAAAVCLAEGFLRALCKEETFTRFAQSVVREGSL QAYCQRCYPETVPSSISFGESSGLWRFPENSIFLPIDLPPLGRGSASRSLY CC84DRAFT_1132995 MATPYVRPFNFDTDHSAGLHVFLTTLDPSVSHEPARTIGSYLWY KAYVYLTPNTCFVLDNGAGRAVGYIIGTPDTAAFAQRWRETFTPIVDPELVPPPGIET NDPAMETALVKGLREEVHSGECSMLLSHPSLLSTYPAHLHIDILPEFTGQGWGQKLMS AFLPAIKELGAGGVHLGMVATNAGARRFYERLGFELCGEVMDGGVSGEVGRDGGAVCL MRRL CC84DRAFT_8385 MTIPDEVDIIVCGGGSCGCVVAGRLANLDHNLQVLLIEGGENNL NNPWVFRPGIYPRNMKLDSKTASFYYSRPSEWLDGRRAVVPCAHILGGGSSINFMMYT RASASDYDDFQAKGWTTKELIPLMKKHETYQRSCNNRDIHGFEGPIKVSFGNYTYPIK EDFLRASESQGIPTTDDLQDLVTGHGAEHWLKWINRDTGRRSDSAHGYIHSTRAVHQN LHLAVNTKVDKVILEGGKAVGVQTVPTKPLHPNQKHSKIYRARKQIIVSGGTLSSPLI LQRSGIGDPEKLRKAGVKPLVDLPGVGLNFQDHYLTFSVFRAKPHVESFDDFVRGDPK TQEAVFQQWNLNGTGPLATNGIEAGVKIRPTEEELKAMDSWPCKEFRSGWDSYFKNKP DKPVMHYSVIAGWFGDHMLMPPGKFFTMFHFLEYPFSRGSTHIVSPNPYEAPDFDAGF MNDKRDMAPMVWGYIKSRETARRMDAYAGEVQAMHPFYSFDSPARAKDLDLATTNAYA LPGNLTAGIQHGSWSMPVEKGRDPEPTFLNSNCQHVLEDLKYTNEDIKHIEDWTKRHV ETTWHSLGTCSMAPKEGNSIVKHGVLDERLNVHGVKNLKVADLSICPDNVGCNTYSTA LLIGEKCAVLTAEDLGYSGSALDMKVPTYHAPREITGLSRL CC84DRAFT_1158872 MICTRVTANGPRASRQASAQFIKTLNCLNASGAGLRPTFVAVHH IQLSAAPQRHFSNTSRSSRIDEYFPQKETEKVRKTPSAWPHPVYSYNDMESVIVAHRE AKTRSDRVALLAVKVLRWGLDLATGYKHDKAVALNEKDPEAARRKYAMTEEKYMMRNV FLESVAGVPGMVAGMIRHLHSMRRMKRDNGWIESLLEESYNERMHLLTFLKMSEPGWF MRFLVLGAQGVWCNALFFAYLISPRTVHRFVGYLEEEAVITYTRQLKDLDAGRLPKWE KLQAPQIAIDYWNMPEGHQSMRDLLLYIRADESKHREVNHTLGNLDQVEDPNPYVSEY KDSERPHPRKDLKFQRPTGWERSDII CC84DRAFT_1158874 MADKRISFKVDGEVQGVNFRSFTTKKAHSLGVTGFVTNVSDGTV QGEAQGSSQALKEFIQHLSKGPSAASVSSLEQNDIATKSGESGFNVK CC84DRAFT_1158875 MESTPSPPPERTLSPEPWVSPSLALNAVPQLDRSVSTASSVSSV SGRSASSRLSDSGIPGRRRGYMRPEGTEFSRSAKNRESVMNLGTIAHLQYYFARTGLL DEATGRVAKKRKHGSRTASGSERPLSDIEGDTSGLSPGTMDTLGDGLVESPIDDNASM SWDDNEPVMLPPTVSTYKSTPVYVPPPPDMTILRRELRESLTECTKHLQELEKGHSNG TAADAGFGDGAGGRQSAETPGWHQIQGLNLLDVATLAIRAAKNYYTAHENPQRLYSIK SERVIRKELYDVLEVLKRLAIRNFANGVSPAETVCIKEWVEDIGTLLDTEEEKERVEQ EERESWSWREGDWTGKERERELLFLKSFDSVPEPLPEWTNPESADLPTPFLATLQNGL RLIHLHNSIVKKSPRQFEEIKQYHTDTAKPYRCADNLRYWAKAAELRWDVKMEIDAMG IVQGIDAEAWRNFDAALMKWSKGVREEIVKEWKQQKSQVRAPTLQIDTDFEVS CC84DRAFT_1158876 MTILPTLPPAQPDAPSPSAVDEVAARRGSSTTGPRLGSPARSTT SSPKRPESAAALESTTKLARNVSPGLLARMKFLNQNQAPEVPRSAFTPAHIGKISERK IRELDEFHRDRRSFHIERRGTAWSGTSSQVGTPLIPQLTGESVPALAMDASPPSSFES NRSSFVSTSDRVLPSDSEAGDLNEDHQKYRLPDITKSKSLSRAVTETEASSDTELGRP PTPPPKDTPPVPEASVLDSENGIDVDAYFHQRHFSRANSIYTLSRASFTNQIQQLTSI KLPPPDSLTSEIRALPSSTAAGRALHSAANSIKQWMAKAAEVLSGLDAEDDVEWAAAA GREGLEEVDAAIGKFEGLVNVYITAIEDLQSRDDIATLPNRDLTTLVTQMEEVLSNWS KIKQTLKGIKSQVEMAMEWEELWNTVLGEIGMEIENLSRLVFEMEERRHRAISDSVAE SAETFNIADLETIVEETPRQQKREHARLQSNRFSMPTLSIMSPVSPIPQIEQENSRLL ALFAKLQPLRASLDFLPMRLQHFQMRASKLFPSACDELTRRKEQLETLEKKLEADADA LREELGEDKWVHSFRQAGSKAIAMYDSLMKSIQRLRQVIDEGDDEKLGSRMSTYKDKR EHYPPSMKRVLELIDIEMKHRSTVNGEILRIQQDVRQKVSDLQIQMKETDMVIEELTA TRKLRDSVSTVLSARTEASLTGSALDTPNSSPASSVIMSRKSSEYGRITPVGKTSRQP STSSTKSSLTASRRLSSLPMATGTSGRKTISSSRLEALAAPVHPPRSMSTTPTRQLHS ATPSSERPRWNSSTNMRDTVVGHNFKPLSLTTPSPHRKDPTTPLRTLRSVSSHSAIPV RSPLSQASALSPISSRPASTTPAQQAIGRRPLASPMQSPSTPARGKSALQTTPNSSLS SCRTPNSTRRVTIAEEESDSTATEESPMARRASRPASAMTSRRTSMLPTPKQRTTSGT TVPQITTPGGRTHSRMGSTEDGRRSKLGLDAGRKSSLGLASGRKSSMDGRQSSLDERP RWR CC84DRAFT_1210990 MFVPVFVSGLLQLLAAPAISAKGLVEVASLDEIGEDYNCPGGNV HFVAHPVDVLLYQNPDLYHDVRTFKCTTVVMLTAGDRGVKDTNSTDSLELGLQASFNF MAGAPINQTGWDSVKVICRDAFITLRYPKDAKGLLLIYLRFPDGGSDGQGYKETGQVS LRKLYQNQTRNITSIDGETTYDLNRLKHLISTILQWKSPTIIRTLDNITPIANDGEYT AEHADHSVSARIVHDVIKEYKIPGNVTSYGGNLIRTFEPTLETQTEDFSVKVRAYLEY AQYDKDMCKLYSECFKNAGLMPKEAPDDDKYTVQYLNREYYVI CC84DRAFT_1106724 MAAMSRGTRPAAKPQLRIAKRVKGGTKSNKNHTFESFAQRIARL KIEPVRRGRSTIIDDAELDATFSYFKDALVEWRDINLSEIFSIFARRVAPLCDSLPQV LHHDERIFELLIENIEKGDKNSEEPLLKLLANFAHDLGVRFEKHFERAVRTVAHLAAT HADVEVIEWAFTCLAWLFKYLSRLLVPDLRPVFDLMVPLLGKARQKLFVMRFAAESLS FLVRKAGAAYHRDQIPLRTIMKHVSGLLKDAQGTASDIDFQQGLMTLFADSIKGVQRG LHSSGVATLKEMLLCTYDGEYADLQSPPLEFVLKGVITSVIHFTDAEHFQPLLEVIIE TMKTKVADKRYVGLSSRLLFVVCGVRRGSRIAQWQSMLETINLLVDGIDESTTLEAAH TQELLSALAVVFQYCPIDAAIPHTQLLEKISKQPLEKYFLSFCNTFAGLGAERFNTLL LSYFKRFVVQKSQEYGDQLAVILPSLYEHNIVPKDTLQAPSDWQNTMNKRLQQLPTLD FDSEGSQRALYDCNAFIDAIGSFVLSSEQKQTISKYLYDALAKSLENGDKLAMNTKTF ATGNGFQYLVRDASLQQSLVQLWPALCKAASTNGNSTLAFWNGLLALAERNKASLNFS GDHIDSLKQGLLRCLGSPSHELRLVALGLFEILASDTEERRNAISTASIIEQTPVNLE TQRSISMRIGQLAKLYPNVAADEYLGEAIPTFLFGLLHVRLASIWDDVTSALKAICET KEGEAYFLRIAFEWISQPTDHDESATGPAAAPAPPQFVSLFECTNVMQLEHIINRSQA TLDDAEEELQVRFNRDHTSAHFLNDFSRTQALRVLNELPVVAEKRSRVLVPVLLDWAS AQRAIGMPDDDHTVGEESEPESRWTRKDQKSMLTLFSKFTNPKVLYRARDVYQALLAL LGNGDADVQRAALKALLTWKEPGIVEYQENLFNLLDDSRFRDEVSVFLDAGAGQSAIK EHQRDVLFPVLLRLLYGKVISGKRGLDVKRKAVFQALTRFEDGAISQFLEIALGPLSG VHILRDQILDESVLQADLLAPRRQVGMINMIEDMLSALKTTIRPFVASLADPVLYCLI NASRAIAKSTAAPESEPADSQTSIFRAIRQRALHVLNTLFESYPGYEWAPYASVIVGE LVTPRIQQLPIETAQSVSGTLRLFSAWSKSATTAGFLVNFNQDILTKITECLDVPSAK DDVKRFVMDSILRELISLVASSEQESTEAKIRRNSIQSNILQPYASTILQHVDSLLRK SPSKEVLESGVHTVAELAPYVVGSAESRSMLEISSFLLRQPSQRVNAATKLGLLKILH EFIPRCQNIDITELFDTIFEGVSPLFSYMKDRNARVLLCGILEDLSAYRDDLSVLAKL CHGLNSYSVSRLDEPDFERRSAAFGEITSDIRYSLLQWKPLVHSMLYFIKDNDELSIR VNASLALRHFVKTTPQDQDTKDFVSAALLPGIRFGVREPSELVRVEFLAVLAQLVETY KDWAPVTDLHILVSEDDEASFYSNVLHIQSHRRLRALRRLASNAPHLQSGNIYHILIP LLEHFVFNKAEDDNASALSGETVKTITTLCLGLEWPQFRSLLRRYTGYLSSKEDMQKT VIKLISGLLDRLHQSARTKGYVASTSPEAQAQTQSADSTDEVNEADAMEIDEQPSVLS KTLPQQEKLTKDIIDNILPALSEFLRKKDESTVSLRVPVAIAVCKALLVLPPLEIEAR LPAVLLDISYILKSRSQDSRDMARNTLAEIATFTGSTYFGFILRALRIALSRGYQLHV LSFTLHKLLVELAEQLKPGDLDYCVTDIMDVIMDDIFGVTGQEKDAEEYISKMKEVKS SKSFDTMDIIARSTSPSNLYALVSPIKSLLQEKLNARMVQKIDELLRRIGLGVLQNPT VNDRDILVFGYELIQEVYKSNNSTEKAEFVEPRNKKFLINMKGAAKSGARQSTALYNY KLIRFSLDILRTVLRKHEELQTPQNLTGFLPVIGDALIANQEELQTSAIRLLSTIIKV PMKELDENCPVYVTESVRLIKGATSSNSEIAQASLKLITSVLRERPNVQIREQDLAHL LKRILPDIDEPDRQGVTFGFLKAVMTRKIVITEVYELMDKVAAMMITNQTQSARQIAR STYFHFLMEYPQAKNRFKKQLEFLLKNLRYDYVEGRQSVMEAVSLILNKVGEKVLEDN LGMMFIPLVHSMANDDSADCRTMAGALVKTIFERANSQQLKSFTADLKEWLIQDEDAG LKRLGIQCWGLYLEVADAKPKELEFVMEQLRATLDVCLERRDEEDWELIYYSLTVFSK LTKPWPDYTLSSESMWRSIRACVSYPHAWVKLTAAKLVGTFFADVSTANSESGLDSVP LKGSRGLELTEEMGIKLTHLFLRHLESLNTSEELCIQSVRNLAFLARWLAANTNIVWN FKKVDDEEDVEDGTLVQGAEETNGDASDSEFGGFSPRPNSTKTKTALPTAIHRLVTRL SGILRRETKIMKLSSLYPKSATATLVETLMSKLPVPSLTSSLPHLLTTLSTLSDPATT IPRSTEPAFNETYRAIVDKSSETMNVLQKRMGTHEYLKVMGEVQKGVKNRREERRQKR KIAAVAEPEKFGKEKKRRNEVKKVKRKEKGAEYQGKRRGW CC84DRAFT_8600 MLTLYVPVSRIRGRSLGTCERLSKGVAVQSWPLDARQSRSSKGH SCSEGSVRFMPLVCTKSFGSRSLSLDDSLWSKWSRFQTMPCLCTCKVTSADSARTNCS TSLRCQRRYKRRDDARRHRKHATLISAPVVQSRAGAYGSTRPEPRRASRQVRVKIRIS LAGSLNTGTGTHAMMHAAMASIRGHSSSATLPIGRAVQRSRRQMPSPVLRSVCSAVEQ RCTPSLSTVH CC84DRAFT_9729 MAAAILAAACPGPAGSPRARCQAYIKLRAPRPPSRLHLHPPTYH GAVAFTPSEHSLVAFFVSRRRPLSLPKHQPWSARSASSSAAPQAPSSSHEAAKPSVPA EKPSSAAPVASAPEASYEAATSFVFASEAAKPSSAAAVSTPAPAAEAVTTTYAAASSA KASSAAPASTSASSAGGYAGGKRGLAYRWDGAADCKSFEGKNFGFVWNWEADTKGDVG SFAANFIPTLRTLANAGDWASKAQAAIDAGSKVLFGLNEPDIASQANLDVASLCTAWK DNMNDFYGKATIVGPSVSSSQTEGQGLSYLQQFVEQCPEAKFDDINIHWYGPASAGFD AFKAHYEDAASKFPGKKIWVTEFGLTGATETESADFLKSAQTYLDGESTCAGYSYFAV GNFDPAANLLGTMSALTKAGEVYVS CC84DRAFT_1158879 MEEIAFAATPVTMLQYLHGASVDAAQHPSDTLKEDRDAGREQGD GKTATAKEVAMKADPGEVHPTVDQSTGSKFELTD CC84DRAFT_9856 MATTTLLGLTLTLSPRALTLLRLTPLLSTTASLAHAYMEYLTTT SFLAAPPTSNALTRTILGSTAPVPRKPTAAAALAAATDVLLPAWFVNFFNTGLWSVLA LNSTTLVSAAANLWAVGGLGEASGKWYFAGFAAAVAHLAFAPAVAGSVEGLYRLCVEG CKGEEGKSGEGRAAKLLGEWVGWHKVRLWSVDVAAWVCFGVAAVEALTV CC84DRAFT_1078493 MPSVVSRVTSPPERKRKAHAKSRKGCGNCKLRRVKCDEDKPKCK KCVLYGVSCDYDGSKPSLDLSAEGAFQVNLPRAEATLDFSVAPEKPPVSLSKVNAAVV HHSLSDTTDNRADGLIVKRFQTRTVSTIGSKEASSTYRGCISHLAFSHPFLMHMVLSL TLLHDAHLSTSPSSDLATSFQNASLTHWNTATKLFNALLSRPIPPSHRDAIWATGALL GTASMAYIESSDPAHAWPLKPPDPNDLNWLKLAEGKRAIWQIADPSRPDSMFYQLGKH MNHTQRPDWVAQPDFSSVPPRLARLFDITEHDSVESNIYYLPLLCVQRTQALTLTHEL VLPFIRFLLYMTPEFRALLELKDARALLLLLWWFRRVEAGHADLWWMTRRAKIEGRAI EIWLERWYGGEEGLIEMFERVRGGAGGEVLAGQWQEDPAVVLKGCTGWAKEVQSAGVF AQ CC84DRAFT_1200633 MPPNMAFRQKPKIRVPNSLKGLHGDEVDFENTWVTIEAAFREIH TKNASRLSYEELYRHAYRIVLKKKGEQLYKNVHDFERAWLSKEVRGHIQQLLSPNLLA HSQSVASTTANERRVSGEKYLKGLKQAWGDHQVCMSMLADVLMYMDRVYCQDHRRPSI YTSAMVLFRDEILNSPLSVADERTILGLLNHVILEQIQMERDGDVIDKHLIKSCVHML DGLHADDLESEEQRLYHVSFEKEYLDTSREFYRAESELMLRESDAGAYCKRAKKRIHE EEDRCRATLMESTTSKIREVVEAELIQGRIHELVEMDSGVHFMIDNDRLEELNLVYDL NARVDERKSEVTRSIQKRIMELGIEINNEALAASQAPASAPAASTDTGDKTKQAQEKN VNLQTVAAIKWVEDVLGLKDKFDRIWRQSFDSDSLLDTAMFNSFRDFINSTAFPRSSE NISLFIDENMKKGIKGKTEMEIDQVLDKAITLIKYVQDKDLFERYYKKHLCRRLIMNK SVSNEVEKQMIEKMKQELGPSFTSKLDAMFKDMSISADLTSGYKEHVEGLGDRDPKRI DLAISVLTKMTWPMESINGSGEAQEGNRPKCNFPPAVDKVRRGFEQYYAEKHSGRELT WLPNMGTADIKAVFPKVPQKDGTFKERRHELNVSTYAMVILLLFNELPADECLTFEEI QAQTNIPKNDLVRNLQSLAVAPRTRVLLKEPMTKEVKPTDKFFFNEGFKGNFVKIKVG VVSSGNKVESDQQRKETEKKNDDERNFVIEAAVVRIMKQRKELPHQKLVIETISQLAS QFKPEVTMIKKRIESLIEREYLERIEGAAVDSYRYLA CC84DRAFT_1158881 MGPPQPVTKPVRATQCLCLDPCSFSSTPSTPTLPLRILDSAIVH LCCIPAMPPAPSSLLRGLARPPAPRAARAALSECIPGARRLFHAPRTSTSTLRRPLPI LARPHLPRAAVRYESTPASNSPGTTTAAPESRLERDQVPAYELTFTCKACTTRSSHRV SKQGYHHGTVLISCPGCKNRHLISDHMKIFSDKSVTLEDLMREKGDLIKRGTLSSGGD VEFWDDGSTSPRSAHFHPNSNVKGDDDAPEGTLTEPPKPSEKP CC84DRAFT_8661 MQYLLGLAALLAAPASSTFVVQCYSRLFDQRADPVVNPGVASGH VHTITGGSGFNFSMTYDDARASSCSTCNIKQDLSNYWTPKLYFKAQNGSFLDVPIVGD TDGGQMGGMAVYYLTRPGPDNDKLTAFPKNFRMLAGDPMKRNETDDFASRAVSYKCVS ATNDKADIRHLPTDKCDQIRAQVTFPACWDGKNTDSEDHKSHVSYPEEGNYDGGRCPK THPVHLVTLFSEVYYDTRGFQDMWYGDQQPFVLANGDATGYGYHGDFVNGWDVDALQK GLDMCPDGVEDCAQKAFGDFNSPDETKECKLPSMIDEPVAGVLDALPGCNEVSYGPEP ATKTENCNAPKLESTLSPKLAGYVDVTNKGFKYIGCGKDNALERTLSEELLTGDDMTV DKCIDFCKSKSKSYAGLEYSGQCYCGSAVADDRAPASARGVGNCLMKCSGNQEQVCGG NDAISLYEACSGGACTNAAKRHSRRLASMEVARADSAARGM CC84DRAFT_1253816 MSGPPPPLGESWFPNVGGNIGLNREPVPPSFHPPHPPPGPPAGP PPAEAQAQETPEHISPPTMTHHLPGLPTAHTLGPFPPPILGMGMGMGMPLPFGPVMGM GFGGVQSAGPLAPPRMPWNTPGLLGNPSTQHGACVPGMPWLGFERCVDGPPVPEGVAG VVPPFAPGQPLWSGAGIPGLGAMGMGMGSMGLGMGGFGMGMGVGFWPGMHRPAPGIMT EALAPPQPPQADITSLPGGVPPGMTHVESTEHTIVHLLKGPVFPWLNHGMPMEVEVLY VSCSTNINRLIEVCNENQDCEGFGISEVHEMVNGLWEKGQTFVYGEPMSLVLTLGDAG WNKERNRPGGRSLHIYFHKV CC84DRAFT_1191918 MFTFTPLLGAQSASPASQSLLEFDGGIKVLVDVGWDESFDVEKL KEIEKHVPTLSFILLTHATTAHIGAFVHCCKHFPQFKNIPVYATTPVISLGRTLLQDL YESTPLACSTVPADALAESSYSLGNTNPNILMQAPTSEEIANYFSLINPLKYSQPTQP QQLPNTPPLGSLTITAYSAGHTLGGTIWHIQHGMESIVYAVDWNQARERALPGAAWFG EVGGEVIKELRRPTAMICSSRGTGLKKAVGLNQRDEALVSLVRETVANGGSVLIPSDS SARILELAHLLEETWKETGSQMNNAKVYLASRTAGATMRYARSMLEWMEKGIENDSFD FKHITLLERKSRVTKMLAQTEPRVILASDASLEWGFSKDAVRSLASDSRNLVILTERI GDFGSSNNALGRYLWEFWNEKNPAAEGDAISVASFSGEGTQVSSRSARAVALDGQELP LYQQYLARQRQLQTTMQGDTGAALETSGAVGDDWSASTSTTSEDSDDEHQGRLLNTAT ILRHTRKKLGLSDEELGVKILVQRKNVHDWDVRAKRGDEKVFPFVAKQRRADEFGEVI RPEEFARADEEEAAPEALREGAKKENEVGQKRKWEDLTIQTRDGGRQKKTRKADTDDK GPNDGGEASDDEPDDDLEHIEGPSKVIFETETLQLHCRIAFVDFSALHDLRIINNLLP LIQPRKLIFVAGDEAETEKLAEEARTVLAARAGEASNAVDVFTPDLGDTIDASVDTNA WSVKLSRSMVRKLQWQKVRGLGVVAITGRLAAASLASSAEEEEDTPAKKKARLDAVSS TAENDKMDATPILDVVPANFSTAVRTVAQPFHVGDLRLPDLRKFLQAAGMTAEFGGGG VLVINGSVSVTINKLTNRVEVDGGAYNLTDRKSDGVTFYKVKRKIYEGLAVVAGS CC84DRAFT_12732 MISTVNFGQSPSAAQARLSISSSPQPSMSSSSDSPANTPTFDSN GVSIADSLSEGRIGSRDGSDEIVPKIEETELQLSDVKPEPAADDTPISPTEPVRLRRA RGRPRIHPPRSPTAASKAAKARSKTGCTTCRKRKKKCDETKPFCLSCQKNNIHCEGYK PVEIWKSGKERAAEARRMSVEVKFELPPLMGGVETDVDRTLLQHFVSRASAVLSLHGD QSTNPFTRILLPMALQHEGLMHSVLCLSASHLCSQAPSQEYEDRQVFHRGKALHLLNQ DLQRQKAGEGGTMRYEDSNVAQILLHLLHAICDGNTSGEYRMHMIAARQIALNQKSQN KEFQNLFDEFFYYHWIASEITSLDGTEVPMMENFSLPFEINPETAGLIGVSDGLFGFI SKISNLRRTIRTRIDEKIEPIMDYEALLTAHAIDTGLRNWVCPQTTGTPRYTLSMLYR QATWVYLYRTTKKSKPDPYIRSAVDDGIKYINELPAEGWIQSNVLLPLFLMGCAAFEQ DQRFEINRAFTGLMAVTGLGNITSAKEVVDKVWHLMDIDDPDSWDWEKIIHQKGWDFL AT CC84DRAFT_1158886 MRTGSSTRSASHSTKARANRSCRQPYLLVSAVCIVSLRLDKASD GCMGRIIVPAFPMDCNTEPRAGALVLLVILDRDQTTITEAIACDECGPRLAGCQATCV AKSSQRSQYGSASAVFSKDLQRSAYPSN CC84DRAFT_1106745 MSGRMKGNRLSVSGRTLFNGSEDASRIQSGFVIQQDILLPTLTV RETLTYAAQLRLPSSISQEERKKLVEEVIMELSLKEAADTRIGNHEHRGCSGGEKRRV SIGVQLLSNPSLLWLDEPTTGLDSTSAYQIVKTLQNLARKGRTIIVTIHQPRSEIFTL FDNIVLLTRGKPAYAGSANECLPYFQSLGHEMPPFTNPAEFLIDVVSVDNRSEEAEAA AQIRVNRITEAWRDRSSKLQAEKEMHEKVAGVRNSPKVSPARHISLLQQIRVLTSRTW IVTLRDPMGMFGSLVEAIAMAVIIGWIFLQLDGSLSGIRSRQGALYIASAMQGYLILL YETYRLTIDIHVFDEEARQGVVGIPAFLISRRLARLLIEDIPVPLIFSIIFYFMAGFR KDGEVFLTFFSVILLEQYIAVCFAMVCVAISRNFAGASLVANLAYTLQSMACGYFIQS NTIPIYVRWTKWTAYVFYAFGALAANEFTGQFYDCPLEGGVSNPACKEYTGAFILDSL GFPRDWVWRPILALLGFGIAFYFGAYLLLKFWNAEIAMARARPSNVDASAGKEKMVAR SRDEVRTVTIRLDGYGLDLEKRSIRKKTTKTILNPLTAEFQPGTLNVIMGPSGSGKTT LLNCMAGRLRDDLTTRYKKYGAMSFNGLSPSEDVVHAICSFVTQDDDALLASLTVRET LRYAAGLRLPKFLTTQEKMQRAEDILLKMGLKDCADNLIGNDLIKGISGGEKRRVTIA VQILTEPRVLLLDEPLSGLDAFTALSIMDVLQGLASEGRTLIVTIHQPRSDIFAHFGS ILLLARGGHPVYAGPSGEMLSHFTKQGYQCPQHINPADFALDLITVDLQHESREAASR AKVRGLIQSWTPDLFPIARTGSITTPAELGSLVRAPSSFTNAVSILLRRATKNFFRQP DLLSARIGQVAGLAIVLALFFAPLKNNYFAVQNRLGFLVEIAPLYFVGMLNNIAVYPL ERDVFYRDYADRVYNVEAFFLTYTVLEIPFEIISCILFSCLAVLACGLQRDAQTFFII TYNAFCIVNCGESLGITFNTLFTHTGFSVNCMSVFLSVAQIMGGVMSLNIPGFLQAFN HLSPIKWAMGNMAPYTLRGVQFTCDEYQEINGRCPITTGEDALALYKLNKDPEIYIMA LGVCTIVYRLIAYVILKCVKERWVGRAWRKMSGARLLKEETLQPSGATEEAMLR CC84DRAFT_1133033 MSTVPSGHITTSTDLTAERLSQNDSALLIVDHQVGLYELTRDWD PTIFRQNMIGHATLGKLFELPVVMTTSAETGPNGHLPKEILDLYPDVQVVQRKGEINA WDSPEFRAAVRATGKKQLIIGGIVTDVCTAFLALSLRSEGYSVFANVEASGTTSTLAR DTANLRMQAAGVHLVSFFAILADLMRDWRNPLPGVEKIVPIINDYMPAYGYLMRGHAS AILQNGTVLPGSEKYI CC84DRAFT_1158888 MKQSIIALSLVGAVLARPGVNRYSFEKREVPQEHAHRNVNLEVT KSLNLNNPDKIQDPVFGLLGAKAAAEGAGSIADPDCLQQATADQAFTNAKAAGDVNGM TFALIYRALERNTGSVGLASVPCTSIKAVNPEIAALQQHQDPASNGAAALNKQIATEL AAQISAIGGDPTLANEASTFAPGQIGDPTAKGNTCDDDADDAGCINTLGLRVNDLTEA EALAAAQGGAGSAAGNGTANAGSGKAGSGKANQSKGNANNNANNNANNAACGAGAAAG AGAANGTASAGGNASNNSGNNAKSGNNAKSGNNAKSGNNAAAGAAAGAAAGAAAGAAT GAATGAATGAASFGSCTDPTITFSQTPSDGRQEAAFEATDLTNFPHGSALGIGVISSF ICDQLVNKCKADQAAVDKCDQADAAVKSSGLKGQAAADSFNKALGF CC84DRAFT_12831 MAKLRTAHLKRYGKLGDNTCFMSVEQTTMTPGPKLYTALLRALG GNDEAIRVLATESSPGVPRDRAHGSVNASALPVLEVKRSENNIRPFCPGCVVSKLLGF NHHEQLTIDLGSNALGINNDLAQRHRKGGSTASMAFQNQRLHLCYPV CC84DRAFT_1200641 MTKPKSRTISAPFDARHVGGVSIPGATVPIVGIERSSTSLEPDN APSHTFVAHGNIEVPRRSHTIASTLGRPSLTLKTSISLLRGRSNSNAQAPEPTDGSLK GEMSVHSLRKKTSTSRFWQKAHHESPVAESPKEDKGAMRAFPPHKETPVLRTKPSHPF MPSLPTANPYVYQAPPVPSPPPPPASELRQTPPQRAPTQKKLPVVRSKRADSGTAIDF DDVPAEERPLGFREILAVSSFSERMALYKKTRDYWAHADHGLVEWVDRSRPRPSFRV CC84DRAFT_1211005 MLHYISLPVCGPYDVLVLVDLPHVKKAGIHHLRGRAAHDELPFT KTKHVKTSRFAFSDTYAPPEGFLQVLTFVLDLFEAVRSVEVYNGMDLERARYLYEDLL LNLFASLGPSLEPHLDTLETPLLPGKFNQNGIWYALIPNLSTFHRLEALKIPEQLFNQ HVRNVINGEAVTPESTEGPMYLLPSLKLGFLPPTLGSPILDRCKLSTVILRHLWSTRT CFPSFVLWTCSLIV CC84DRAFT_1211006 MVEPSPGKGLGVFAAHTLETGSIIMREAPVITIKPPKHAPGTGY PLPVISQLVHAEYNRLSEAQKHSVLDLTYSVLPIDLQRYGSNLDILGLIFRNNAYDTG SEIGLFPKIARINHSCRPNAAYYWNDRLKRRFVYATREIEAGEEIFVSFISLLLPREE RQKKLARYGFTCTCPACSQHPVDLHRSDRSRVDIEQALRAFSAQMNLTAPTHPSAIRK ARKNAASSLELASLVEKEGLADYYAQTYRITAISHARIGDWEKATVWANEGYKRRVME DAESAWTMEMYELTRRFVENWEAELRAGMAT CC84DRAFT_12844 MGGSRSYGATSAAGPDDNRSTPAVTDHQVQDAEEQTQHPSARRK LKQFYERNFGLFLVFLAQTCGSVMNTAAKLLATGYETKFHALQIIFVRMSCTTILSCL YIWYNRIPRSQGVTGLLILRGTAGFIGLFGLYYSLSWLEFADATVITFIVPTMTALVC WVWLREPYTVKEALAGLLAFTGVLFVARLAWLFRSAPLDPISGEPAEPVEGPDSSSLS LLSFGILGGKAPSLPPVSAEQRTIAIILAVLGTFGASTAYATIRVIGKRAHSLISVTY FAFLSTIGSALIILVHPDLQFVMPEDLSQWGLIAIIGLAGFALQFLLTEGLQREKGGR ATNLTYLQLVFALIVERLVWGTTPPLESLFGAVLIIGAAVWVSLQKNAVKEKGSKAVD EESSLLGDEQGENEVRRRSLASAEE CC84DRAFT_12843 MRLSFLPATLLLQHAAGHSLFQQLWVNGVDKSNTCVRTPRSNSP VSSVSSNDMRCNAGGAAGVSGKCAVNAGDTLTVEMHQQPGDRSCKNEAIGGNHYGPVL VYMSKVPDASKADGSTGWFKVYENGWAAAPGNKGAADNDYWGVKDMNKCCGKVDFKVP KDLAPGDYLVRAEVVALHTAQSAGGAQFYMSCYQITVTGSGTLAPATVKIPGLYGSSD PGIKINIHSAITGYTVPGPKVIAEGTAVTPGNPVCAAKRIRGANNVFTF CC84DRAFT_1253825 MQADPAHLGSSLRLDHIGQNPKRIDQGPVDAPHTSSSYHPPGAD HAFVESQTPPGSPSPALLLAYRDPNANVFVPVTIPKSSLPAKSVPRTRTPKAGRIPKP SNSGPVDALHTSSSYLLDPWPAENSNRPSRNVARASHPPVADYANHSAPTPPTTPVTS SQPTFTGPKTICRYFGCGKSLMRSPNGPDRDYCTICIANDGFRVRSGKPLGVHGPLVP ASVSASVKDTQDALGLCLEGDNYVAVERAKQLVTGLDLASKRCASAHSWSNFMNDPDI VRPYALNLYCVYGDIWASPRNRNPKRSASGPLQAGVPEHDEFILYYLMVDLAIRLRKI TAAQRKSRAVVQVFPSPVPASAGIDDRDLLPIATVPRNPQQLEVPGTTQARHTGSSAD VSPRSLIAAKPPLTASRHSTSTSLESKTTKSGQPPVAVNSHSVPKKKSRSKLPPMGLD SFRVKPFTRESRPVLSNPGPLPNSVPLPLPNQDVHSRESDAHQDHTFNDNYDLYEGPG PIPYGDPRVLEEQARRRSRGCLKVPITPDASMPSSHKASPIESSVDSSFKSNQSADTF SGGSSKANLLTTNCTKKAHSNGVPIVTPDSKRKRTSTESSHRVPVTALESSIAKRRVT GNAESRESDENETGKEADASSKSSTEPTSVATGKPSRKRDTVKYDGDDFLSLGEAEAP APCLREKPPIAPRVTGRCGGYAGSITKLNKLKTRTKTVSAPTPATSGFKTCTKTRENL PNDIIRMTVFTKGKSSPRFLYTRESGVAKDGQRESGDFVELPGSNDAEDDTAVNSSRT AADDLVEVPGTDDTGSDPFFKSETHVRKEVKKEKQKGSQNAPIELSSGSESDSDEEPD EPEGFDGPCDDVAIALDEPSFVCNDLTLDEHYRLSTHQALEDLLATGNPSSAQLNTLW QNILNQYSLDQEFNAFKKAQPAPQRATARRALKLWVVLHSALAQFRDDTQFYGRPGEE WRQHTRMLMAIPGREEWRNALEARRKLRDLPLAIEKTGGWLEGGQFARALADFFSVLI RHGWREHLEERFLAYNKNLCPWFGFFERGDVDMD CC84DRAFT_1200644 MPSLARTCRTASLFSRSTTKTYFNVARSFSTTFRRNEINKIYSS PAAAIEGMQSDSTLLCGGFGLSGVPDTLINQVKDTPSITGLTAVSNNAGVVGSGLGLL LESKQVSKMIASYVGENKVLEQMYLHGELELELTPQGTLAERCRAGGAGIPAFYTPAA FGTVVQTGELALRHNKDGSVAQYSTPRDVKVFSGKSYVMEEAIKGDYAFIKAYKADRL GNLQFRYSAQNFNGAMARNAKVTIVEAEHIVEVGDIEPHAVHVPGIYVDRVIQSTASK NIEKVVNAKDPQDAVSALGAGDAANKRERIVRRAAKEFKNGMYANLGIGMPMLAPSFV DPSIELQLQSENGILGLGPYPQKGAEDPDLINAGKETVTLLPGASTFGSEESFGMIRA GKIDLTILGAMQVSARGDLANWMLPGKVKGFGGAMDLVSNPSATKVVVTMEHTDKKGR PKILKQCEFPLTGKACVSRIITDLCVFDVDFTEGLTLIEVADGVTVDEVRSKTGADFK VADPVKPML CC84DRAFT_12922 MRLTSRTQKAIAWLSLALSTANASYIIDNLSFGQKEGEPISPNL RGIPHYFAKGLGWDIEILSDRAVLTPPWPGNRRGALWSEDPLHHAGDWNAELHFRASG MERGGGNLQLWYTRESQKNDPPASLYTAPKFDGLVLVVDQYEGRGGSVRGFLNAGDVD FKVAHDLDQLAFGQCNYAYRNLGRFSVLKMSQANGKFEVTVDGNPCFSTDKVRLPEGY YFGISASSAENPDSFEIQKFLVSTTNAYTREEPGSHKQQNQQQQQQQQQDQNQQQNVQ PPPRVHGNWLQRTQEQNKPLDPNIPQMVEDVFAANIKSQQDQFADLHNRLQIINHKID GLYDLLEKVAANEDQRFDQLMGRVVPMHDQISATMRNVEKVERTTMETLRDLESKDFK DLLNSVHRSIEQGHSAMSANIPAAISDIVDKGRPSMTSFFFVVVAVQVMVLGAWQLYK KRRNSAPKKYL CC84DRAFT_1158895 MSAPDPKEAWQRIQTELTRRTQRFGGAGGGPPKGAFGGLAGIVL LAGGLYVANNALFNVDGGHRAIKYTRIGGVQKEIYSEGTHFRIPWLETPITYDVRAKP RNVASLTGTKDLQMVNITCRVLSRPRVDALPQIYRTLGTDYDERVLPSIVNEVLKSVV AQFNASQLITQRENVSRLVRDNLVRRAARFNIMLDDVSLTHLAFSPEFTAAVEAKQVA QQEAQRAAFVVDKARQEKQATIVRAQGEARSAELIGDAIKKSRSYVDLREFDNAKNIA QILQSSQNKVYLDSQGLGLNISQTASDKERRGH CC84DRAFT_1211013 MASNTTTATPTTSRSRQRPSTLTITASSPFQPEPEPESRTKDDD LHCLTPPPTPSLTHPRDMPSAIHLLHLRITHLGAGYLSEIASSVDPHRTLQLSRFFAD GMREYVSDVETLARGTAYEGLGLRMLRDAVLRGRTPHVQWLDVGPANRIRRKQMMRAV EVWWDGQREGKWRGHFECRRRQAVQQVERETEGKRADGMRKVCLLAGLQGDELGWRMW CWEFDIDAERDSKQGVKNLEEEFREIGEWPEGCGPDDDEEDGDG CC84DRAFT_15413 MHEIAECEKRLIEEEGPVADSERTTFNEDELLPCHYFDYMYGTS TGGLISVMLARLRMTVPQCLEIYRQVGEDLFGHRRNTLPLATKYHHKPLEKAVKTIVS QYCKHHETCTGDDWHPWNVDEDKSQSSSSASSISGHTDRICQSICLTATHSGMIDEAY LLRTYDHRYDPLIAPVWVTPYNEGADKLKIWQVTRATSAAPFFFKMLEADFGDKHKTG FKDGGIRENNPSYAAYSEHASLKGDEHEPALLLSIGTGRPDTKNDGFASVWPGPFGKV PLLQKWSEKFAVFKNVLIKYTEGEDRHKMMRTIARGEHRWYKRLNVDEGLQDMPLDEW EEGVWTNSATGITKKVPGGKSLSKMENVTHDYLDRNDAKTKPPLLEYAPPKVMLQQVA ERLVRHRRLREATKHDDLRRWQTHMGQWLTGTLKEEDGKATPKPGIKSQSRRSSAQTS SSNPPKAAPVGTSTPILSDSTNLSSPGPTGKTTRVVSSHLTQDDVCAKEPHQSAQVDI PVIVTSEPTELFGTQTKR CC84DRAFT_1133055 MPDMATWKSNSTGGSSQTSKASALFGLDEDDKTNILVYRYRSGC KALEVSTQHDLCRELDNDPQLPFMRVTKKEIPRGNSRLEIRTEDLLLPEDLERNRDKP TLKSSLANVGLPSTAYWKRLLFNSFPELYIVHRSPTTSPASSKNNSPENSQHVSPATT FPVDTPHPSQDPTES CC84DRAFT_1077180 MTSAFPPPELREIVQEVASLLKERKETISVAETAAGGLISATLL SVPGASSIYRGGLTLYTLESRVAFAGWKPSDIESYSGPTTDIVKGLAEHTRKTLGSNW TVSESGTAGPGGGVKGTKRYRDPGFVALAVAGEKGTEVRELVTGLGGDREGNMVQFAV EALKLVRDTIKGEGKL CC84DRAFT_1170272 MSSTTQATTTNEFPADRLPAVKHCIEYLLRNCRSDIIFDHKPVD EHDDPDEPDARKWKDFAEHQATAPETGDDVTVKLYARLKKHDKQAPFRLDIGRSQANN YKLFNGTWSASEDIRSLGCYGDRAPELRERREYAVQIKIDVVFVDKSKLFMYWGRELS QQTITTSHPNKPTLSFTRDVQPNALPRHHFTRLRYSTQGGKAALCGGGVGVHITSGTR NGTIESNRATRMEGQMRERERDGRRGITKHKFIE CC84DRAFT_1224382 MGSESKTGSSSAKPFGGGKAAQSAPSIASKSSKGAEASLHAPST HNMATIVDDDDRLLTRIGYTPVLQRHFSRWSTVSYAISILGVLGSVPATFGSPVGSGG PATAVWAWMIGSIMAYCIASSVAELVSAYPTAGGMYYVTKHVVPKDRVAPWAWIVGWC NFLGQAGGVASLAYTISQMILATAVMHSIEDSNGVPTFHPTSVQTVLLAILVLCLFGA ICSFPTLWLHKVILWFAPINILASIAICIALLVLTPEKQSAKWVFTEFTDGSGWGSKG FSFLLGFLSVAWTMTDYDGTTHMSEETHDAAIRGPVAIRAAILVSGVVGWMLTVTFCF CMSDYEGIMATPTGLPVAQIFLNAGGRTGGTVMWFFVILVQFFTGCSAMLANSRMAWA FARDAAFPFSDFWAKVNNVTDTPINAVWLVVIFCSCLNMIGIGSTLTILSIFNITAPA LDISYIAVIVAHRWYEGKVQFHPGPYTMGRWSKPVNAIAVTWVCFISVILFFPTVRPV TATNMNYAICVAAFIGFFSMIWWYAGARKRYTGPRTTDMIDELPQEDARDIVADSTAF YEP CC84DRAFT_1158899 MFMLRNLSKIVFGDASKESLVEIPQGQLYLVRPRSVKGYSELIF KDAVASIRRTGQEFQYQLVVQRAYEEGEEDLLDEEGQEDGGVDALGDDKDEKTFLLDE SLQFRTDVRSTGENIFAWRDLSGDVGDLWEFVCDASVKPESALLFERTALECQYERKF RRSHETATEEDLEALAYLEEPIPDASPVSSPTSKSPQLIEAPTTADLFPNTTQENMAK RAAGKAIIPIQEEPTTAPPAAAQPQAVGTLANCVAELHLFDFPSGTFIQQDAKVRATV TELGNWQYWLHIVGDEREWLGQPVVDDINPVFNFEFKSFIFNHYLEDGSAYSWLLRFK EQEDLEQFQHGVMQALWEHLNETKWGKVKDTDRDYVMEAFNDLTMEDAPEEEEEEEEE DDFEDADDGQHSEHYDEDESEDDVETGPKDGAANSQLAVGYKHDRSFVVRGNKIGVFK HTPDNQLSFATTISNIKTPKGKNFNPNKVMLHQQDQNMILQNLDNPNALYRMDLETGT VVDEWNVHEDIPVKVFAPESKFAQMSGEQTFLGLSGNALYRIDPRLAGHKLVDDQYKQ YTTKNAFSAAATTEKGHIAVASEKGDIRLYDRLGINAKTLIPALGDPIIGMDVSADGR WVLATTRTYLLLIDALQKGGKNDGKLGFEKAFAKDDKPQPRRLALTPAHVAQFQHETK APISFTPARFNTGIDSEETTIITATGPFIITWSMKKVLANRRDPYNIKRYSEEVKADN FKFGSDKGIIVALPNEVDMVTKRALQKPTRESIAMATKVGTPRKQRGSYLGRNEIVNS PY CC84DRAFT_1158900 MSMTLGKDVSALFPDVLKNIATADLDQKKLVYLYLMNYAKSHPD LCILAVNTFVQDSEDPNPLVRALAIRTMGCIRVEKMVDYMEEPLRKTLRDESPYVRKT AALCVAKLYDLNPALCIENGFIEQLQEMVGDPNPMVVANSVTALVEIQETSPETKAFT ITAVTLKKMLMALNECTEWGRVTILTTLADYKAQDIKEAEHICERVSPQFQHVNPSVV LAAVKVVFLHMKNISPELVKSYTKKMAPPLVTLISSAPEVQYVALRNIDLILQKQPDI LSKEMRVFFCKYNDPPYLKLQKLDIMVRIANDKNVDQLLAELKEYAMEVDMDFVRRAV KAIGQVAIKIESASEKCVNTLLDLINTKVNYVVQEAVVVIKDIFRKYPGYEGIIPTLC QCIDELDEPNARAALIWIVGEYAEKINNAGEILTSFVDTFAEEFTQVQLQILTAVVKL FLKKPDESQGLVQKVLQAATAENDNPDIRDRAYVYWRLLSSDPQVAKNIVLSDKPAIT STIPAMPPQLLEQLLAELSTLASVYHKPPEAFLGQGRYGAEAMQRAAIEEQRENALEN PIAADAVAAAASGGQAAPQSNIENLLDIDFDGSAPASLQKQPSKGESGLEGLAGTPQR VASPAAGNAAPASTMDDLMGLFGEGGGGAQPTGPMTNDDIMNGFAGMNISGNSQPPPP QQQLGGQSAPKSNQDLLDLF CC84DRAFT_1211021 MAEGPCAACRIASDPISGRLALAQNNNTANMPSNLPNLRRLFVE ARTEAEESSYSRNAFYNLVIFMSSIAAFGLIAQRMSSGKAIRT CC84DRAFT_1080825 MSHSETEVPSKAAVSRAIRDVVITIHKAGDDDNLTVNHVRTEAE QKLGLSAGFLKKDEWKNESKTMIKEAVDRYCGDEAAPEPSPMKPSKAQPKAKPEPKKM AKKSAFDNASRGVKRKAPAPAKKLHKRKKTVSSDEESEAALSDSPVEDEASDAESEPA KKPARRQKQVVAEDSDEEDVAPPKSRPQGKNEAADREEDTKRPATPPLAAKDEGGDSD LSSVIDDSPVKKRKRNTEKPAKAVSGAKAKAQPKAKAAKTEDDPDQAEIKRLQGWLVK CGIRKVWGKELAKCDTSKDKIRHLKGMLNDAGMDGKYSVEKAAKIKEQREFAKDLEEI QAGAAAWGDSISTGRPRRAAARAAPPVILAEDSDDEDEEKAPGVKDDGTDGDDDDDDV HSDSGSDDSAGGQDDEDGDEDSE CC84DRAFT_1079441 MVPPPPTKLVRRHTTEGRLLLVSNRLPITIKRSDEGKYDFSMSS GGLVSGLSGLSKSTTFQWYGWPGLEIPENEIGDLRKKLKDDFNAVPVMLDDELADRHY NGFSNSILWPLFHYHPGEITFDESAWNAYTEANRLFAKAIAKDVQDNDMVWVHDYHLM LLPAMLREEIGNTKKNVKLGFFLHTPFPSSEIYRILPVRNEILLGVLHCDLIGFHTYD YARHFLSSCSRILGLATTPNGVDFQGKLITVGAFPIGIDPEKFDEGLQKPKVQERIAA LEKKFEGVKLIVGVDRLDYIKGVPQKLHALEVFLTEHPEWIGKVVLVQVAVPSRQDVE EYQNLRAVVNELVGRINGKFGTIEFMPIHFMHKSVSFDELIALYSVSDACLVSSTRDG MNLVSYEYIATQEKRHGVMILSEFTGAAQSLNGSLIVNPWNTEELADSIHDAVTMGDE QRAQNYEKLSRYIRKYTSAWWGQSFVQELTRISEQADRKVKFAPRAEGQPSFQPKPTA EATDKQEADSTENGGAAPQQKKDENMVVNPS CC84DRAFT_1182348 MKQRFSSLDVKVIAHELQQTLVPLRVTNVYDLSSRIFLFKFHKP DHREQLIVESGFRCHLTSYARTTASAPSAFVAKLRKYLKTRRVTAISQVGTDRIIEFQ FSDGLYRLYFEFYAGGNIVLTDGDLKILSLLRNVEEGAEHEQLRVGLQYNLSLRQNYG GIPELTKERVQQALQKAVDRQKDQPAASGKKAKKSHKDALRKALAVSITECPPLLVDH ALHIASFDSSLKPEDVLADDGLLEGLLGVLQQARKISEDITSTEQIKGYILAKPNPAA PKEEDPAEEVASGKSNVLYDDFHPFQPEQFKNSEYQFLEFDGFNKCVDEFFSSIEGQK LESKLHERELHARKKLDQARKEHESRIGGLQQTQELNFRKAEAILANIHRVDEAMAAV NGLIGQGMDWGEIARLIEREQEQGNAVAQLIKLPLKLHENTITLLLEEANEGEGDQEE DEGYETSEVEESSDEDEPSESKKKATAPQAPVQSTLSIDVDLGLTAYKNSSEYFDQKK TAKGKEARTIEASERAMKAHERKVAEDLKKGLKQEKEVLRPVRRQQWFEKFIYFISSD GYLVLGGKDGPQNEIIYRRYLRKGDIYVHADLKGAVPMVIKNNPHTPDSPIPPSTLSQ AGHLSVCTSEAWDSKAVMSAWWVKADQVSKIGHGGEFLATGMFNITGRKEFLPPAQLV VGLAVMFEISEDSKARHRKHRVNDAVPTLENKEDTTAAGDSKDGDSDSDEDFPDAKPA SDSEDDFPDTKLGESDDSDAEEETVAHANPLQRANEAADSEDEDDTETQKPTAQPQKT GAAKRLPQEDAGSVADSEKTDKTGHRHLSARERRLLRKGQPLNDISVPSDPSTAPEAG AESDAASATDDEPTPASRPPATVTSQASKASKGPLPRGKRAKAKKLAAKYADQDEEDR QLALRLLGAQSGPSAAETAAQDQRKKEEEAAANKQRRREQHLRAQATGKAAEEARRIA NAGDAAAPAEDEGDDEQLVANLANLDAFIGRPLPDDDLLSAIPVCAPWSALSTYKYKA KMQPGSLKRGKAVKDILSKWDNAGKDAKATDKYGKDVERIWPREMELIRGWKETEVVS VVSVPKVRVMMAGGRDGGGLSNAAKGKKMAKRGGRGSKKR CC84DRAFT_1078150 MSRPLSLFSVSAILILAIDDGSRILTKYYSNPHPPQGQTDYPGQ IAYKTVKDQKSFEKGLLEKTAKQTTDIILYDQKVIVFKMESDVMLYVVGGAEENEVLL YSVVLALRDSLNILLKNSVDKRTVIENYDLVSLAVDELVDDGIILETDPVTVASRVSR PPAQDMTSMKNLDLSEQGFLNAWEFGKRQLAERIRQGL CC84DRAFT_1200657 MPALSRTSLASIVCPSAPFLAPRLLRTCAPLAALSLQPWALPTP SSRRYMSDKKPSQKLQYRKRQGLQQLHHGHVALLQQFRKAINDDNLRSLMDLYPSVLS TGYLTRDDTRRIAKTLHALLRTRELPDDTKPHLLSFLQQFVKDLQKGALPVHPIAFVH ILGIYKERKLFNEGYQLWQWVVQQDDNHVTQAVYGAAIELMAYGKKLRLPELENLYLD ALKRFPGTFAEYHLSPDAIVPNRAQPIAIANVPVTLLQGILTARILHNDWKQSYLALD TALRLYPCQLPSRFFEVFMESRQLPEAYTVFLVACRAGVVTRPSQITSILSRIRSSMV RCDSLRDRIVLLRAMANALYANLEAGGNLEPIHVGQFLTSFASLLPEPAPGSDYQGDM ASLRNRVITEAHQMLSTLLQAGMPPSPQAFLALVTLAGKLRVPDLLRVALQDAETARI DLGEIGIRTVLASAARVGATALIEEYWTRIVHKAASDGKQIGWKDWKCFAQACKRAGH RDYFDAQLRDQEHTISASSRESIMTVLEEEENLHDREIDISRPEVFKGELAGLNQQIR NIAAVVMSGQRLDLKQTPFYMFLDPERSPLAKREHLREIYDEFTIDPHQPPLEEGLLP TALSSTGIPYDELRFENWVSIVNLMDQAHSLEFERQNKVQLLGEDVARRERKNPLETE RSDKQLSPNVLREHIKSLRDPSVRPLPINNNRKLIPSSHSNLRKVLSKTSSANADQPK PLNITKHTDGSFTFRSLELSPDAASPPLSQTKSPRPLHEGNHNELQLLYHYRGGNAVP ARSDGAFPPPKSILPDRKMSRTITQPSLTYYAGIKSNHEAPAPRLSRRPLGRREKEG CC84DRAFT_1170281 MRFLAASAALLSSTALAVLNATQNDASLTIANERLVASVSKSRG YVNVLTLDGQNLLGTENGNTGVGPYLDCYCTPSGFWTPGRGKQVDYELYNGTDSTGKK YGGISMGETYAATGQRLEQYWFLKEGETGLHTFSRIVYNNKTTPFLRNLQEFRTMFRP NHDPPLFTHFITNEKFAAPRPDTEGQVTVQDATWWLPNKDSPYVQGVGDYFTKYTFQD TWRNHKAHGMYADGSGSNGTSFGAWLVHNTVETYYNGPVHSDLVVDGIVYNYMVSNHH GDQTPNITDGFDRTFGPQYFHFNKDGSLSELRADAEQYGLRPDWNAKFYDSIAKHVPN LVTTSGRGTFNARVALPKNAKNPIAILTESGRNYQDNVYDAKAYQYWSEIDNRGKVTI PRVKAGEYRLTIYADGIFGEFIRDNVAISAGKETQLNVEWKAESAGTELFRIGTPDKS SGEYRHGYAASPDHPLLTEEYRLYWAAYDFVDEFPEGVTYKVGRDDPAKTLNYVHWSV FGGKANYERPEPVYDNINNWTILFDTKANQLKGKKTATFTVQLAGAKTAAGNTDVFNA SEPYSNLPYTVNVNGKDLAPWVIPYYQSSSCAVRSAVICYQLAHKFVFDVGLLKAGEN TFVLSLPYNATNYESALLPESVYVQYDALRLEVK CC84DRAFT_1170283 MEPVKFLVSSSQVDESVGFGAVKKRRMSQPRAMAPQISLPKREA RALRRSLANTTPQSAHPQLQSPLFAVLPSEVRNLIFEYAICQRIDPDPHESPPETQIA RSRPHHERHPVTHTALLRTCRLVYTETRTIPLRSATHHVYGDQALSYNSNEWDHFLFH MSRQSGECLHHLHSTNWWLPDFTKHLQPHLQWRKITWTILSSSWDFTNEWETPKFSYA EKISTKLNEILFPDTCREVNLELEVLRKNPTHRRKLRAISDQFREIHLTRRDGSKIEL DETYSMEYTWEGKTWQPGDWEHGHGGYVSATYHTIRLCWRAREPEREYMHYDYWDCLR SQKIKRIPSGYSSEKEEDA CC84DRAFT_1182351 MDALPTPPATAPNSGPSTPDAQKRIDYLAGYNLDEDILGKIKDD LVDIARAAGQMMINADLTVDESDTKKNSSDRVTSTDKAVEKMVQDRLRSTYPAFGFLG EEGFKTGDKLEDKPTFVCDPIDGTLNFIHGFPNTAVSLALTVDKKPFVGVVYNPFRGD LFTAVKWQGAFLTTATGLTRRLPISPIPKPLPSLNEALVAVEWGSQRSGPNWALRTSM AQQLMTDKTAGGAMVHSIRSNGSAALDFCYVAAGQIDMFWEGGCWVWDVCAGWIILEE AGGMVASANPGDWEPTLEGRLYLAVRGAKRAEQEGVVKQLWDMMGERKFIY CC84DRAFT_19031 MTSISRSLHLPWSTLWATPPPTSCSTLILASSTINYGATCAFPE EDAPSSINNLDTACLPWLASTTPAPNAFYSPATACPPSWRAVATQTSGLTQGVAGETA LSCCPNGFEDGGGGVCKPASTGSFAVVECGEADAEENESRTYTGGSWPTSATVDVPAL RVRFRAQDKGETGTATGSGSGTSSSTNSNGGETGGRGLPTGVKAAIGAVVPLAFILLA LAIFLLWRRRRHNKAVEQHEKAFAEPKGYSDTKPGVTGSPVGTSQQHNVIGSTSATSR HPNAIETPEWNTELEATEAQRQRAAVPTTSAAHASVSELGGLARKPRKPVPAVELEGS PVPAEMDVSRYMAYQPPRDGAGRS CC84DRAFT_19374 MAESKRQSIAGKIATPKGGPNKDAIDGDSMLYKAVGARIKITCA PHNNVLEGTLFTTCSVTNAIAINTAPAPPNPSAPLSSQPGDYHIIPFAHILSFELIGA GERATASGPGFDGALPPIQKVDLAAIRAREEHTIREMKKKDAQKGKGVSKEAQDIFDA VSRLLPTRWADAQIVVNDAVLINPPYTVDNLAAPAGKEQSVAQVRKILENHYQRKKNA GSRAPVATPIAPRKGG CC84DRAFT_1182354 MSSEPVGDFGLIGLAVMGQNLILNAADHGFTVVAFNRTVAKVDR FLNDEAKGKSIVGAHSIKEFVSKLKKPRRMMMLVMAGKPVDDFIELLLNEGGVEEGDI IIDGGNSHYPDTNRRTQYLAKKGIRFVGTGVSGGEEGARYGPSIMPGGNEEAWPYIKD VLQSISAKSDGEACCQWVGDEGAGHYVKMVHNGIEYGDMQLICEAYDIMKRGLGMKAS EIGDVLAKWNKGVLDSFLIEITRDIMYKNDDNDGVPIVEKILDSAGQKGTGKWTAINA LDLGMPVTLIGEAVFARCLSSLKAERGRAAGLLDGPTPSFSGDRQQFIDNLEQALYAS KIISYAQGFMLIQNAAKEYNWKLNKPEIALMWRGGCIIRSVFLKDITKAYRANPDLEN LLFDDFFNKAIHKAQSGWRDVVSKGALWGIPTPAFSTALSFYDGYRTKDLPANLLQAQ RDYFGAHTFRVKPEYASETYPEGKDIHVNWTGRGGNVSASTYNA CC84DRAFT_1211033 MSNRDNLQPHPSLPARPPPPTYSAPPVRNNSAGRNTAAFAGFAP RSVVAQAPPSQASAASPTMYSQPTVSAPYQATAYPSNNTYYNPYQAYGAQAPVAAAAY APPAGLNTARGNYDPEEEARMAEWNSAYTRDDNAKKPSNIAAAAARVEASSAQDGEAG AGGTGKQKTVVREGGGKQWEDQSLLEWDPLHPRLFIGNLAGEVTDDSLLKAFSKYPSV SKARVVRDKRTTKSKSYGFVSFSNTDDYFRAAKEMQGKYIGSHPVLIKRATSEVKATT KRDDKHGKHSKNRNNNNNKKQQQSEPKPTFTGQLMSAGIQKKGKNNGPRMLG CC84DRAFT_1158917 MYGMNQAYNVPADPSGQVPNQLLPHIHLVSSYRFPTLPSLQPQQ ALDYLIKAPEITRNAAPVAWTFFAQPPPDGTALLMWQPPRMGTHFASDGLIWADAELS YEMNLNGFQLQVLVHKSGFFYPHEPFAAHARFRYRITNGPRSFDDKLWLVHYSMAEPN MRIPAQNIPMTREIQQQLATRGQLQQAGQLIRKEFMLNDTANFPKVEFAPPGARTQPA AYGANPMTNRYPYGQQQPPAKRARTAQPPRPGVPGAAVGDALFDEEENATQDTFDFMT PRDISLSRYKQHHEWMEEIFSSPHAFPKIKPIDLGIGLMGELAPLTADILDAATGDAP IDKNGDLNADYGEKIKSYSQLTPEKLKEFEKRVSEYEAKEKAEIERMKTAHANKMAAL KRSRTYIKAERRLRDAAPPADAEGDGPDPAEAVVTDLEKSIGVEFDTKKLVVCVDKGG FIEAQQPKPEQLQVNGSTGLNANGGSNGSAQDGTAEGDNTANSLLDFGSTSLGGTPIG NISLPALSQPQSQAQSAVATPNTAAVDAAQNPTGDQASGLNPSNAANDLLDLDVEMSG MANNDGKGDADWVMPPTNNDAPADASALTSGVDAEVGGMFDAADFGSFDNLDTAGDAL ADYTNVGDDNMGLDLVDDSAFGDAFHGTEMHHGDTGEENNA CC84DRAFT_1079711 MANFRLPSIPLLLSIAYFCASSICTPIDSVAGPHTVDDTSDLLR RQDGIFSVLGIAGLGDSTIYPRLEIRDLEKNHPDQWNIFLLGLQRFQAISQDDKLSYF QIAGIHGRPFVPWDGVKGDDYYWSRYSGYCTHDSNIFPTWHRPFLALFEEVLYLNCRE TVSALPNGELKERYLKALPSLRLPYWDWAAIPPEEEGTLPASVQAEFVNVTMPNGTVT ITNPLHSYKFHPLPDWVSEGEERWKEFPSTVRGPTTADKNAQSNNTKAAQALQENRSS MQARVYNLLAMQHSYLNMSTKLIPGDSMESIHGTIHDGIGQDGSMTWLFYSAFDPSFW LHHCNVDRLLAMWQALNPDEWVENFTTPSPTFYSPANFNVNGSTALKPFHKDDSGNFW TSDSIRDHTIFGYTYPDLLDLPSNSTRSFLNARGVSTSLIARVNALYGPNASPLQSPE LRDPKLLARGIATTGAPHFAGKRQYSLEITVREFRDAGSLSVYAFFGSPKGDVEGWVR DKGFVGTASFLGASKKASQRAHSVIPLTPALEAKARSGDLATLGEEDVAEYLRNVLRW RVVQVSCGFSPQGSRGWDNRTDGVQQQNVDLTDCETEKAPGLEVAVTWQEIEPALSMD EFPKTAGEVKDLLCADGNKRGHFK CC84DRAFT_1182357 MADSRKVGVLGGGQLGRMLIEAANRLNIQVNVLDAAASPAKQIS AHDGHIEGSFKDASAVKKLAQACDVVTVEIEHVDTHMLEEVAGEVAVEPSWKTLRTIK DKYAQKGYLKEHGVDVAESEDLEGKGAAGLKAVGERFGYPFMLKSKTDAYDGRGNYVV KTADEIDAAVKALGDRPLYAERWADFRMELAVMVVKTKDGVLTFPTVETVHEDSICKL TYAPPRNVSKATTERAQELAKKAIGAFEGKGVFGVEMFLLKDDTLLINEIAPRPHNSG HYTIEACPLSQYDAHLRAILDIPIPPSALRLREPAIMLNILGGKNPDSHIQVTHKAYA SPNASIHLYGKGDARPGRKMGHITVTAPSLADAEKEMQPLIDFVDEQKGKSLGARTAT TDEPLVAVIMGSDSDLPVLKAGLQILEKLQVPFTVRITSAHRTPDWLREFSKSAADTS LRVIIGAAGGAAHLPGMCASWTTLPVIGLPVKATHMDGWDSLVSMTQMPRGEPVATVG INNSTNAALLAVRILGATDLAVRERLRVWMEGNEKEVLRKDQELLDQGFEKYLKGMGK W CC84DRAFT_1224406 MGIDLDRHHVRDGHRKVPKSDNPYVKLLVRLYKFLARRTDAPFN KVVLRRLMMSKINRPPVSLSKIVATAANKHSAKTHEGKTVVIVGTVTDDNRLLELPKL SVAALRFTASARARIEKAGGEVLTIDEVATRFPTGANTLLLRGPKNAREAVKHFGMGP HKNKKPYVQSKGRKFERARGRRRSRGFKV CC84DRAFT_1211038 MSDEKVRASLDNARDPAQPVLPTVNPNIKRAEPQSDSLHPAVYI ATWIALSSSVIIFNKYILDTAKFHFPIFLTTWHLVFATVMTQLLARFTTILDSRKKVP MTGRVYLRAIVPIGVFFSLSLICGNKAYLYLSVAFIQMLKATTPVAVLITTWTMGLHP PNMKTLGNVSFIVIGVIIASLGEIKFVMVGFLFQVGGIIFEAIRLSMVERLLSGGEFK MDPLVSLYYYAPACAVMNGIICLFTELPILTMDDINRVGGFTLFANASIAFMLNVSVV FLVGKTSSLVLTLSGVLKDILLVFASIMLFRDPVSLLQAFGYSIALGGLVYYKLGGQK MKDYLGQGSLAWAELGQTRPILRKLMIFGGVIISFFLVIGSLGPRYAPDSADKLYNGI GNMIGDKQV CC84DRAFT_1158923 MLSYARQTLKRVPSFQELLHGAMGSAKEEISVDVLVIGAGPTGL GAAKRLHQINGPSWLIVDSNETPGGLASTDTTPEGFLYDVGGHVIFSHYQYFDDCINE ALPNEEDWYTHQRISYVRYKGLWVPYPYQNNISMLPKEDQAVAINGMIDAALEARVAN TKPADFDEHILRTMGEGIANQFMRPYNFKVWAVPPKKMQCAWLGERVATPDVKKVVNN IIHNKTAGGWGPNATFRFPARDGTGGIWIAVAKTLPDSKKRFGEHGTVTKVDADAKRV TFKDGTVVNYNKLVNTMAVDALVEHMGDKELIDLSKGLYYSSTHVIGVGIRGERPERI GDKCWLYFPEDNCPFYRATIFSNYSPFNQPEKNVKLATQQLADGSKPSSSEPKEGPYW SIMLEVSESSMKPVDTKNLLKDSIQGLINTEMIKPGDEIVSTYHRRFDHGYPTPSLER EGVLTKLLPALQDRDIWSRGRFGSWRYEVGNQDHSFMLGVEAVDSIVNGAVELTLNYP DFVNGRRNGERRLQDGAQLFAAKKAGQ CC84DRAFT_1253852 MSLTNATPLEVAKAAQVGSRRLAVLSTDERNAALTAIHKALAEG KDEVLAANKQDLEDANKAAAGGTLSQSLVKRLDLGKTGKYEDMLQGILDVRDLEDPIN KTTARTLLDDNLILSRVTCPIGVLLIIFEARPEVIANISALAIKSGNAAILKGGRESS HSFAAIATIISRALSTTAVPSDCLQLVQTRDAIADLLKLDTYIDLCIPRGGNELVRYV KTTASIPVLGHADGICSTYLCADYPAGKAVRILVDAKASYPAGCNALEQLLVDEAALT TSLPTVAKALLAYGVSLRCDAASLSALKGALDPASAAILQEATEEDYRTEFLDLVLAI KTVKSLDEAIAHINAHSSHHTDAILTLDPQAAKTFLAAIDSSSVYWNTSTRMADGQRY GFGTEVGISTNKIHSRGPVGLEGLTIYKWTIVGDAQVSADYGAGGKKWKHEVLLDEGV ARDEDEREVLRKFRAAKKA CC84DRAFT_1080353 MLRTLRAVAACALLSAPALAQTYTNCNPTESTSCPPDSALGKTV NIDFTSASDSFTAEGNPTYGSDGASFTVAKQGDSPKVTSKWYIMFGQVDIELQAAPGQ GIVSSFFLQSDCLDEIDWEWLGGDNAQVQSNYFGKGNTATHDRGAFHANPGNHDGFQK YTIIWTSEQIAWQINGQTVRTLIPENANGQYPQTPMAIKIGAWAGGDPNNEQGTIDWA GGLTDYSKGPYTMKVKNLKVQDYSTGTTYTYGDTSGTWQSIKSNGGTINGGGTRVEQN APGITSAATGAPLPFTPHTTSPYTRPSVYPWVPDTTVATVATTTYGNYPGLPSGWSVS DSGKVVPPSQAPVSKHLFLPLPPLHIHSY CC84DRAFT_1080175 MPHSDPPSPGYRYSFVDDYSEGAHPQLLQTLIETNKTQESGYSR DSLCTLARQRIQAHLGRSDVGVFFVPSGTSANAISIAACLRPHEAVIAASSAHIVTRE TGAVEASGHKIIQVAPEDGKLTPQSIRRALDDNWHFPHMAKPKLVYISNATEIGTIYT RQELTAIKRLCEEKRLILFLDGARIGTALTSHKNDMAMRDILELTDLFWIGGTKNGAL LGEAVVVKDAELASEFEFYIKQHGSLLAKGRIMGAQFAELFNGELYYDLARKANGCAQ LLSTGIVQAGFTMDAATETNQVFAVLPLGLIGRLKEHFNFYVWESRGDDAVVRLLTTW ATELGQVDKFVQMVHEWAVENSRLA CC84DRAFT_1170298 MDSSPTNVMLQQEGGTAGTYAALTCVCCQVRQEECLPIQQQDAR VRVCHAGLEAFIRDLFNEDNPVKELQILICHALQPGHKNHKSIREIELLSILRAQANS PIHFTCQALHLYRRNDMEVVPTSATVT CC84DRAFT_1211044 MESRLLKLAGELRNHIYELVAADGLPVTVKHVGDDGSPGKGTFA GLTRTCRQIRTECLPVYRQYARISIHYEDLVQWTRLQSVLVHELHVQISKHHRELNRV DWLPIIRARAISLSSQGPSNSPFRTKVHFAEQGLKD CC84DRAFT_1211045 MPTFCDLPTELRQRILALAMPELNYIRKPWPRSMFNLMHVNQQL RSDMGFVIDSWSPIHYVSHSQEILQIQDLSIKLCGRRRSPKFERIRLDIFHSADASVM RDTCYYRYHDYFGEADYWQKWNNAIAKLPLSASEVSIDITPAPAELRNRHDLELNSFV HDRRVKHFLESLSAEVADLIRLLNEHYPGRHSMRATGKLSVKCTFFISALERESGVPI EFDGIWVSGEDSRFADINLAARQVARTGVGRKAERKGAKNPLAWLRDVQWSRQTSWTF AKVAQHGEEEAAVQELRVLADFAKEGGKELLEMDPVGGVRRALQHRMAEDLGLKTSSE GDDPERRVVVTK CC84DRAFT_27978 MPVALTLSSPSVRPPRRAMSDTPPPAPALPQRQGQSHIRIHRQI QSHSQRQRYNQTQNANPRRIIPHHFAPTHASYPYLAEQDLAAHRLYTEILRLLISRRP RTLHIRQRYADAICPRRQGNAARVRERYGECKVVLGAVVLDLEVGFEVDEVEEGMGDR DMEGEGREQGKKRSCVERRRWLEGMERRIGLRRGVYGQLQDMNEVQEMPALQRMRGRS EPFQEREMVGRARDEETGTEYAAIAAGEGMLHPGVPASSRAGPCQMPAQRKEDGQERD RRAETRFRDQVSVARSASRESTNPSTRSMGASPTLTIQSMSTTKAVVSMGRSKVPFTQ PKHSISARKANAPGTAMHSTSTINAIVLSERSVQTLTGPTPPSLFKSMKYMVSGSKYL KREMALRGSGQKKLQKRRSPCPDASVPSNSSSSRSADARMPFTSTSVKSRGTTSGLPN EIAAGSFGARPPTEEKEIKEKWWSTLFSSKPRSHGPNPGPGPWNKNAPWPLDPQQPPV EPRSGWEGGPVCDGRVGYAPAPSSVAQRNDGEASERSFGQTCGPECESCRTTTQVKEI AAHRTSLEEEPANKAPWIRVKDPANKAPLPSLQQPRMKKPLSPLCQPPMTTPAILVTS PSPLRTNVGYPPTKFHNYQADRLAVARSALPRTPGHGVVEPLAPQLRSILEDMNSKPN PCSPPRLNGVVVDEEAIWIQCSERLDYVSDIAATEARERIRRARAQRVAQEAVRAHNL KERSSAMAQEEMSTFTERRITPKPVESVPSLRVFTTETAGRAHLKRVSVANVDCRSVA GRREVPPRRQNVEATNVTGRKAMLLQKQEQPHENPVIAIRGLNTAILDLSVAARGAAT RPEIAAGASTGHLVQKPLNTPWEAVWHRDIPVPFLQGLSQSPGTDSRAPSVPYSLSNA VAEAEAREQLHRPSPGEIMRLREGLLAKRGKLVYGANGQRSSMEAIVEDGMARFDSAM GMNEAQLQEGVKIRMEGGCAP CC84DRAFT_1079155 MLARSPRGALVFLQNRPYVCQSCLRRARSLPLAQGQSPTARAIQ TATAPPPTDDAPFRKALKDAAKKKKKEERATSGATRNSSKARNPRLEKWELTVGIEIH AELNTARKLFSAAATSANATPNTHVALFDTAFPGTQPHFQKETLLPALRAALALNCEI QHRSSFDRKHYFYQDQPSGYQITQYYEPFARNGHITLYPHDFPAGACPDDGPVTIGIK QVQMEQDTAKTVHQPPSTHLLDFNRVSHPLIEIISLPQLHNPVTAAVFVRKIQNVLKA VNAVTAGMEMGGLRADVNVSVKERNGNSANNVHSYHGVGQLGQRTEIKNLASVKAIED AIIAERDRQIDLLENGGVVEGETRGWTLGSKTTRRLRGKEGEIDYRYMPDPDIAPVFI GQDLVDHLRQTLPALSDETVSTLVDGTTYGLTAKDASTLILLDDGDRLDYYFDVVEHL RSTFSKRSEVLTRLGKVAGNWVLMELGALFKNEEWSGTKVPADQLATIVAHILRKEIT GSTAKRLILMKFEGEERSVEQIIADEDLFLRPLTHTEYMNLAQSLVEEKADMANDIVK KQQLGKIKWFVGQMMARSAEGTVEPAAAEAVLREVLRLPPVEQK CC84DRAFT_1211048 MGFFEKLQARLELYRLEQRYTRREKRTTFISDAQYVDGEYISAS SPTSSTSSTATANRRLSKIPSVRIREFGRSATGRA CC84DRAFT_19758 MSLPTRPTREEPEAVDEPSHNSHPALQPAVTDVPVTPGVHLSGH SAYTNPSNGQQDSTLPFDPEKATPGPSWSANSYFTPKEEDRASSPTEAAAGAKSGEEL LRRLSGVQDPSTAYKQDLADVDPRAAHPELNLSGRLISATFAVPYNIAYAPGQDFDLL PRRGTSALFDSFSYLASSSSPWNHTLVGWTGEIRKKTTEEVDAALQPSGNKAAAPVPI DGAPPPAPPQEQGLRIGREDRNRLEKQLERDHGGKIVPVWLPDEVDEKNDEVVLKDQG RWRTYAEHELYTLCHYKQNEPADGRAARKSWADYYRMNKLYADRILELYNPGDIVIVH DFYLFLLPSLLRQRLPNIYVGFFLHVPFPSSEFYRCLSRRKEILEGVLGANMIGFQSY SYSRHFSSCCTRILGFDSSSAGVDAYGAHVAVDVFPIGINAASTQKQAFEDPVIEEKI KAIKDMYPDKKIIIGRDRLDAARGVLQKLQAFELFLERYPEWRGKVVLVQVTSPSSIH ADKKANDDEKMMNKLSDLVARINGVYGSLSFTPVRHFPQYLEREEYFALLRLADVGLI TSVRDGMNTTSLEYIISQKDNCGPLILSEFSGTAGSLSGAIHINPFDFSGVAEAINNA LTMPLEQRKQDYQKLYKHVVSNNVQAWCNNFLKRLMTNLSSFDQSFATPALDRAKLLQ QYRNSKKRLFMFDYDGTLTPIVKDPGQAIPSDRVTRTLKTLAADPANSVWIISGRDQA FLDEWMGHITELGLSAEHGSFMRHPATNDWENLTETTDMSWRSEAAEVFQHYADKTPG SFVETKKIALTWHYRLADPEWGAFQARECQKHLENTIGKKYDVEVMTGKANLEVRPRF VNKGEIAKRLVEEYGAEPPEFVLCLGDDFTDEDMFRSLRQSELPEDHVFSVTVGASSK QTLASWHLLEPSDVISCISLLNGSADAGNVGAIAVVDGGPVPEARI CC84DRAFT_1064587 YRLNREINDFYEYAKPTRAETFARKNLVEQIRADVRARLPNHIL EVFGSERTGMALALSDIDLRLVKRDDLDQQASDKPPTPEERRDILRNLYDLRYKVFDN AKTAAKYLQPTVRHARYPLVGVQDRGSGLEVQVVASNDTAKQREYIQRYMKEYPYIRQ TYAVIKTMFDQRGLSDVFRGGFGSYPLFMMLVVSLQQQPNQRRDAAGALINFLYYWAY FKTKEKGVSVSPPEYFDKKENPILTETLKAKLDAGTTKPLPNYVLSLRDPADETNDLG RKATSILHAQATLRYLIANIIENLNANNRPSLLAEIVGEVYARDRVRRERLTEHGLWV QKQVLKSFA CC84DRAFT_1077603 MALKRINKELTDLGRDPPSSCSAGPIGDDLFHWQATIMGPSDSP YSGGVFFLAIHFPTDYPFKPPKVNFTTRIYHPNINSNGSICLDILRDQWSPALTISKV LLSICSMLTDPNPDDPLVPEIAHVYKTDRSRYESTAREWTRKYAI CC84DRAFT_26643 MFKPVCRHAARQLTSTNRAAIAGRRHLHTPVSFDWKDPLNVANN YTEEELAIAETAESYCQERMLPRVLDAYRNENFDRKIIEEMGELGLLGATIQGYECAG VSSVASGLITRAVERVDSGYRSGYSVQSALAMGGIEEFGTEELKERLLPKMAKGKLLG CFGLTEPNHGSDPGSMETVAKPHPSKKGVYLLSGSKTWITNSPIADVLLVWAKLESTG KIRGFVIERDQCPPGTLETPAIKNKNGLRASLTGMIHLDACPVNEANMFPEVEGLRGP FSCLNSARYGIAWGTIGALEDCISRTRTYALERKQFKGNPIAKYQLVQKKLADATTDA AYGILAAYQVGRLKDEGKAAPEMISMIKRQNCDRALINARTLQEVFGGNAVSDEYGIG RHVANLFVTQTYEGQSDIHSLILGRAITGIQAFC CC84DRAFT_1158934 MGIKQLFQIIQEHAPDAIKTGEIKNHFGRKVAIDASMSIYSFLI AVRSDGQQLMNESGETTSHLMGLFYRTLRMVDNGIKPLYVFDGAPPKLKSGELAKRFQ RKTEAQAAQEEAKETGTAEDIEKFARRTVRVTREHNEECRRLLKLMGIPYIVAPTEAE AQCAELARGGKVYAAASEDMDTLTFNAPILLRHLTFSEQRKEPILEIHLDKVLEGLDM KREQFVDLCILLGCDYVDPIKGVGPSTALKLIREHGTLEKVYETMKASSKYTIPEDWP FADARALFHEPDVRSADDPECDFKWEAPDVEGLVKFLVEEKHFNEDRVRSGASKLQKN LKTAQQSRLEGFFKPVEKSAEQKASLKRKAEQKLEEKKKKQKADAKEKKAAKSKARGG A CC84DRAFT_1191955 QEDSAYSTGSYSPPPPGAEDPQYFPQTNYFPPPPTQPVDYSNNN NNYPPYNPADYPPPNNGYSPSNAGHIHPATGYTPPPPEPGNPYAHQDPRYRRPDDNVS ARDPPLPEHVHASRFDRLGAQHANSPIHNVPSPIHSASRETETTIQPEPVKPASEKSV CC84DRAFT_1133143 MVVSGHLCTAFVPWLHSPANDPHTAPHLFQLPFFRLAVGGRSAV ALFFLITGYVNSIGPIGRSRAGNTDAAFNGIAKSALARSGRLVLPTMMATALTWFMAN INAYHMTKHVDSTWIRQGWHRQEPTLGAAFSSLFKAEVDTWTVGWDDYDGTQWTLHLF LEGSMLVYMTMFATVLVKPKARFIVYAVLYLYFWQLGEGLAVGALKGLNIVFGMFVAE LHNHYKDTATSVLPAPIPALMIIAGMFMAGFPQDSPQNAHWSDSMAHFMQAITVEKTD IRRYWDHIGAATVLLGIFFSRNARRVLTSPIFNFLGRVSFPVYLMHNTFIKSVLTWMV YLPSAMNPPRNEKGHQMDLQRGSTTHIFIAVAVFYYVLYRAAALWVQHIDPFCANLVN AATSWAYGESQPRESRPILQTIERRSSPSREKPKLDSPV CC84DRAFT_1158938 MDYQTFNSPQHLPPSFGGPFSTSSAPSHSPQQAQSYQDPQARLQ HPATASFPYQQFANGQPGGFPASMPGGASQATSGAVMQPGGLSQSQLQMHQARAAALQ QQQQQQQGQASPYSSAPFAQALASPAHQQFIQNRNTASPATSQHTNTASPYATPQQTH SPSVPATQGMNPPTSQPAAAQPMASQTPTKALPQSPVSPVSQAREKQRIDTLLEINQV LIQQVMELYEQGKAGHIGPAPDAKQEGEKAQQPSPEYRDYMRRLQANLAFLAQNAEKH TKPNQNIMPGPAIMVAPSSPDDLVKLYSRLQSLFPGWKGAPNKPSPGPQRLNSTSSQA SVQNNMQPPNSAGLHNNMQHPNSAGMPPNMQLPSNAMPNNFQQQQQQQQ CC84DRAFT_1211057 MLSRRVFQQLTDGALLGARHWPAPAFCAAVKCTTCLPTAYPRAA ALRWSSSSTRWKSRQGKDFFAKEAKVQGLKSRAAFKLLELNEKHKLFKPGQTVVDLGF APGSWSQVAANRTSPGGRVVGIDLIPAQPPRGVSTIQGNFLSPTIQAEVRAYVQDPDL GRPRKQVATHKDDGMTEEELDEMEKSYVDIERHAHLEGVGAESIGQLDKETTGSESKL SLKERDLRQGRVVDVVLSDMSEPWDQTTGFYKKSLSDPYFRLMNTSGIAFKDHAGSMD LCMAGLNFAFDTLRTGGHYLCKFYQGPEDKALETKLRRLFAKVHREKPDSSRAESKEG YFVALRRKESPTREDVFRE CC84DRAFT_1158942 MSTYFGGDSRTNVVFIHHGGNQEVLPTRSAHNRQEFDHVCKDIE AEYLSSANIVFSNSMAGPGSSGLVYDTSYSSPVATASASQISQPPAIVSGSLPPLAGI HSTALANQGSMSTTQIQKRTDEFFRKDIQSDNKKEAIAAAKNAASFSSGNTKQSANSD RGISIDGAPAGKQNPFQKAFAELAQQKAQTAAPAPASDPFANPFDKSMSRANALPQNR GSGMSFSIPTAPPSGGSRSLARGSARDADSFDML CC84DRAFT_1182374 MTMPILTARGEADFDLYPYTPSASAGYAFVALFSLGGLVHLCML IPLRAWFFIPFMLGCAGEAAGYYGRAWSHSNIRSGSPYLLQLMLILASAPLLAASIYM ILGRLIRALDATHHAVLSPRWTTKIYVLIDIGSFVTQLMGTAMQASGDAAGAKTGNTI VVAGLGVQLVAFSVFILSVAVFHRRLVLEPTEISMEHKSWARYMWMLYAVSGLIVVRS IFRLAEFLEGAEGKLYTTEVFLYVFDASLMFAVVVISAAVHPGMLLRATRKRERDIPL L CC84DRAFT_1253872 MPPVASTRRGRRISSKACDACRSRKVQCIIDGDGQVCNRCAANQ IDCTFLSQRKPRGPPPRPFNTFAVPVGELSLALFCDPSVLRHIVRDYLDLVYPLLPLV HRGTFSTQFETNTIDAAEHEPAFFRLCLALCAVTVASLPKKFNEYSRGRYADIGAMVD RACHLVLLSRISTESLWQEHPATSTVIVSVLLATASLYAGRANQGWGYAIEAIHFFRA LGLYRPEVYEHLPPLEREFCKRTFWLLYIMQIHDRLSAVIPHTGLSFDPLRTDWQFMF PQELDDEDIINPVALVTGTRVYSGLPVISGFIALIKVYLCIVDLLSYGLPGFYPPVYA TSFSSVHASIHPGQSLGTDATMSLKTLLRIVRKVQTALEQLPDELSISTQPQTPEGQS PSSELLENQFNIMKANIHITSLYIQSTILEACSSAFSHSNGDMAGSPLETGSSLGAPP RTQIWVYRKSIAKELLDVLHFCSSRSLEANGQSVIVKIREIAATLLNNDDVSKASSEQ EEESRQLL CC84DRAFT_1182375 MDPNSSKSQWASWVLGHLRFAPNELSVIDSAVWKDVYGHRTTNL FTKEKAFYGPDFYGNPPGLIRSDNASHARQRKLVSHAFSDKALREQEQILKGYANLLV EQLTKASQHGEMDLVRFYNYTTFDIMADLTFGEPLGLLQNSKYIPWVAALFGSVRLMT MVGVVKRWPLLDGLLQRYLPRGLKEKRRQHLLFSSERVDKRMQKQTDRPDIWTYVMKE GKGENGEMTGLLPTQLHSNASTFMLAGTETTATELSGITYYLHQHPEKLARLKKEVRD AFTSVDDITMTKLLHLEYLNACIEEGLRIYPPVPAYHSPSNFKDPDSFVPERWLPEGQ HEYSADNKEVFNAFSYGPRNCLGKNLAYHEMRLILATVMLSFDMELNKEADDWAQQEC HILWAKPAMMAKLTVAN CC84DRAFT_1182376 MNGKEFRVDLHKSPPVQHHFSLIDELKQLFVCSIDLKAAISDVF HPKHKHVKNLPIIYEDAQNTKLLECYSEVPFANWGQTVANSPRFTFLPTTVRGVQRIV QFAVANNYRVRCAGYRHSWSPIFSQDNELFISFVNLDTVNTLPDPTSLIPGEYDPSAV PELKTIELKEETAPGRKRLCRVGVAVTNEEFRRWAIAGKAWSLPADVILVEVTIGGVN GPICHGAGITHKTLSDYVRRLEYVDCHGQLQIVDDEVQIKAAAGAFGLLGVVTHITFE LDAMSYAVMKPLKEDVGLGVPPLDKNDIPEALRSDWFDAPNVDQQLASATAEFKNRAA SEYYSEWFWFPYQRKIWTNTFSTTTDATDAIDYPDDKNVFLQWVQNWLGGVVTTNPFF NAIPGYWQAQLLATLAMATLPPTLGESNTPTYKTFLPNALHFRRGIQNMRVRDIELQI PLPPRKDDPSKPDFSIVQRAWWDIIKLVYKDADISSDPSSAMRVALEMRLMAGSDMLM APQKGNDLGTLSIEVLTLPDAVADDEWHDFAQRVIDIWMSYGGNTRPHWAKEWDYFKF KGMEARKYLKEVAYKDQIPEFREMLSKIGKQHSWTLDELQRRFSNELWDRMVYE CC84DRAFT_1106877 MRLTQTVLSGLTLLSAVSAHPGHDLSQEIAERREFLSSVKRSDL SHCAAKLKARGVNKRNAVRREAALEKARAKRGLKKRDLDTVVATDHNATAKGYTLNTD ATTLFSGNASCVLTPEVTQGPYYVGGEWIRSNIAEDQAGVPTLIDYQVIDVDTCEPVT GVYLEMWHCNSTGVYSGIVASGNGDSSDESNIDNTFLRGIQKTDADGVAQFETTFPGH YTSRATHIHLMVHTNPTLYVNGTLGNNVSTSHVGQAFFDQNLISAVELTEPYNTNTQQ ITENADDSILGEEADSVDPFHEYTLLGDDLSDGIFAWLAFGINSTQVNSVTPAAYYYK EGGVANENSGGMGGGSGGAPPSGSGAPSGGVPSGSAPAASATA CC84DRAFT_1224478 MSGEQIKITGTATALHEAFGSSIADPGQGIMTSRPYYGRFELDF GLKAGLKMVAADTPLGTCLQPDVVDAFEQTSQKAKAGSIEIRAVLIINPQNRLRRCCP EDTLIAFMCFHQKHHIHLSSDDIYAFSGFDPTERGTHPFTSVLWFEPQIDESLLHVTY GMVKNYAVPGLRIGALITHSKPLLKAVKSVTRFRNPSGPSVAIASATLYDRTWMRSFI TLSRERVGEAYTYFSERLSPMGVTYLSRVNAGFLCLSISQSG CC84DRAFT_1158949 MASAAGAPIQSRPYRSHRVPACIRCRSRKIRCHIDIPGEPCLSC RERRLKCQYADKSSDGSPEDMNGGTRTPKRQRLDRGPNDEDEEDRPRSAPVLHRPSNH PSASIILAPHVAEDVDILQRHMSQHRPPEGSGSDAGQYRTLNQDTTDPIVYLTVPRFR SGLAPELGAGKEQLEILQQLMGPFKREVVDLFFSCMHYAFPVMDEESCFLLKKGQLDK VSKNLVCVVLANGTANWFLSDTLKMHPKPDLHYIWNKAISALHEDFLSPSMATVNASV LDQTGRPSVSIMGNLTLCGRTISLAQTFGLHRDPTKWNITETEKQNRIRSFWGVLITD YWSSIAYGVLPHIGKRFYDVPLPTIDALMPLRATPAQRCATISFVHLCALTELLGDIL PLVYEINPNRATLSDTVASLKAQLKKLEDQLPDWLPLPNKPGTSNLWFCFLSVRLLLS RVNLRAAVLADEPALKKARFDELRQASTAILDYICFLGQSQFQDFWLPYATHLLVHAV TVSLRCAVETSDVEQRTSSVSTLERFIAHIQHARDNYDWDIAGYTLERCGESVAKIAS LTARGDGPPPEAILVMPERPQDPEEALTGPVMEDGGLLLSDLLDPNAFDFSWEALWNT PSGMTNFAL CC84DRAFT_1158950 MDVTLDGVFSRVETAFTTLVDSIAAYNPSLQAAGDLIAADDELA RGIDKLAQHRENSARIHVLRAEREALEEQFRSSVAALAELRRDLFATPVTKFPDDLRP VPFDELLQYAKNIAQSTVPPTYREALPEKQSEDRSKPTEDGASSAVPSNAVGTPAQQP AQEAPQHTAEVQAREGEEQAITEEEAEWLKKLKASGFAWYPWPDEDKIRRGNLMQIQH VLDRGRDPTSEDLTKLGEDEKDRIAAEAAQAQVNQEAQAAQAQAQAKAQVQAQAQVAA QTAGVEERQPHALPPKPAEKPQQFAGFDDLDSDDNSE CC84DRAFT_1182381 MPDNHIPLGHSTPPPLEDHQVPLYFARLHHIDIPHHRDRDYPHY HALCKVALANAVKSFEAELAARSPVEHIKLVNETLIVLPRSQSKLSQQELADLQKATH FDKKELQQWYKGFLKDCPSGMLTKEEFQKIYKQFFPFGDPSSFADYVFNVFDADKSGT IDFKEFICALSVTSRGKMEDKLDWAFQLYDIDGDGKISYEEMLSIVEAIYKMVGSMVK LPEDEDTPEKRVRKIFRMMDKDENGSLDMAEFKEGSKRDETIVSALSLYDGLV CC84DRAFT_1158953 MPTVHLLDYVAGNVRSLVNAIEKVGYTVEWVRSPADVPTAEKLI IPGVGHFGHCMTNLEKAGYVEPIREHIKAGKPFMGICVGIQALFEGSEEDPNVKGLGV IPARLKKFDSKDKSVPHIGWNSANTSRTGQVTDESLYGLRPDSKYYYVHSYAAPYVEG ELEKEGWQVATARYGDEEFVGAVAKDNIFATQFHPEKSGAAGLRALKAFCDGERVQKL PKVPSKESAREGLTRRVIACLDVRTNDQGDLVVTKGDQYDVREKDGVNTGGEVRNLGK PVEMAKKYYEQGADEVTFLNITSFRNCPVADTPMLEILRRTSETVFVPLTIGGGIRDT VDTDGTNISALDIATMYFKSGADKVSIGSDAVTAAEEYYARGSKLNGTTAIEAISSAY GNQAVVISIDPRRVYVASPDATPHHTIKTATKGPNGEEYAWYQCTIKGGREGRDFDVR QLVTAVEAMGAGEILLNCIDKDGTNSGFDLELINDVKEAIKIPVIASSGAGNPGHFEE VFAKTSTDAALGAGMFHRGEYTVKQVKDFLQEKGQLVRQFEEAI CC84DRAFT_1191968 MPKAKPKRAGASSTQSTPYAKPSAKAAAAHSIFKMNHDLGQHIL KNPGVASAIVDKANLKQSDHVLEIGPGTGNLTVLILKKAKTVTAVEFDPRMAAEVTKR VQGTPEGSRLKVLLGDAIKMEYPHFDVCISNTPYQISSPLVFKLLSLPHPPRCAVLMF QREFAMRLFAKPGEKLYSRLSVNVQMWARVSHVMKVGKANFNPPPKVESNVVRIEPKH PRPAISYEEWDGLLRICFVRKNKTLRSSFLGTSAVVELLESNYRLYCAQNDIPIDETP VDDADAVDEMEVEDADDEFRGFSDPDDMDDDVPDFFKTAQKKGGNKKKSRGAVTGVVR KKIEKVLEDADLAEKRARMCDEGDFLKLLYGFNQEGIHFA CC84DRAFT_1133176 MGRKLKHHEQKLLRKVDFNTYKSDNDHREHAIMRRYGVQKRDDY AKYNRLAGSLRQLAHKIAALEPTDDFRRKHEDLMLEKLFTMGILGTGGSGRGKLSDVE HKVTVSAFCRRRLPVVMTKLRMADNVTAAIKFIEQGHVRVGTDVMTDPAYLVTRNMED FVTWVDSSKIKRNIMKYRDQLDDFELEAL CC84DRAFT_34687 MPPTTTRPPFSSLPLDKNGPPGNAWGLYGPNDELGALNLLTPAI VKLAAQEIQLGERVSLDWYLNLPTYPSFNRPGFKWRLHSKAPRTVNDDFIDINTQSSS QWDGFRHYGYQKAKQFYGGRAQAEIEGSDVIGIDRWVEAGGITTRAVILDYPRYLEKK GQEKVNALQPRSISADTLRDMLKETGVQTREGDMLLLRTGFTRDYEALSDEDRKAQAA KPTAEFLGVESSKDVLQWIWESGFVAVAGDAPSFEMSPLVGKHNEPGGIWKGEAWEEE MQGGGLIHQWCLAGWGVTIGEMFDLERLCEKADSLGRYTCFVSSVPLKIPGGVASPPN AVAIF CC84DRAFT_1158957 MPKRDLDALSASPGAAGTPATQAQDAKVAALPDTKRCKFSTHSH ARREIENNSGSALLNLPGELLNTIYEYVLTSDDDLLEYVPIEYANGLNATIDARSPDT ESHIHTTELPSPKDGPDHPVPDVQRGGFEGVSDADYRKVFTVITSSPVQAQNLQKDGR EFNQLKYVCKKFWRETYRLELQFNGVLLRDRDGTGVDHIDQFLAKCPPSQGEWLKRIT ILAITTVYGKEFEEMESDQDVSGRIAWLLLYATQSERLSKLEAFCSSYPWMSVRFVPD AFTLDEIVEDDGEVSPKFVSTAFSLYYVLRNTLPTGFDWLQPQFTRIDEAIGRDDHSP PLLQAPNLRVYPRNLLGGISIAELLGSFQAQTKHEMSQPFIMVAREWLMHGM CC84DRAFT_1253885 MWKYVFLSPLALALASPYSYRTNPIQCPVIFDGRVPQNFTPASF NLASTSPYSPQYVKGENLTWSEIILLPNTTTSRFDTLLVHRPLEVTINDASLFRSGSG LQLGFRRAGLLLRDDKNAAGADAADQGVVTFHWSVRQDVSRSMNLSHEYMNVWHEKAD YSGNQFTFVGGVVLPVDGGSGLDTPEEKNVWKIQNHKNEFVFRTPIRNDVWQNFGVQL DYANSTIRVYYSAGNGPLEDVTGALPNDNSGGGQLQLGMAKKPTETETVVWDGYQEPM PQGEGQIYGGVFVEDSSNGCVSL CC84DRAFT_1224514 MLHGITYLDHAGTALPSVSLIDSFSRQQKRLLLANPHSALSTSP NLTQTVVQGARLQVLKLFNASPDHFDVVFVANATAATKLVFDAFRDQNQGFDYYYHRD CHTSLVGGRQLAQQHCCFSGADADDITEWWLGQDDAQNDHARPKLFAYPAQSNMNGRR LPLSWPATMRKEGRHKNTYVLLDVAAYVSTSPLDLSDHEGAPDFLTMSFYKIFGYPNL GALLVRKAAAHVFNQRRYFGGGTTDMITCNEDTWVARKKGLSESVEDGTGPAHSILAL DCAIRVHQKLYGGLEQVSKHTSWLARQLYEQLSSLRHSNGRLVCVIYKDPASTYGDRT TQGATVAFNVYGTDGRYFDTALVSNEAMACKIHIRAGSLCNPAGMAHTLGYDDHELRR VYSAGLRCGEGNSFTGRPLGMVRVSFGACSILDDVVAFMNFMKASFVDRTSDRHDSKT QTVDKQGMKMLAVERRRSRVGIGEAG CC84DRAFT_1211075 MAVFSAPLQSQCYFFNIPPELRNAIYEYALTEFMPLLCTAGDAT GSPKLLLQYQPGDKEARREANQLRYVCRQLNYEARTLSLRYNDIHFHGIENFESFLLS SMHTLHRRFRNVIILDDHESLKKSYTINQLIDRSMSPVLYTFCSRNPKARVVIRNSRR FTEGAWLTIYVKLRQTLREDMEEKMETMFDAETAGLIKEGSISLRRDLVEKYQRPFLQ NLRIAPTADYPQMSTYWTDVQLAEAKRLYEEGC CC84DRAFT_34873 MASHSGVPREKWWKIQWFSDDDTPEEKKFITKLDLILIPYLFVT YWVKNLDQNNLNNAYVGGMKEELGFYGNELIRLQTMYIIGAVLGQIPFLFVFTYWPMY WVIPGMDVCWGVATLLQYRANSFAEMAAYRFLVGWFEAAYFPAVHYILGSWYRGHEIS RRGGIWYTGLPLGTLTAGLVQAGATRRLDGLHGLSGWRWMYIICAIVTIPVGIVGYFI LPGTLDKPNKFVLNDHDRLIARERLNKKGHKVQGRLKFRHIKQIMSSKHFWIVVLVDV LFWNAGINSGAFLLWLKSLKRYDSATVNEYGTIPPAMGIFFTLFANFSSDLLWGPVWA ITFASGMNTLTNLILLIWNVPEPAKWFAYCNYGWSYALSSVLHGWVNNILRDSPEIRS FTLVFINIIAQSSTAWTGILAYPTVEAPRFLNGYSFSFSMSTSLIIGAHLLNLYLKQR RDPNATQSTTTADVEVSDGGSSQARIDEGEQSKNLYSTQIKSVS CC84DRAFT_1191973 MAKTSVRPGGPPLKSPLDYPDSPLSSAISTQPLLLGVFLNLQDI HVSSYPTSNSWTYDYNLACVQEADRQGFELAFSRMQWLPKGGYDGEASLDAFIALGAM ASATKNIHLISTLHVLYGPLHPLHLAKWGATLDHLSKGRWGINVVTGHRAIEHEMFGG GRIEHDQRYARAGELFDVLNSLWAEDENLSYDANETGKGNAWRLKDAFVTPKPLFGRP VLVNATGSPAGIDFASSYSDIIFITSPGGAHIDSALETLPAHIATVKEAAKKKGREIK TLINPIIVSKNTPQEAKAYAQAIWEGKPKQEDVKTFGGNKHYDSDAQAWKGRQDARHK QGLNIGGNIEIIGSPEQVADQIEALHKIGIDGVQLCFYDFLPDLEHFGEKILPILKQR GLRT CC84DRAFT_1158963 MFHYQDGQPPRTPEPDAQVDDMQPPPPPRQVLKVRRRRTTFVPT QIFNDPDDGLPIPTIEAPELHSDVSTELPTLQPAIDNDYLSPALTWSRMLSPPKTPVS QMGSLSSFEGLQHWSELDQASQSETSSRPTSSSGFSDSSQSSFDSFESFPSLGGSCTS SEDEKMDPFFSPAPKHTPMLSSPIVTQQRQQAKLKLKASFTEEMDYHLWMTYMKYLQD PTVTPFKALPTTVPPNGVCHRVARLARHTWKGPRKSSVVPGVRSAARILSRSGTPTIP GGKTSAKAPEVPG CC84DRAFT_1170330 MLAMGTGEHAQRWGSTAECLGNLRRRTGDSRWARIGDWQRDQAA AWARQSNLSMGGVEGSGSRDTQGKSSGGATSRSQDIATADGCVGEDEVAVKAKAGGNW VGACAAAAAWWPLGPHASDELHFTLPQHTDYHTTHSALRPSAGPPRHWARARAVECTR VLLPPASPRASHSP CC84DRAFT_36598 MPILTHNPPAPFPLYLLPSLPFRRRSSTATTSTIPSPSSSPPQP TSYLSSTTTSHLRCAKCLADLIPTSSIISKGFTGRHGRAYLVSPPPPSTLHPTKAREG DMTAGDLPNTHVHKAVPRQLVTGAHTVSDISCRVCGSVLGWKYVEAEEEAQRYKVGKY ILETKRIVRGAGWEGRGEEDEDDGVLRDGVRDEDVEFDSQDEEECEDLFAGVWTARGA ARRRRQRKF CC84DRAFT_36599 MAKGTFLRQTLNERQVNMMAFSACIGFGLFLHTGEVLNVAGPGL AVVAFLLATSVFWCVVSCLGEMTALFPVQGPLFEFPARYLDDAVGYAVGWMAWFSWAV TISNQALAVAAQWRFRVPASYLLQVRYPDAELGWGTESASPAVWVIITLLIVLGVNVL PVRWYGRAEYVFGCLKMGFICGLILFNVILATREGTRRGDSFWTWNHPYHYAAPNYTI HPTSPAPIVVTGDSGRALSLWAGVTTSFFAFTGFEIIALSAAENKDLETYETIKLGSR KLTLRLGLLYILATFTASLVVPYDDPMLQDTRTGHTLGGQHSVFIIAAVRAGLRGFPT FFNAFFIFSATTSAINGVYVSSRLLHALAGIPEAWPRPLHGIRRTLASTSAHGVPLAA VFYSWLFGLLAFLALRPLPGKVHARLVEFVTVQCLVCYGAICLSYTQFYGVLSRVVKD PGDVGISAEQRSAYDRDNTYYPYRTFGQRARAWYGVVFCGLLVVGNKWTAFIKPFDTA DFLASYSVVSVLVS CC84DRAFT_1158968 MKFFIDELPVLFPYPRVYPEQYAYMCDLKRTLDAGGHCVLEMPS GTGKTVSLLSLIVAYQQFHPENRKLIYCSRTMSEIEKALAELKALMKYRTQELGYEEE FRGLGLTSRKNLCLHPSVKREKSGSVVDARCRSLTAGFVKEKKERGEDVELCVYHDNL DLLEPHNLIPPGVWTFDGLLKYGEQQKQCPYFTSRRMMSYCNVIIYSYHYLLDPKIAE RVSKELSKDCIVVFDEAHNIDNVCIESLSIDLTEESLRKATRGVDNLDRKIKQMKESD SEKLQNEYAKLVEGLRAADEARDENAFMANPALPDDLLKEAVPGNIRRAEHFVAFLKR FVEYLKTRMKVLHVISETPPSFLSHLRDLTFIERKPLRFCAERLTSLVRTLELTNIED YQPLQEVATFATLVATYETGFLLILEPFESAKATVANPVLHFTCLDAAIAIKPVFERF SSVIVTSGTLSPLDMYPRMLNFNTVVQESFTMTLSRKTFLPMIVDRGSDQVPISSSFE QRSEMTVMRNFGSLLLEFCKLTPDGVVVFFPSYLYMESIISSWQGMGVLDDVWKYKLI LVETPDAQETSLALETFRTACNNGRGAVLLCVARGKVSEGIDFDHHYGRTVINIGVPY QYTESRILKARLEFLRETYRIKENDFLSFDAMRHAAQCLGRVIRGKDDYGIMVLADRR FGKKITQLPKWIQMGLDLKNTKLSVDMAVNNAKAFLKQMSVPYTRGEGDGHSSWSLED LEAHQAKKKQEAISGTENPATRALEGRAERVVEADDEFGGAGMDDVMAGLVG CC84DRAFT_1106918 MSKRPNKAQASSARAASSAFGAFGSSTPAFSTSSSQLSHVTEPP DLSTISDANVVVYFKNLSKKDSTTKARALEDLQAHVAKSEEPVEDGLLEAWVKMYPRA SIDNGRFVRSSAHALQGQLAAAAGKRFAKHMPKSVGAWLCGLYDSDRSVVDATQSALR QVFSTPEKIQNIRRAYQQPILEYCRDAIDRETPQTLSDERTVSPDDAEAKFSRVISAC ISLLGSLLANLKPEEISKHQADYDGLLGDKKLWEFASHSDASVRRAIHRLLRTCLEKQ PEAVKSHLRSISKAYLATGLDSDQTGSSVDYVDTLALLTAAYPTVWTDDYSSKTSVDR RLRQFLKKGSQAGPQQYWVRAATLLEAVPKDALPSNAADAIKLLSAMHDGISRREESR INLEAAYGAYLGLARSLCADLSSEDQTKVLEDMLLPIIAQHLRPSPETSDWSVPPSAF HIVAKATDVGCMPTILETTWPNYTEQLISDIKVSAPEQSKDYEKSQSALIQKGTRLAA LQKQVLDGQNSTKLRALFQRCCTSIIREALTVVSNRNGKPYGAAGVAAELLHRDREMV LADKETADDLEKFIGSELPRLILTPSSSHLVDILYSLSESKLFGQAWAATLKAILEGP DSPTRTKALESLLTSRKVPKSFDLALGDSSLQGYIKSSVRDALAGSTEWDAFHRILQS PAKILAQETTDEILSYMTQSLSISQEAPYALQGLRQIVRQNPLLLKDFLSTQQGSGLL QSLLLASESGDEVISRDAAAVNASIQTVLTAGSDTKQSVYGLLQQGLKEATQTSVSVE TLVELAKQLVKPISSWEDIAGAFPSTEDWYAALSPFLDTAPKTSLAIANPLGGLVYLV QQAQSKPATKISRDADGYSAAYRITQYVTRLFKDANVFPIEKVPSDIRDGFLHNIALT IQLADDNLGLAGANGLWADYNPDVEADAIAFMADAQRFVDQELKNMKANRGSESNDFL LDWALSLLSKIEPGASPRSYYLARTYSVLISDALEIYGWRNSESARLQDTLKTIRRRK EIFPLIGLLNSFKEQFAASKSCERMCNELVADLTGLDIEQNPDEGLQQLVLLNILLNG QEEIAHSIAKQRLIFYVKHVIPWLSNSSDAFPLRAEVCRALTVLLPLMSDLYGEHWGE ILNTLGDSWAVTSELQETESGMNSPIPFMHASLKLYAQLRKLTQTEDPNDDLVDVWKE TEQNIANGLVNLLKHSHHFPDEFHQPLKMVNDVLARQIAKVPLQHLESTEDLFPLLYV ESQPVQQTAFNILHKQIPAAQEQISIDSALEKTTARLPEELLSLILEAPTVAALADAN FERSIPLPLRGYLFSWLLVFDHLAHASFKVKNDYVDHIKTGAYLPGLLDFAFDFLGHA HNKPVDISRLDPTTYEPDVELPRRDAHWLLTHIYYLCLLHLPSLTKSWYIDCKSRPIV VTLEPWTEKHISPPVITSALSSVQSWADTLPSDEPFAVKIAPRAHEITTTYAIDDATM AMRLTLPPSFPLAPARIEGLSRVAVNEQKWQSWLRTSLGAITIFNGSIIDALTTFKRN VEGAMKGQTECAICYSVVGSDKRLPDKRCSTCRNLFHGGCLFKWFKSSGSSSCPLCRN PFNYG CC84DRAFT_1170335 MKSTILATLFAGLAAASSIPKSLIPLPSPVPDTTSFIPLPTPIH SSTPPPYYSRIHDILAEKLSDGVERRSEELEARKEDCWERVDGWCAPYCDGQFPGPDP ATKRQACRICIAVQSAFCLA CC84DRAFT_1076971 MHNNTTTDIVNDYGIDVRMNTSSATDSPFPEGYGWVQQKGLVSD WIEVWDYVGGIRFRGFVAEKADEKAMVVFFDQNVIGGDLKAGLMALLELCAVDYFACT RLVVCIDRHTQQQSLEVLSKDLGWIGFQLTTLREFVEEGDVISNEWLFMEMDT CC84DRAFT_1158973 MELLTFFLFATQAYAGLLPGPKGSRASASCRCFPGDSCWPAASA WASLNSTVGGRLIATVPIGSPCHDPNYSDADCAALQSAWQLPQTHLASSSSVMQAFFA NQSCDPFTAQSSQCLLGNYARYTVNATSSADVVAAINFARTKNIRFVIRNTGHDYKGA STGAGSLSIWTQHLKTAQFLDWSDSSYNGKAFKMGAGILGYEAVTLAAAQGLVVLSGT CPTVGAAGGYLQGGGHSALSTNFGLAADQVLEWEVATAAGKIVKASRTTNPDLYWALS GGGGGTYAVVLSATVRAYTDAKVGGAQLQMAAAYTTPDKFYEAIARFHAMVPNITDSG TSTAFIFNSQVFQIAPITAFNQTSAQVQTTLAPFMAVLSELAIPYSITYSDSTRYYDH YNKYMGPLPFGHLTVEAYQFSSRLIPRKVLETNNDALQKALRNITENGVLAVSVALNV TRQSSSPNAVLPAWRDAAIHMQMTLPWNNTPSAWPKMIADQYRLTNELVPQVEAVTPG SGVYMNEGDFRTVDWQSKWYGANYQNLLAVKNKWDPAGLFYGLKTVGSDAWTVGNDGR MCRA CC84DRAFT_1106926 MRAPIAILTLLLLSLPFSIAQGTLTEAIEQLPACALKCLVSAIS ESPCQLTDQTCICTNQKLQLDVEVCVLQACSKKEALTTKNITSTSCGAPIRDSSALYC TISTTLAVLSAALVLLRLGYKMFITSMELGLDDCFVFITLLAGTPSSAINVLGLAANG LGKDVWTLRFNQITSFSKYFYIMEIMYFAQITLLKLSLLFFYLRVFPGKPVRRVIWAT VIFNSLFGAVFVTVAIFQCRPISHYWNEWHGETPGTCININALGWSNAAISIALDFWM LAIPISQLLDLQLAWKKKVGVALMFCVGTFVTVVSILRLHSLVHFAKSINPTWDYWAV SNWSTVEINVGIMCVCMPSLRIILVRFFPKVLGTMRSNTGGYARYGSSSRIERVKIGN SNASTGHDKGMEDDVEMIIREAPSVDDSRSDVYKSRVYR CC84DRAFT_1133211 MASIPAGVYGLRVPAGGQPIPASVNPNVAFRITMAAIDPTAEVE AGPARATLKLIRIQDDDEDEDDDDDDFDPDNIEELRAKLLADGVLGSEDESDEDEDES EEETNGGPSDPAKSKQAKREALQKKLQEEAAAEEMEIDSITNGVNGKGKGKALDDEDS DESDSEEGDEAEELVLCTLDPEKHWQQTLEITVREGEEIYFSSNGSHDIYLTGNYVAI MDDEDDDDERDLYGLGEDDYDESPDEDELDEELSELDSEEDSLDDLADPRITEVDSEE EAPKLVKADKKGKNKRPAQDEDEGEAMTLDELITKTKAEAPAANGEKPSKKQAKKLKN NEGQAVAAAEPAKEAKKVDTPSSDKKKVQFAKNLEQGPTGSPKVAEAKKEPTKGPRNV GGVTVEDKKEGKGKAAKKGDKIEMRYIGKLKNGKQFDANKKGKPFSFKLGVGEVIKGW DVGVAGMQAGAERRLTIPAKLAYGSKALPGIPANSELIFDIKCISVS CC84DRAFT_1200715 MGSRLESNSNSVRKRIAKHTFDDEDGDEYGGSKFGGFPEYFRRK KIKLQNLDADLRLQSADKPQIFRGIVAHVNGYTQPSLNDLHTLIVQHGGGFLQYLDGK TTATHIIASSLTLKKAVELRKYRIVKPAWVVHSIEAGKLLPWSGYRVLDEGENQKVLA FDNGKVSSASSKKVQGYRDQSNQSWYTSQLRTSQFDGPASTPTPLSSMRPRVPRERLL TPGDDIEEHLPPSHQPEQGPFDQSWVEQLEDAARASETIVTPPKTSPTPEDPPDEPVP MAQDSVPQDHDGRYTHPDEEAVQYPAAQERVAKAAKEESVVKGRPLTAEEHNAILLRD PKIRKSTVVDPSFLEQYYRESRLHHLSTWKAELKSQLQALASERSSSQKAKQKRPAGA RRYILHVDFDSFFAAVSLKKNPQYKDKPAVVAHGNGSGSEIASCNYPARKFGVKNGMW MKKALDLCPDLKILSYDFPAYEDASRAFYDVILATGGIVQSVSIDEALIDVSSLCIGV GGSDGKGVSEGSIYREQAKAEEIAQSLRDEVKARTDCNVSIGIGGNVLLAKVALRKAK PAGQYQIKPEEAIDFIGELQVQDLPGVAWSIGGKLEEIGIKFVKDIRGFTKERLVQTL GPKTGDKLWEYSRGIDRVEVGEQVVRKSVSAEVNWGVRFENQEQADEFIGSLCGELQK RLLKEKVKGKQLTMKIMRRSPDAPLDPPKHLGHGKCDTLNKSLVLGVATNAKETLTKE ALSILKGFGISPGELRGIGVQMTKLEPMKNATDGSLESSQRRLQFRAGPAEPKQVRKP PPVVDDMDPIQDDPVTPRKPKAAAEDEHVAFGPTELNHSTPSRRPLNMMGTQFIMPTQ VDPKVLAELPEDIRSKLLRQGRQSTPTPATPKRRTESREVTPSPQKALPFALTALPAQ SQLDPEILAALPLDVRAEVLSQYAAAGATPFSPSRRPKHIDQTLLPQSPRKNRLIGIP AKRPIVPPVKRGRGRPPRSAQLAAAALASARDATGKTLTQANFISLKNPARTRGSKEG SAANPLPAAEELDADFLAALPEDVRREIVEEHKRQKLQASRLALAKTRRAAQPTVHGP RPSQIVTVPRPPRPTFTMNKLHDKNDLRLALKDWIREFGEEGPYEEDVGALAKYLGRV VTEERDMFKAVAVVKWFEWIVGDSADADGRFGKKRWEDALGSVKDGVQMAAAERGLGE VRFV CC84DRAFT_1224552 MEKYIATFGDLFVLRFSGIAICRPETVEPGLPSWVPDLQAISLE HSWQPFPTQDSSSGYGLGPLRSSQARVLDQRYLRVNACICDTISDAGPIFDTDSLNFE RLYRSFLERKSDIETRTRTPYMQAILCAILSYSILWIGKSSDASSLAAYQRLVLATLY FLEGLVSNAPVLDILHSTVEALDIAFENHVPSLLQTVFPHDMIYDCWKSEKWVATSII GQARLLRPHLTRSLKERHHLFTTSTGYIGSSLYGVKAGDVIGVIQGCPFPVLLRVEGT RYIHLETCNVLGL CC84DRAFT_1133219 MLIGLCGGICAGKSSIASYLVEEHQFKRIQLARTAATPSVEKSA SNVHVPPAELSPNDAQYTFPNVDSLLEFVTLRWRENWVTTDIWDDKVVDALLRRPFFL LVSVDAPVSVRWQRFKDRCASSQLTPPSLEAFVLRNDEHLFAPGTGLQILFERAQLKL LNSTTSIPSLHAALAALNLPNDARLRPSWDQYFMQLADLAAHRSNCMKRRVGCVIVRE KRVISTGYNGTPRGMTNCSEGGCPRCNTAAKGGVDLSTCLCLHAEENALLEAGRDRIG ATSILYCNTCPCLTCSVKITQVGISEVVYNQGYMVDTQTARIFAESGVRLRQFSPPRE GLVDLSGGLDGGEDGGVGEGKVGDVREVLGDEEFVRPVR CC84DRAFT_36978 MFTRTCCSCYCERCRQPLATHEFFGPGPMKNNAHQRLQAALPHR ARFVSGASLRSAGCDAARFAGRLHRHRHNKRTYRLLDSAPARLTCFVAALSAALEAAA GPKADKRGGRTPGHRGVFERGETDCAQLSCSSVGDAWDTTVACLARRALLPELCAHLS SNGLSHIAMGPGMFFRYHLGEKALGC CC84DRAFT_36988 MSSNHSRNTSAETTGTTNSYNMILEHVLQYPGSYEIPLRTMYTL NCTPRAQPLPKDLSRAPSPTSAQQPAWDDAESASMNFTSQLMSHINSMPQQPSSLPPS FIVSFVSRIFHPSLSLVDFPQALTALDYLRDLDNRWRKELKAAFDRVHIHPETAGQDI ETLSERYPGIALWAKNLEGKSQKAELYYAKMWLGLRRWVMINELSLQPFNKLNCMGML NTLLPPQPASGGKLPSPLLTQQTLRQERESFFHYIRQVQKSGPGCLEMLTKGESTKWS VVQKQVDKYLRVAKHIIDDCMTTMGTEDFKSVDEPRKGKKTDSGVSFGSDSRRPSTGS STHDRTLPEALVDRESTSKGLSKLEKLSREFKRMRVTSRPEVKEMVKMEQDLPVSGEN QGKKIRKARSLASLRGRTSVAASAADRKGSDAVPYDAEEMKRARQLYEARNAS CC84DRAFT_1170344 MSTPMSASKLDDDPELPPPSAQPVQQQKSRASALNPGNNSHART SGVPRRDDRTVSHQARVAAHAAVDHSARSRMHTHHTPAIPSRTSTKPPPAPAPAPAAT SQTAAKPSASCAHPPPLLTSGVASTARTFFDPWNSSSTGHQRAENRLSGSTSWRQSRS LKLAEQYKGGRGGGKRVADTVGAGSEDFGQDGRLANGGWQKGARGLRTGGQKSLAEFW GKSKASSTAKRSSQEKHAEDASWSESLSSTREINLHQEGTDRDAEPASDPQHLAKLPE KQIFRSLCFYINGSTMPAISDHKLKYVLNVHGASQSIALGRRTVTHVILGTTCGGGLA GSKLQKEIARTRGAAVKYVTVEWVLESIAAGKDYQNQGFRR CC84DRAFT_1077267 EGIAVVSVAVHLAEACIKLHDFWESMEDAPHEIATIKEDLLYLI SIFKRVESSEHSSSKCMAEGATHCQRKVAVSTDSNYHCIAEKPRQILSGGSKSTQLKG NTEASRFRCLQSPSCTPSTSKILYPNNLKYMMKPVDGSYRSELQIQQLMLHAISKIAI AAFETTSLDILARDSYIVDECGQPRAKTFVYADRLRYSIHHTTSSFQTPLGPVWVRKT TIYPTNDPAAGSYQTVTSIVFYPRTWMKCLGFQRGLEAAMSSAGRSWLLNCRVTLTRA VPEDSLIFELCRTGQTRAVETLLGKGLGSVVDTSPKGWKPLHFAAAAGHVDLCTMLIR AGADKSALVYKGPSESILTIQMLRMFYDCIDLTSPEGDGWQVHEWLKRAYATEKVPIS QNSITWLLHLTAKEQYVECNPRVLWSGLQHAVRSVLNHERYSKHLEHILQLWDADARN LSLQHVSALSSWIALRVTGRVLVMAVQAGAFLRIKGFDWTEDDMTYGAFLQAQPGIYA DWCNAVLDAVDKLENHMREELELYMRQLCWTQDDLLNALSSTNAVIYNSNDRTSHLQT CSRCQHNYTSMAHILVEPARIEIQECVKTGHSPVCICRNIPQQSLKVAELPEYTGMWC YPSIDDVLDAEEDFFDAQPYLFDPTSLQIDRPNSNVFSEAATLLYTAQGRNWIGSYAI GERLCASCFFFKERYIGEDGFAAEFPPMPESFVSLRTKW CC84DRAFT_1211093 MSDENVLPIGPGGTFLHDLNITRELVILGSANIGLSQHCASVIR VKKRDRPWLALILVDPPISSVYTSNDAERRRFRLDLRNYLGTHDNSVEPRPFSEWQDE DAETSSVAQRGMFDDLQQYWNRERPPSFNSSDPSIQSLSYHMLRVVAAEWVRYIAAMQ KCLKQYEHSHDQLPATSLGIYDRDLHELMGWRRRTLSSQSKIKSVLRFLAADQKTGEQ QNYDLKYLSEDFEHIQERISAIGQHLESTIPVIMSFVQIVDARRAFAETTNMSRLTVL ALVFVPLTFVSSLFSMNTENLPGSRGFWVYFAVAIPLTGLVVLVARPPPVVAALVMRV SQWIRKLERGVEYDA CC84DRAFT_1133225 MASNATNQGQAAQGTAPRPELAPVQPSEPATPAKKRKHRGGKKR RNRRQSFANTLESGDMTDDARPSLADVGGHSSRGSTSFYRLRPNQNRSNDSLQSEALL DHREQPAALPTRRASVQMPQLLSPPQRRSTQPQPSRSGLAQQHYASDSGPDDDDDADD RTPLMSSSHLSSSARDRGYGGAGNITRNRRGSRSSGASANMIGAQFAIQHHSHTTLAE YDVNNPPSVPASPVLTPELNSYGDVMLPADLRHDQEQAESRGSRGMSRDVLIDIDEQP TPRQQAWESAPTSPPAPGDLGRRMTLSAAEDVCFPLEDMSEIGQEDYMNRREGGDTRQ RRRRRRWPDLRVLETWSHEEKEQRTMEGMRARKVSEPLMVDGRLRPQKRTWHKDTEDA PYRFTYFNEEFDNTIHSQSIGELLQPGQTFRQLFIPDPPILEDSTDDETDDEEGMTTM TRESTVDKRSSMERPSMNGLSRADTRTPTLLNPTSSGEQTQSSTPGRTSTPKPPKEEK PPRYGPRPAFWLDVLSPTDAEMKVISKAFGIHPLTAEDILMQEQREKVELFKHYYFIN YRTFEQDENSEDYLEPVNLYVVVFKEGVISFHFSMTPHPANVRRRIRQLKDYLILSPD WISYALIDDVTDAYAPLIERIEEEVDDIDEAILRTYSQEEEKTAKTKKDPFNILNEKD SDDGEEHEGGGRDMLRRVGNARKRVMTLYRLLSTKADVIKGFAKRCTEQWDVAPRGEV GLYLGDIQDHIVTMTANLTHYENLLSRSHSNYLAHINIRMNERQEQTSDILGKLTVLG TIVLPMNVVTGIWGMNCLVPGQDIENLNWFWGITGSLIAFGLSCYFIAKKVFGIV CC84DRAFT_1079253 MHIDPEVEKRVRRKLDWHIIPLVSALYLLAFLDRSNIGNARIAG MSEDLHLDGEKYDWLLTIFYISYIIFEFQAIMWKIVPPHRWAAFVVFGWGLVSSVQAA IHTWEAEMALRFLMGLTEAGYGPGIPYLLSFFYLRHELGLRAGLFLSAAPLANTFAGA LAYGITSGSPGIPKWRVLFLVEGLPTIVMAGVAYLFLPDSPEKARFLNEEEKQVVRAR GVRQAGAATRVGGVNWKEFGQGLADPKGWILGLMYFSCNVSFSSLPVFLPTILKEMGF SAINAQGLTAPPFFLSFLITIITPYIADRTQQRGIMLVILTSIGGTGYVILAAARSVA ARYFGVFLAAAGIFPAIANILPWVLNNQGSDTRRGAGIILLNVIGQCGPLLGTRMYPA SEGPRYVKGQSVCAAFMFFTTFLVIVLRTLLVWENKKLDRQYGTLAQQKQAIEDAARS AGGEVKNEVAVENYGPLFRYVL CC84DRAFT_37046 MRECDPRTDQVARVHCRNHVPSQPNEPSCAQSHRPQTQIVSILH PPSDPRTPHTHYPSPCRSWKRVSFFLNIAHHTRKHATSPAGTSSTPPSLPSLHHALHC VGNTPIGARRRIGIP CC84DRAFT_1253905 MTDFVKNMIWNSVSGFVEEGKRTVGGFAGDALIKAGDMVEGGGR TVGNGIEKKATGLGTAIGGAPPKAPSAKALPSTARRPAAHRSSSLPANTKPKAPVGGS GVPLGAKKTPAGAAAGAAAVKRAAGSGVPLGAKKTPANGALPKFTPKPFNPPGGVSKP SPYPTSGGKPNASTSSLPKAYPSSGTGGAGNPLPKPYPGAGSKTPVRPGQSKPFSGAA TSVGGAVKPYPGTNTLPGQASKKPVQKYKPAQRYEPPAKAGEVKSFF CC84DRAFT_37101 MRIYVFVLAYFLLLSQATIQQPIWIMSGSRNSTRAPQLSHPMAQ RPLADDYVHVTPSIQSRTTPSEPYLPFPGFWTCPRNTALPPNTEDCLQVIMDTFRQFG NMTVDMPADRCIQIPYRSCVMYTCSSSCEGFQWSFAEWSQLAMHIQRSCIWGRGGGGY VQRQPGGEDKKFVYRTGLTHVDEGDSLLAPALVC CC84DRAFT_1211097 MNSPAMRKKKDDFGAGLKIAKQNIAPEEHPAGPPKYGELNQAER AFTLASTFLSGVLAISASQVLGAPLKLIDPVFYEGYMAYTKECFAILVTCLTQWWAPT VVRVSGDSSMIGQLIKTKDGSLKCNFSERLVLMANHQLYTDWLYLWWIAYTNGLHGFV YIILKESLKNVPIIGWSAQMYNFIFLARNWEEDQQRFKKALSKLSNPKDPMWLIIFPE GTNLSASTRERSKKWAAKNGLEDMKHQLLPRSTGLKFCLNELKDSTEWLYDCTIAYEG VPPGQFGQDIFTLRSSFFEGRPPKSVNMHWRRFHISTIPIHNTKAFEVWLRNRWREKD YMLEYFQRHTRFPAEEFWKDHLDVGDRSSQSDKQTIRTRPRPAVQIETEVKSANWNDF VKIFAPITGVMTAMSVAYGASPGDLIPGGEKFFGQMMKSVLSGGDLKGLPSPDELEKM IEGAAKIGANQAASPENRGRVQHLTQENLAKLVKETAIKEGVVLPGGRRASTALPRAP TRTLATSTAPRANSAVGPSGARRPAATPAAKPKPAAKPQEMREVKLASGVTIKIPKPE VEKAKDTGTITTANGLKIRVGKKEIEAAEQKKGTTFMTSSGVPIKISPSGALNVGQKQ QTTNATPSAPAKPKYVFKPSTNLPTNTSAPKAGGATSAMKKPAPANGAVKKSVSVPTK KPAATPTAPGKPTKKTT CC84DRAFT_1158983 MPAASVASAPVNRTTNRTAASRTKKPAGAAAKKTQSAANGAANG VHQATSPYTIMASRIANRVAEMAENMTFVEREKPQSPQNAPAVQAPKQKKPPRKLDIR VPNNGASPTNITFSAPFLDNTLAKLLTLQNRMLKVSNDIAASEDVDEHTKQEQFAQSA ELSRIIALICAEKARQGS CC84DRAFT_1158985 MNLEGSIPRLRLSYTYWAPSNLRNTPTRIPLGRQTSMLALLLLL CFPLASPWTIDVHPDLSYPARWTAAPGDRYMSRNGTFLSPGYLNSCLNERVPQNVTRS KFHVFNGRAIFDLVNATQGNPTNESFHLDVYLPHLYYKWVDGPGGSEYNEGPKGKYKM GQTMWRYHYWQNFPKQGRCYLPLNMTEMVVDEDGKALRSEDLVGLNATLTFELCDARN YRTFEQVDQCAYVTLTDEDVQPVEDIPHCDSYNPISELAKNSQSSPPQSPGKKLERGR LIGLPGLVIALWMAV CC84DRAFT_1182406 MAGETGPTTLSLAKAMIIAAFFGISIYNSVEILFSIFHRFRTHK GLYFWSMLIACIGIPVHATAVLLRNFGLAPNVPMCVFIVLGWWCMVTGQAVVLYSRLH LVWEQKRLRWVLIMIITNFCVLHLPVSGLYLAINIHPDNAYLTHVFSIYEKLQLTGFS VQEWIIAGLYIWGAYRALNPILKFKGPRERKVIRHLVLVNLIVIAMDGSLLLTEFTNN FEIQTTYKTVVYSIKLKLEFYVLNQLLFIIHNPTFSRQR CC84DRAFT_37185 MLFNQIHLISDRSSQRARITYQLLADMAERKKLRKRLRDLSHQN DFLNARITTLMSENATLTSRNAGLNANIVVLRDTNVILVQQLRDAEVDLYVLRDGSHN HNTGGHDSSDSIASRLSTAPRGEPRSRNPVASFPPSISQPSPVGDQEAQPETASQASS AGNATPTSSPDVSGPSLPLDDTAQPGVTLIARPLSPVNVGTGHGLRSPSRSVGDASEP YLPWDGTASVAGPSFDANANAETGQGPESPSLPNVDEPSKEEDRDPWEGWTEDPVGPT NWRDPPTVTRVAGPTPIERMVNAGIHYEDAEVYLRRYDNNVQRATDEYFNDMDARRLY FEIHGVPDELRVRLYEKKGDEISAKELWDSIKDVVQLLIIGRNKEETWHFLEEEQFDS NRVRQRLAREAGYGKGASKRPQARDPNGEWRYDEDSKGELGDDEDPDGIYSLDD CC84DRAFT_1158989 MQFWPLQLPFFSSNSSRPAFHLRILTFNIRYATGSPFTNEKPWA ERFPRVLNQIQHETRFLDGTSIPADSSPQHSAASIICLQEVLHNQLVDLLNSLNELPS SNDKLADGPIWAHVGVGRDDGHQKGEYSPILYPVAVFNLLHTETIWLSPTPEKPSLGW DAGSIRLLTIAVLEHKVSGRRILASTTHLDNEGSKSRENSVTIILESLKRVGRDWSTE DELPVVLAGDFNSFPTQEAYLGMAASDYMYDLRDSVPASRRYGEEITFTGFQKEKWSD EQGRIDFIWLGPGDAVCKHNHGVKEEVEGNCQWIVDGYTVLSNVFDDGVYLSDHRCVV GDVRLL CC84DRAFT_37301 MSILSFSIAAILLIFVTLLAKFLRRSNVDIEARAAFDEKSIPRI TSEDGTLGALPTAVTESNIARDSWVLRLTQHVCDERHRSCHNVLVINEELEYFFNPEG VVEEMYVIHYESKPGNATSRRRAIKYRVTVFESGSLVNLGDGGDINWDWKGNFDRTSA KTLTFKPCVSGVKYQQDSWQAVASP CC84DRAFT_37213 MDPASATVAFVGFAASITTLAAVLFQSCKTLRDLCHDLHNAPQD LHRLLRNAQTLEKVVLQVKRTGAEIANEESMEHIDAYWMNNVKDMERDLEVFNKKVSK LQKSLEKPSITSLQVKARFYKVFSESDIEQYERQLSQHRDTFTMLYSMVSNERSKKIM EELHLQSKKLDRLASSANTFHATMKNELRATQKLLSEENKVVINTVKREVRTLNYRLP NEETILSQLQLHGAELATLAPSSEELLPGYEEPPPTYLEAMTESASSTQVLVSFLHPG LDKRKWLRHQRMVAIQFQDRILAVSGPMVDQNCCSSLLWPQRKRRCYTGHQLEAEAEA LQQ CC84DRAFT_37137 MGQSPQLCDRLRPVADVIIQHNADLTISDQEGNSACTLIFQNRG GLSYLEEFVYRYIDLLALHDMETVDYWLITALARAYPTFRRCLEAENESFRTAPRLAL KQARPSASLTELDLSVQMESIKNAEVKHRTRFLQSLCAKGTLEMVKPFLAIGLDLNEI EAVQHQTYLRAAGRAGNMEVVDALMKAGASVDGVSDFNHPYSIVNGVVDEIFDRWNCL RHGRPDVDAHGHSEGAEFWILPRLLQNPTFNDPNVLLLAVWYELDHAIFETLLDHGCG RRDGQKPMSWQLRRYGSEVIEAIKCDNPVVTRMLEHGLALEVEDGWGCTALIHALDKG IGRENYTQAVVTAGADLERRTGCGYTPLEFAETNMRARHPRMPQRTWNTKSLEVCNSR LISVEEDRATYIMLKRAIGERKGHRATLSTCRFQYHNSSLTSNRVEIPSSNDSFFSIR SVLHSSYIGIERDWSDVQLTVLGTILTALSLVWVKMVAALWKLFSTRRGARTKL CC84DRAFT_37133 MRADIDRVCILAQCINTAQRLYHITSTHREPPCRIDTMCDSICL TSSLRLPQQRPPLTRSPSLRLLHKPHKPPQPHRPRERHRPLQHPADPPILHLHQRVKR HSHPRIQKHYDRTRGATTPVSRRGRTGQRGLTTPSVAQVPMPVPTTPPSLIPCCPPGR RSRRVAAPRARSGSGRCLAPPAKSVLSLRPQRCTSPPQRQSVSTLRRRRSRTCGDRTA RCALPG CC84DRAFT_1133242 MSLMRLPLRSAAASSRTCTRGLATTAGRQNAGNLLDILGSSSPN RAAPEKPLSPPTEAARDPKLAMRMGAMAFEGGADRQRALLQASQERQSFQKQFYRKWN TGDVYAPHDLSAVEQKKWKMARKTPSSDAFDTLGINPILEYKNFTMMSEYVSETGRIK HSRETGLRPKNQRKIAKAIRRAIGLGLMPSVHRHPLVMKKVNPGRFT CC84DRAFT_1253914 MPSHLTRVVFRSIIADTPLICRGCVQRTPRLRASFQHGPRAIAP QRRTFLGMFKPERKIKPPDVPAGLEVMGELIQAQGDGLRPPTPAQVAAALDAFFTQKK ADVEDFHVAKALNALQYLLANPKEDGQPWLSYKSMNAMMYKIERYPPGTGGTPHRILA KLLDAEIEKVIGNEMDLSKSLATLTVYEAIELPKLVRILCTYGASLEARDLANKTFGT PSDKRSLHEDKIVRNTWKAVVAGFAKEGNTPELIKTTEMMRDAAATFTSEVQGVLVKY FVERNDLEQAKHWYSTAIPEWDDGRGLQSALGPFLTSLALSGDTAFGQQVVASLLQEM PAKETWDAIFVWSAAIGKGADEIDRMMNVMIRRNQEERQKSPEKPVILPDIKTINSLV ELAMSKQDSYSAERFVVLGEKRGIYPDEKTYTMQMRYRLSINDIDGARAAYYGLQGQI TEDSDCAEAVNKLIQALCNLQQHHFDDIMAIVDDLHERNVRLTPETVATVCVLHLRRG EPHDAGDLLNMHAHHLSPEQRVVIRNGLASFIMDSQTSTAEAWETYQMLRHAFPETPR ADRIPLMDEFFRRKRSDMACHVFFHMRNSVEETIAADKEVYVKAFVGFARNADAESLE LVSNQLKIDLNVEMDTQLRNSLMLAWAATKNNGRALSMWGEIGSSREGPTYNSIAIAF RACEGTHHGERHARSIWARLKELDVDIDKQIFTAYMCAIARNHRHDDALALIEAAEEE YGFTPDFYILGNWFQCTANIDRQEKVEEWIKARYPAVWKQLEALGSYRTMDGFGYRQF NFNRDLEP CC84DRAFT_1106966 MDPAQRKLLEVVYEAFESAGETKECYAGSKTGVFVGNFNSDHLL AQTRDSDFSSPYSSTGAGASILSNRINFLMDLVGPSVTVDTACSSSLFALHLAVTAIY RGDCESAIVAGSNLIMTPDVQLMLTKLGALSPTSSCHTFDASADGYARGEGFAAFYIK KLSDAVKNDYPVRAVIRGTSINSNGRSAGLTHPSSQGQEAVIRQAYQNAQLDPKDTGY FEAHGTGTPVGDPIEVAAIGNVFGTSTSSSPLLIGSIKPNIGHTEATSGLAGIMKAIL ALEANLIPPTRGIIALNPKVDFTKANVQVATETLPWPADKLRRASINSFGYGGANAHC ILDHVSVLIPELSETNSVVSLDTNGSIETFANRPPCTPNLARPRKVRSASASTRRLTL LPLSAHDEDALKTIERRILDNIASLPLADVAYTLACRRSKYRHRSYSVMQLDNSGATS VLSKGNPRKLQDVHVPTIAFVFTGQGTHWSGMGSTLLEYETFRTTIAYLDAILSRLSF APDWKIQDVLSGASDLPIGSPMISQTVCTALQIGLVDLLRTWDVKPTAVVGHSSGEIA AAYCAGHVRSAEAIAIAYCRGVAVSKNEQKGLMLAVGLGELQVRSYLRDRYATIQLAA INSPDSVTLSGDADYINDLSDLLHSQGIFTRILQTGGNAYHSFHMEPLGLEYEVLLTQ ALKELEMEQQPSNEGYNSQITWISSVFPDISMAQSRTKPLYWRQNLISTVQFSAAVVN ILHSENPRVDMMVEIGPHSALKSPLAQIWATERGEDVGRVIYHSALFRGQDGMQTLLQ LCGSLFCENYPVDLVAMNSEDHLVAGEHVHRHGRTTGSLPPYSFSYGLPIYSESRISK EMRLRRHARHDLLGSRQPGCSTKSPSWRNILRIKDVPWLEHHKLLPHTVFPASGYICM AVEAANQVFAEQNSLENIGGYILQNVGIQKAMRIPEDDRGLEIVFDLEQRQTANGALH RFRVSSVTQPDGIWSDHCSGEIRVMKKGSLAKEFQPHEKITSPPDPRILSSDRWYNTF SDTGISYGPSFQAISDLMSNPQSETVSAKIALRTTKEFFSGEESSYLLHPASLDACHQ LAIVAGHRGHRDNAQHAFVPIFFRRISLWTPKGPVQDSAFAVCHSTRRGIRGLYAQIQ LMSHDREPLLSIEDLRCVAYGENTAAVKTPRRPYRNLVWKPDISKVSQEYADKSFAPP SIGLELTSDMDRLDRLCAYIIMEMSTDPESQLTKPDYEPHMIKFLSSIRRRVDPEDSV LCEAASVSSIDRLATISAITTQMKEKIEVQLIDRIFQNRGNIFNGSKSGLEVALQDGL LTQLYTSSIGIAGAYPQLSQLVDLCAFRSPHMNILEIGAGTGGATDVVLRSLRADSPE RLFNQYTFTDVSTAFLTSARSRFDHGGFMVFNTLDFESNPLEQGYEQQYDLVIASECL HTSKNVEQALRNVRSLVKPNGQLLIVETTRTLRAHGLLLGTFPDYWVGTEDYRTDSPF LDERQWDSVLKLAGFSGIDLQLKDYPSPWNIASVFLSTRKEDFVPGTINYSDPVYLVN GKESIGLCEMVASRYDQISVPVTQETHSPIGSARAIYFLGFQQLTDLQDGSWFESVKQ AVQHYRSVLWITQSNVTGGAVPTAALVMGLLRVISAENPQAAHVIVDIQRDAAEGVDM RLATQLVQLELDMQGSQNAEYAEREYVWRDGCLRISRLVADHSRNDGFHLLHSERDTF MDVPLRGQKPFKISYQKPGILSTVFFTPDEEFLQDLPDDWIIAKTEAVGLNWKDAAVA TGRFDLNNASTEFSGVITQIGSGVCDFNVGDRVYGFAFGHFGNYMRLPATFARRMPDT ASFVDMATVPVVFASALYALNRLARLRADESVLIQSATGGLGTAAIQVAKSIGATIYA TAGSEKKRAYLCEEFGIPVDRIYSSRDVPDVHTLLNISGGVGFDVVLSTSSGLIMDVT WSAMATQGRFIDVGRVDVHGHGSLPLEPFNRNATFSSFDLGRLGMRHLSELMGEVDEL VQAGSIKPISPVTEFDISQLGSALAKLSEGKHLGKLVVSYGEQDSLIRMTPSWHTAAF DPNACYVIAGGLGGLGRSLVSWMTTRGARYFISLSRKADAIPEASSFIQSMHAKGILI EPVACDITVRDEVNSIIATAAGKRTVKGVVHMAMALRDKLFANLSLEEWQTGLAAKVQ GALNLHDATLNLSLDFFVMTSSVSTQIAQPTQAAYCAANSFQDYFARYRRSQGRPATS IAFGLIHEVGELGRRIDVQNSMGRKNLYGTGEAEFLRLVEAAFFPPQTDRSEDADPLA TAHIVTSLEPALLLAKQQENSNKGITTTPPWHHDPRFSHILREMQTLAATSEVAETAG TRTSLSDPASFRAALDASMRAGDSKQARDIVLSSIVNRVAEMLFIAPDTLDPHLGVSA YGIDSLVAAELRGWFIATYNSQISFLKLLDPSTRISDLASFVLDEWADLGSNV CC84DRAFT_1253916 MDQILLCLCFLLAVRAVIVIRRLYFHPLSAYPGTKLGIASPTLY KLYRNFKRRGQFIFEIEQLHQKHGPIVRSGINDLHINDPSIYLQITKIGSRFRKDPKF YDRISFRNTSLGFLDPYQHRARHSILVNAAFSPTPKNIQHLARLVEQKVTKLCDRFDT WAVEGIPVNIHKGMKALSMDVISELTMGRSFGCLDHPTFSNVFLEQLHSIFQEMTWMQ KILFTVAKVSLSTPPWMFRFVHPPTMVMMKELAKPLIRDYVDSRKGEVSNEQSLQKAV IIDALTSPSGSKNTKPLDFETLSEEVVTLLTAGGDTVSSALIYGIYHICRNEQVHRKL VEELLTAFPATDDVSYQGAHSLPYLNACIKEILRTGNPLPGRLPRVVPQEGFDLYGRH IPGGCVFNTSAYLLNRHPSIWDRPDDFDPDRWLKSNSGLLEQYMASFYRGTRQCLGKD LAWCELYVMLARLFRQFHVVIHDTSDADMEWIDAVLIVFKGKNLKAMLSRRDT CC84DRAFT_1224600 MYGTPRSETAWKVPSCIFEPSDSTALADAVKIISSNNITFAVRS GGHSPFSNWANVDGGVLISLSAINAISYNPTDETVRVGTGNQWGQVYGKLAEYDRVVV GGRVPDVGFGLLTGGGLSHHSSLYGFAADNVIIYEVVLSNGTEKTVSRASDPEHFWAL KAGGTNFGIISYVTLKAFPQGKVWGGVIFYSIEYLDQIMAAIAEYQAVGQLDPRSAIL PYVAFNNFNSIFISFSYLDAVERPEVFNNFYRIPSLLDDTKIHNNFSASISEVVDKVY PRWTWGSTTFYLDNSTYVQVARLCQNISRTDEFQAIQGATLVLVPQPVSRAMVQRSVA SGEHPMNLRDREQIWFSINLGWQYASDDARMEQFVVDTLSSIEKLTKSKGLFDEYVFF NNAHTTQDPLRRYGQNAFRKMKRISRTVDPAQIYQSRLAGGFKLGGS CC84DRAFT_1200728 MLSIPPAPLGIAAVAIAAVFVFLDIAAVSLRLWAKKIKQRPLDA TDYLILGALFFATVDMITKGFGKYYVSFDDAITFAQYFIWGITILLIKLSILLLYRGI FHCMVYTLVAIYASMAICVGIWILQIGILFGSCQPLAVNWDPSVPGSCYFKGVSEYVI SMSTNLAMDVVLVLIPAPEVWRLKHISVKKKIGISCVFGLGILTCILVGLRFKYLYEM NMADATHDGVPSGIISSVELYLGIICACLPIMPPAFVQAGKKMQSSYAAGLLTRKTSK DSSLGSQKPEQTAVDSKEPDYDQIELNSWPPRTQHEV CC84DRAFT_1253918 MQPLTHQQEPPPGAPSNCLSALHHAQNQTPASQRTRTTFPSLLD STTNPSHRLLEITIFSLLRHGLTFMQIAAFLEHERTHAAQGITQLRDALRTFHFARLL NGEGARSWVEWRVATWPRWPRAWEVAMLGGWALEVWAWAVHEAGENGKTGILEDEGEG RETAGVCCHGFAILKRKRWGTLRERLRAMV CC84DRAFT_1133255 MAAFALPDPAHPIATSYLERPLPTGPCSHRDVVGTCGCEQFWDA GSADIYHGSNQYRSGSQRSTVCVCGHHACYHKPAVAAAAARAPLYDGRCHTHSGTQCD LHKAGGMPRDLSQPLNSPPPRTIPTQLLMRNEASLANGSRVDNGTKDPPSQPSSSGLP RIPSICLMSNDRYPAANSAARDQANQSRQTIAGLGLSMLNVGDAARSFDGQQSVSSTV PDELDLGQPLDGTRAESRQPSAGQNSMRGEQGQSENAALGPLDAILEFNRNFHLDVGG DTIPNSFNLDDFVQSATEVATPSIRNTPDLAVTDQAVQDGKRAIEDLIRMTSGASQQH AADDRPASAASAPPQLLLTNSPHTSQEHVQNFLKTASPQSLQKLVSYLPSLYNLLNSI PNVANALKELNDRLSHLESNNSFNYVHPDDLHHQFDHVDGRLLHLESRMDDHDTLHQT IDADNSSLSYSRRRIAPVSGSFQSIRSLESTTSSALILAAMDRKETETEISGIKDRLD VLETFAMPPTLTKPWEIEVVLLPWGRDLRGIWFSPDESMHDQSNPTTQDSEGWTQARS STHRQSSAPVPLRETDSSPYPASRHSSRSSHPFSDTESGWSSQAISNWASGLTEELLS PKACKSTNLVHKRLRSRGLVKDVTLHSASSRDIQLALAHAFKDMLEHLKYTDEDDEPT IRSYPGLRASFIPLRKVRHDSKLHFLTPAEMSSSALWSAQFLSADVIMHVTGGKKRLY VTQREAYVQSHDETGSSWTWQELRELPRYRPEADVRMEANDGQHHPQVAEADAKEACW TYFEAYDAPPRSVNSSFGSHHSVELSMRPADRSWRRSITPASILKNPMPQPISPLSEN HPRRPSYARQRTVSASVLEPANASSSKRRMDGSPVKHSSQPHSLRAPSASLPRMKRRR VSKSTSPRPALDADENATDVHVTAWANTPRRSREPFSPFFSSVAAPLPRTASDLASRP SQRSLAGTSKGTPFAYATPHSGPLVGGPVFVGDGDTEADEDLYQDDDGEQSWRGVATG EEDNDLIEDVEMGAEEELASFSLGSVSSIHDCDINNESDGDSDSDEADADSGYAAQRT DAEDEDEDDDPLDALLGILQD CC84DRAFT_1211115 MAVINAYVIMIMIFIYLVSTLLRSCLDIMRKPSPNPGPESVQVL VYRIPSGEGAPHPVRLPTTSEPVAVYPGAFPAHIPDVFRYWTLRGVDRLLYRDWTVTQ LKSYPIENDDGLYMTIYCWGTESGMRQNHNVPKILRPIEVMYGDVFIAKLSHRQCGCS GHGWAEYADVQQYYLQRVFEKDRVMARVEQEMKNEWGIRRAGRYKSTAQST CC84DRAFT_1170361 MAPTFEDLIQSHQFTFFVGEEGKSIVVHAAAIAATSQQLDALIN GGMEESEKRCARIGDVRVDDFIRFCEYAYRGDYTVPPWGQRPSDLSKKDQQNGHYDEC APLSAKEKKKRKKSQVTFGFLDAPPPMEPPQQSLVDEDIPAPETPPMDMEYPDKSRTL LRIKLNSRRYLSNSSPRAVILKDFDPKLNSAADQDFTPVLLAHARLYCFAHLRLIAPL KELTLHKLHKTLMTFRLYTQRVGDIIELARYAYSSQDLPDRSDDGTLDDLRKLVVEYI VCEIDTIGRCEAYVKYMEEGGEFVGDFWRMARDYMT CC84DRAFT_37420 MQQNLRATHSLAVEGRLCTTTLLLHAEASNSVCCWTLPPAPCRG TSIANASDLSQCLQRAGLASLRGRLKHRVRTIFLAACIEHLLVLLIDLNQKVVSYRIT QKYGLGPPHHFKQNCKPGMVLERQFSAQTRHTYGR CC84DRAFT_1170362 MASSNRPRLEPRGRQLSRFFEPLVLLHTLGSTRGEHTRDITYNG TEISCLSRKHLIRRFLCDLAYMCDYDKGGDTVTAIGLESRPHGNVFWVASNTNPSAKI IPFLNTLLVQLRNVSIEAPMIAPEEVQDLATMCITFATPRIKKYKSHLRPLLRRCLKQ LDKKDKDSGEMHSLARWLRTWDDIIDPEGLCHFAYRERKSDYMQLLARLGTEPSYKSN KDAIHHAFSSVRHYIGRLGHHFRAANDLISCSFKLSDVLHDFEVRGVPILTGSIIPPA DGKTTLESMIKRMLPAGSPSLESYERKLQEMDSKYDLSRRFLENYREPGLKPRVHAEI HVLEHFHVSGLRFIDGDSFIACSKPACFCCLLYLRAHPGQFVEPLSHHKIYLNWRPPD LHSQKELIGEFHQRDILNAMTKTIRREALRQIDDKIPPHPWHPDSLTGITESARGDAT HRTNRPGEAFVLAQKHVANTSLPVSRSSTPVTGEQRVEEGCEQQPPHDSGSSVVDYFL DDSDLEGGIPI CC84DRAFT_37423 MSKKNNLNSVKEAQKFKFSLHLTSPIITVTVGKTNTLYIHSHLL KNESERLLKDLEGGFKEGAESAIELEDEDPNLFGYFVEYLYRDCLILSQNVDHYSAYV TLARLYALGERLMAPKFQAYALWRFSHSLATHTLISEESICELLRIACTEITERARED PMRSQIFWYVGFRISDLQKFDMFRQLLCEIEEAGKQICLWMNRSQPGKPAEPSELQYK RFAPESEFDLSIAEVMPGKQ CC84DRAFT_37425 MGLSPDLKELAEEWLRLDKDKSTIDEIYRLLQQGDAAELDRRLR PRIAFGTAGLRGPMQAGFACMNSLTVIQASQGLAAYLLKTEKHVKTRGIVIGRDARHN SKNFAKLAAAAFVAKGIKVWWYENPSHTPLVPFGVRELGAAAGVMVTASHNPARDNGY KVYWSNGCQIIPPHDAGIAVAIQENLEPVSWNTSVVDDSLLVEGSLGLVREKYHRAIQ CAAHPEDPSIKIKSDLRFVYTPMHGVGLPAMQRCAEDLGVASQMVVVKEQAEPNPDFP TVKFPNPEEKGALDLAMQTADASSISLILASDPDADRLAAAEKVNGKWHIFSGNQLGI LLASYLLDRYPASKPREKLAMLASTVSSRMLSALAQKEGFHFAETLTGFKWLGNVARD LDAAGYSVVFAFEEALGYMVPQTVHDKDSISAAAVFLTAAAHWATQGLTPYTQLQKLY QHVGYFEDANTYLVSPSPATTTQVFTAIRALGTPHPTTLGARKITRWRDLTVGYDSAS ANHIPDLPVDPTAQMITCELSDGSRFTVRGSGTEPKIKLYIECASSSSSAAKAGADEV LGDLLREWFKPEENGLVLA CC84DRAFT_37505 MAALDRKTKICSRREIESLIAEGRQIVIVDSKVLKVDVWLPYHP GGDKAIRHMVGRDATDEVHRFHSAQTLELTSRYQIGCIEGRWLNFVPPIQGGKFRTRE ELDKISDEDYAESCSEEHESVSSSSSAAQSPVFEPADPPAASLRKRHVSPSASSVSSM ELEQPAAPTKMSVLDARTQEELDFDKAKYPSLDPESQDNIVRKYRQLQDRIEAEGLYQ CNYWSGYGVECLRYFTALALTGLCLRAGWYITAAFPLGFLWHQLSFTVHDAAHMGVTH NYYVDSILAMFIANYCGGLSACWWKHSHNVHHLVTNSPEHDPDIEYLPFFAITHRFFE DLRSTYHEFTMKYDAIAKVMVRIQHYTYYPIMMVARFNLYRLGWTYILLNQSPKKGQA WWFRYFELVGQVFFWTWYGYFVVYKTIPGGWNRFAFVMISHAITAPLHVQITLSHFAM STTDMGVTESFPQKMLRTTMDVDCPQWLDFFHGGLQFQAIHHLYPRIPRHNLRRTQKL VQEFCNEVDIPYALYGFVDGNKKVLGRMADVARQAAILAECQRTIVAGEVAH CC84DRAFT_1080236 MAVSFTTVVLQYLPIALVLSFVYAITLGIYRVYLSPLSHIPGPK LAAATRWYELYYDAYKVGKFYFEIEKMHEKYGPVVRINPFEVHISDPTYYNELYNMKL RLHKDPWYYSWLYRPGSIFATMNPDLHKLRSAPIKKGLSPTSISRVEHVLKEHLSRLM LRIQEHKDRGQLIHMTSAYRALATDIVTDISCPKSLHLLDKPGMGHEFHEAIANYTMF AIWNRQFTWIGPLLDSLPRWLVALQGDVALGIIDFLVGQEQQAEDVIKNDGKPIGTKS FPVIMNEVYKSPDIPPSQKTPRRLFEEIAILIAAGSETTSNSLLTTTYHVLANPEIRA KLQAELRTAFTAEETRGVLSYKQLEPLPYLTAVIHEGLRLATGVSGRLPRINKIAPTL YTNPSTHKTYTIPPNTPVSMTTRSMHYNAACYPSPTTFLPTRFLGDEKKESLKWFAPF GRGARSCVGQHLAMAEMYMVIGNLFARWDVRLGEGVDERDVDMAHECFAPFPEVGREG VLLVIDGDAR CC84DRAFT_1077474 MLRQIALVALAALPGSLAQVTEGFENGWDQTAWPTYALDCSQGG SVALDTTTAHSGKNSIKVTGAGGYCGHIFVGTTKVPSSGDVYVRTWLKASKALTDSHV SFITMPDSAQGSKKHLRIGGQSKILMYNRESDDATLPDLSPNGIAASKALPTGSWQCF EYHLGADGSIETWLNGEAIAGLTTKNNSNAGQWTRSSIKPKITGVYFGWESYGGDTNT FNYDDIAIGSTRIGCT CC84DRAFT_1133272 MPSLKSSSPSGLLLLGLLLLTVVGHTVAQSSRKRSSLNADWRFS RFVDNPDGLSYDTLKAWILPSSNDFITRAKYQRPTGTPPGSDVQYVKDSFDDSKWDPV TLPHDWAIKGPFGAPGVSGPEGKLPYNGVGWYRKTVKLGTGDVGSGKNLYLEVDGAMS YASVFLNGVLVGGWPYGYNAFRLDLTPYAKAGDNTLAIRLQNAPDSSRWYPGAGLYRN VWLVKVNSVHVAQYGTKVTTPSVSAGSATVDLSVTIENTSNSTKRVDLVTEVYEVDAS TGKATGDAKATFKSISISVAGGSKQVVNSTTELSNPKLWGPAPEQTPNQYVAISKVSA GGNGTVDSVETKFGVRTLVYDGNKGLIVNGAHVYVKGVCNHHDLGSLGAAFNYRAAER QLQILLEMGTNALRTSHNMPAPELLDLADKYGIMVMGETFDTWKTKKVANDYHLLWDE WHEADMRSYVRRERNHPSIVAWSIGNEMPDQSSALGGQIATELQNIARQEDGTRKSTA GLNNAGPGTALVNVLEIPGLNYQGEGKGTSWNSTYPSFHSRYPDKMIWGTETASTVST RGTYVFPVTSGKTAVVGPSAGENVTMQYVSSYELYHPSWAASPDKVFIQQDKYPYVAG EFVWTGWDYIGEPTPFDNTSRSSYFGIIDLAGFKKDRFWLYQARWRPDIPQAHVLPHW TWPDRVGKVTPVHVFTSGDEAELFVNGKSQGRKKTEPSEYRLRWDNVTYVPGEIEVVA YKNGKKWAEDSKKTVGSPAKLNVTADRTSIGNDGYDLSFITVAVVDKNGDTVPMASNA ISFSIDGPGEIVSTDNGDPTDMVAFPSLTRKAFSGMALAIVRSKPGESGSLKVLATSS GLEGGEVVVRAG CC84DRAFT_1068713 MKTLLLPLATALLAQQGNAHYVFNRLIVNNTVSKEFQYIRDVTG NAGTRESTWLKSFPIFGPENENVTCGRGAFPVSNAATIETATIVAGSKVGFMVSPPMF DDDLIQYIYHEGPGQMFLSKLPEGTESLNDYDASGDFFKIGYAGP CC84DRAFT_1133275 MSTRDSEGSPSAVDTSSHVEQTANSPPKSAPDIACNTCRKAKLR CSRDKPSCAYCRKTGTVLFMTSSHIGASVNSQDSTAREIVNLLARELPKLVHGSTETS PRSWFTQETRLGSKRRRVPDDEDKKWAVDFADSMSLNPAHLEEAVTAYFFYVHPWIPM IHEGRFRQRLEQEDQRARLVVVVEAMVVAASKFVPNASIPAGTIARVRNRVVTAAMDC LCLESLQALIIVAYNDIGDGNAAKAWSLVGSLTRTVEYSQLAQEREDNEHRSLCQPFE VLDHTSDWTELEERRRIFWNVFDLDRFCSVAMGWNTSLTSADVYRRLPCDGHLWRKEQ AVKTPYFGIWDKSAGKMGVPIGFLVEDDDLPEKAKRISTADSRAPQGLKAADMSNVGA VAYRIEATESMSRVAICFLQQKVNMRSQQEISSWLTRFKELDLRLVHWKMLLPQKWKS NPNMTRPVLLMDPNLTLAHVTHNATMILLHQLIAYPPFHWPFRSRLPSSCSIEACYSA AMEIATISQRYLANSPQSRPVASQFAFCIFIAGRVLLIHWRSSPRNEFPNEFWNLVQC LEEMSRRWTGLSPIQAHNQNLSGRYVARLKELYISCVRDEAFRISVADYTNEITYRVN TSSESIQPRRPMHTNEMHPRPDMPISGVSNAECPVRQTDPQPDATMFGDARMDIADID NLEGVDFDTLPQLMLDRHFIDMDRIIAFEDGSMFAATVDNGMF CC84DRAFT_1182423 MDTSDAAFVVDRHLPDLFTENITAKALRTAQTAIKALPDFFPEY VPQDAGQGGRYTLREADFWTCGFFPGTLYTILERAVRFPQSLQLPPEISILQFREQLL TLCRTWAEPLYNMDTRTDTHDIGFIIMPALQLDWELFGNRKSLDSIVRAARSLASRYI PSARAIRSWDILKTRDMEILDQEDNMIVIIDSMCNLDLMYYAAHHTQDPSLAEMATAH AETLLRSHLRPEHVVLSNKPKVCYTGPWYSTCHVANIDPKSGTLKQRLTAQGYAHESN WARGQAWAILGYAQAYLSTKDWRFLHASCGLAEYFLYRLETTPAYATPGRYVPLWDFD APIDDETNIVRDSSAGMIAANGMLILSQALAGFGSGMLASRFRETAMMIVQDTISFAL APETATLVCASNGYISAEDSTPGWSFEGMLKYGTANNNEQARKRYANHGLVYGDYYLV QFGNRLMKMGIV CC84DRAFT_1200739 MQKPDESGEISTAERTYDVGDKNNEHDEVVVSSATEKPTRSLFV AWMYIFDWYPSHYSKEEKKLLRKLDCILLTLCCLCFYIKWLDQNALNSAYVSGMREEL RIKGNQYSLFGTFYNIGYLIFEIPSMMIISRPKLARYYLPLMETLWSILTFCQSKLQN DKQIFGLRFLLGVLETPASTGSIYLLTSWYRADEVFKRAGVWYVSSNAGAMFSGYLQA AAHKNLNGAMGRSGWRWLFIIDGSISLPIALLGFIMFPGLPTSPRVWWLTEKEQKLAI ARMRNDGVKQSSKIGKRMLKRVFTHWHFYILVLTYVCFQCTSYVGGQMAIWLKYEADK HGTYTIEEINLIPTGVQGVAIVTGVLATSLVMIYPMWIVFTVVSSILLFANVCLLVWE IPLGLHFLCYYLLGMTSCITPILFPWVNMMMKDDNEARSFTTGAMMTIGWAFFSFYPI TTFPILEGPKWRKGFTVNTVLICAYMTFFLVGQYLWRREVAAKTFDTDPSASEPLEKE PDAVHVEVADKETRV CC84DRAFT_1253930 MPPLPGFSDNPLRTRADLIRAAIALVKPLNPYKSPGGARIKLAT STAAGFSETAAQLEGFSRPLWVVAYLLSLQSTEVDPFIQALLSDAALNLESWVQGLKE GTNPQSPEYWGDLAPFDQRMVETETIAIALLVHPSAFSFEHDPAARKNLVTWLRQING HAMPANNWLFFRVLVNLALVLTLGVPLGEVKSYIDDALEVLDSFYLSEGWSSDGLWGA ERKQADYYSGSFAMQFCALLFVKHAETLAGYGERVAKFKARAREFASEYWRYFAPAGA AIPFGRSLTYRYACGAFWSAAAYAGIDLPPPLDIGTVKGLLLRHLRWWAGHEGIFNTD GTHNIGYTYPNMYLAENYNSPQSPYWCLKALLILGLPSSSSFWTATELPHPLSPSAPQ ASPKLELAKIKLLPQPHQILVGAEEHHYLVSSGQCTAKRFKGREAKYGKFAYSSAFGF SVPAGPLLEQLAPDSTLAICFEGEEDEAGWKVRWAPEGVEFGEGTAEGTWIRSTWRPW KTSGVHVQTTLVVPGSKWGGWHVRVHRISLPPGAPAMRVVDGGFAIDAQTSRGSSIFE TSVGASFPQAHGEQRMEGWWSDGLGSLVLSRGGASGVVDLTYSIDGGAAASDGKALII RADPNTNLIASRTLIPAMQHSLAANDGEGNKVIWLVTGVFAVQAEATRHLERVWEMWG DRPKGRFEGGSVRFV CC84DRAFT_1159002 MAEPTPDVAADTAELPATKEKLDELTQAASLQYSLKNFSAAADH YADAAEIQSKLNGEMAPENAELLFNYGRALYKVAIAKSDVLGTKVAQEEKKKPKSKKA KKEDTIPEEGSTATNGAPAAAGKEQTVQSKPFFQLTGMENWDDSDEEEEETDGEEGQE EEQDDFGDAFEMFEIARVLYHKQLEALDGSDSAGKGKGKGKAELSPEARAIKTKLADI YGFLVDLSFENERFHDAIPDARRSLELLEELHPFGHEQVTSAHYTLSLALEFASMAKI REQQANKEAAPEDAQKQEEDVVDWDLRNEASKQTELAIQNQEAHLKKAEESLTAETFT PERKKELEAELADKKSMLEDLKTRLADLKEDPTKQKFDAVDPTVFQGLLGGLLGADPA TQKAALAEATKSANDISGLVKTKKKEKPAPAGSSSAAEASGKRKLEVDEDAANGKRAK TEEMQ CC84DRAFT_1107006 MDVTYKHFQYPAQRRPDTMATARRPEKPSAVPPNLIPSSSPAFG TPVHPINPRRTAPIRAPPALKPPVRATVLPIELPPATLRPLAFRTFTKKHNLTLTSSA LSALASFIGKHCGAAWREEGLAEKVLEEVAKGWKKDNAQVIVEGDGEALKRILKALEG CMSGGRIVNASNLSRQSSFNFGPDANMNGAPSLDSRPSLDHNTSFGISNLEVTDKDDE EETLKDPREWIKVIGAFEQPKLVYNVSKKHFDRSTTKPSLFPDPSHKTDLFRQRYHTV HQRILRNETFQAPTFSAARSATLNRTGSVAVSSMNTITPVANLLGRSGSTHLLLGMIT ISPTGTLTLSDLTGTIALDLQHARPIPEDGTFFAPGMIVLVDGSYEENHGDPTAGSNT TLGGTGGIGGTIGGKFIGFSVGHPPCERRTATLGGGDEAEKNGTGGPAFGWTDFLGVG SERATGSRMNRIASKLLTPEQAHNIVVASDLHLDVPSTLTALRTLLRTYSPLPNESTP VYPLAIVLMGNFSSKATLAGVPGAGSIEYKEHFDALASVLSEFPQVLAHTSLVLVPGD NDAWPSAFSAGAATPLPRKQIPELFTSRIRRAVREANSEVWGPGKKAGKEGEVVWTSN PSRLTWFGVKGEMAILRDDVLGRLHRTSIRFNKPDADLDDDLDLEEPAPEAMDVDQPT QLEPTPAPLAQTQAQIDSDTLTARALTRTLLSQSHLSPFPLSVRPLHWDFAHALNLYP LPSAVVVADSEAPAFVVKYCGCTVMNPGPIDGSEGRRGREGRARWVEYDIRGGVGVVR TEG CC84DRAFT_1224615 MTVEKIPTILHYDNGGTTGVYRWGYQAQQYAARHGTKMHEWFKL GLCNDFEERRARESELMRKYKSQTALPVVKDDECMSLVVNYLSSVKGAVDQFFHTQYG EDAAQCPRDYIITVPALWDHAEQEKTRLCAERAGMGEGSQLQLVPEPEAACIYAIQQM LWINEGDTFVICDAGGGTVDLASYTIESLKRDQILHCKLAGAATGSGGLCGSSFLNRI FQAYLEKKLEDYPAWDSEFMVEALRAFEDQIKPEFTDEHQEEKVIRIHGLKESKPHGV AKNILILTTEELRKHVFDEVISKIEGLVRDQINNTRRPVTAVLLAGGFGKNPYLKRRL EQIDAVVRNKIRVQRIDNGDTAIARGALVAGLAGLGKNLQPEDDDGAFNVISTRTVEV VSRLAGRHYGTAALESFEEGVDPESRRQHRKKRDDGDKIEKITWFARKGETIPDGEPL SFDFCKVAKVQRGVPAHQVCTPVIRIYTCENDIPGTYRDDASVREIAKFKMDLRGLSI PTITKGAQEFYEARFDIEMTLRAASLSFCGVYGKGTPEAKRFPATNVVFT CC84DRAFT_1253934 MDEHPNLATSEIRSLRNQTAILQDKLDRSLAKIMTLQSGIDQVT DGEVKKRFEGVFSSIQNWVTEIELDLVRQSRDFRDDFQEVLRQEEKEPVLLSLGLRAY GEDKSSRSMWEFASMGHLDTRWVGTLDTCINVVLSRFMWQRLYHRVFYSPYPIGLNVY AQDGIDYIFQAIEDGDDGESKVEAEAILRANKWRAESMATIVSTTRFRKDKDAEMQYL LEDLWDDLTRRLPVIDTATLKRHMDSLSNHVVGPAVELKQTISCSSVEYCSVEPEHEI LENARDGDRFWEFTFKDIVEWRDKDPFETYGGLFRLFPGIRRRGMKDTEDVVLVKPTL LVLNRQTIGMLQEFRESNAWTQHRRQGSPGKTGTGPSRQASLPPSSSRSSTTKTKSDR RTSHRKQDESYPETSGLSRIVRRLTPKTKSFGHEKKLEDLAFDTRPRLHRRGTEDNEM RESPPRETRGKDKRSRRTPTPASSRKPSLVQPYLEAMIPPGQSSEPLPELPSQPIPRE SPQENYGEYSTHLNDDTDIHGSLTQRPEGEETERSDTDSSVDILSNLEAEGGNSAQQT RAGPKELVRSPGLTAPGLHPNHTAWRRLQAKLPPTL CC84DRAFT_1159004 MRYSILFLTTILPVSLAAPIASTHQIQPPARLNRRSFTAREERY TQPDGGFPVPPVAPAPGAPAPGRFSGPDGGWVKRQSAEPDVWTPPGPRNGVSDGGSAA VPAPTEVYVPPSIPAPTEVYSPPSVPAPTEAAPPPSNIGWSIATRQDRYTQPDGGFYV PPPAPVAPVDPAPGRYDSPDGGWAKRQDRYTQPDGGFYAPPPAPVAPVDPAPGRYDSP DGGWAKRQDRYTQPDGGFYVAPAPVAPGPVEAVPGRYEGVDGGWKA CC84DRAFT_1253936 MADTTTIACWPACVDAIEWSHDGIIALASEEQVELLFPHTKSND TEHDFSSWHHIPLAVSWFTTTELPEKEAGPVSTYSIGEEVSTSAPVSITWSPPGIAKH RRCALGVLTSSLILSIWASEGKIDDELSWRRKLIINDALVLYFSSNLNEVESTLVHES VEKMRLRARIRSFAWAPSMPSLGPAAIIGTRTTWAQPFLAVANDDNQIAIVAIDTPAT TLGAEEEWSGEVLSHFSITPDSENVFESANTFDEIMQQQRHISQLAWSPWTMQGEWFH SVLVYATNDDVRARVVTYSGETIGIGNDEVVYPDIKLRHAGPMKWSSKTENRDTVTLA LFTLTNVVVLKISASNANAFARTEHDLDGRWDEMSAAVWDHTTEDPTIHFASLQATLS YTTRLQCTKDSLATIPGHPHWREQLWDAQALFSAQHELAGNVRSKVWGLSTSPLGDFI AACSTIHPSDMIEYGPPNDRRTSITISGLRDYDKDAKLEVPAQNCSAEGLVFTLRKWA ERTGDGNESSPSTISQVAAQLSVLHPESSSSQMNGEPTSEVPTNDIRALMTHIKHTLL SPTTINKRLQILVSQTLAPSLSTLLPRTLIAYSLATFVSVLPQSLTRATRFSAEIAQH HRRIVALVDTIMAEGDEDYPREVVSTPMQPCDTCDFCSASIPLEDLDTARCTNGHEFS RCGISFVAIQRPRISKACALCRTPYLSEEFVGAQEEDELGRVEGEVSLARALFLACDV CIYCGGKFTG CC84DRAFT_1107017 MASTYALPIDNASPARGHGHSHSHSHYAADQSAPRTAQMNGASP SKAQSQAGGAHRHARSEMNGQLYMQGLSPYGHQHNQSHDHAHSHSRSTESTYTLKPFT NGRPKGRPRGESDLGRPGGGKSAAAKQAFSPIHEHPAAFPPQSYSQSSWLELPEALTA LLVPLPYVFASLAYFSAVSQARKTPTSLADAVADSNSLEYPGGGQLLHACTLSSATLL LVGLISKFRLSTDEPLDRRKDSNEPGCFHPSLVLRASLHALGVMLPFYATMQLGGATT AIFLLVAFTAGLGNLDQRPGKQSAWDNTRRTLRTRTRTYVALLIAMVVRSVTAAERAG VILGFIALVISIFVIPPPLPTTGWSLMTPQTQNGWATQTSTRASLPKPSSPLISTSLD TDLTLATGLVLTCFTVIFAQFSSAAPSLSHHALLFSTLSIASGTASVYLSSPNALHSA KKSGLAIGGLITIVFAQFEHQAWHAYVAFLVVLGATAFDTRAPVLSRPSSRDHSHATH RHSHSHDHDHGHDHHLHGNHSHISAFIIARCTPGSIIHSVMIEKDSRRIAYFGVLNLS FMMVQFFYGYVSGSLGLLTDSIHMLFDCAGLAVGLAAAVMSKWRPNAAFPYGYGKVDT LSGFANGVFLLLVSVEICFDAFERLWEGHELQRLNELLIVSVLGFLVNIVGLTAFGHA HHGHGHDHGHDHGDHGHGHGHSHDNENMQGIFLHILADALGSVAVIISTLLTKYYGWS GWDPIASCIIAILIFFSAIPLVKSAGMRLMLSLPADVEYGIRNTLQELSSLRGVVGYA VPKFWMEDEGAAHAEVHAHEHEKCDHDHVHGNGHVHSHAENEHDHDHSHSPHHHDHSH DDHDHDHDHSHDHDHDHAHASRGQRILGAIHIIAARGADVEDVRERTAQFLKGKGMDV VLHVEREGEGNCWCGGGNKSN CC84DRAFT_1133302 MWNTFDIFRDDFLGEKGSWLFDVALYAILGLSTLFTIILVTLPS QYDPYKDKPLRAVNERGEKIELKRKDGKQTLDFRAGRTTHIVVLGDIGRSPRMQYHAI SIAKHGGKVYLVGYQESEVHPDVAANDLITVVPLALSPSFLRSSSKLLFPIVAPLKAL HQSWTLYRTLGYASEPARYILVQNPPSIPTLAIATVVSFLRNTELVIDWHNFGYSILA LKLGASHPLVNISALYENAFSRLASQHITVTHAMARVLKDSYGVTAHALHDRPAALFR PLNVSERSKFLSRLPETAQYAQDLAKGSWKLIVSSTSWTADEDFSLLLAALETYSARA TSQVSLPKILAIITGKGPQKEHYLSLVKKMNQEKRLLNVVIQTAWLTPGDYATLLGAA DLGVSLHTSSSGVDLPMKVVDMFGAGLPVVGWGDFEAWPELVKEDVNGKGFKSSEQLA GQLVELFGSKDSVLPMLKKGALEESKNRWDDEWDRVGGKLFRLLQ CC84DRAFT_1253940 MLTSFTWTLAPLPCDQKRVLSGPAAVFEGLANARNQLIRGAEMY SAGSLRFTRSNMWTLRNHNVYRDTHPHAPPSPPPAKHLGNMHPAAAVVAITKRNSTKS PLFRLPGEIRNILYEYVTGHYSIYHTSDGHLIVGPIDPWDWRHTFVEFPSVANLLALT LVCRQTYIESRLHIFTNNTFDTKNFFYFQRRVENLSTEQKNAISTITLRFDCMGKIGF NIYHLTDTLDPTEHFLVKPYLEMLPAFAGLKRVVMDSTNMTQRDDEYNKYPKAMEGYA VFGIWRLFQKDGMLVEFIEGPVFRLRACCGKCESCTQREGTSMEHSSERTEV CC84DRAFT_1107026 MLRPKSLAAGEQGAPAAKPRLLGTPASADRLHKPFRCPGSATST RTTEKPARKRRKVNYAGADGENEEGDKPYSNDDRLALATRDVNRFPVFKPKDKDTAFK TKFIVPLKNKDVRGYNAFRPAPLLGMRQGRVFVARPLHDPSGEFAIVLYDPTVDDKPI AKEVAEPKLSQEEKKSMDEPLVHKSLAEILGLKKKVDDDRPRVPVVIDPRLAKVLRPH QVEGVKFLYRAVTGMIDPKANGCIMADEMGLGKTLQCITLMWTLLKQAPDAGKSTIQK CVIACPSSLVRNWANELVKWLGPDAITPFAIDGKASKEELIQQIKQWSIASGRSVVRP VLIVSYETLRINAEELAQTPIGLLLCDEGHRLKNGESQTFTALTGLNVQRRVILSGTP IQNDLSEYFALLNFANPNYLGTRNDFRKQFENPILRGRDADATDLDRKKGDERLAELL NLVNKFIIRRTNDILSKYLPVKYEHVVFCNLAPFQKDLYNHFIASPEIRSLLRGKGSQ PLKAIGMLKKLCNHPDLLDLPTDLPGCEDFLPEDFVPKDARGRDREVKVWYSGKMLVL DRMLASIRANTNDKIVLISNYTQTLDVFAALCRSRNYGCLRLDGTMNVSKRQKLVDKF NDPEGPEFVFLLSSKAGGCGLNLIGANRLVLFDPDWNPAADQQALARVWRDGQKKDCF VYRFIATGTIEEKIFQRQSHKQSLSSCVVDASEDVERHFSLDSLRELFQYRADTTSDT HDTFKCKRCRKEDGRQVLKAPAMLYGDTSTWNHMVNDGETGPLGKIQDLLLRQEVAEK EVSAVFQYISH CC84DRAFT_1224631 MTLLRTLVILVAAASAMPAPQTSPLLSSRAVCEGNTASDRSVWC DYSIETNWYDEAPDTGVTVEYWLEVRNITAAPDGVERMVLSVNGTVPGPLIEANWGDT VKIHVTNALTANGTGIHWHGIRQNYTNQEDGVPSITQCPIAPGESYTYTWKATQYGTS WYHSHYSLQAWNGVFGPMVIHGPATANYDEELEPIVLSDWTHQTCDELYSYAQTVGPP ELQNGLINGLNTFEDGGSRYETSFESGKSYRIRIVNTAIDTHYKFAIDGHSFQVIAMD FVPIIPYTTTYLDIGMGQRYDIIVSADQETADYWMRAVPQSSCSSNANSADIRAIVRY SSSSTADPTSTADSNLSNADCNDELLSNLVPYLTQTVVDPRQDEDLGVSISASGNLFK WQIGLKSMLVEWANPSLLQISEGNATFESQENVYKLDNANEWVYFVIETALGVPHPIH LHGHDFFILAAEEDATYDDSVALNLNNPPRRDVANLPAAGYLVIAFLTDNPGAWLMHC HIGWHTSEGLALQFVERESEIPDLLTSTSVLQNTCAAWSDWANTIGIEEEDSGV CC84DRAFT_1211139 MFGKLSSIAVLAACVTSAVSEETEFKLFAYGKLASSGLQLFYGD GQAYVGGTPSYVKESVNISLVMADGGDKFVAKANTTASAWSTDPTMYVDLTEGATNPI GFTQDNETLPSGASSVGFGLYGGWAFHKNDDADIEMKFLATPTNETGVYLVKWNAAST KVSDAIPISLRTQAPVALDDK CC84DRAFT_1253942 MALKNKKKLGFVAEGDGAIGEAAPTANPEASTAEPEVKAVPQPK AKQTPLSPYTSNPITINVGPVPVTYYVPRHLLKCTNWSTVPAGGEISLPTMSADTGHV LVHYLYTGKYQTLESVVSDIAQQPELALKQALLVYMASVTLALNGLEQLAKKEIEDHS ASMDLKTILDITRSEMPKKTEPSGWIQAYLKDKTQKAFEKDHTVFADDAFCAILCKHS KLNDHVMRSVVKLLSEKLTQVLAGKEDITHALDEVPRSQPVSEEEVGGKDLFAGLSKL QRKKLERKVEQMKKKEKARLEAIEAAAAALSQGGVINDQRLALDIVEESAAIEEPVTR WEASAPAPEPQTCYESSVPTLEAETHHENSMNFVGPEENRPTAEPPKSVWVEYVPPPP PKNETISARKNRLRQEKKDKEKWDREQQERIDQEEKEKPCVGPVSTTHACWEDSGLTA ESDSSWEPAVPSSPLC CC84DRAFT_1170386 MARTIDLAPEEQSPTVWSLRRMTRCDLVSLVHTADFGTVGDCEL LLDRGNAEFGLLYPILIDDSISVAAQDDNGKPVYFKASEALALVNYQIEMEFEDVVEA QSFLAALKAPATAALTFYEAPVLNVFMKDSFRITQLAAYCAQADPSWCIAVDPMAEMS RASGPTPPRGWISQSAYGTKTVTGEAVRITEIIRNYSLVLLDIHGASALQRTERPEIA STGLKAHEEFLYAFSGLKVTRQRRMCPCGQEVD CC84DRAFT_1182435 MAPKLSFMDLSTDIKTLVIDHVNRPTDLRNVCLVCKQLHEIAVR SLYHEVTLDVGSPNDTRLGAFLSPKNIGLQHVRKLDMYLADVLDKCNQQQQANFAIRM ILELLPENILEKFSWHPWSPFSGDNLVLLYKKQKRMKWLEGIALDRDVIEEVKKISDF EKIFENVKKLGLYPDSREVLDYCQMLVKNSRNVEKITLHASFEENDSPIPNRELNDSS TEPGLITSTMFSHMRPFEKCTPMALKEITLQKINLRYAANTYCKLIDFRSVKSIRVFA CAGADALLAELSKSTKLPEKLETLEFKHDDNHENDGLGALDGFMCLVSGIKTLTIDLS YAKSLPAAAGIVRHGKTLKSLNVHASRIPDDCDDELVYDYASFSQLCKDCPLLEQVSV AFPQVSVIRSKQDSFINFENCLGDLANLVTLNITTWPTNSPSSTKLPRKIYEHLLQGL AQQGFERSSTHAAEQKRASKLAIIAWGSSDKVYDREDSQNQIIFVKGKQVDPLGNERF TAYPPPPGSEEPHYTPIYPPPTPQPGHELLGQGQPPPPRLQPYPQDIYPKVEDVLQLQ QQANHALGADQRQPLGTQTGQQQAAGPGVSPLSMHDAPMLNQPQDSGGPKTNRLRKAC DSCSIRKVKCDESGPPCRACAALDIPCTFERPSRRRGPPNRHAEAIKKKRRIEEGEID PAQPHAASSPTNAAHALAQLAAPPRPKPTAESICSLPIMNSLIDDFFTYIHPLCPFPH EPSFREAWNNREDCGNDSFLALLASMVAALVASFPRKPRLHLKHQTRDFFPSHLALVD RCREICAQARGPGYLDKPSLNVYDACTSYFLGLVGGYTFRWRQLRLYLGECLTILRTL GLHKAKEQGYTYLGQLPEATGSSGPKFEGSRDVPLDYITEQIGRRVFYTLFVGVRNLQ QLGASFGELTLLPSTPTEQLPPLPLEVDDACIFPNEILPQPPDVVSEVTGFNLNVRIY NSYAALSTSEMAYGIDELYDWDQQQRMLDQVLRRCKEVLANIPSVLQVQNKSQDGGFA QRRQPYYPPMPEYADMRDPNLNNLGNPDLLEARRTMQYEIQKANIYGSHLSTRSYLVE KYFALLDKSNNARTQHALQSSPSAPFTGLDRLVASSTIESEELEKRMSEEREQVVKDL LVVLGSIDMINMEPNGDSFTQKIRSIASTLLEIPKERKGSVALQHQDYLYKFLDILSK LERVKPEGSDSSAGPVDEEAELRAWADIRDYQLKFQEQGGIYGFN CC84DRAFT_1253945 MPLLSLPLEIIQQIFECVETLHRASLFSFSLTSKACHKASAFLL FRRLAVIVKDRDRLQDDVNTLLSALSRTDSAREVQCLCVKGALQLKPTRRERDSDAVY PHWRRVNWLEQEGLVDILPDEEPNCYHNSYVVYDQGVPGKSSDDEVIAWGPVVTLLRG IPRLQDLIWDCETQFPPCLLETLHERLPHCRLHHKTFRFRTLLWGVPYPYEMQLATSS SLHSLKLTCARRDSDGDDDFNLEAVRELVTLAPNLKDVTVLHIEPYDTKRFDFRRRDA WQGLPGYTPEARGSLTSLSLKGCGRLLDHLGPWARYTDFMCLQHLTLGGSYQYETYAF SGADMEWLVQTHAFPRLSTLCVSLTRDDRFLERPHYSAQAASFFGSFPPLTELSVHGP LDDPIFSAILARHGPTLEKLQLRPLEERGRWHNGRARTDIPMQFSAQHLRDMERRCPR LAHLALPIKRHQSRPEEVALYRCFGDMRALRTLFLTLDCAEWRVTRDASYAPPFTGTD ADEEVADVANRRLLRGTVKEMLVNCAVDEALVRSIWGVVAAAKKGAKMELLKLWTRGG GEFGDHNEFSISRVTKVLSRSWVVEKGAGERGEEIVVRDVERYGKKSFLSEKSVVGQV FREMWGGEEREDWENVWRSVPLEV CC84DRAFT_1159012 MGRTCLMDEYENNYDAIVPRGKEKDDFVDEDFGALGRAIGLPEA CALKVLELDVHLFRTYDHSKDWEASGSRFVVNFGGNGEGEGGDEDGDEDDDFASEDSY DEGYWNKYGTNLSHPEDVTGCVADSNFLKNLGTFWEKVKIKYTPTYMEGYWGDGDPVE ANVLAVLIIEESLAETAKELVGGEGWAVRDSLEDECVSSGVGVGCREEDGGGEDGTSG ACWAAQLPGAM CC84DRAFT_1182437 MDAHQPEPAIKFKRRKTTHTKRARVETDDVTTLAVPRSPDAVPT VTVSPALEEDGTSLALKEILRNRKRPRDRLREAAARAELTKTQTVVLREQDADAPRHG YTSRFVPQTGQVIDTDDQQITEYIEARQAEKNHRLYGWPIPRHLVSAVATLASDPPSP SAHTAALRLSPPTAPEARTKSEDPPDDEKARRLAAGMGKLEEIDLGPASTSRAEAAWK KLQGGSGGGGAQDQPAKVRLGRDGKPRRQPKRRNSEDIRRDAMVEAVLREAKLDYFDS APPPSTSTTNMQTNNDEALVEQFRQEFLDSIQERQQRKPAPPARGEKEAPKGPKLGGS RSARAKMHALEEAKTSKR CC84DRAFT_1133322 MASKLVPFMYRSGPRALRQLQRTQWRAFSVTAPARSDSLMVHRD TPENNPSIPFKFTAQNEELIKEIVSRYPSQYKKAAVMPLLDLGQRQHGFTSISVMNEV ARILEMPPMRVYEVATFYTMYNRTPVGKFHVQVCTTTPCMLCNSDAVMKAVEDTLGIH HGQTTKDGLFTFTEVECLGACANAPMVQINDDYYEDLTYESTTSLLKALQNAAEKTGA QPGTPGLAGDGYSIITGENRNVEDRSSIDQGRGYEAGGVKVPTPGPLSGRASCEPAGG LTSLTSEPWGNETLRKDGEL CC84DRAFT_1182439 MEPPHNSKREARILALAASNRVIPLLETFNEPGSRFVLVFPFMP FDMSRLLRDQKLSKAQTQRALKDLFSALEFVHERGIIHRDIKPSNILLKSLDGPAYLT DFGIAWAPDTVGSEPTDSKITDVGTTCYRPPELLFGNKRYGCELDLWAAGCTVAEALV PGHPTLFDSGELGSDLALISSMFSKLGTPNVDVWPEAAGFPDWGKVQFIEFPAKPWST LLPGTSDVEQALVGQLVRYESGDRLKAAQSAKPNIELVRSTKELTTRLSEETKPNPKI EDELARDLQQLKIRLQGTPESEVNPASVFELLSLIIQEDLLFTLANNIHKLPFESRKD AQVIFSTAFRYKPAGAPDPQVLHHVIQYRPEIIISLCRGYDRRESAMPCGGVLREALK YDSIAALLLYDEPTPDGKTRDLASVNPDVPSSGEGVFWKFFDWIDKGAFEVSADAFNT FRSLVAGYLQTNFDMFFSRYNTILIQSESYVTKRQSIKLLGEILLDRANYNVMTQYVD SGEHLKIIMKLLRDDRRMINYEGFHVFKVFVANPNKSVAVQRILISNREKLLRFLPNF LDDRTEDEQFNDEKSFLIRQIEQLPNAPMAAPAAA CC84DRAFT_1159018 MELQRKEYPGLLDALPPQQAVDVLNGRMKHVSALNAHIADWLQE RRRVEEAYVQGLRKLANKRPPDDSSDLGVFSTPWQKIVSATEAVAESHHTLAQKIEVD VERPLREFATTNREVQGMSTISGNLASMAREIDTAKKKAEKLREKGAKAKASAVASAV ADVENATLQWESQAPYVFEKLQAVDESRLNHLRDALTQFQTHEVDQVERNRISAEETL NVLLNIETADEIQTWALRMRSGEKPPPANRKGSSVGTPSRSLAPPPPMPPPPMIQADD DRSQKSGSVPEEKGKHSSNPLKRFGTVLNRRRQSMHPYGRQSSPERKSNSNLGSGFPG FGKIKSKDRDTASSSIDRPGSSATGATPRPSDASASPKHTRKSSADPDRPNGASPEPF EERAASPTPAPAAVNGTTHDTIPELIEPLAPPHHEEPRAEPEKDDEGFSKPLSAIDAI TQAENEAREAGLSGNELGNPPPQFKLDIKNAPIQEEEGDVNAAMADMANTLRAQAVPP RRSGTLRGRRDVRNTIFVPNPATPELTSIGEMPPVPSASSENTTFPPPAVPPQPASPV FKLPHRSLATDDHPASDTQSIRSGRSLSSSTSTTMKHPDMHEPGLNASLVETVSAWFE QGHVTKSLVIGQVALAFNPVDISSGPFGTENIRLENFPVLEKVAPNPAFVEQVTDTPG EYTVDLSRITKTSVAFHYQVHLETENLASFAPILLSPVWKSEPTQTSVLLNYGLNPKF NIGDQTSITLQNVVLVLRLEPGSKATSCQSKPAGTFSREKGLIYWRLGEVTFSRDQPA QTMRVRFFTDGEAKPGNSEARWELTGAHSLAFGSGLAVSQVAAAAGESKTEEKEADPF ADAEENVPPAGGAAPSWKPVTCVKRISSGTYLGV CC84DRAFT_38191 MNRAPLPSPTSRAPVEPTDVTANDTASSHPNPAARPALPRFPPS KPDQDDDEPAHAQSHDEDTTDADMSDSADTGRVHEATQAKSSPDELEIERLRTLQLKA IQKQQRARAQGADAATPASRTPLGGRRPSPIKEEPASALSPTLEGAFSSPLPTAQTAS TESTESARTIRGSVPPTPAGRALRTPSYPFPTVPNTPAAWSSAFHQPFTSLSPTVSAM YGRDYAAPRERVLSESSTPAASGTPFAPGQRPLNSPRPEDPRFPSPNLYDLVLSVNLE PGLAAWWSNVTKIMYENYGVERATLAVPADSSDIENVPWGQKCAFHASAQGESPRMTT FEAKAPEKSPSPESEDHDDLPPVPIIPDRRPKLPSRHSYAGHERQKKDAPDSPVPAFP QRPRGLLRTVSHAPQTATRAEHPLRQVLPMSYDGTSYQQSTPTPSRVPTFSDPDFSSV GGAETSTPVAVYPVLRALDHEPDPLIDNSGVNRVLERGRVVTLTRDYSPSFSSGHSSS DSSHEDLPQPPTKTHGSTQEAQRPSNVRTRTGQASKASEQNDRRLQPRYEEYEQFPSS PWAQSPAPSPAVQNDASENPFFATSNVDEETFNPSSSTQDYTQYGIVEAIGVDKASTV THIPLIHPTLSQTMQAPDPGTPSHTPSPSRRVSEQSVPESTAPREDFERKAPIAILSI LSPIVPYPRNLTNSLKHFGPHLATSFSNAWQFSNAQAQATGTAQRRFGSGQTPGLAPM AGSERLENLMHLDLESIGNSTGGSVTSPSDYSGRSRPSPGGSIAGTPGWDASALGLSS KQSVTSTPGHLAGSEAVESYFDSKKRSTRGQPAAHEQNRKPEKHAGKRMSVSSVAETP EDDAPTPRNEKGLTEEAQGSRKARMAPRGHSLLHSYGADFSSSFQSLPTATATTPGLR TPLTPAGHARSSSLSEILPAPIMPPPSENLLRTIIDSLPVQTFTALPTTGALTWVNSK FLIYRGQEQHQVLQGPWDTIHPDDREGYLEQWNKSLRTGQQLQKKVRLRRFDNLYRWF YVRVAPLKNKRGQIVHWIGTNMDFHDQHLAEINSARQQETAASEAKYRALANSSPQVV FVVSDRRGLTFCNSQWETYSGQTETQAHGNGFLEHVHPEDVIKCKLPEMSDDGSANVP TSLPTEHARQDSSSSDDSSETEKTITSPGSSPDRMDMPQAKLSRLASTGILRIKKDAD GRASYSTEVRLRNKAGEYRWHLVRILLEKSLAEDSEEETWYGTATDINDHKLLEQTLK ETMDAKGRFLSNMSHEIRTPLNGISGMVNFLLDSPLNPEQLEHVNIIKASTDSLLNLI NDILDLSKVEAGMIKLTMEWLHLPSLLEEVNDLNMGLAIQKGLELNYVMDEGVPLMVK GDKFRIRQVLLNVVGNAIKFTQKGEIFVRCRLQPSDKTGPLANNETMVRFEVIDTGLG FTENEAKYLFKRFSQIDASSTRQHGGTGLGLAISMQFVELHGGRMDAQSIPGKGSTFF FTIKFGLPSEDDYPQPKPSTPGTPALEPSIPVPALPIQPAAPLSKLTQPPHLAQFRHE RFSSSSSEKSESVKSPVPSLTASERSRASPSLSSGSSDHSLTSAALTGKSSLRSERSS ASSFLQESTLANAEPEMKLALPSKRSASDDSPMSSEETVTAIGAQRSLSPLGSSLQPP MYSILVVCPLVHSREATIRHIKQTLPTGIPHQVTGQPSLVEAQRMIGGDDPVLFTHVV LVLHETTEVITIMDQILNSMVHGNASIVVVSDPAQKKELMSAAPMYDYDQLLTDRRLQ FIYRPLKPSKFAVIFDPQKERESSTDRNQDTAQQVVFNQKLVFEELKRRLGGKGHKVL LVEDNHINQTVVLKFLARISIEVETVLDGVQCTDIVFSKPPGYYSIILCDLHMPNKDG YTACKEIRRWEKKNGYKRHPIIALSANVLGDVYAKCVDAGFNSYVTKPVEFKKLSLVM MKFLDPVDKEKSPELMRKKG CC84DRAFT_1253953 MWKTPADSMSVHDDSSTVLSVRGSDAGLVADTAEKQNGNGTTAL PDFYAKSPYTSTPLISLDVGLARTRYRLHASILALAPALSLAAGKPALTLWAEKTNDT VALPDLDAVTAHTLVAYLYTGRYETLAWEGEADKKEVAEYKLAACVYAAAVRYKMPGL AALAQGQIAARGRALTILDVLGVARDHAFPILPEEEGWFAGYLEDAIKGAAAKDPGLF VKEGFVEMIEGDKRFRKVVMRAIVGTYEKVEGDGGGGGGGDAPPMDSPKGGDGQGGGG LKGMAEGEGEGVDGNVDEFQLAGGINGDEEDVVQLEEIEPSVPGEMEHRPASPGSPAA PESVTDELDLKNSKTYQSMGKPVTHTRHDSFVEKDVTQVEDTPEVAGQKEDEVVSPAV EVASPASEVVIANGTISSAKKNKNKKKKKSGTTFT CC84DRAFT_1159023 MSRQLVATFCHEVNSAIGNRDALKIRSILVLEPPFANPVYYELI EELRVEYPVDDDGSEDRLEAVISAAVTETRGGDDEEGRPIQDWKSMVRFLAAWLTFIR DVDTSQLLKAYQAMSDVQTKGNLALAHPIKGILVLPTLIGYAQIFARLAIALDKQPEL AQVALAHSKSDKNDKDKAESLAEKAANIVRPGFLTCLNDRNTVAGGIKDGRPDGKKIG VYKMANVCLKILFQSNKLDNCSMIFKNIETASPPLHFYPAGDRVTYLYYLGRYHFISN NFYQAQMVLQQAYTDTPIHESFLKQRRLILLYLLVANLILGRFPSERVYARPEAVYFR DIFQPITQAIRKGNLEAFQRMMNLDLSWEYAAKLCRWKVFYQIGNCCEIMVYRSLYRR VFLLAGKQPGPMDRAPPSLDLNAVVIAATFLEKRASAAPTKPQANGWSFSLQAPPTPP VYIDPDFEGMEGVVPYVHQFDIEEIEGLCASLILEGLISGYISPKLKKLAILGAKKAG SPVKAGFPNLWQVLSTKKREQAGTDDVEGWVKTQQMGGGKVVRLASARPAGS CC84DRAFT_38217 MKFTIATALLPVLAAAANVGTFTCRTELGSKSIKNVPTDFATKT KSIRPTITTTVTPVTTEWLRYWYVITKITTSTRVVTDSTVTDTFSTTTTLYDVATNTI TSTLTATATDTSTTSSTSTTIVPTATGWKPIADTVNRNSLYKREDEAERELEHPHAIR AVTSKKVKGLAAASWPSKVHCTQYVPNKNTKTVTKTRAPTTMYSPYWQKTTKTKVLSS TSTVVPDDVSTTETYSSTSSVTTFVTTFTTETTTVSTTTTSIIPGPTLYDACTKGNNI FGSNFNAGGVGYYITNIANNGPGVASDFKIVADGASSATECCSACHAFAGCETWSFRP TSRNCFLLYHEGSTCSSQTQHPNFFFSKKGSDTGAGYVVGNGNCGFTYSANSDSSVWR VDGY CC84DRAFT_1192028 MLFTKELSSLLLFCGISTAVPTFTSSQRTRVDSRDVAGVDATSS STNSTNTILEPVLPPERDTGVLSQANITFQYPSIPLDQSAFVSGVSCSSGTLTATLTK AAYAYAKKAWKGASHIVFITAADGCGMDKANDFFVTNSVDFSDSDNTFKAAGNSATYQ NVTQKFNLRWGDIGTYDLRRSVDKRSMFELHPLTRRAYAEASIEWSAYLSDLIGEEED APWEKAALLWKWGKEGGEEDDSYKKGEVASDSGHHKRSNLTMLDKRELDYGLALYCVE CGFGGSAKIWGEIGASATWLPPFFEIDTVQCGFGATFKAGLYLGMEAFVKYEKEWEKE LARIPLGGFAISGLVEVGPFVSLGVEAKVGIEATGELLIGAGVEWDNIDIMLDLLDSG NSHANGLVPQFVHKAEAQGQLSMEASLGLPIKLGIGVDIFDGLWEADAAVVDTPSVVL EGSFEVSAEVTDEGTIEYDINGGCYGIAWNIHFENTLQAVAEADLFGSWEIDLIEPQK SDPIFEGCIGYVNDGTGDNEDSAEGTGSNTGATGNGMTGESNGLAGGNNGAEKAASSA AASSTIASSSSKASSSSNASSGSTKASTSAKATSTAKASSSTKPSSSAKTTLKTSAKA TSTAKATSTKKATSTAKATSTKKATSTANTKKVTSTKKATSTKKATSTKKASSKGCTK NCIDF CC84DRAFT_1076963 MGLRSLLQYLIQSPILFIYQLLQWALDKVLSPTPPPPQAELKRP KIAVIGAGLTGVSAASHIVGHGFDCRIFEAGPKENLGGIWSRVNNTSGLQIHSIMYRF HPSVHWKKGYPDRKQIVSQITDLWHRYSLEDKTTFNTRIEKVYKDPHGRWILNDPSLG RFDGIIVAVGTCGDAKMPTLPGQEHFKGEIWHSSQLDGKSAKGKTVAITGGGASAVEA LEFVAHSEARHSYVLARSEKWIIPRNPLINGLLAMNVLGSETIFSWIPENILRLFFYR DLYDISPPRNSGKGLFTETPMVNDDVLDLVRSGKASWLRGDILGYDSSGRGINFNKRL QGVPKDGPGTEKLIEADIVIMATGYKRPSLNFLPSEVFEDPYAPPNWYLQVFPPDYPE ICCNNCTYVNAIGTVGNYHIGIYTRFLLMYLVDPLARPRTAWMKRWIDMTRFIKAKAP GGAFEFFTYSELIYWFVFTIAINPFRWKWALFVLCGIGKDLPLKVVESEDKVRNGMGM RNVWDDKVSGEAMWIGGKSNGD CC84DRAFT_38244 MAPNLIEDATTKLKVYLDKATTGDIPILPGAILHVVDASNNTVF THASGGPARLSESTLTMIHSCTKIVGAIAFMQLVDRGLISLDDASLISDHLPELASKK VLTRVSDDDKKTPILVPMETQITPRMLMNHTNGTGHTYFNQMMREYLAEGWETRNEVA DPHQTVLDAPLLWQPGTHTNYAQGFDWLAVLVERITRTDLATYLQEHIFAPLGITDIA FEESYGGDATTKDGSKGRFWPRSLKQPDGTFIPIDLPTIVKVPRAEAYPAGKYHAYPL GTGLVASAASYARLLTIFLNAGRDPLTGHVVLSPASTAEITKPQLPPHLRNDSRVVPS ALDMLCKPAVLDAQYMDPEGSFGLGCGVQGAERTLADGRRGRGKGSVYWYSAANMDFW VDKERGVVVVVNGNFFPWNDVAWIELVAGIEGVLYEGLED CC84DRAFT_1159026 MSAKAPSTTGTTTGFLSFNSRPPRLYITSARVHAPESTLLAWQA EGFETTYIPFDRSQQAAYISTIKNLHKDLAIGETYAILAYGDAATAVLKTAQKPLPRC CAVLAFYPSVLPSAGYKFPSMLRVQIHVSGQQNSPRPEMCEWQLYRYEKSKIGFAEPD APAYERVEAELAFSRALAGARKAFKTEADIESVTQAAWSAKYEEEVPERGSMGVVQGM SQNSAHVTIVPTLEGGVGRKKLEEFYREFFIPSLVEDFEIRLVSRTVGVDRVVDEMVM SFTHSDDVDWILPGVPPTNKFVEVAVVSIVAVRGGKLISEHMYWDQASVLVQVGLLNP NVVPNKLKSQGLKKMPVVGVEGARQILDVKPERYNKLLKEHGLLNGMAALNINGVNGT KGA CC84DRAFT_1159027 MRQIASIAQPALLGCASQVLGGEHDLCRLLTNCMAARELEKALL CLTQPLMVMVTNRPRSLGCGWPAVRVLDFRQGRA CC84DRAFT_1159029 MVHQHGARHLERRQRHHWFQEQEADPVEETVFVTMPNTFAASQS VVLITMTDGSDTPKSAVGGAVQQTKTLVPPAVRTRESSSDEDTAKATPTASARQSSRT AEVTSHASSHVGLQSTLQIDTSSSPQATVSSLVAAGVTAAASASSSAEAASSGMSGGA KAGLALGILVGIGALLGAILFVAHRKKRERAATAQQAADNEKATSMRNMPPPPIAKEP TPSVRTQANAPRLSLRPVTQFDPAFPNRKSGANLLGAAAGAGAGAAAGTRSPSPSSPG SPWERPGASNASNPFSDPAPSNSPPQDPFGNNAAIETPQASAPGTPVNFNAEFGNPAP AIAAAERAPAVAALPVPPTVNADNVPPSPAWTDDIPASPGPAPSGPLPVAVAGGAPPP GPNNVHRIQLDFKPSMADELELRAGQLVRMLHEYDDGWALCVRLDRSQQGVVPRTCLS KHPVKPRQGPPRNGPQGPPRMQGPPRNGPNGPPMGHAGVPQPRPLSPANNGRSSPHPP ALSPASGRMSPAPRSMSPGPRQMSPPQGRARSNSNAPYANQPRSMSPGPYGGGPQMAP PPQMGRPRSNSASQIARRGSPPGPSPMNPNVNPNAPTQMPARKPIPGQAL CC84DRAFT_1211160 MASFLPRAAPRALRFAARPVLRTPAFRRGFATPPVAEQPPPNFQ AKTTHGDIDFHKYIEGSWAILFSHPADFTPVCTTELGAFAKLKGEFEKRGVKMIGLSA NDLTQHDQWVNDINEVANTTVSFPIIADADRSVAYLYDMISQDDLDALATKGGIAFTI RAVFVIDPAKKIRLTMLYPASTGRNSSEVLRVIDSLQLGDERGIATPIDWNRGEDVIV PPSVSTEDARKKFGQDNVREVKPYLRFTNVGK CC84DRAFT_38275 MSNKLPAALSPENLPSKEELKEDVKAASKNSLQSLRALVAGGVG GICAVVVGHPFDLVKVRLQTAEKGVYSGAMDVVRRTIAKEGMARGLYAGVSAPLVGVT PMFAVSFWGYDVGKQLVSSFSTVHNNQYSVAQVSAAGFFSAIPMTLITAPFERVKVLL QIQGQKQLAPGEKPKYAGGVDVVRQLYREGGIRSVFRGSAMTLARDGPGSACYFATYE VVKRKLTPKDPVTGAPGKLSLSAVMVAGGAAGIAMWIPVFPIDTIKSRLQSAEGRPTI GGTIRGIYASGGYKAFFPGIGPAMARAVPANAATFLGVELAQKAMTKMFDKDGE CC84DRAFT_1107067 MFTKAPQHAMLLAAALQAANAVAIPDPTAAPAPVEARAPITTPA PVVFVGGRSYVLDKRNLLDDIKNGVDSIAASWGSVLGTDLPSYFTEGIPNFFQDLPTG SQVLSSLGISDDDLKASPTEVLNVPPYANWTDKGWNVRFHGNVYKMPNISQSKIDDLA NVFLIDVKLKDLPQSQQDQARNLTKEIFIVQQSNQNVSLHLEPARSQGGDGEPGGSNA ITPPGGSQNITLPYETTSEGDFDVFVPIRNISGGGLLAGNETNNVQRLNVYANGTLTG NATAYLVPTEGITFISDIDDILRVTKIYDPKEGLLNSFARPFTQWMNMPEIYANWSQS LPNSTHFHYLTTTPEQITRNYMDFIFKTYPGGSFDTRPLNFSDVSATLSIRKYLLDKV FETFPKRKFVLIADTSNSDVMKDYPQLAHDHPDQVQCIFLRNTSSTDDSDWFPYDTSG FEGLNNKSYMFFHVPDDLRGLDIANGQCLNSTIQQNVTFSRQDEVLGIHGAGVSLTGS ATMSLVVALFATIFMVL CC84DRAFT_1200770 MTDTPPLPEATSELQQHPVPPLPSTPLSPPPGLLLSHPPPVRLS SSPASSPRIVGSPPTRTQSIHPETGSLMAAGTQPGADFLELTSDDDSEWEDDDGTRRF SDVERMGSRVSAATFESTRSEDWERERGRKRQSEHLTALPPVNQISAHDSATEEVPPA ARRPPSQARQMSLKRYSRVNVVHNHGSPRSSILSNDSSDVIPRPLNVSRTVSQNLEED PPSRVSTETHRRYQRSTSESESIRMNAFLNAHYATLQAIDSQPNSPPAPQFSFPDTSR QRSFSAQRHIKLMPSIHTDVHDPDRPPHLPAHFIKTPYPFSPKKEFPPPKTRPRKTDL YITPLSTSPQKGIHVLGMAHDTAYDPRSRLERNISAQGLIHSPSSPTTKHERWNSARS TNSARESYIWLSLRRNRNHIASRMESLTIPSSLVATPQPSPTFKPKLRHLAADYDDMH FARELRATYRRLAGPWVLRVLSARKLRYIRLAQVSAWSGSSIPPGLHEQAGTLLAARS GLPTLGDPGPPFTEHALLDLYRAPRSGDARYTWVHWARRVAASNRRPSDPHAHERTRS DGVAEREVTTVQFVHAFAPFKMLTVLVVMLAVAVAAAVLYIFLGNSVWRGEGTRERAE KVTPGMLVGMLALGVEGVVFVAWVVGSWMWL CC84DRAFT_1200771 MGGMFSSPEILPPSSPPDPAKLALRPEESKSLDLSDGRTLGYAT YGSTNPSDPAIFLFHGMPGCRLVGRSWNKLCKTIGARLITIDRPGNGLSTLVDRKLTQ WPEDVLSVADHLKIESFSIVGGSAGGPFALACARFIPKERLRRTTVVCGIAPIEAFLD TTPYLSWRLGGFTPWAVKLAARHMILPRLLAPYRDLDPNRLKRTLEDQCQTPEEKEFL KPDPNRETDLDDAVAGLLETFKQGTGGFMLDGSITSSDWGFDLKDIDGERVWLWHGDQ DAVAPVATAKWIDERLGGGRLRVLEGGTHSTIWKHHEKEIFEQSAKR CC84DRAFT_1200772 MAPRRGGGSGSSFGGGSSDPVCPGFLNDSYATYFSTTIVYFVSY CLFFVLTFAVLIFACCVRKRSASLIGLLLAVLGFMSVAWALVILGTVLRECWVLPNIL DYYNFAITFNILFNIGQWLLLFIQVWGVNRLLSKRLGSGQAVVKIATLAVTGVMAALT AGYIGLYSYNRWTVVNSWRYDEPSEHIDRTKLDDERKLAAAYWILYLLSVVAGGAISA ALLMQLRSKSIPVNDVMKRTIALILCMLLWVIFQLVTIVEDLQDRYLDVDTNTAMYYL DGFFQIFSYVAIVSLCKLNFWKDGAAQTAYNTTGYGQPAFAPMQPPQQQYAYNGQPNQ PYQYQQQSVHHNVSGFANGNGHMVSVK CC84DRAFT_1200773 MCHYTIKFFECDHKTDDNVKGCSLWGQTGTHCDIDNPVVRKRRD CSIRSENFTGPCPRCESRERAQILRDQEHRTRIEKEQGVERTRIENEKEEARARKQKQ EEKRAVFERAREEELLRMDLEKARLADQEEQRRNAEAHEAHLRRVQEEPDAAWRAEHE YKDFTETHTSITHTKTTYTTTTIGESSSPSPPPPPPPPPPPPPPPPPPAPAVPLLDFS QPPKEQSYGHHFIGTRRQPVHASQKLAAEHPLSPTAPFTLRSPQTLVRLPTAVKPSPQ SSPSSTSGLSGLSPGRQKLRSRPPPKEAPQGDFASELQATWNRRGIRHETDEDDDDGI TTASISPSESASQIGGEAPKGWRG CC84DRAFT_1253967 MVNNSRTQSFDDQVHTGFWINHVKGPTYGATLTLNQQAGNFLIA FLALYVGVTGQSFWTIARFLLHKHLSSKSRSDGVYHQQQAILRNSKTAPGAVQDTLTL LIAWRKRSRGLIRRLLPVWIVASVISAGFAVAGVFSSQITANQSNEVLLLGPECGKSL DNQDSSNAVSSGLVRYRNEMFLEYLNYAFACYQDRQRTQSACQIYTKPKLHYKVDRNA TCPFQDTICQKSSNNLYIDSGYLDSWGDLGINAEPRFQVRLTQHCAPLVTDGYKSKYI SPSDPLKTFMRYSYLHNDTITNSTSDIMDWRIFLVPLKDEFDEVARYWSDSVAQDYRT RSIQANDIYGDSTFVADLNRTDAFVALMFLDSTDVLSREKVEDPWFAHTVNASQRQYE FFGSDSLYLSDEPATVIGCAAQVFYCNPKITDPQRRCINYFVTEASDIGFSALWPEES DLKAFNGYIATNNVMIATPDSFYNTPGLPNLLARLTMDNSIQWDHFAPDRWKEEMEFL AQASLASFQSNVPQATQNGVWYMNRTLCDSDTETGLCEKLCRNQKVRSSEYYSFNVLG MSIIIFVGVFLMVVGAFIENIATTIGNTFSRDKQHNPPYSRLEWESNSFLDLQRLAHQ ALGVGNWTRSRTGIPITAPGEQLGVLDSAGQSKLPILKRPRIKEELQDLVSRSGHQRS SSAGHVMGPEYVDSFHSPFDYAKHRRSDNGAPNEDSAFRSSVPSRYLRRPGYARVYTD EADTIYTGSVSNTR CC84DRAFT_1159036 MFLNPSAASYTYTGSPPSPHVLPFHRLLPDYAATPLHPLSSLAQ SLGLAYIFVKDESNRFGLPAFKILGASWATFKAVAAACDVRLEEGVGVEEVGAAARKS GVRIVTCTAGNWGRAVARMGKLMRIDVTVFVPGTMDESTQEKIRSEGTTVDVVDGDYD EAVRTARREAEGKGSVLMMDTGWEGYEEIPQWVVEGYSTMLTETDQQLRQHGVGPVTH AIASVGVGSWAQAVAMHYKSTTPSAVVVAVEPDTAACLRTSLEAGKITPITTGNSIMN GMNCGTVSYTAWEVLRHGVDACVDVTDTEAHLDLQYLHTQGVKNGPCGAAPVSALRKL VSEKKLGLGKDSVVVLFSTEGARDYPVPA CC84DRAFT_1224695 MPQPQAGLTENVKRVIVQPTWENVVASSYQIKGIIYFLGHPFLY PLARRRLLPAVLLSVFVLANLFVWAYLPQVAFLALFQKKGSAWVNGTFLVLGESAAVT ALLFEAWLVDEVQVDIFDAVLVHKGYDDLVRQFRPVSDDSLNNPVQRLGKPTKSSVYA PFSFRQIAEFVILLPLNFVPYIGVPIFLLLTGYRAGPLQHWRYFKLLDFNKKQRNAFA RRRVWQYTWYGTVYLFLQLVPPLSMFFLLTAPVSSALWAADLEARRRQQETDVVQAPA YTDQPPDDQA CC84DRAFT_1159037 MFRAVASRAVPRAARPVTQSTFCAPNIYFQGRLRRGYASEAEEK DLVIIGGGVAGYVAAIKAGQSGLKVACIEKRGALGGTCLNVGCIPSKSLLNNSHLYHQ ILHDTKNRGIEVGDVKLNLAAMMKAKDTSVSGLTKGIEFLFKKNNVEYIKGTGAFKDE HTVAVNLIEGGGETTVRGKNILIATGSEATPFPGLTIDEKKVITSTGAIALQEVPKKM TVIGGGIIGLEMASVWSRLGSEVTVVEYLGQIGGPGMDKDVAKQAQKLLQKQGMKFKL NTKVVSGDADGEGVKVTVEAAKGGKEETLDADVCLVAIGRRPYTNGLGLENIGLEVDE RGRLIIDQEYRTKIPHIRAIGDVTFGPMLAHKAEEESVAVIEYITKGYGHVNYGAIPS VMYTHPEVAWVGQNEQELTAANVKYNVGQFPFSANSRAKTNLDTEGFVKMISDAETDR ILGIHIIGPNAGEMIAEGVLALEYGASSEDVARTSHAHPTLSEAFKEAAMATYDKAVH Y CC84DRAFT_1192038 MSITEQEQRCNALRLDLKLWERRFSDTNGGRKPGRDDIKADAVI SQKYIDYENLRKALAVKVAPTTPRKADKRRVTHNIDRTTKVTKTTVTATTPKRKREDS GVAEEDVTSPTELLSPEGPAFIGPTPQRDGYAIGLFDLLPAETPSRSKRTALIDVELN VVQTPSRKDGETSLESRHRGEKTPQSTGKRFLLDSFLAVTPNKRKLGDATTPSSRGLA TPAFLRRYNPLTKINEDDEPAARPAPWARRPFGRSLSARIQAMRQEEENRFEEEADIM RELEMEAEGMPAPKRVKMPEIQVEDSQAAMPLGPDRGLESEGEEEEDTGLGPDGQPRK VWKKRGLKRQTRRVIMRPNFIKSKPQPEMQPDESDDEAKVAETQIDPLNTSNGPNLSV FDEDDGSDYASDASHSIKKNKTQQRKPKAASTTQATDDKNDKKGKEGTIKAAARKIKP WAHANYQRLKIRGNGGNGGSGAPWCSRSPRSWSPEARASQPPPPQAAAPRNRTNTSNP PPPVLQPAPTPNHPKPPSPHPHTMAERELADLLKQLHKTLQSKQYQQAGSILSRAKIA LLGLNTLIPAESTPFKHLLLARETLELGAIISIRLKDPHSFTRYFQQLQPFYSLPEDK LPAKGSNASKITGLYLLLLLSDGDYAGFHTLLETLEVAAAQAGRGLEDDAFIQYPIRL EQALMEGSYDQVWRQTKGSNAPSEEFALFSDVLIGTIRSEIASCSEKAYPSIPISDAK SLLFLESEGSVVNFAKERKWVVKDGRIYFPSEDGEFGSKDILVTSDQVIENTLGYARE LETIV CC84DRAFT_1200779 MAKKALAKDQIVKLIVGAGQASPSPPVGPALGSKGVKSMDFCKE FNARTAHYITGTPIPARITVRPDRSFHFSLRTPPTATLLLTAAGAPLIKSKIRGAGNV PGPMNNHDGNKGKTSSNTPTNGNATKGTVGTVSLKHVYEIAKIKQSEGRLSGLSLEGL ARSVVGQAGSLGVVVVP CC84DRAFT_1133370 MASATGIPDLNAARGAGEDEPLLGRRGDAAQVEGETIWKNLWLG TAPLAQAGIFILAAIIWGAILSHDLIFFSAHPLLNSAGLLLATQAALILQPTHSPGQK RTGTLIHALFHLFGLAALLAGLVVIEINKAGPGHEHFASPHAILGLTFYIAIFLQALV GFTQYYTPSLYGGVDQAKAIYKYHRVSGYVIATLGLATVCASSWTTYSLGVAHIQHWA VIVASVLVLLGVVPRIKLSKLGLKRSGGEIRLST CC84DRAFT_39363 MRTSPSPHRRPLRSSLLLSTRLIMPLSPRTRQSPWLVAESGLLP PQSRRGSLPVLRDRSTGALPSKATYLEVGISCKSPTFLFLAIERAHLTSRCSC CC84DRAFT_39396 MAAMNTILFVPGAWHRAKCFSDVAALLKQSGYNTELIELPSVGP SKHLENFDRDVAVIRERVEKARAAGQKVTIVAHSYGGLPTTEAGKYFTRGEVSQLVYL ASFIIPEGSSLISAFGGNDLPWFDVSEDGTEVRPKHPEAIFYNDMSEEAQANAVANLA PHSYRVFHSALTYAAWKHVPTTYVYCSRDAAIPLEIQKTMVERTAQGFPIRTQELDAS HSPFLSLPRETADAIERAVLGG CC84DRAFT_1077838 MSTAEVVRWLEGSKAPAHPDSLLHPADVFPLATISNPPSSTDSD LDSDDGARLAARNIASLVHPPAPTPKTMEDQVTAAHARRRSSSLAGASGPAQHADRKH RRRNSDHILEEGEDRGPGSDSDDSGLTDSDDLELDNMSADGLQDDEETGLTGRDRRRR RRRKRRNTLLENRVVPQDVKFTKEEEKIANQNLLHSMLINAALIGLWYLFSISISVYN KWMFKEEKGDGETQNIFPFPLFTTCLHMVVQFTLASLVLFMIPSLRPRHDSLNPHGPG AHDEPLDPKKPLMTKWFYFTRIGPCGAATGMDIGLGNTSLKFITLTFFTMCKSSALGF VLLFAFLFGLEQISWRLIIIIAIMTLGVIMMVAGETAFHVLGFILVMSSACSSGFRWS LTQILLLRNPATANPFSSIFFLAPVMFISILVLAIPVEGFPALFEGLSRLFEMKGALL GIGILLFPGCLAFLMTASEFALLKRTSVVTLSICGIFKEVVTITTANIVFHDPLTPIN LTGLVITICSIAAYNYFKIQKMRSEARINAHLAAQDLYAPVNTQDPDLVRPRHSTSGS LVRNSLSLSLGPGANAERTTTDSPLRTSPVKRPEDLE CC84DRAFT_39377 MSGLGGLNKTPDSIVISVVQSQLFDVATPTHLSQAVQHVVALVE RTKRAYHATDMVIFPEYCIHGLSMRTNSDIMCTLDGPEVAQFKAVCRAQNIWGCFSIM EKNDLGAPWNSGLIINNAGELVNYYRKMHPWIPVEPWYPGNRGISVFEGPKGVKMSLI ICHDGMFPEMAREAAYQGAEVLLRTAGYTPPIKQSWELTNRTNAFTNLMYTVSVALAG TDGTFKSMGEAMFCGPEGDILVRGNNSADEIFACEIRADEVRRRRMHWGVENNLYQFG HRGYVAVKGGASDCPYTYMKDLVAGKWVTAEDAEVTVKDGTSCGFEPPGQTFVNELDE KK CC84DRAFT_1079255 MARFTTLCALPLSLLLSLASAQGLGIEVTKPADCTRKTQEGDKI TVNYKGTLDSDGSQFDSSYDRGTPFEFTLGAGQVIAGWDQGLVGMCIGEGRKLTIPPS LGYGNYANGPIPASSTLIFETELVGIDGVQADPEKPPPPPAPERPTVARPTEPTEPTE KPIPTAVATPASSEQRPAASNAAAEPAASTSDKASPMESDDNGECHLLGPYALIVQGA LGLLAVSSLAYKRWRETPRRPLKIWFFDVSKQVFGSVLLHLANILMSMLSSGKFDVAA NTKATPQHAGQDDKGDLPNPCSFYLLNLAIDTTIGIPILVLLLKVLHRAFLLTPMANP PESIRSGNYGHPPRATWWLKQSVIYFLGLLGMKLCVFFIFQLLPWIAWVGDWALRWTE GSETLQILFVMFIFPLIMNAMQYWIIDGFIKDPAGNDTNYEAAAGEETDDESDDEWLE RRRQRGVDDDSDLEDTEAGPLKEANPTVVPVRSREYDPHIEAGSSRDGRKERSE CC84DRAFT_1192044 MGWRKFAPCALDPRALDTYDYIVVGSGPGGGPLGSRLALAGYKV LLIEAGDDQGANLRYQIPALHAQSSEEAGISWDYYVNHYSDLERQKKDSKMTWRTPSG ELFVGPNGASGTGAPPSGSEPVGILYPRAGTLGGCSAHNALITVYPHKSDWDGIASLT GDFSWAAEKMRKYFVKLERSRYVPSGIVGHGFDGWLTTMVTDLTLVVQDFKLLSLVVA AGTAMGKSILLGLITTVTGLGQVLLSDLNVDSPSRDATEGIYQIPLAMDDRHRVGSRE FILETATAKNPDGSRKYHLDLLLNTLATKVRFDNTTTPPKATGIDFLSGQSLYQADKR YSRSTTGTKGTVYASREVILAAGSFNTPQLLKLSGIGPATELQQHDVPVLVDSPGVGT NLQDRYEAVIGGKTDSDFALTTNCKFLHGSPDPCYDQWKNNALFKGVYGSNGLAIGIV KKSSVAEKDPDLFIAGAPAYFTGYFPGYSNFSLADKRHWVWVTLKAHTRNTAGTVTLR SADPRDTPLINFNNFDAGTTADGADERDLQAVYEGLQFSRKIFKSLIPIDGNFEEVWP GPKMSSEAAVKEFVKNEAWGHHACCTAKIGADGDKTAVLDSKFRVRGVQGLRVVDASV FPKIPGFYIAVPVYMVSEKAAEVILADAK CC84DRAFT_1253980 MEHVIRLLVAASAVAHATADDFNVLQHLGGNGQWFPGPEVTGIS SEVPEGCKVDLSAFFSRHGSRYPDTGAYNGWVAMRDHIQESLFTVSDPKLEFLQTWTP VLSDPAAQIAQISPTGYKELTAMGATWRLRYPDLYKYNTPFTMWSNYYNSSPRVRDSA RMFAQGFLGPNATELGTIYALNSSDPASWMNSLAPSDLCPAYNDNGGSPYTDEWASIY VPPIAARLNAKFNGNFSFTESEVTSIPYLCGFETQITGKRSPFCDIFTEEEILEYEYA QDLRYWYGTGLGSDVEKLQMLPTLDMLVRRFVDGPDKTYTLRNSTFPAPNVIASFSND GQINQLIAASGVFDNEPQLSGNRTNRERKFRASRLTPMRGTIAFERLVCGGTGSSPSS PVSSVSSSVPSYTSSYNNSSAPVSTPGSHTNGSAPSYSSGLATSSAPAPPALSSVPGY SHGQEPSSVTGHSHGQSPSSVATPALSYGQGYSHGDSTTLSTPTATPGYAATPSDCDS PQKRDVSPPPSATYIRVILNDVVYPVANCNGGPGASCPLAQYQKIVQGKIAKAGSFTQ FCNTTNPAFSGEPKSNFFMDNTLPFAQVIKP CC84DRAFT_1159045 MHNLKRAFKSKPMTESLNRATWIWRSEEVIGSDDQIDQFVAFAR KNGIKRVYIHINPDIPPQTLANFVGKCSPAGIAVEALMGDAAWIFDPKSHESLQIRLH WVAEYQNQYASEAQILLQGLHLDIEPWQLDGWRNAEQPELIRQWLGCFHYLKSWAQTQ QPPLPVAADLPFWLHTLQYPDNNERLDVTIMAILDGAAFMTYRNDPQGLMDVAGEALW ACSKCGKRREGIYLGVETVPSKEGQHISYHGLGIRKLQGDLGFLEGGYWLRKREPHEK HFAGLAVHDYHTWSKMYD CC84DRAFT_1253982 MRIGLKTNKVARESAEKWLMDVSHPRSTRYGKHWTQEEVVAAFA PSKNTVDAVTDWLVESGGIERARITRTKNKAWVAFDATVEEAERMFITEYFELDDGEM KIVSCDQYHLPSHLLEHVDYVTPGVKGLHLKTSNIEISEKVPSKRDSKKAFRRGISEP SLPSQRNTSSLDTCDQMITHECIRALYNIPFPDQKADVNPNNTMGIYLLSSAYAQQDL DMFFSNFTPYVANGTAPIVSSINGGVAPVDVLHAGSEACMDLQLAIPLIHPQNATVYQ VDDDYWTVHQWLEGGLFNTFLDAIDGSYCTYEAYGEKGDNPDFDPVYPNEREGGYKGE RMCGVYKPTNVISISYMKDEAELPAAYQKRQCDEWLKLGLQGVSVFIASGDSGVGDLP KPDSPNGCLRNGTVFTPGHPNTCPWLTSVGATKVYPGKTVFDPESAANDLAGDPYYFP YSSGGGFSNVFGVPKYQSEAVKGYFEIADPGYPYYYDGNWKNSTGLYNRNGRGFPDVA ANGDNIAAVYGGGIRMTGGTSAAAPIFASVVNIINEERIKVGKGPVGFINPVLYANPE VLNDIKNGSNPGCGTNGFDASKGWDPLTGLGTPDYPRMLDLFLSLP CC84DRAFT_1182468 MSKFGMWQTVLVIENDILNNGTLSSIPGNSGDLNLAAINIVGGG SYKGLEKYFLKSNHFDAPSAETTKEFNISYDVKVYGIGPVKVSIPDWQFPDMKKLFAS WNSVDIPHPREGFVDPVGVYWSPNSINKDTATRTTLRSAYYDRIASKRSNLELLTGTH FTSKNMGVQSQAVAAKEVILAAGGVFTPHLLMVSGIGPKDVLQAAGVTIKMDLPAVSS NFQDHIANYMNFNLEGYAKDSIVAINTNATYNQTVYDLYLSSKTGPYSVGRANGLVFM ALQHFEPTYKAIVDKIRAQRAENFLPERYSKSAPLLHGFKKQVDILAKQFAAAKAAVG EIVIQPWGFSGIANNKPLSRGAITLNTTHPEAYPIVQWNTIQNPHWARPELASYNPVE TSPGLQYQTDEEIVQGGIKSGSLSPTFAHPSGGCSMMPESLGGRVNEKLQVYGVAKLS VIDASIIPLIPATHLQATMYAIAEKASDIIKARA CC84DRAFT_1253983 MVNFRTLTSFAYMAQCGILGANAHDISSRLLDIVPKREVLYVGG RYTNITDSTTNATSMAMIGQIYVEKLSPHPAPRNQPLPIIFIAGAAQTGTNFLETPDG RPGWASYFIDKGHTIYLSDQPARGRSFWSPGQGNIGTLGPPNAVSDLFTDVANNCNQW PQAKLHTQWPGTGRIGDQTFDAFYRSQMQFQIDPFIGEEQNAQAYSALVDIVGPCYII SHSQAGAYGWRVGDMRSDLVKGLVQLEPSGPPFTFRPPIGTGPAFAFGLTDLAIEYNP SAGKDAKDIETVIEPAIDADHYECIMQKSPAKQLTNLAKIPELIVTGEASFHAPIDYC TVKYLKQVGVDVEFADLSKEGIHGNGHMLFMEKNNLEIADRVYKWLKKH CC84DRAFT_1159047 MVVLSSLQHFPSFADVRSGLTHKTRQCIWYLPGKQRYCMVRTEA SKIDLILELTSQLDDRKSCTLRILSEIAELSCCALHHRNRIWATGLAKSLAHQWQQGL QSDLSETAFESAGAVKVECEHSPRCDTAEGNEETEKKLELVAFSPHIPFEGDTLRSTL LSQIESTASKIGSVYAFTYLDSAFDGMIKIGYTSRSIDLRMDEWADCGHGPPHLLKSF SNVRYPERVEFLTHLQLVKDWHAMRWCKYHERSHIEWFKTSVSAVSQVVQAWISWIER ANPYDRRGNLKPFWRGIIDFLSEYEITITAELMVQIQEIEDGTVSVASFIDDELLRKE RLDLERVCVKQEEN CC84DRAFT_1159048 MPAIGTGRSCVQCNDAKRRCDRNTPACRLCRRKNLDCVYPLLKP SNFVPITTTEEEYRPSDSDVLDLEDSSVEQLMSEALPDPTSDFVNVTALSLVPDPYAA WFAAPETFLVDHTPMPLPPNFKIRDLESFVAQVDTWLRDWVATGSNTFIHAHLYGDCF PSCLQVSFTTFSAYINRTPTNCKMVLQVVNDQATLLVSGSNTSGSVLKDLALIHALLV YQMIGLFDGDIRSRHLAELRVPFVSALLQRTLEKASETLIRQIEVEQLSIFPFDPVSP KELSWRSWIVSESLRRTWLITQGVIASYEGLKQGWAPCNGDVKFTTREGLWSADSAPQ WARMCAERDVRFIGRFHAECLFEVAPEEVDVFAKVMLETVFGKEISVSWQLEAKK CC84DRAFT_1253986 MDAIVDLKKTDFTATVHDTAYPAISPTRPELSQSGRVVLITGGG TGIGKAIAQNFVIASASHVVIVGRRLQVLEAAASELKKKALEVGSPSQVLTFKADVTV KSDVVGIFDDIASKGLLVDVLVLNAAKFAKLTPLIDLGMDELWTHMEANVKGPMLIAE RFLKQNLSKKKFLVNVTTAAIHQQHHPLITTLAPYVLSKSAGTLFVQLLARQVPQDQL QVVTMHPGTVFADGFKDLGITEDMVPFDQADLPGAFAVWAASEEAAFVHNRLVWASWD VNELAHGDVRKRIEQDEDYLRISVIGFDLGKRAKRD CC84DRAFT_1192052 MDKRNVCVIGAGVAGLTTAYLLSQNRRYRIVVAAKHMPGDYDIE YASPWAGANYMPVSLRGTREEQWDRDTWAPLADLAQNHPDAGVHFQECEIHSRAKDIG SATADWFAELLSPNPWFKDVVPNFRVLPKESLPPGIDSATAFTSVCINTAIYLPWLVS QCLKNGVIFKRAVFKHIREAAQFAPSGKVDIVINCTGLGASVLGGVEDTTVVPARAQI VLVRNDAGKMMDFSGTDDGEGEACYIMTRAAGGGTILGGCYQKGNWESQVDPNLAVRI MKRAVASCPTLTGGKGIEHLDIIRHGVGLRPVREGGTRVEKERIEGLWVVHNYGAGGA GYQSSYGCASNAVQLVEETLAPASKI CC84DRAFT_1253988 MVDFTHILHLGFQLHQFHNPDGFYGRDFDLAWDSYLGLDLVDNG HYGGIQFANEESGEMFVTVLGVIRSQFRVEVQFRSKSRQSRGRSRRRRTYDELRFWAT TANEYCEFCILIKDVLVSHYGKEYIETKLAQGYTQKLYLYRNPLDLTVDKFDGNNTWI DVEKPCYLAIACSSPKALWGIPGATFRREDRARSDERNRDWVMPAIFAVRSEFDYTSG SIQRISMEQPGRLVNHKQIDWTLIATWDSACSHGIEDAPGHTGSEYRSRLRVIDVEKA CIVRCPHSAPYVALSYQWGSDQNLKLKKENVALLETSGFFDTPEGQPAQTIRDAIAVV KKLGYKYAWVDALCIIQDDVDNVVLNVDQMDQIYRGAHLTIVAAAGKNAYYGLPGVST TPRSEVQLKAVIGDTVIASMLETGQGAIDFSRWSTRAWTYQERLLSNRLLIFTESQVF FNCSAGCNFQEQYHFIPSSMHRYTLNDPQASFDFETDDLWEVYAIAVSEYTKRVMTDS RDKVRAFSGILKFLERPYGAPFFYGLPTTLFEVALLWKPRGACERTELGFPSWSWAGW DVDVLYEMLDSMNNFCECLVSQAHISIPGTNLELAACVKSPPQTPPQWDRHFDEDELT IHYTSSDATFARFRYPRPLPRVPETDFMRYASISSPLLHVTARTATFLLTEQHSHTRD NHTRNKTPCRDGAHELCYLAILDAQNHTAGTIIVSGRLLPQLANKTHSFLAIARSTLS RMDNDASWDATNKRFTFWTEQSPESQQQSTLLLEDGPSLGTKFDWSVGADEDQTPNDD FFDARYFSAGVYWPAIDVLLLSEEKDGVVERLGVGKIHVDAFEPIAQLEQICLG CC84DRAFT_1159050 MDSASLFSVSGKVVLVTGGAKGIGRMISEGFVQNGAKVYISSRD AKACAQACDELNALGKGKADYITADLYKEEDITKLAAELKKRAGKLDVLVNNSGSNWG ESYDTYPSAAWDRVLTLNLKRVFQLTQAVTPLLEAAATSASPSRVINIGSVDGLRVPA LETFAYSASKAGLHHLSRVLASHLGKRNITSNTIACGPFQSKMMKATLEKFQDAIEAT IPLGRIGSPEDVAGTCIWLSGRAGAYVNGATIALDGGSLVGSKL CC84DRAFT_39571 MPLIHESYDSLPYVDAPPDQAALHAALGLIAADMASAGVDAAQL HPALIPAATYTPTFSDALEREHARLQADPASKLSAIDLARYEALEAPPNTSPTSDEDK PEILAQWKAALQKAYTSSEYLNARLAELGLLEKFGKNAWLISNSQQEDILKSIEAELA DVRKQHEEAEAIRRQQQESVHGEIKTLEETWKRGVGRVLETEVAAEGLKQQILERRRA GAV CC84DRAFT_1211196 MARSHIAVALGASLLAALIVSQLVATLGFPHVDFWHGRLDQTYG AYEHTNLVRPKQAADAVDDPSQYLVGVGKADITGPVVELNLMGYANTSQVGTGLRQRL YSRAFIVGSPSTPAERFVYMVLDTQSGDTAIRNGILEGLQALGPEYSIYTQKNVAVTG THSHSGPGAWLNYLLPQITSLGFDKQSYQAIVDGAVLSIKRAHQGLTLGTVSSGSAKV NNANINRSLYAYLANPASERARYTDNVDKTMTLLKFTRASDGKNIGILNWFAVHGTSL LGNQTVVAADNKGVAAYLFEQDIAANAASANAADGFVAGFSQANVGDTTPNVNGAWCE DGSNQECKLEDSTCGGKSQDCHGRGPFYGLNDGGVKSCYEIGKRQADGAKGIYNSNGF TSITGSVRSFHSFVDFSNFTFQLPNGTTAKTCPAAMGNSFAAGTSDGPGAFDFVQNDP GAPSNPFWNVVGSLIAPPGAAQIKCQQPKPILLNVGEAKTPYDWSPNIVDIQVLRVGQ FIIIVSPGEATTMSGRRWREAVYNKAVSSGIVSSSTPPIVVLGGPANTYSHYITTEEE YGIQRYEGASTLHGPHTLNAYINATLGYLPYLADGATTSSPAGPTPPDNRNRSISLIT GVVYDGAGIGSSFGKVLTDVSSTYTRGARVVAVFQGANPRNNLRLEGTFSSIEKQGND GSWTQVRNDQDWELVYEWKRDNGLTGTSSVTITWDTSITSPATGLYRIKYYGDSKAVG GKITAFTGTSGVFKLS CC84DRAFT_1211197 MSSPSRLSGQRLATVRIFGMVAPAFWLYGYNQSNLGGVVGFLDF TNHFPGLDAAHTEGTVKAHHATIQATVVAIYTIGCLIGALSTMSTANLLGRRLSLSLY AAIACIGLLLQASTFSLPQLIVGRIVTGLGVGGVNAIVPVWQSETTSPRNRGKNVIVL GTFVASGIALAAWINFALSFHQGSSLCWRLSLGMPLVFGIPLIFTPFLFPESPRWLVQ VGRLDDAARVFGVIKGLGTDDDEVVAAVEQARLAVRDAKEKKTPFFKLLAQKRQRNGY RLFLAFFVNFAAQMTGANAVSYYGTTIFRESLGFAAHQASMLNACVLTWKIFAAVLAF VTIDRVGRRALLLASSSGMAFCMAMLAICVSQLAHSKAAGDVAVFFLFLFMAFFPLGF LAANFLYSAEISTQELRVHSSAVGVATHWLCNFIVAEITPICFAEIGYKTYIIFAVLG CFITPVIYFFFPETNGRTLDEIDQMFLQPKHWWSVTMYSKHFKGMDGAQVELYDGLEK ETEERVEEI CC84DRAFT_1211198 MRSSAGNIKAQSPLNTDTVPHVIPFILSRLEAHTKAHVPTSSPP PFFVGLNGVQGVGKSVLVSQLKKTLESEPYNLRTAVISLDNFYLTHEEQQRLAKANPT NPLLQHRGQPGTHELPLARNTFRALRERDAVKLPVYNKAAFSDQGDRCPEAEWIPVNQ EPSSIVRVVLFEGWSVGFRAFAPQRLGEKYEHAVSALRSAPDEYEGRLAHNTLRSLTT VNEALRR CC84DRAFT_1078544 MTKVAVLGASGQIGQPLSLLLKSSPLVTELRLYDVVHAIGVATD LNHIDTRAKVSGYLPADNGLEKTLTGAELVIIPAGIARKPGMTRDDLFKTNANVIADL LSGVAKFCPTAFVAIITNPVNSTVPIAVEVLKKAGVFNPRKIFGVTTLDVVRASTFVA HVLGEQDPQKFKVPVVGGHSGATILPLFSQSQPSVELSKEQLDAITYRVQFGGDEIVK SKAGAGSATTCMAYAGFRFAQAIIKASKGETGIVEPAYVYLPGVSGGEEVAKKLGIDY FAVPIEFGAEGATKAFDIGKLSAYEEDLLKTAVQELKGNISKGEDHVRVATP CC84DRAFT_1211200 MAHEIGTLRALPNGESLFVGSSSGVFFINTVRRAFAHAAAATNR QHTAGDNVNTPLTSLPSPEDCIFGSDQHADAARPQSDGVGELPDHDIAKELLMTYFRT WHPLVPFLHGPSCLAELEQLYAGREGSSGQRRKPRALAFAVIFQCLFNIAKLERPDLP PLGNTSFRSEEQLLIPLSSLSLNCDLESIQALLVAQLYFVATMRLQAASSTGGLVLRS IFKSGLHRCPVRYSTFSADERDMRKRVFWSAYTLDRFTSQSLGHPLGIQDSDVDVCQA GMAELHEPVHTIDNIQAGTSPEETLLHLPTNHPQRMSGSTQLDTADTRQTGADESLTS DQENEPPDPDSPLGNNDTGSASQRRHQNQSVQAQFVRYSRLVGRVLETFHKSIHFRSA SARDVLLLKADIDAWGNMLPHPSSSRPQPVSPGGASIQPDGLNQEVFFEVARQHLVLL VNRPSLSLKPTSAEFRHAIQICIAASRSIIRVLEAHLNTGGSLFWPGYLSAVWMAGLI MVFACQSRLHGISNATSDIVASLRVLQVITPRWSMAKNCHDVLAWLLESLRKPAASQA PSNVVAELHESGDIPQDNTQFSRGQKRRRCDQPPYSTEGSEYRRVRLSSRNSPWPQWA APDGDEHTQLFQADTSIIDNIGVNYQSIRPVPSMDPYYFPGTQYSDSNNRFPGSFLYQ DPTQSGQQQVPRIAPPFLDGQTDEWNEASRAIPTFNPPPNYDVFDGAMWGSLLGIVEP KS CC84DRAFT_1133413 MGDKMGDKDTLQHIEKCDTNILSVSGAEEKGVEIDYSGAHTKSS AEEIKLVKKLDLWIMPTLWVMFWLNYLDRNAIALARLNDLEEDLNLEHEQYQTCVSIL FAGYIIGQVPSNMFLTRVRPSYWLSAWMAIWAIVSALTALSHDYIGLLLTRFFLGLTE APYYPGALYLLSTFYTRKEVATRISILYTGNILATAFAGLIAAGIFHGLDGAAGIKGW RWLFILQGVVTFAVAIGAVFTLPDNPLTTRWLSPKERQLAHDRIARDTVDKRESSSTF AGLKEAALDYRVWIFAFMQHMHLAANGFKNFFPTVVETLGFNTTITLVLTCPPYLIAG LVSIGWSISSGRFNERTWHITIAKLIAIAGFVIGCVSLNTGVRYFAMFVFTIGTYAVN SIILGWVGSTCGQTQEKKASAYSIVNSIANVSFIWTPYLWPKSDEPRYAIAMGSSAGF SLACMLGAWIMRWNLKRLNRKMRQSNDEQTLFYAI CC84DRAFT_1200798 MNRAPPSPEYRDGPTQMASKDAGKGVRITLACNACRSRKHRCSG EKPQCAQCASNNVTCTWPAQQKRGPPKHYINSLEVRLLETEAVLLSLLSQVSTEQLKA SFESLPPTGRTYNDPNCQPEQNTVYFSEFKQDVCKPSYWSSFPLDSDENVRRWWEDRM SRVRPGQLEADFVPGMPLHESLESEARQGGLPGLGVSLPVDSGLLQHTGYAEQAGLNS TGTEMNGPVTTLNQSTSRHGPNVDMHPGASMMRNEDAISTTTSEVSETLLSPDTGSGA LIQIPDEFKQEFLW CC84DRAFT_1159057 MTSLPRILFFNPVRHAHQAYEALQSVAACEVVTSASRAEFFSDI RGKYNDVQVIYRTSASGAVAGNFDEEFISHLPPSLKYICHNGAGYDQIDVHAAAARGI SVTYAPDPVTAATADLTLFLLLGALRQLNPSFSKLRSGQFKKGVAFGHDPQGKTLGIL GMGRIGRAVKARADPFGLKTVYHNRNPLPNDLGAGASYVSFDQLLAESDIISVHVPLS AKTKHLIGAKEIAKMKPGVVLVNTARGAIIDEAAMAVALDEGRIAAVGLDVYEQEPFI DERLMKNERALLVPHLGTHTTETLAKMEAHAMENAKRAVLGQDLLTVVPEQLARSNGV TGVH CC84DRAFT_1170440 MITPQKLRIAVIPGDGIGKEVMPLGLRCLKAAALKFDLALEFTE FDFASCDYYLKHGDMMPEDWHSTLSAYDAIYFGAVGMPDIVPDHVSLWGSLLKFRREF DQYISLRPCRLLPGIPSPLANPGDIDFWVVRENTEGEYSSIGGKIFEGTEREVVIQET VMTRIGVDRVLNYALNLARSRPKKHLTSATKSNGISITMPYWDERLKALSAEGGYDDL KIDKFHIDILTAWMVLKPSQFDVVVGSNLFGDILSDLGPACTGTIGVAPSGNINPEGK FPSLFEPVHGSAPDIYGKGIANPVGMIWAGQMMLQHFGFKDAADAMMKAIEDVLAEGG SHIITPDMGGSTGTTESLGAAIEKKILGGAACTHLNGRRRSFIRHSAHFIMTKKSDAT SSSVRVRDNQRRSRLRRAELVQSLQKRVQEYERQGVAATLEMQQAARKVAQDNLRLRA LLARHGVSSEEIESFLRYPEDPSNAEARGPYLQRLASGLEQQASRKEEEYLPNDAQSS HQSLSVRWTRQKITSHKLWGLHNYLHALRGLKICPTKRPRDVAIRRFTVNQTRVISHI LW CC84DRAFT_39692 MSQAQAEGHQSSYTQPLASDQTPDPESLENKEREAAKNVSVIRG LGWLDRFLAVWILLAMISGVLLGNFVEETGPALQKGKFVGVSVPIALGLLVMMYPILC KVRYETLHKLFRTRALWAQIGFSVFMNWIVAPLLMLGLAWAFLPDKSELRTGLVLVGL ARCIAMVLIWNNLAGGDEEYCAILVAVNSILQMILYAPLAILFVKVISRETGTTAVSY ETVAKSVAVFLGIPLGAAVLTRFVLRRLISPEWYEKVFLKWLAPWSLIGLLYTILVLF ASQGRQVIHQIVSVVRVAAPLIVYFMVIFFFTLIVCHKLGYGYKLGATQSFTAASNNF ELAIAVAVATYGPNSDQALAATVGPLIEVPVLVGLVYLVRWMGGRWNWRET CC84DRAFT_1080416 MDNENVIDPPTPWHAAYPAPRNPAATICREEVLRMMKQSAETAS KNYVLVDLRRSDYQGGTIRDSINLPAQSLYPTIPTLYALFKGAGIRQVIWYCGSSKGR GARAAGWFADYIEDQGDTQMKSVALAEGIKGWATSAGGEYVEHMVEFDPSAWS CC84DRAFT_1182487 MGTVTNGDLNNTAAERARAEIAVDAAYRNVSFAIPASEDDEFVR KTYRPFLLDEAHAKQDWIAKLELSTALKMVNSQILKSGGDRLKILVLYGSMRKRSYSK LLSYEASRILFRLGCDVRVYDPTGLPVKDDVQHSHPKVQELRDLSKWSDGHVWISPEQ HGNLTAVFKNQIDWIPLSTGSVRPTQGRTLAIAQVSGGSQSFNTVNSLRILGRWMRMF AIPNQSSVPMAYTQFTDEDASEGGSRLMPSGNRDRLVDCMEELVKYSIVMRPHFDLFG DRYSEREEKRIKAEKAALLEK CC84DRAFT_1200803 MAATPSKQAAATRNDSDDVVDNGRNEGTVPDGGYGWVCVAACFT VNCFTWGTVSAYGIYLSHYLADDAFPEATIWDYAFIGGFNFSIAMLIAPFVTVITRKV GMHKVMIAGLVFESGGFIAASFATRIWQLHLSQGVLIGCGIGCLYIPSLPILSQWFVH RRSLANGISAAGSGVGGAAFAWGTEAIIQRFNIGWGLRITGMIAFAANLAAVTVIRDR NHVIQPYQLGFDTQLLRRYEVVLLLSWAFISMLGYIVLLFSLADFALTIGLTRAQATD IIGLLNVGTAVGRPLIGICSDRWSRIDTAGALTLLCGLSCFAFWLPATSFGLTAFFAI MCGAIVGVFWMTIGPLCVEVAGIKNLQSLLSLSWATVIIPAIVSEGIGLKLRRSSAGR EYLYTQIFAGISYIVASGFMFQLRRVKRHKEQRNH CC84DRAFT_1075950 AHHGATPDQPQKRQRLSLACNECRKRKVKCDSEMPKCRNCRIRG HVCETTDPKHPELVVVRKYGAMEGDFRENSYGMTSDLSPAPVAHHEPKTPVSLPCEPS ASWVARSYQAHRTLNTASIIPAEESPEMTVNTDSNNGRQKVMGGSSLQSLSMFLDLYL RRSGLAGIGSCFRHGMSFCEEYVLPLSLSLPDLPPHSLMERYIQAYTRSIQPLYPVLQ LEQLYAEVHRIRSYQDASWSPSGGSFLQPTDVPILACIYCVISLGSDEAAGALTEAGS NYLAAAYGLYAHLVGLPHLSSAQALVLIALALQSRGKEGQSCQVLGSAVKIAHSIGIH RHISAHGNSHEPSHRQELHARIWWTCYAIERLYELETTRPSSIPHGEHDPFQPDRVLS ATSQAELKYFVYWVSLTTIFERISGLLYRRKRSPEGSLQLLQNIGMLDRALRDWKNSL PEDIRPDCDFYCVENERPFATFLALHYHQALITLHRASLVLPHDQFLEKIETHAENLP YHQRLRNGASLCSASACATIKLNANINGRLQTPLHTLTQILHGCIVLGLSILRQPHSR TVRSDLELLVTGTQLAEGEYCRLGQHPLFIEACSTLRRSIS CC84DRAFT_1079101 MTTAEPALTLTATAPVERRLQIDGSDPAYGDWRDNLVRDGYAII KGAVPAEKVEGYADRMYSLLEGFGLGYDRNDPSTVHPDKLPVINEKGMLFTYAACHED FVWDIRSEPRIIEAFEKVYQDKDLIVSFDAINYGFANRTNLPKNTPWPHQDQDPEKPG FRCLQGLVNLHNAGPKDGGLIVCKGSHLLSEAFHKDMKDEERIPAWTPEWYGYTDAGM KWLEEHGCAWYKVEAEAGDLIVWDSRTAHYNVPTTSDVDRLAIYTCYMPVAEATQEEL LRKKGAFDNRVGTTHWPNARNVGWNEAKRNGVLDTIQRNRPWKEPQLSERAFKLTGIP YIKAEA CC84DRAFT_1182490 MSSTFIVVGGGIAGLASAIALRAPKRRIVVLEQSSLNREVGATL SLQPNATKIVEKQWGMGDALKRVGAMRDEGFNMYSIDGKLQRSIPLVSKTEYGADRMV YHRRDIHDTLKEFATQVDGFGEPAEIRTSSCVVACDLDEGIVTLKDGSVIKGDVIIGA DGIKSTLRKDVLGYEVQAEPTGFSAYRLVLPSSDLEQNKDFRDVIDPRSSFTSMVMGH DRRMIMGPARDGNLFSVVALVPDQRAEVEAGNSWTSRGDLETLLNEYKDFPAWATAPF RLAKDEIGLWQLRDTEPLETWHRGRVILIGDAAHAMLPTQGQGASQAIEDAEALGAFF SDLKGDIQLEEVQSRFKKIFEARFERATMIQRYSREAARPATDKGDTKIKMNPAEFMD YNCLYNGAKDWAHRRVGTESLEKELQALAV CC84DRAFT_1107159 MDTGDKQKAFSQNIEFAGDGKQGSGGGTVGEILLVSGQDEIRRV PVPTNDPNDPLNLSKWRKIGVTVTCCWFSVFSLLAISGTGPFMATLYGLYGAQGHSPE EITGLNTYSTMVMAFGALGLLPLAFVFGRRPIFLFAVTLAFVSNITAATSENFRGHFI SRIFLGLATGATESLLPLILSDITFLHERSFYFGLYWSVQNCVNSGLLIAISYLVADA TWRWFYWLFSITLGVSALLVVFCCPETKFHRSPTSLNGQVIFTDEFGHTQILTDDEAI AAFGSVDGPAEVVAEKKTFAQELKPWSPVTPNGTKVLLGAYLKIFKSFTSPGVIFSLM MSSISLGVGIAITLVYSTVLQESYHWSPKSVGLFNAGVMPACFAAMFYSGWAADKINV WLARRNGGVHKPEHHLVHMIVPGLAGIVGIVLIAVCAERPDKYSAWGMVVGWAIYEFS FTAIIITTTTFAAEVIPENPGAAMVVVVGGKNIVSFGAAQGIIPMVAKFSYLTSFMIL LGIFSGICLLGVPVYFLNSRWRKIATRAESQ CC84DRAFT_1159063 MLAPVPTGLKVDDMAGVGVAGLTAYQSIQPHVKEGDKVFINGGS GGTGIFGIQIAKALGCHVTTSCSTANIELCKSLGADEVIDYKTMDVVETLKKKGQVFS LVVDNVGIPSNLYGQCHHFVVHHGHYTQVGADIGVASAIQHAGNMFLPGFLGGGRRRY QFLSLKPNHKQLVQLGQWIAEGKVKPVIDSIWEYDDTPKAFERLKTQRAKGKVVVHVK KNDIKG CC84DRAFT_1170448 MAFFNHIHAKSCVRAPGIVWILGQTHLEASKATCFLRKTEMASF APLAASQEFQPKMSPGVTLHAYSAMVHYASHMTTEGPSSDLNRNINHCKNILWPEDVQ FDQQMHAHGGCTAELPTSEDIWNELCESFLHIDVDVQHYNAALDLCGETVICGTKVKY HELYAFEYIQHCDGKYTPLIMSYIGNQPVYTDLPSDYDRYVTVRLAGGDPFIGLNNAL RPFLYDKNNSLNTLVLFGSAVAYTVDLFKKTSFTPSPEQVNILTDEFAYNWTRFVENM WQGVSKNARKHIATINARGVTEALVHRARELLQHHPSQHLAWYLYAWVFERAVAAFGG VIPHGQVQAIDGSAWVKDLIRSWEKAHPDLIDNPNHAQSDYHIRSLDSCQLNRSQLEA ANQLDRLEDVPFNPVGPPLDPLQYTNAIQVSEPEELCAICQCEFGDEPTVQLRACGHI MHRDCVGEMINQVTDNSNLCALDRRGICPCRPRAPAPLIHFALLQKCLAPVALGA CC84DRAFT_1159064 MSKTLLSVALLTGAALALPAVEVVQVRQIEKRQDSSADLSAQFP TDINLSDLASLLPTDVPIPTDIAIPTDLDLSSILDGIATLLPSGCQIPSAFASVPTPP ADVQSAILSYTDVCHEPSFTGSLGAHYTSYLSEAEAWASSNGPAFSSWASEFATACPY ASGIATDEAGLSSLLGSYSVTIPSCTGASATGAKQTGSNSAKQTGSATTGAASGTAGS KESGSSSATQGNNNTGAAPQQTAFAAVAGVVAGFAGVVAYL CC84DRAFT_1254005 MPRDSHARQAEAEQRDLYADLDVVEGATDAEIRRAFHELIIKVH PSDKDTTSNVMRPTAGKTKMKMGMDPDTATTAWAEFIRRMQASARGRGYVRHEDHRAH REYKARMEKERAPWKDLEQKRWKAAQEMREARAQDEKKLKMKSEETARDNAIAQEDPL HEKYTKRNKNAKQAASRKNCTCQGCRDRFVREQTEKDQQRKDPRDYAERMKIREAVAA RKEKEVAKQAPRKHIPDAGIAVHLGWVSAGYAPACSFCKFKKMNRSSRCRQGGAVVCG RWKKSLGRMMPESEAVLLGAE CC84DRAFT_1224780 GKGNYTQQRIIELHNHPLHVASTTRISACNADPQTMPQTTPSHS QIQAMPSTNYSTTDLPPVLRRQLSAIPPAHLDEIISLALEATAHDPSIDFLAAVKALW RCNAHNPRSYGAMSEDPSDSEREPHAETIVQGEEKVRSDNQHRSQAQDLDEGKSHDQR TRYTYDLDTEMPDAPSPTPSPPASPSQSTPKPQTPSPSLSTSQAKPAAAVSSQPSDDT STPPSQHIHHHQRQSHNRARILAFLRNRTHPSVNTANMSWQRREQLALAQSYLQAFDL WPHARSSEKRCEGLLTRYGNL CC84DRAFT_1159066 MSSYTLSPATPEDAKAIAYLFALSWQSPFTQLQFGNMSTDTLSL TMAPRIVESMANPGSEYVVMRANDGTIAAIAQWSAPSPARTKAEEESAEDKADRREIW IQEYRKKLPENSNKDLIVEFSLGLRELREEVLQGRQYYLLENIATHPEHRKRGLASKL IEWVFPRADEQSVPVYLDTASDNEAMRVYKRLGFEEKGNRIIEDLSKYGGEGSHTHVA LLRMPEKLSTKHSI CC84DRAFT_1224782 MYFILPVLGSSLAYAIFVLYRRLYLSPVAHFPGPKLAAATYWYE FYYDIILGGKYIWKVQEMHREYGPVIRINPGGLHVADPAFWDVMYTHSTERNRRDKWE WETWGIGIPTSMLGTAPHALHRVRRSAVNPFFSKQNVRRLEPGIEERVGALVGRLRKR KERGEVVRMDHAYSAFTNDVVVQYCFDRSDHHIEAPGFDPSFHETSFGAAKAISLLKH LPWLLTIMRALPESIAMKMGDEVSANIKLTKERMGQIEDLRKTGFEKEEQEHKTIFHT LLRSDLPAEEKETRRLAEEAVLLVGAGTHTTSWVLTVITFYLLSNPALLRKLRDELRT LLPEVDSFAPLVELEKLPFLTAVLKEGLRLGHGAAARSSRIAPDASLKCGDWTVPPRT PVAMTIPLTHLDESIFPDALTFEPHRWLGGNTAHLDKYLVAFSKGSRACVGMNLAWAE LYLCIARVFRQFGSREVRESGDVGCMELYKTDASDVEVASDAFFPMVREGSKGVRVRI SSPKVVDL CC84DRAFT_1159067 MRPTCMRCTKAKLHCNYTTTFKATSPVDVSNNGRRVERVLLPKR DMSSLTILRPTATLPGETDVENQYLKYFHQETTSDFPSTWDWSVWNRLMLQGCHHEAF IRDAVVAIGALHKSLRTSAMVSSNGRSTEELAKLQRQFAYQTYGRALKKIQHAIDTGS GPRDALIACLLIVCFESHNGDRYNAGIHAQHGLRIYHQLPLKDRPSEVEDDIADAFRN LDITISTVYDDRDVETHEALLDEDASIAAAMPLAFTTLDEAKRYWHVIMRRCCHFIPT TWAHTSPSSLIRPFQNPIPGSFTTTVGTNIHTASFRITPVLEAQQSAYYAEMCAWILA FAPVLARIRRSTASTLRDYVTASMLQIQALNGKVTLAGLLYVGEMGYDDHISDFASII SLSHDILRIRNAGSSTSYFSGLFVLDLGLIVPLFTLLLKCRDRVLRYQGIEILKQWHV EGWWDPLLIIAICKCIIDVEEEEMVGGMIPAEARATLTGKCHRPPERRMLVQCVQRRK EGLRWVERLVEW CC84DRAFT_1159069 MRAKHDYHTAAPIPPHVSRDQVLAALHDHTTCLTLQALTTTHSL LPTTDPATLKDTFWYPPDTYPVCSYRVTETIAFLPWFSWAKYRLTFPSVFQNTPTGLK TRADTGGVVLRAEFRVLEGSTMEGIVEGEGEGLGEVGWVLVEDVEVVCAWWMMPLVRG KMEEAHRDICRKVVEKVVKEVAKREGENCDVAVPGEKRIGEVDGDHDVRVDPLAQEED AYARESVDLTTRDQAPDKITYA CC84DRAFT_1051591 VAPSQPSHPDFPPFHNIPGLSNFRDIGGWPISFSRGPPHHVRKG ILFRGPDTSRITPEGEEALRQLGIKTDFDLRSKEQIKKTGGYKEIAGVERRWTPVFDD EQYTEEAAKRRYEQYAGEETEGIVTAFVEILTAGAPMFRTVLAHVLDSAVGVSPPPAV FMHCTTGNNRTGVFISMLLWLLGVAPGVVAHEYALSEAGLAPTRHVNVERLLKKGAFQ QHGPEEARRKCERMVGAREESMEALIAEVEKIWQGPEGYFKAEVGISDGEIERLRELF K CC84DRAFT_1211222 MHLKIAASALALFTAVANAETRISFYTAKDCSSGYLSTATGHGS ASNTEAENEFSYTVNAYVGGIAIDNLDDFQNQLNDDNGCVFTDITCCEYAPKCKEPSP VPSSGGYCITYDKYMGTVGVSSTVCDSLCIDNVY CC84DRAFT_1211223 MQRHLTGGLKYDDSGNLYRDTSGEIRSYVGNSSEVHDAWNVFDA VQTVQMRPEDVNKAGLFVDNRPMVVTILDVFHQLHCLNQVRMALYDGSSFVELDAKRR MHVDHCIDYIRQMIECRSDMTPLKLYYSEPAGRMMVDFEGDRTCKDFDAVRDWARQHR ATKIAI CC84DRAFT_1170459 MRVYAPFLGLSLAATAAAAQPLLRVTNQCSENVYVVYSNDQYKA AQVTLTQGKGFQVILSGLGYSVGLSTDPAFYSEAVAKLIFGYSVNTDNGLLYYSIASD YGNPFASQSFSLTGNNGCASVNSPNGVTYACSDAASLYLTLCG CC84DRAFT_1133459 MVKIALAGGSGNVASEIIDVLVATNKHEIIILSRSTKQKREAPA GVTYVTMNYDDPEQLADVLQGVHTVLSFISEDQNSESPVQKRLIDAAIRAGVQRFAPS EWASSKLDYTDWYAYKGATREYLQKINEDKKAKSVLEYTLFQPGLFMNYLTYPYASTR HLHLMDTPLNFNNRRFITLKGGDESRINFVTVEDFAQIVAKAIDYEGEWPTNGGIRGS EISIGNLILLGEKIRGGTFDVTQLERSDILAGKWKAPWAPVIDHPSLQKEQVEAMSKH IAGRLLLSIEAGGWLVNDTWNSLFPEYKFAKLEEFLTEAWEGKP CC84DRAFT_40497 MSAPEPATKRRKVRKGTQSCWECRRRKVRCIFAATTTSVCNNCT RRKTPCVSQELLETSYLDFPPGDEQLGVRLGRVEELLGRLSNPHVSDRLGLNDPNTQS LEDHPNGTASASPAEVTLGSQAAQSPWFERRDYSRPTVDEFKESNERMVAAWPSRAEL SLICALPVGLSSHLHCGFCRPDSNTIEKGTGRKTDLLHLPSVDAHPVLLARKLLILGT FIQGALPSAVKAMEDKGFFPLQIMHRVVDTATKLVTTRDELLCSIEAVECIMLEAMYH NYSGNLHRSWMATRRAITTAQAMALHRGITTPSVRFLEPNSRRDFDLDQLTFRLAEMD AYLSIMLGLQRSSLDTNHITHERALAACCPAERMRRIHYIVQERIIRHSTSSALDLAE VHEMDRTLQKAAAEMSPRWWLTPTFTPDDADETKVMQDMIRTMGQLSHYHVLIRLHLP YVLSSDRRCDYSKLVAVNTSRELLIRFLSFRTSNPAHYYCRGSDCLAFIASTILCVVH IKSSKNANNNPLGHVSDNTGFEFLAHNRLSDRGLMEQALDIVESMYEPGVDAISTRIS RILRDLLFIESNASAGKAYDVSSSEATSEELECDGKMLGGGKTMRVHIPNFGNIDFVR NIVSRTAHVPRGNPVNAAFPPATVARDSNLSPALTASDATLDDNAIPFAQDFTTCSLT EPSQQAFTGVDRGCLEQPNLGFPWIEEDNWDLQGVDFALFDTLFSGSVSEDQTDIFQ CC84DRAFT_40509 MMEPQHEYKNVSAVDNHDDDSSTEVESLVGMEKHWAADTFTRRR SKRNACVGILKASRWFVVIGLQLVMVGLLAKDQGMLRQLGLGSKRSSSANEVGGDVTG WGPHIPTQVTKFQINQTFAPMNTSEFFTPETLHAWNDLMPKGMGFQSIPDPENYHDLP TPIVWPNQTVFTTSMTHQLHCLFAVVEVYSAMTSNHSLWDITSMPEDHHWHMIHCFDY MRQAILCSADMSLEGLETTFPDHNGGSDGWDSKHVCRDPKEVKKRLESVRAYDAQEIF CC84DRAFT_1048593 ICYFSAATAETWRSDYKDFDKKDLGLELPDWPGERYLDIRRDNV LKVIKKRIDLAAEKGCDAIEPDNVDVYSNDNGFTPPILPSDTISYLSKISAYARSKGM SVGIKNCIEILGDIFDDVDFAISEECVQYLNCTVYSNFTTAPRPNTIGKPVFEV CC84DRAFT_1254014 MPVMDLNGPVASPPAGLDSNFNNPQNEAALAYTTLALSLATVTL FCWFRFLVKYCIMGKLHLEDCKFNSTSLGMPAARNAHLRIEINRIQVAAIVHIACGFQ ISQFAPIIHSWDMTMLTFGKYLLWYRVSSIFYNIAIVLIKVAMLIQVLRIFVPRGSQS KTYYVVHGLIWMNLLYYVIIVFLMLFNCQPFEKAWKPWLSGKCMQIGIIAMSTAVVNL IGDLSILFLTQNVIWNLMRVERRQRLKLSLVFMAGIVPCGFAVMCLYYNDKQMHSTDF TRDSMYMSIACYGEIASGMFVMFLPVVPKFFTHLKTASSFSLLSNKRTLVSEDAGTRG GVEQKKKSLFHISYTQKESEEKLGLSINVTSTVSVRREMAVGHGGGGGIEMVREREGV CC84DRAFT_1254015 MLSELPTELTEHITGDLDLDSFRSFRLVSHSLNQQSLHQFRQRF FRTRTVAWTIESLDRLTHITADSYFGRSLRDLVVDSTPRFAIRLWELEKGIIEADLQQ DQHRRNDAQARYSEVEARFEQITRFWNETRHDRKALTSVFEHIGRLQSIVFAYDGMKK NFLLFGRRYCESSQNEMSRPLVSTLAAVAMSKLIVQTISIDPGRRYGAVSTGRLESLS PFLVIFDDIFLGLKVLKLNIRDWRSPDDGLEMPNGKTSFLVRFLGKLRNLESLDLSCF STLEENILSEMALHCKYPRLRTCRLHLFRVPSADDLLRFLEPARGWLGSLTLSQCVMR DGEWSQAMADIASELRLEYLELSDLFTRIGARVGFDGTMRRTLVMRGPDLESQLMYQS DRLISGNWGPAWHLAAVAYPFIGLHA CC84DRAFT_1159073 MAQPGAFVPAWKRLGLKLKKFEEAPETNHPQVETQGRSFSAQQH GASQEDSNPGHDQVKLGKRKHHADAADVEGASVKKSKHDWANAPTTGDAVAAPEVELQ TTNPTPSRTTPADGAQPKGDPNYRKKKGGDPSYRKKKEKEPKYPQNSHVSVRASAASH AQSLAPPKPTRTPSLSPGRSVPAANGSTLLPSTETGSFAPSSLVTPQRLRCTQTHSSL SPPKSDRRKSVTFTPDTKKSDGNSISNYFKQWVAEQKGSSADFTPAEVAQFSKPSEVH IANSNPRTFLPLKVPTSGKSKKKDPSRYLNYLAQYYQDRGNWKFNKATQNDVLDNSLN IFRIPEEYSDALFEYVSSLQGAGAIERLRERCKKTIEEVDEEISKETMEEREAMKEAA LQERLAKERKRRQTDTDVDNITDHEYPEGYVRRLKRSRAEALCRALDIAMPSTTPQNG LRSVQSGTFEGTPVVAESKARSRKRRTTDISSDESSESSSGEEEDSSDDASSSSDEAS SDADSATENSDGSGDSSDSEDNSD CC84DRAFT_1107190 MSGSAGYDRHITIFSDQGRLYQVEYAFKAITAANITSVGVRGKD CAVVISQKKVPDKLIEPSSVSHIFRLSPSVGCVMTGSIADARASVSRARGEAAEFRYK FGYEMPCDVLAKRIANISQVYTQRAYMRPLGVATTLISLDSEFGPQLYKCDPAGYYVG YKATASGPKTQEALNFLEKKLKNREHAEGSWEEVVELGITALSTVLSVDFKKGELEVG IVGGPRTDGEDGTDPLFRSLTEEEIDERLQSIADKD CC84DRAFT_40620 MSDGLNDARAMRIAEIMNDFRNLQHYLSQLRASPTAEEYYLEGY SLLRQCSSEAQSILTTPFAATSGATGGDPEREKQQLRAIIVDAAVRRFQCQRAYLRAH AGLRWMNSRNSILRGQKPNASHFASLQAADSTLRMELLAITDSHVDNTLRAQDASQGK WLAEDPSLTQIQQVLMSR CC84DRAFT_40621 MAGVLARGIFLGEARRALLRCLRAGSVDLRSWGAFLSFCLLYIV WFLLYQLYFPNLASSYTLYILYLLQTHVLPIHHINYTALLQRPTTLLVSTSPPAPAAL TVPITITTPKPYKATPPPVPDQLNSLFAYNGRFGHSPAQLSPTQRSARPV CC84DRAFT_1159076 MPGGSRTTSRLTPQSYLHLPSHYVSAPHSPSHPSSVQPRAEHSR SIRVRHLPSCPRTGSHPHPRGAPVRALLHRV CC84DRAFT_1211234 MADHKAARDRCTAFLKQKTEAVDPQDLPVLDECPICLDNYVLEQ SVRIKIEGCNHVFGRNCLTAILTNNPRLEKKCPLCRTLWMRAPAGAVQPPVRTAVLSL PGPHARRGVLDPMAIARLADDERARHVPQQRATPNIWTRFSRETLLPERERPREGRVI NLIDSDDDEDPLESFNSITHDINNVRERARNTQGSRRQRKEQKKKESAAPNSGKATTV KHENGGGDDATANNGSSNSQRQPGQPEHQEQHSVFPTTFWNPRPRPRPTPQSLADRIQ RNWGLPNGNKNGSQSGSQNGNQLAIVIEDGNETAAMDVDNDRVAQSGEQDAPQLPLLG EFSPEESTRVLPVDFGSPDILDSRSSSLRQKQRSRAPPNPFLLSPSPENETVKDPHSG LTTASLGSKAQQERLDQREVELREREERLNTQQRQVNTREQGLDVREGIITAREGALI QREERLREREVMVGSNIDLHMVRQRHLRELEVMLARHREEMGE CC84DRAFT_40638 MTTIQKQDWHHSGSGCCSPIGTCCMSWWCPCILYGKTRHRSKND GNMQGYSCCNSSCMAFCGLACFGISFILPMMNRGDVRAKYHLKGNGCGDCMCACCCTP CDLTQQDKEVTYREESKHLLQAQPGKQEGMYYAQQPQQPHFHH CC84DRAFT_1080633 MRAALIASLVAVASAYRSWIGVDTRWATHPDRTAQWYDENAQGR LPELAQSMTTVVANKSSIVKLECMGCPFRVRKLHAEPEEWQEPPQDSSLLLNFTIDAS TAALLLNGQPVAALAPMPLHIHAFQVNANFTEMHMRKAIDLQMMDKSWNLGTKYGKFE LQYEHTVVATREPGKSWIQFDVTGILYPYAMHKHDPKGYYELQAGDQKLVQILLREKA EPHELSIEDVQLVDRKDRAKPYAMPCGRLAMAQTTFKPLEWDDYGKIGTWSRWFNLLW DKTGELFLENLIYLPVVIVVVPALVLLRWMTRRQQQQQVALPTENDAEAALVDSEHQD TTPEAEYVDREEKV CC84DRAFT_1078120 MGQFDRKAQSQPKHGKPSDSGASRAPPPPPKSRPLERGPGLKKK APPKKPQPPKNGVPNLQPNILPVELQQLILDIFRATFPFEDFADLKPMLGKINEALVQ GDFEEALRTEEFREGYAIRWSPTRALIFANVFEQICDEHRNSPWVERLLGGDGDGPAK ALCFGGGAAEVMAMAGAMRYRRADAAGKPTPYLDLHLLDAADWSGVVSKLTTGLETPP ELSKYASAAARARNASFLSPQALKVTCTQTRVLDLTQEELQSLFPPDVTLVTLLFTLN DLYTTSIRRATSFLRKLTAVVPTGCLLLVADAHGASSTADGKENGQEEPYPMSWLLDK VMLPTQLKVEDELMPKREWEKLMGDMNRLFKFPEKGLSYPAGLENLKMQVHLFKRV CC84DRAFT_40667 MSVEVHPHNPAWSSQFLAIKERLERLLKDVPYKSIEHVGSTSVP GLVAKPIIDIDIIVARNHVQTTLATLTAAGFTDMGELGIKDRWCVKDPEQEPKRNVYV CVEGAIQTRNHLALRDTLRRDEKLRNHYAHVKLGLAAKGVDIVEYVEGKSAIIEKILR AAGTLSEEDMGAVSKANKRGERIGAIKTERLVLREFVWGDVEAYFGLESREEVVRYQN WGPRTREQVGEQVAEIVKGSAAVPRTHFELAVEHEGRFIGRVGAKVKKDGIAHADLWF SFLPECQGRGFATEAMKAFIPLLSGPLELEIECDPRNTGSWKLAERLGFERFELTEKA FECKGEWVDSLVYRKQV CC84DRAFT_40677 MVDTFLSLLTLPFYPTSFTPISTMPRHILVFGGTSPAGIDFSLA ALRDGHTLTLYVRNASKLPPEIRENATIHTGQLNDAAAVEKAVASGAKTCVSFLGPVM SDLKRGSTPITNGYATILPLLEKHAYERTLFISTASYRAPHDRFSLAYSFMIWGVYLF ARAAYEEINAMTRLMVALPSEMNWTVFRVPMLKSGEARAVKAGMVGEVGVMLDRKGLA EWVLREMEEGKWVGRCVAVANA CC84DRAFT_1133482 MSGTNSYFVPGYGISRTVIQNEIHYYCGPNSIVRPYTLQGRDGF LVTTSGPPLTQAQIEDLKISSREYEEKQSRIAGEATVFVNKPIAVHQRLRRG CC84DRAFT_1133483 MNGPVASTLVLLGELDKCAPGTKVRFLGCVDEYVLQSATLRLKH DYPPSGAPKIANVNIEHVLESIKRHEIDVGAWINVIGYTERRKEKGVHVQAIAVWSAG NVSLDAYQSAVEMRKEAG CC84DRAFT_1254024 MSGWGLGWFGGGGQKKEAPKKAILQLRGQLEMLNKREKHLQNQM DEQDTLARKHVNTNKTAAKAALRRKKQFEHSLEQTQSQIMTLEREVYSIETANINKET LDAMKNAGAAMKHIHAGLTIDKVDNVMEDLREQHAIGDEISEAITSGVATSAIDEDDL DEELAELQQEQLDEQMLNTGPVPVGDKVGRLPQAPNAEIKGKAPTRVEEDDEEEELRK LQAEMAM CC84DRAFT_1192084 MLVNPGDAPPADALAAHNVAAEPAPIAPSTSTASMDVAVASAPD APPSAPASMPPPLLDKAADALPVASADLNGQAMAASDAPFPSLDAPISLTADMSMGPL PMGPEYPMMDADLPFNNGYASVAPAEQRLSAFARLRFDDGSYYMHTYQIILGRNVQLA HKDMRRLAKVEQLKAKGEKQRAQALLNGGRKRKLKSARSVISEAGGIVTAPIEMMPVD YQQRCQSVASQSHSSGSHAQAAESNHNVDHAPQEMLMQALPEVPYQIDQQVPEDPNDC PLVPIHPQHITDRTGLKGPKGISRQHAKIYYDFDSGNFCLAVMGSNGLYHEDRFLAKG ANVELSHGDTIIIGMVEMTFFLPDIALTEEQRNRQESGSQSRPMSFSFENGRGESEDV DDSGSEDQSVNPRHVYHYPVGSEFESDDDLLIDEDMDDLDDEAYSPVPQPKPNLKIKL KKKKHRPEKHSKGHKRKAIREPSPEEPPFKKHKIKIKEKHVHKEPPKEKEVEKEKPKE KEKAKANKAPTKAPTKAPAKAPAKEQTPATPKEEPKDDVSLKDTIEATEKPKSESPVI IRRPQPGVNIEDLDPDGLITPEMCAQYGLPHSLIGQYVGKRKGPGRPPKDGVMSKRQR SQLIKQGKEIEKARAAGIDPADLPQPIVKPKVARRKESNAGEDDEIRESTEKGDGTVM LGGEKKTNKPAKPTRTPSPEMRIEDYTEEQLQRPTSNYVVLIHEAISSAPSGQMNLQQ IYNYIEKNYPWYKFKTTTSGWQSSVRHNLGQHDAFVKGDKEGKGYMWRINSNVSIEKE RRKRQVSPPQVNAQRPTYYPPPTGYSPYGQPGYYPGMPPQGMPPNGPPRPPPVEAAQP RLPPTAPAPSPYASPWAGGAAGGNPSNSHPPQPYPPSAHAPSANPGAPSGQYGVLFPT SAPPSSGSPYTSAPTYTSPFATAGQSPYGMPNGASPYTPYPPAGQPPKTTPTPTPTPT SGQAPGNQAPQRPESSAPHPSGRYPASTNPDLIRQLEAFRTVYLHTRIEPGEDKKADN AIRAFVTPELESSLTQAEAALLDSIKTIPMLEQLRGGARPPIKPDESTQPIEFKTPVE ALASIPSAPTTTAAIAAADAAANTAPNHPAPVAESSQPQRFVPPMTATPQPSATPAPA PTAPPAVPAIANVQAQRPSVEPITPVPGSPAVRNGTPVTRPVQDAAPSAPPDAGSGAE EKAPKAEIPAPPVNEST CC84DRAFT_40759 MGPKKKTKSETPSPPTLTPSWPAFAPLIPESDLSLHEAFPGQIV TLPNFFTATLSKTYVSFLSSLPLATTPGKPKRGDAVRVNDRFQVDDPAFAERLWSGTS LRNLVLGTAENDGLGMDDAQRRNLWGGDVVGLNPNIRIYRYSKGQFFDQHYDDSNNVT LPGSPPVPARTTWTLLLYLTSPATGCIGGETVFYPEPETGKKRSKEPPPEPFVVELEV GLALLHRHGAHCMLHEGREVTQGEKWVIRSDLCVKR CC84DRAFT_1224819 QHDESSKIEYTGCRECLFTTVQDISKPLPRACCLRMLNALTAEP GEKQPPYAYHHCLHRQKKPLHDVCDAVHLCAQQVDGFSLVYPRRTAQGRQLALLDGNT DVGGGINVEEMSYRCFVPLHSSHIHRDGVFGLNVFFLIVPREVNILEFIDVNVSICIT AIVTNSNASCVNQPNKVRINVSDIHILVLGPSCPRHSRKLPGELHVPSTHSGARTLSF AGQGSCPCRH CC84DRAFT_1182523 MAMRGLTTMLGLAYGLLSLAGAADVSVRTYNAPGHTDADTHLAI RRGLAEARHQRREDLKGNVTFDRSWDGAVLLKYGASQSVETGNGNASASVSAGVEITC TTCYVKGIASAGLHIADNFDAGKALNKTVASVFTTVDNFTQEVEDYFEDYFKGVARNL DDGVDWHDFAFPPLNYSFDMDIKPIDDVTLNFMFDDLELYLELNTVLGAGATYELNLF TSNTPIGIGVGKDLTLGVVFKVDLLLSVQGEIDISSGLHIKLDNGVGIDIALFSDAVS NVVFNGGQFEFLPVTIEKAGVVLSAILRIGIHSGIEVAAPGTPDVTIFNTTFGTPAVK GGIEVGVYANLAEFTTNVTVAPDDADCEIHVLQEYQIALGAVAGASIVFDTDTWGPVA ATSIPIWTTALAEVCAHKPTRPATITASPTATSKPAQKRADENASETVTTKTKITHTG VQCQTSVIGNCPNSLQQTTQTVETRTLTTVVPSGADVTWPPTPGVADKVTKTQDFGDK ALAFSSTSGSPVSYTAPPSPTASATDGKGDDGASKASAIVNGKTGGVSNKVIIGASVG GGLLVIAAIVGAVIFLRRRRAYKAVPAPDFAPPTFVAEPYARVGSRAGETRRGDGTFS TSYVTQSLPSLPPRIGSTYLQT CC84DRAFT_1077014 MAEYFDTIAELGSEDDEDFDGDAPEGRSKTNGENGVDDSSEEED DDDEERIREVAVQEGAGFIADEDEDEEEEVSRKERRRRKKRKNREEDEALDEEDLDLI GIEVEPREQAQSQSKFKRLKRGHKERASRPRGIDDIFSDDEEPEDPDAARRTDVNEFA DFIEEDQFEDEIEEDDHEVGQPGVANISRTLQFTGLDEGAEEDYRAAFGDGTEYDWAI EEQERADLEEEGEGRQTELKDVFEPGLLQEKMLTEKDQAIREKDIPERLQLAREPFPD DQDLSPDTVKQRLAEEAAWISELLFPKKGMASYFKTPFIKAVEKVLHFLNIDNYEVPF IFNHRKDYLIHAPSGEDDDPDDPAPRDMRPERLLNQNELWEIFELDLKFRAFFEKREA LKKHYENLRALYPDIEDDVIEDLADKASTIEEVQELQDYLHFRYSSEIGEVRQETSNG AQKRANTSRNFFDKLRGTKTVSFVHALGITPNQLAKKADGTENHFIEDQQVSPETFAD QLAESPETGASILRSAKLLYTQELQMNPRLRRYVRGNFYMDASIDCVRTDKGMRKITE DHPYYEFKYLRRQTFQDLNGRPDLFLKMLKAEEEGLISVKIRMSSWENLKRKLQTHIV SDNVSEVSEQWNVFRKEMLDIALDKLQPIIAAGVKETLRSRCEDELASRARDSYYNKL DQAPFKPRGAALGQVPNALCISNGKGMRGDAIMWAYVEQDGRVLEHGKLRDFRLGNRE RGIADGKDIEVFVEVCNRRKPDVIGVSGFSVETRKLKGDLEEIINRNDLKGPQYEDED QTERIDPLEVIYVNDEVARLYYNSARAANEFPKYPPLTRYCIALARYLQNPLAEYASL GRDIVSLPFVQNQTLIPQEKLYDRLETAMVDMVNLVGFNLLDGYDDPYMSKLLPYICG LGPRKAERLIKTIQSNNDEILSRWELMGVSEQGDSREIHKAMDEVVFVNCASFLWLTY DSSEDAADYLDSTRVHPEDYDLARKMVADAMNLDEEDIKAEIDEAGPSAVIRRMVREE KFDEIHDLDLEGYARDIEKNIGIRKRATLETIRAEIADPYEEIRQTFALMTSDEIFTM LTGETKESLKEGMVIPVQIKRTFPDHIEVRLECGVEGGVGEPEFPEGVGSGRQAPRDV FQPHQTVRAKIVFVNRKALTAQLTLREDLIRAAPTQIERIPGDWDEKQEAADKKAAER EKEVASGRPNRVVNHPLFFSFNSAQAEEYLGSKEPGEAVIRPSSKGFDHLAVTWKVGE NSFQHVDVLEMNKASEYSVGKQLRVGKQVYSDLDELIVNHVQAMARKVAELTRDERFQ KGTKEETEQWLATYCNANTTRSMYAFCAIPKHPGYFWLCFQAGRDGMKGEWPVKVIPN AFEMQKNVYPDMVALKNGFKMLFQSRSKMAGPPGGPGGQRPGPQAIPIRR CC84DRAFT_1133497 MADSAFKTKTMKRKNVKGLALSAPPPKPAPSAGDAQAPGGLGQD DNRADTLEIGVEFRLDLKAEDLIVLRELGAGNGGTVSKVQHAATKVVMARKIIHVEAK NEVRKRIVRELRIMHDCNSEYIVAFYGAFQNESGDVIMCMEYMDVGSLDWVSKTFGPV RVDVLGKISEAVLGGLAYLYSAHKIMHRDLKPSNILVNSKGQIKLCDFGVSSELEGSI AETFVGTGTYMAPERIQGSPYTVKSDVWSVGLTLMELAIGKFPFGGSDDDGDGPGGPQ GILDLLQQIVLEPAPKLPKSDAFPAILEDMIAKCLMKDPAERPTPKELYEHDAFLQAA KRTPVDLEAWAVSMIEHNKRKSHLAPSAPSSTVRERLQGPGSAPHARSDSNGSTSAAP PRPQHPSRTSSSSSAQNIMALPIRPAPPPGDRPGSQHLRGPPNGGPGLPAGPKRWNSE NQI CC84DRAFT_1224823 MLAGEIEKSPETVIFRRFASLNARNLLYLQQEIIAMKDCLKQVE YRDSVSDKGWRKQYAQRSSALRGSIALDEPAQWTLILQIRQNLREYNKTLLYQSHIHK LPRPDDHDITDVREFIHSSQGMGNPFSTQEVGPWGTPKAP CC84DRAFT_1159085 MALSRHHRNNRAHLPRRLRLLQARLQAQQRLALHPHPLPNPHLR QRLPIHLEQRPCHGALANHLHLGLRRPVIAAAGNIGRPKPLRRLHQFENRTDFLVQLV LTLGIVLSIVGGTSITPSANGSHTPPTSSNAGVVLYIVGFVAILFILLVSVPHRAVVP ARERHVPIVIFLALPFIAVRLLYSILSVFVHDHLFSIATGSAAVNIGMSVDEEFLGTL LWDCG CC84DRAFT_40872 MVYRGKPSESCFGCRNRRIRCDKDRSGCSQCKRMRMPCPGYPDP WEQAFRDESIKVKRKAQQTYKKKASKSKSDCNGVHRGAIPSTSNELSASFSLGLDDTQ LAPSQWRLVPSIEEVALAHFMSSYIPESRFGYLPNMYAKLGRDISLLAAIDAASKARL AWEFGEPGMMEAARASYAKALTETNAALADPVTALQDATLVSVLLLSLFETMIWAGTG VPDNWVTHTQGALTLVRLRGKQQLETDVGRQLFTHVTNIISVTSLRMRQKIPQYVIEL QTEATRHDDEKHPLYLVTRYTGDLANLTADIAGGKMPVDDILESTRRMDGKYLAFLEN ISRTWGCRTTVLDEDDPDIYGRLIHEYPRPRMAIVWNTVRMTRIFLNAIIYGHASLST VSSAATLKAQAQRNVERMAADICASVWHFLNPKTFSAACAATLLWPLSEVRDSDLVPN DLRVYAMETLKRLARRLRMPGPLQDGLHVFYLT CC84DRAFT_1078759 MSRSFILSSAILALRASAQLTASVYTDEKTGITFNGFQHSSGYK LGYALPETLTSDLIAQLVAPITNDGGWAGFPLGQSMVGSVLVTAWPHGGEVISSFRKA TGYTNPPVVTGDFSMTTIPEGTWVNDTAFSYTFLCKNCIGDTAAEGIVLSDTTNVFSW AYSDTPLTDPSTASAVLNMHNGGYGSFGFTVSDAQSADFATWAAMATGSAGGSGNSTG NSTTPIGGGGSSNSTTPTNNTATISDSTYDYIVCGAGPAGIIAAERIAESGASVLLLE RGGPSLAFTGNNDTLSWNSSVSMYDVPGLDYYLNQVGTNKLCTDTADQAGCLLGGGTM VNAMMFVKPQSRDFDDKWPAGWKWSDVSASADRLYERNPGQNYGSEDGTRYNDEAYGV LSKFLGSNGFTETDLIDGDNNARQNVYGHPPWDLKNGMRAGPVRNYLPEAQKLDNFKL MLNTKVVRAVRNGTTISGVETETEDGKRVIYNINAGGKVVLSAGAMSTPRILFNSGIG PAEQLQTVASGSSGVTLPTESEWIDLPVGAEIKDHVIFTLKFKTSEPMSSVATTDITS PNQTVIDQFAKASGILAQSGQRLNFWTSVNTTSGSEMFIQGTCNGPANNTIQMKIYLT HGLTSVGSLGITSDGATELTSEPWLQTAEDKEAITSFMNRLLKMTSAPNSTLSFLSAG STTGTNVTGADLIKEHVTGSHWVGTAKMGTKGDAGVVVDANTLVYGTDNLFVVDASIH ADLPTGNTQATVMVVAEHAVAKILALDGAAPAPGNGTASSSSAPATPASSIVASAPAA SVPVSGYATSNPEPTTSGAASAPASIAFSSAVAISTRSPSAPASKPTSASAPGSASAA TPTAGGGASSGTVQLYGQCGGKTYTGATQCAQGTCVKMNDWYSQCVNA CC84DRAFT_1159088 MSSQAWEREQQNDRLLDELSSKVTALRGVTIDIYDNARDHTLIE STSDTFSSLGTTIKGSAGRLTRMAQSGNKVAVLKLAGIIIGVCLVLYWGFRLIF CC84DRAFT_1133505 MADEVRRSGRANKGHHTKNADALDEVVAGKPKSSKPKTDKKPKV GANATPARSQSAPSDDAEEEEQQGEEIIRCVCGLREEIEDDDRKMICCDRCQAWQHNK CLHLPEADAYWKKRTYYCEQCKPEEHQELLALMAKGEEPWLKWGKKGAKPPKSRPIDV KPGSKPAAKGETATPQPSQPAAPSPAAAPQSNAPSPAPPAPIAVKTEVATDGHAVAAE VQPTKPQSPLGEKRRHEPSEKEGASKKRRKPSAPKNEIPPAPTGAAEIDALPTKQKVV TEKLRETFIPLITAASESRGYEIPEGQTAHSIATRLALEVDHAAFLRHGEPAGPESRY SFQLRTILVNIRKNAGLMDQLLSGSLKADDIVAMSSEDMASDEKQREYARIRQQNEKQ MILTEEPGPRYRTTHKGDELVENEAASTTQQDDFKSPPPPQNDEKPALQSPTQESHAV ELPEDVGRREPIAVDTTGGADSARRPSTNFDINSVFAQVRSPQNDQHSFLQRRQSSIH QQTEKSTQVDADVDRLLKDEDGDVDMSTFSDETIVWRGSIEMQHMEPCASVARFVAGG DFGKAIPWNKLLSSTLPIAGRIEEARGDEYIRGLATTGSHDVAVLSLSPVSAEGRTVM DTLYKYFHDRTRWGVIPVDKLENEAMRDLYVIPVPAGPSNLPQFMDLLEHCIIETPRK EDMLLLALVAKLPDPQPLAPFPQLDVNATPTPVQATNGAGPSPSPLTNPHGPSYSPVA PAFPPNPYLAQQQSAQPNNGFSHTPTPPQPQAQAPQSIQPPPPGAAPPFNPATILGPY MASPVVQQLLQNPYTAETLRNLKDVLDKVPAAREDIGVLTEYVWSRNAQSSAPVNGGK CC84DRAFT_1159090 MADVRSMLKQQRAARQQAKAPKQSAPTSKKRKAVEDTPEERKRT RTEEQAGVPAGFFDEGAAQEAEATPPDAEAPAAAPTEDTLQQPDATKPPLPAADDELD AFMAEMDQAAAEPQHNPASYSGAVIESAPMTAAQLAAQAKEDKTAQRARNDEEMEDEK EDAANALQDEFEEMDGLEERVKKLREQREALRVKHAATDVREETVPLPALQPEDEDSV SEDDDWDDWRFRPA CC84DRAFT_1159091 MSRRPNPAADRAEQNRQTLKSLVKIEANKSCADCKRNKHPRWAS WNLGIFVCIRCSGIHRGMGTHISKVKSVDLDSWTDEQLQSVLKWGNARANKYWEAKLA PGHVPSETKIENFIRTKYESKRWVMDGPMPDPASLDVEDDDMPLKKVQEKVQIERSAS QRLGGSSSRTPPPPRAAPPPQPAIDLFGDETPAPPRASTGPPQPRAVPPKAEPAPPKQ TKAGDSLLGLDFLGSAPSQPARPSSTGPAAAAAPSRPDLKQSILSLYAAKPAAPPQPQ QHARQDSFGGLASPSQQSPQAQQSSFGGLNDAFSSLSFGAPAAAPKPSPFSGLDSFSS AKSPPSTSSSAMFGGGGNFFDSAPAQKSPPIRQTTQNPAGSNYSAFSSAPAPAQTSSG MNDLLDLSMPSAPAPQPPVQSMVSPPINQAFNLSQPAAPQAAPTPAAAPAASFSGFSN MDAWGSTDAWASPAAQQTTSHAPVQPSTQSHAAPKSPGWGAPSVTQDDDFGGWSSAAP VQKTTTATTATHQSTSQSKPAGGFGGGDDLFSNVWE CC84DRAFT_1133515 MGQPWADQPYTLLPLPGMPGQRTSTNKDVLDMAVEMAHVHNLIL RGMNAIYHQCEHVTKPGDIKDFVLYIKTWGDMVHHHHSMEETEAFPGWDGIAKAAGAS ESITSRNVEQHHAFEQGFEELRTYVGEMHEGKAEYDAAKVKDMIERFAPILNVHLHDE VNMILDMEKYDGKALKKVMDAAAQTSVNSADPNLVLPMLFGCYDKTVPGLGKFPPVPF FLPYLNALWFSRKHQSIWRFNPCDHWGRPRPLAFAGPSQTQ CC84DRAFT_1107240 MDSAYDHIQEEAYPQDPTPPKDAAAPATNNFQSEVQDAYKAISS SPWAARLGGFLGTVKKQGEQYYDGASKQATAGISTIIKHTRNISVNPGDASSSTTESA PSTSKAPADPDAHPDRPESLPADIVKEAEGMLSRFRAEAAKRLKDIEKAEDAADEALL KFGTNIRSFLRDAVTIAPPTEDAAGNPGAVLFESKDSSGKKVVHATRFDAQLHVIHSS LDSFLKDPVSPEWAGWRADFDVEKKTDEIARDLEKHAELRTAMEKLVPEKVEYAAFWE RYYFLRHVIESEEQRRREMLKGASAPADEEEVAWDEDSDDDNAGETSTSTPQAAKSAT NLAASQGTLKPAAEDMLKPAQPRRSNDEKSVADSDASYDLVSGATSRAPGSPQEKKKA VAEESDEEDWE CC84DRAFT_1159094 MEVLLSKITHQAVNYAVKTGFTITSGFVFQQCTRLLKTADSREK AELAALQLRLEGKIRIISPAIDMIELIAARGNTSLESAVTLTKSLRFDIQSLGVRLAK AVGEEKLARKGSSRSKSRAENDLELRLIIAEIKKLLNRIEDAVPLISLAITTSGVTLS STLPATVSPSRMLQASTFLTHGDTQYNMTMPRAQQIGPSFHLSMYMLFSGHANRALDE ENIRETTWKEVMHKARAVLLRVPLDNIYQYPEHFEGDAARIDERGHGHIPSEGRPHEF AYQLLIVEDLEDDRVHTFEEGEEQPGPYHGVGLAGIREIIPVHEISKIFYADTGKILN IGTDGETNNPILLLKRDVNAVPPRRMMEQTDVEPDYDSDDSHTVGGSEPDEEQSELDA QLRRESTAELHTDELPTAEPHTHPWRLPPNLDLEWMAFEVYTEEPPSDSDVDESDIDI GATSSAAATPQRAESASPGLTSYFSKLTMRPSTPATWTPPPPDTQLIPSPQKSSLPIS GQDRAPTAAPAIKTSLSLLEMLVRLTALQQFQQTSHLAVPDELLNFFLSDSSTVGSGG DAEIRRRVRREARMRVGFDPYDESPIKRRGEDYLEHEFHDSAEGYDDATPLSPSEGRY DYEGYPHGKASPRSRSTTPVSPSLPNSSPIGGNRAKSSADIPLPSREIRHSISSSASP LLRRATDRPSQYRAMKQPSFRAPPTAPPTSSSPRLPQAAGRGSSVPDTPPGSSNTPS CC84DRAFT_1107244 MADSWEQEEDNLSQQAQNLNLNNNNNAQGQFRPGGAAFTPGASS FTPGASSFTPGQQYQQYGGGYQQYGQYGQQQYGQQGYSNFQQYGQQQGFPQYGQYGQQ QYGQQGYGAQGQGQYNYQQQQNFQPQQQQQRQAPVQIAKRGDNNAPAAPAAQPKNDAA PKAKVLSIGGDAAPKAKVLSIGGDAAAPKVEKAGGTKVLSLGGAPAAAPTAKDNVDTK APEAGAKVAAAVAIEKTGEPTGASGPSGRTSPTPSTGRSSPSRAEGLNKKQLVDLEKE QEEDVDEAVLAEMYGKEHINVIFLGHVDAGKSTLGGSILFATGMVDERTMDKYKREAK DAGRETWYLSWALDLTKEERSKGKTVEVGRGFFETEKRRYSILDAPGHKTYVPSMIGG AAQADVGILVISARKGEYETGFEKGGQTREHAMLAKTQGVNKLVVAVNKMDDPTVEWS EERYKECTTKLTVFLKGVGYNPKTDIAFMPISAQTTVGIKDRVPKELAPWHNGPSLLE YLDNMKALERKLNAPFMMPISAKYRDLGTMIEGKIESGVIKKENKYLMMPNRDTITIS ALYGEQEDEIPGAACGDQVRIRLRGVEEEDILPGFVLCSPKRPVHCVSTFEAQIVLLD LKSILTAGYNCVLHVHAAQEEVTFEALLHKLEKGTGRKSKKAPGFATKGMSIIARLKV TGTAGALCVERFEDYPQLGRFTLRDQGQTIAIGKITKLITEEQAAAAAAAAAAAA CC84DRAFT_1159096 MGRYYPPDASNPPTFNAKPPLSSRTKPSGALTVRFELPFAVWCA HCKPEAIVGQGVRFNAEKKKVGNYYSTPIWSFRMKHSACGGWWEIRTDPKEAKYVVVE GATRRNYGEEEGGEGEMKFLSEEERERRRNDAFAMLEGKKGEEVEKKAHTERLDELYE AAEVWRDPYDVNARLRREFREKRRGWKREDRVKEGMQEKFSFGYEIADATEADDVRAK MVEFGASTVDEGVQEVVKRPLFTSVKAAMTETGSKKKLKAEIVAEKSRKNLQQSLVGN TRAVIDPFLSAEAKSSPKLSLGIKRKREEEAAGVEKAQSSATDLPEQNAAKAPALTAA LVDYDSD CC84DRAFT_1200836 MAFGQLFARDDGVAGSNRDTEKDNSLSSLVSTLVPTAISAFIFV TLFLIFRRHFRRLYAPRTYINSLGDQRKTPAPSNGLFGWIKDFRHIKDEYILDHQSID GYLFVRFFKLLIVVCFLGCCITWPVLFPINATGGGGKKQLNMLSMSNVKNVNRYYAHA LVSFVFLTLVMLIIARESFFAVNLRQAYRRSPWGASRLSSKTILFTNVPKNITQAALF EMFPGVKHAWVASNCKALQELVDDRDETALKLEAGEIQLSRDANQNRIKTEKGKKAYK AGEGLDHFCNPKDRPTHRLKFLIGKKVDTITYGRQHLAELIPKIEAEQDKHWQGKAEL VGAVFLEFDTQRSAQDAWMLMQKRKTKPNSKMSARQLGVLPEEVVWNNLRIGTAEHWV RWIAATAFISVGIIFFAIPVAVVGIISNINYLTKTFTWLAWINDIPSVILGVVTGLLP VIMLAVLMALVPIICRLMAKLAGWVTLSQVELQTQSWYFAFQVVQVFLITTCSSAATA VIQSVRDNPGQVLTLLSANLPKASNIYISYFILFGLSSAAGTLLNIGGFVGIVLLGRI LPGKTPRKVWQKLVKLSAPMWGSEFPKWTNLGVIALSYSGIAPLVLGFATVGFSLIYI AFRYNFLYCYETNIDTQGQAYQRALRQLITGVYISEGCLIGLFAIATGDNKMAAGPLA IECLLLALTIIGHLCLRSALTNHEARLAYTDPTPATTELEHGLAAAVTPEKSAAAPSS PAAAPKPTKIPAFLAKLINPERNSTVVLSSKLDQYYHQPQEPLPVEIAKRAYFNPAVT SPTPILWIVRDEMGISAREVRDTKKEVPGLEITDEQAVFNEKNKVSWIGVDEGKAREA PIWEEKIVY CC84DRAFT_40943 MYNCETGKPRIALVGDCTLTRRRARFLVAYTLSLMLSLCGLVRW VRAQPRQSQIGTPPAVQQSDLDRTSHSLFTPNTMHKSHVLRMRTATASHLTSASWPCI KFVFQLATPARDLDFRLETYRYEQIPQSSR CC84DRAFT_1133531 MHTRTLIIATLLPRWQLTLAASTWPAHTDELEDILFLNTGYRST GFATAVTPCSKGLQPRRVTAAEWLRTAFHDSISGNIYTGEGGLDGSIAWELDTQENEG NFAEDSVAAWAPFVSDLTSLSDVITAATYALTRSCSNISVAVRGGRVDATEAGPLGAV PQPQNAIGIFRNQFARMGLDDKGMIQFVACGHSIGGVHGADHPEITNQDLANFDTTPA ILDNQIATEYVSNTTLDPLIVGPSTRNARNSDFKVFNADRNATVRSLATDPQLFASAC QSIFQRMIDLVPAGTQLSDPITPYDVKPYALQLVLLDGGSSLRLTGDIRVRTTQRGVS QVQLVYTDRNGVLAETSISTTFKGLAAGFDDTFSFYGFSADLPVETSISSFKVSVTYT DGTQTAFDNNGSGFPVQDTVIYLAPQSCLDSSGKLTVVAAVRNQGAPNLQVLVKMPQA SPNPVPSISTTLVPMAMQSAVGAYQLYSADLAFPPSVANPPIFGVFAGSATDARKGVS GLPTGCTTLATASSASASSGSISSSAPIVTTTTSLLSTAISSSSSISLSISSTTSASP TPTSTTTFSFQGCYFDSLNPRALSGHSVADDNMAVDLCAATCSQYHFFGLEYARECYC GNVLDATSSQQSLTDCNMLCAGSSSQLCGGPYRISLYANDKYAVPVNPVVAGYEYKGC YSEGNTSRALLGYSYSAANMSVEYCAQLCDGATYFGVEYGSECYCGATLQSSSVPQPE SDCSMLCAGNSSTYCGGPARLSLYLNTTSPRLPVVNPAISNYTYAGCFTDSVEARVLT DVYVANTRMAVEKCAAACERYVWFGTEYGAECYCGGALKNSTRVGEGECGMTCGGNQG EFCGGRNRLSLYRRDA CC84DRAFT_1107256 MAATKLVKPGALGAQHTAGIYSDMTVDGPVIGTLVAIIDRAKNL PNRRSMGKQDPYCAARLGKEAKKTTTDRRGGQTPRWDQELRFTVHDSADYHQLKVSVF NDDKKTELIGETWVNLEAVIIPGGGQSDIWHGLNCRGKYAGEIRIELTYYDTRPKEEK APVERKQPAARPGGSPAVGGPRESTPVKRRPLPSDPTGASPSPVNTAEQRGLRGIQAG PRSYGSPRHEHTPSKARSSQQADTPPRRPLPGASPMNETPPPKQHTPQQQPPASWPAE HDAYHTPPPNPHSSSSNMQQYNEHSFDMSYAAPKPYEVKPSDAHSGHRAISQDNLRAT RRQSYNPPPELAHSYSAPAVPHAYEQEPIQDPYHPDQAYSNPHTPQQPDMHARDAAQD PSYHVEPLRLSRNSRSQEPIAPHEQFNSPNGYGDAQSPYGHQYPSHGRRASAMQPTVE DEDHDLPPPPPVHRKDANTLPQPQHQPQDYRGDAPAPLNTSRYREEPSQYGYDASLQS YGTNEYHQIVPQERRYTHPRPVSRPVSRDTMAPSPLRSETAMIPASLVPGLDARHSRD LTNYQAPPPSYEQPSRTRQMSEPDHRNPPQYDGRPHPLAHHQSAIDSSQYYPHAPPEE PRRRSPVHDITPVRPRAISPSVSPSNAHSPVNDRSSRLPQRSMPTRKSVSPRPPPSSD EKQERRLSAVPFDPDSFDVYNPNAKSSSSDPDRPGSSMEMNDQGQVVTFSGRVIDASD HLPIDSWAPEPERKPTEKVRPVRSRAALNGARDIEAAAQREERYRRERADRDRIRDAA NVTFGAPANSPSNALVTSRHNFNDHSYNDHIHNDYNSPVNAGALVLADRDATPPSSGR NRLQKRGNRPMSTSTYDSPPAHSPSHIPMPSTNVLRERENVGGYGSSPGYGSGRHSGA PPIPAKIPLEHGGEDMALSLELQSIDIGPGSAGRRTRGTTRRNYGF CC84DRAFT_1200839 MPPRIPIAPCLRASKAPFPATQFTLPLRPFSATAAPKKTHQSHD PYIVAQKNRKKAANLSRRADLEAARVASLGDPVRGNPTPFVESFATATPLPFDPAKKM PEDQTHLNYAFSPKAVEQQLELSRKLAVPLDEARPQAAAAPTGPLGINYGGRSEEAAP VISQEEIEAQRIKDHERATEAIKRITALENSSSKDRMRVNIARCVSTFGRHNTDKILP PRAPPLRAVNSTKPHRKRPATNEKELATRIGADTGSSEVQIAILTAKIKTVADFLQTR GKGDKHNKRNLRLLVHRRQKLLQYLRRKERGGPRWQHCIETLGLTEGTWRGEISL CC84DRAFT_1133542 MAPSNLPAIFNPTSQDIEMLLAAQAHLGSKNLQVHMEPYLWKTR PDGINVINIGKTWEKIVLAARIIAAIDNPADVCVISARPYGQRAVLKFAHHTGAVAIA GRFTPGNFTNYITRSFREPRLIVVTDPRTDAQAIQEASYVNIPVIALCDTDSPTEYVD VAIPTNNKGRHSIGLVWWMLAREVLRLRGTLASRETEWDIMTDLYFYRDPEAEENKDS AGVEEAKVPGADEVGTGPVEAGFTSEWEVSGATAGAAFTSQAAAPAGTSWDADGADWA ASGAPAAPAAEGWGAEAPAAEGATNTQW CC84DRAFT_1192102 MASPMVEGRVDPHKKAHFTLHISDAIADGEVGDYTSVKYNHKPA QTTSKRSTTLTATSTNGYRLTLEDEKAGDNKDVFVFTGQKTVPKKSYILLFDTTSQKA TLEPLSSAYTFNIASKNGSDISSTHSKIYPRKQKDAPDDPAADDLFGGDVDDNGDGDP DSNNPFDFRHFMNGETTKNGNDSEYAFVSSPDYRTGTGSAMNTPQVAARKPAAASSRP KATPQAAAKPKKRKSPEPERAAPKKTAPKKQAAPPTVRLERRATDNKPARKSAAPPSS KIKSAEIVHSSDESDEDGEPDNASSPPAQRSPSPPHRDASPESDEDEDEDMEEFAIGE SQPSQVRTGALASLGLGQSIGQGYHNSPGGGPISLASAASSVQGSPDPHDFTSHKSRS RQQVDDEVIDFGDLGGPAGSDDEDAEDDGMHDVEEDRDVESIHLGSPAQQASHDGGMS LNADADGDAEEEEEDPLLKEMMEGLAGGDSSEESEEE CC84DRAFT_1080232 MYCKAGPDPNTENLNTNTAVNPLYNLTKEQWWFQHDRGCDLVPP PTGEFLELPANGNFTVELAHNRAQTTLSYDGKFASDWIDGKDHPDDWNSWEGPGSACL DDGAMHTYNETNAAGTAWAISYESDMAKVTMENLVVFSTLQHTPWKRIATYQVPDLPA CPEDGCTCAWLWVPDGCGQPNMYMQPYKCTVTNAKSTTPVAKAQAPVYCADDASKCVQ GAKQMIAWNQLDGNNIETGDHISPGYNEKCGWKNGAQADIFGRPVADNF CC84DRAFT_1211268 MYILSALLGLTAVAAANTGSSIVVNTSPDPFYLWVVPDATGAPI GNRITVVAGGAWTEPFHVGRFGNSNSTTGVAIKMSKSIEGLYTSAPLQILAYSLAGDR VWYNLDAVNGAPFQGQDLRVTSEGSETIEWPNGEDVGDVTRDNAADKDIVFVVSGKV CC84DRAFT_1159103 MTARTLNNVVNDQTVALNALIYGYGGHSLVTPQYALQQIWWTEE RIDEKVNEDYVNSRLQPKEREWLSRPVGFGDLTDDTYLEWILEKARRLFLILVEIGEA DRIFAVVEKSWDDDDLPLSMDDIEQLALANRRDDQANVRFYQTQFSFLLRVLGPGSHI DYASNEQIPLEFVMGLPPAVALQPWSRVHLPKKPHEVLVRRKFALGNAESTFAFEEDY IMDVESAQMVEHEHIAPIWASYTAKGFGYTLTNFVGQHTLKTFIDHREPPQYKRLSKP ERRQLVLNWMLCLTDAVATLHRNGYCHSAIRPSNIIIDEHNHIAFSDIASLSTFTRDK KPDPMDAYIYGAAETQNANESIEPDTPAPPPIVGTRRKPSIASKSSSGSSTSSGSRQK LTKSPTQNEFSGFNFGFRRMSKQPVQRQRSRVHETEQADVYSLGCVFVEMVTFLLKKK PNDFVKHRSTKQKEKVNVTGGKNSRTDSSYHANMDKIESWMKILEDSASAFEHEEFVA IPHILILVRTMLSRNAHMRPSAREVRSQLTDILLEYTTMDDIHCGSHKQDIAPAASAR SGSDGGWTNSNRASSISGSSISSRSSDADTIRESSSSSRMSSILNSYSDRSTLSGISE TDDDETWSTFSSSSNTTSKRPVPPPVITGYETSPPLSPRFSSPPLSPLSPLAPVSPRS FITPITPDSDRSPSPSSGVVQPSRWRKPRNLLA CC84DRAFT_41006 MAPLIPSPSLAQITDLFEATGAYLLPLNLPKMFPSLGAVTLLVS AVLGQGYSTPKANGTSEYVLKQGPLDTPWTDKVGTNPWPEYPRPQMARSEWKNLNGVW QYRNASGGESEVESPPFGQDLGTSVLVPFCLESALSGVMGNYTIHSWYRTTFDVLDSW TERVLLNFAAVDYQATVFVNGKQVANHTGGYWAFNIDITDQLNKNGTNELVVFVFDPT NMWPYNVPIGKQKLIPEHIFYTPCSGIWQTVWLESTPVESIERLDINAGADGKVDITV HTTSNVTATADFTLYEPNTELVKFKTKVPVNKAHSFVVDSPQLWSPDSPTLYNITVTL GSDTVQSYTGFRTISKGVINGVQRPLLNGEFVFMFGTLDQGYWPDGLHSPPSLEAMVY DLKALKEVGYNMLRKHIKVEPALFYQAADQLGLMLIQDMPALSTSSVFINDETAKQCP AVSLSPSLDPDTVQKEFDRQLAVMVNQLKSYPSIVTWVIYNEGWGQPWEGNDGVRNTS IDGILTDYVRKIDPTRLIDSVTGWHDHGVGDFHDNHHYSSPQCGTPWYSTPSTPYNPE TDHRIAIQGEFGGIGQNISEEHAWKVAASINAVNNTYELDATPELWNLRAHMVLKELE FQIQEYACSGAVWTQTTDVEGEVNGMLTYDRRVNRMDKEMWRKDIQGLYNAAAKRAQT NGTMGVEGRGVGGG CC84DRAFT_1107272 MAPQKDPFRSARLIYRAVRGAEDDAVFAAINDDPIGYMNSNAGN NHLPGPSDAERFRKSMDDNLLSAIICLPEDLPAPPPPPGSSSTPETTTTTANSNPNPR AGTPIGQIHLSNLSPRMRHHRNTEIGIDILPAYQGRGYGSEAIRWVLDYAFHRAGLHK VRIRAFEWNEGACRLYQRLGFVEEGRERESLWHEGRWWDGVEFGMVEGEWRALVEEEK KKKGEEKEVVV CC84DRAFT_1211272 MTSGDIGRFFDTWFPVEGSSDLTEDMSEDISEHLSDDTSEDMNE NMNGNGSDVVHKSSSGKLDIKINLEHFVDRAAYKWYNTQATPYEVDIKQLLELVIHEP DFRFCFYSNRTPPEGIRSSVSLLRAACGVLV CC84DRAFT_1170496 MPRRKTPDPNLNHDSSVADLLSKSLAAMRLAEDKAREVQWRVML EDRRKKQAELAMQKEAATKRQTHAEEMEKRGNVYHDQKQLALKTLGPFMALPAEVRNN VYLFCQEPKPLALKPRTSDSSYNKIGKYFALTQVNRQIRAEFMHMHREHIDRATMDPW DVVHFVNTFFPSEEMGDERPNAAINVHIAINLDPIMGSVYDHTQIPTLDLKPLLELTT NEPGFRFSFVYLRVPKRKNRDISIGSDDGSHRKHVVKEQLRRLFDASREQGPSSAWAF FLAHFRQCELNHTADGTSSLEFVADKQITMSNVALWLDAWGFKWDSASLSPLKAMKTL WERVGIRVTIRFATDRRDQEPTKLRATEPVPFIQWDAVLHDLYYRPRKRVHASADSS CC84DRAFT_1254054 MRGSIIVSAVLLGLSYAKPTLNRRYVVKESHPVPTEFSRVGEAP GHHLMRLQIAVKQGQFEVLEKHLWEISDPDHARYGKHLSLAEVNDLVKPTDESTDMVR EWLHEHVSPEHIEASPAGDFLSFTLPIAKIEQMLQTNYSIYQHEDGSKLVRTHEWSLP LHLHEHVSAVQPTTAFIRTVPQAKTYVSVPGAAEVPTVQTYGGKPTVEKVCDTAGVTP LCLRTLYGTVDYKPKAAGRNQIGLTNYLGELNNRSDAAIYLKNYRPEAISGAQTFKQI SVANGSLQQTPETPAQLKAGTGVEGALDVQTILGVSWPTPLLAWSTGGDPPPFTPDAD DPTVDNEPYLTWVNYVLRQPFVPQVISNSYEDNEQTVPLSYARVVCSQFAQLSARGVS VLFGSGDGGVGGVQPGGSCKSNVDGSTRFIPLFPSSCPYVTSIGATVGFNPEVAAYDP RNKFSTGGGFSNYFPQPAWQAKAVKSYLHTLGDEFEGLYNTSGRAYPDLSVYGVNYTI IWNGTLRRVDGTSAATPTAAGIFALLNDALIAHGKPTLGFLNPWLYKKGKAAFVDVTS GSAPGCNTTGFPATIGWDPVTGFGTPRFDKLLKAVGL CC84DRAFT_1211275 MPSYTLRIFLYLAPSLLVLSFSALLVILERVTQSLFRSHTSRDF RSGSVGLFLGTDLIIDEDYSPTWAMLGVSALGILLSCFSAAGMWELRRVDGTRGAGQR VWCWGVIAMNAIILGVSVGVLAWTSSLQAAQSGVNLKGGKEYTRETWVCRIDALYTDE EWAGSACGTAKAMRFMLIPIAIASLLAIVAVWFAAKPRGGTGWLLSGKGRYAGFQSVY EMGPPGPPPQYQHAPPQFYPMPQAYPQQGYPQQGYAQPGYALPPQGFQPGQYQPHPGP YAPAPPIQKSAAASDQPVFR CC84DRAFT_1182535 MAAKNAEAIIEGEKNTRQYEEKADLESVKPVAGDNADYTGSVAK TDPKEIALVRKIDWRLMNYVDRNAIAQARLNNLEDDLGMSGVQFNTTVSILFVGYVLM QVPSNMLITRIKPGIYMSAWMLVWAVVSGCTALVQNFGGLVACRFFLGITEAPFYPGA TYMLSIFYTRKEVATRIALLYCAQILATGFSGLIAAGVFAGMDGLRGIAGWRWLFIVE GAVTAFVAIFGFFLLPNTPLTTSWLTLEERQMAHDRMERDRVGDSGEKVSMMEGLKQA CKDKRTWLFCLMQNFHLSACSFNSFFPTVVKTLGFNRTVTLVLTCPPFLFAGAAGIWT GWSSGRLHERTWHITAGLFVAIVGFVIAASTMNTAGRYIACFIFPVGAYSVNSVIIGW ASSTLSQTKEKKAVVLAMTNVGGQIGYIYGAYLWPDSDSPRYGIGFGASAGFALLSIA CAWAIRAMLIKENKKLKESTDEHINLYGY CC84DRAFT_1159109 MRFTTFLTAASATTASALYLPRSSSSSQTPLVQDALYDGHCFYP RPTPNFNLTAYLGTWYQVAGTPFGPTAGARCVTAEYALNPNGTVRVVNTAAVGPQEIR IEGTATPVDAAYARAGAFKVEFPSTPAGGCEGPNYIVQEFCTDHALVQTQNWTTLYVL SREREPAPALVDAWIDRAVALGSDRARIGKFNQTGC CC84DRAFT_1079240 MGSRPADVVFCVEDTVGLKGDKLSIGVVDRCFGDVDSHSPRPQR DYGEDIERHDQVSADDFDKFMWSGIPPKGTVLVSWQTKYKTELIPEARLELLDRALYV GDVVKRTFKDAMSGTVIGTKAVCTLFPATMFNPANLHQALSEDHSIRVVPAEELINVH EYVEGALVVYGDWVGRIDQVFDEVAIKLANNSVVVVEDPEDLEYEDLMIERLSVGDMV KTKKGNLRRGRWRYGAFDPNVRPHGTVVETRTVWIDVRWLTRRIGPGAPEEHEEPPAR LFTDEMEDPSFRIYDAARSADISLPLAANGTDRSYQVAEVAVGDRVRFKDIAGAAVKY DGSVTLPNGYPQGKVTRQMRTETLGYDMNVFHVMQTHTKVTVQWQDLTVTEDLSPSLI PDPNVEDDDEVWPGEVICTKEEAEGPGPDVPWTFRPAKVGIVQAVKSHDRIATVRWFE NPTIQFLDEDIIPPSETGKLCEATEDVSLYDIRSSPSLTRRRGDFVLVHPNSIEPQVL PNNLQGPSWFGEVIDLGLDGKITVRLGAAEPTLDVRVPSERVTLAYSSDMDNLGMEGS EDDDEEDSDEEESVSDFDSASFNEMWIEYEGMDGEPIEGNEEDWSTEDEEDSDGDISM PDLVRSDDAATSNTTPDAHSDADKAPQPPQAQSNGTTAAVEDTNGASHPPSDAITCTG PEPFLILDTPPPNDHHYITKSPASSSSSMRRIAKEHKILRTSLPPNIFVRTWESRMDL LRVLMIGPEDTPYEYAPFVIDFYLSSDYPQFAPEAFFHSWTNGNGPVNPNLYEDGKIC LSLLGTWHADERNESWTPGKSTLLQVLVSIMGLVLVKDPYYNEAGYDVHRQAQETRPS SALYTERSYFRTRAFIVHALTKDVAPFAEELRYLYRNEDEGAPRLLDKAIEASKAVLE RSAQDNNEERDGLRRISLGATVMLKRQVEKLEELKAQPKSA CC84DRAFT_1159111 MADPLYELLIPYFDAHDTHARPPPNDGITNAYLARLTTLPLAAL TSSEPQSLAQSTQSVLRSLQALSKRSHKPIISSTDHLAHLRHVLPTIGRDARTVQQEL PRLESAAQSFSQKYSKSADNAILDRRRSAMLLARNVDRVSDVLDLPTLLSSAISSSTA PTQAATATAAANANYASALDLHAHIKRLSTLYPTSSLISSLLSQAEQEMKTMTTNLIA SLQSQGIKLAGAMRTIGWLRRVAPELDESWSTRTTGSGSGEGSLGALFLVCRLACLET MLSALDPLRDLADQETEKRTSGSKNQDAAWAVGQQTEKYLKKYLEVFREQSFAIISMY KSIFPSALPAPGSEDSPAPAVQPTPAANPLQPIPSALATFPLHLVDMLFDTLRTYLPN VQDRSSRDSLLTQVLYCAGSLGRLGGDFSIMIALLEEDLRAAVDDADEELEEEWVEVM KKHRVQASRLELLASGVGAGRTTPPVERVVSPSH CC84DRAFT_41109 MGLNYYASSPTVVLPPQQAHGYFAPHQRAHLPGTYRPRYPTPPS LNYQASPVSNAKAGRKRSITDVDDPEENRPVGAVVTQQPVKPKPEPVYGPGMTLIYPG EPGYTMAAESQSGTWYEEKIEKEEKAQPVRPIAVSRKSQRMDSATDIVVPSLNDMAMD AQRTDAIVDENGTTLNTLMSSLGVGWKNVITNPSLRDAARAYSRVIENHFDLTDAVIL LEKESLSAYLVRAKQHGVQGFWLFSDSLQWGQLVGWSLQRTVQNLMAGPIPQVEGERI NARQRTPSEPSTPPSQVPARAADADAMEM CC84DRAFT_1200852 MQAPVVVMNTQTPGGERQFGRKAQISNITAAKTVADIIRSCLGP KAMLKMLLDPMGGIVLTNDGHAILREIEVAHPAAKSMIELSRTQDEEVGDGTTTVIIL AGEMLAQALPQLERNIHPVVIIQAFKRALADALEIIDEVSVDVDIDDDKAMYQLINAS IGTKFVSRWSELMCSLALKAVRTVSLDAGGGKREVDIKRYARIEKVPGGEIQDSEVLD GVMLNKDITHPKMRRRIENPKVLLLDCPLEYKKGESQTNIEISKEEDWNRILQIEEEQ VKAMCDAIIALKPDLVITEKGVSDLAQHYFVKANITAIRRIRKTDNNRVARATGATIV NSVFSASTSDIGTQCGLFEISKIGDEYFTFLTKCKDPKACTILLRGPSKDILNEVERN LHDAMGVARNVIWNPKLCPGGGATEMAVAVGLERRAKQIEGVAQWPYKAVAEAMEVIP RTLIQNSGNSPIKILTQLRAKHAEGYEEGQQSGSSWGIDGEAGKVVDMRQFGVWEPLA VKEQSVKTAVESACLLLRVDDIVAAKAAKQVSGPIGGGDD CC84DRAFT_1133575 MDVAYLVARKLFDHNDNKNSTDDACPEDPTNVPADQMKAVVGSL MFHDFATILAGACSLAALLIVSVAIVRHATNFTNPIQQRQVIRILMLVPWVALFSFLI VWQEDVGEYLVESLDFGCSIAISAFLLLLCDYILSNPGGFDELFGQGASKVAREGVDS PKWLKRTWYMVLQYFPVSIIVWLATAISLAVGTYCGASNKPRFAHIWLQVIKIVSTMF AVLACFRFYKMKKDQLAPHKVMLKFLAFKGIIGLNVLQVFIIGILVGNGTIKPTEHMT YHDIKTALPSLILACEMPLFALLLVFAFPVGVYKAEGCSPAAGPLSAMAQAFNITDLM SCFVRGPMRLLREQQWGMQRAQSFPLHAEGGVVVSEGGYTAEPYGYNAHPRV CC84DRAFT_1159115 MYTFITLTTVIAAALAAPAKQARQTLPTLNFNVANDVSGANVGS SILSDGTALTFSHIFAGTALDLDGTIIATSMQSTSNGAGIFCIVADGEGNQVGLLNER STFVDLDGQEGAFETDVSEFTILCEA CC84DRAFT_1254064 MSTNAAGLYILCSVLPALSTIAVGARLYVRNLKKQKFGVDDGTA LAGLIGLWGVAGVILDGTRRGLFGGNSDLDPITGALIRSWREGPNVKYSWIVPIVTVV ALAPIKLSVIFLYRRVFHISRFFHYYSIFLGVLLVAWAIAFMFASIFQCGTKPWAYWT TVQTIKLFCGDTGGANVALCFSDLFIDILILIAPLVMIWKMKFSMWRKMQIVGVFALG FVSTAAAATRVYIMWEDAYDTKRGHTNLIRENTKVVMWCLIEISSALIACCLPVLRPI FANTWVSRLLCKIQSRVCPEYSSNAPSDRQLEEGMAFRSIGGTDFNVVKRDSMSRKDS KMSTNVESREIDPTGDMEKSTGEIDTMFKEIDVSKEVAIEQP CC84DRAFT_1170507 MRSVLAFVAVVLSMALGISAAPLAWDSGSAASQIERDLSGLRRS ADPAAADLSGLKRSSTDLSGLKRSSTDFSGLKERSSTDLSGLKRWIIELVERASTDLS GLKRAATDLSGLKRSTADLSGLKRSATDLSGLKRATTDLSGLKRSTTDLSGLKRAATD LSGLKRSTTDLSGLKRATTDLSGLKRSTTDLSGLKRSTTDLSGLKRSGTDLSGL CC84DRAFT_1080192 GLSHERTVSRSIYAHSKYMSNDEEVASKAWDDILAGHGVIALDA DYIAEKNLPPSVALPDDSGNFMYVIEAYHAMHCTVMIREHYVLMEQGHAWNWSRPHDM HCFDAIRQYIMCNVDDTILWTNGHRETGHGQEKKCNDWDALRDYAESKSANYFDVEPE KGIMHLDNYHEGDGLTW CC84DRAFT_1133580 MPSLTVAQFIAVSALALGSVAAPTTTISAALYAGSETADSFPQT DTAADSSLFPPESVVGFPGPTPTGLEPAAVQTAPAYPYNEGDANQFPLINPQPHGSSA ESTFDISKYWGNLSPWYSLRSADYGLPEANPLIPEGCEITQMHLLYRHGARYPTSNAA PPTFAQKLANATENGFSVSGELAFLGNWTYKLGAELLTPFGRSQNFLLGVAYRQLYGN LLNNFTEAGTIPVFRTQSQDRMVKTAQNFAAGFFGVPEFLDQVNIEILVENATVNNSG APYDVCPNSNIKSRGSIGSTVAAQFANHAFNATIARLQSQISGINLTSTDAVAMLQLC SYETHALGYSAFCNLFSQQDFLNYEYYYDLSFYYNNGPGSPVAAAQGKGYLDEYIARF TGEYPQANSALNETFDNTSTYFPLNQSIYADATHEVVVLDTLTAFNLTALFEGPPLSA TGNRGLNSFVASKLVAFATHFTTQVLSCPAYQPAKQIRFIINDAVIPISTYPGCPEDP NGLCSFDNVVSVLKNRSSEINFNYDCFANYTASPGMDYNGRAPSS CC84DRAFT_1079140 MNEAITSQKYAFAAQCILMLAIPMPKVSMCLSYLRIFYSDIPGR RLIYGLLVLLALTMVPTVIENFFTCRPLSLYWNEFRPAGKCLKDLPMLYIHGSLNLFI DIALMSILIPRILKLKVTKQQKWALVGIVLLGSLAVVAGIVRMVKVGTILNRHNIDPP WDMYDVSIWTSTEIYVTLICAAAPGIKPLISKVLPKLLGTTLRSRTGTTGAQTNPIEL TSKMKRSTLGSRHLSVLKSNSTTQLTSAHGPYAEIYSTGKHDEESLDGKSDVDDGSGR LKAEDGILRNTSVVIRSERRG CC84DRAFT_1133583 MADPGTKDHRDVLSLADDEKEKRVHHEYLAQDESPADIQARKKE AALVRKLDTFIAPVMMLLMLISYLDRGNIGFAATQGMTEDINLKGTELNTAVSVFYIF YILAEFPTSIVVKRLQFNRVIPAITFCWGLVCMCTGFVQSFGGLVTTRIFLGFFEGCL FPSMTLFLCNWYTREELGIRVAYLFIASALSGAFGGLIALGVLYMDGVNGWAGWRWLY VIEGLLTIAWAFCCIFLVPKSYETAYFLNADEKAIMRLRAERAEAYSGGSGHVSKADI KEAAKDIKSWAHGCIQIAVVTILYGFGTFLPIIIRYGFKYSTQQAQYLVVPVNLWGAS IYAVGAYLSDRYQSRFWPMVLCAPIGIAGYAILIAPVSAGVAYFATYLVATACFLCTG SNITWLSVNNAPDGKRAASMGILLTLTNIGGVVSGQIYYTGAAPRYVLGHAWSLGCLT FAWCGWWVVRAIYKRREKEKDALLATGYVRPEGRMYTDREPDFRYQI CC84DRAFT_1079008 MSPPEKTVAFIGLGVMGYPMAINLRKGLSSDYTLLVCDVVPSAL EQFHSETSNHGRVETIQNGFDAIQRANTVITMLPGSAAVKDVYLNPSTGVIAGAEKAL QSGGPSKIIMECGTIETSTILEVAKRAKESSVASNLTFVDAPVSGGPMGAQDGTLTFM VGTDNKDVFPTVKSYLSHMGKADAVFLCGDVGAGTAFKVINNYLSAITSLAASEALNI GAKMNLDLALLTDVINVSGGQCWVTSKSNPVPGIQANVPSSRDYEGGFRIELCAKVLK MGSDLADMVGARTILDKPTLEAFGEAMGDERYKGKDARVVYKWLNESEKK CC84DRAFT_1224896 MASSVLVAQSGPPSPPPDRATVSVHALSAGHFTLPEYQFVHPVS KDARKTVPSLAFLIQHHNVQTGKRTRIVFDLGLRRDISRYAPAIQKHTTTRQPMTTDP DVVKSLARGGLTPNDIDYVLYSHIHWDHIGEPRDFPSSTFLVGHGALALLHGTSSALR GGHSFFESDLLPEGRTIELSKPSVHDLAQHKPDTVKWGEELNLSHWKPYNHLPSTLDM FNDGSFLIVDAPGHLPGHVNILAQISERQQVYLGGDACHDRRLLTGEKQVGEWNDAEG HICCIHADRKAAEETIQRIRQLESEGVEIIFAHDVDWENEPGNNPEQQSLKERFDAEL GASAFDASWSRLLRHSPEMFAASLRLTAVPKRKGHLTPKIQSLISLAVAAASTHLHVP NIQRYTQQALSNGATKAEIVETLCLTSTLGIHACNIGVPLLVEVLREEGREVKSGMDG MSKQQWELKEEFEKKRGYWHGFWEDFLRMSPEFFGAYVEFSSVPWVNEGGKGVLEPKV KELIYCAFDCAATHLYKPGLKLHMKNVLGYGGTPEEIMEVLELASLLSISTMDVALPI LEKELESQ CC84DRAFT_1224900 MGESEFKKLGFVGLGAMGKPMLVHLANKLPKESRIYVYDVVEDA MNEVCSKYPERVLRASSAKDVAHQADTIITMVPEGSHVRSVYLDPSHGVCSADISNKL LIDCSTIDTATSLEVKEHIEKHFPTASFYDSPVSGGVVGAIKGTIAFFLGCAESDPNI KRLTPLMEMMGKQVIPCGGPSLGLAAKLSNNYLSGVIAIACSEAFDMGMRSGLDPRTL AKVYAAGTAQNAICDRFCPVPHVYPEAPSSGGYKQGFKVQLMRKDFGLAVDMAKRVGS KNVLGEVGLETYDGASKDPNCRDLDSRVVYRYLGGNEDWQPTKNGA CC84DRAFT_1211293 MSSDPKYDELHKQLFDEGLKMRRSVVGEEYVDRALANGSTDFSR AGQELVTEWCWGYAWTRPGLSKQQRSLLNIGMLMALNRAPELAVHVRGARNNGLSELE IREAIIHATTYCGVPAGVDAMKTAEKVLNDMAEKGEMPRELGDKSERA CC84DRAFT_1224906 METTSGEQAPAGKKEKRFHCDHCGRSFARLEHLQRHERIRNDLL VRHERLTHHKHNLNNKDQQHTVDEYVVQEPPQKRIRTSFDAGRPVPEIPSMMSTPAPL NMDMQPPLHPHPPPIGPMHYSQNDEFSLAALSMAAEYQSLQGTQATMGNADSHHAGPL PLQNTVSRTIEQPVVPQTTVHMNGSQIGGPSLGESLDSLAAFWDNEPLASYRFSSIIN TEQPMPFFSPESLGYGNEFLPNPSTPLPSTLAHQTQNDENHTFSRFGSRLPSLQPEDL GQHDRRRGFADISLDDRQKIVEKLDEFSSILPMDFKLPTRLAFCRYLAAYINGFHEHM PFLHIPTMSIDTCSIELLLALAAVGAQYTFEGEKGVELFNVSRTIATQRIRRRDARLV ELHRRAESDRSSSHEPGNTSRPRSPVRAPSTVGPLGLPSGSDVVPVGEDLMQTAQALL LLMALCTWAKHKEILREALAIQSILATLIRDDGLENEPLRHDLSWEEWIRRETIKRTK FIVYGFSNLHCIVYNIPPFLLTSEVKLTLPCSAAEFKAPNETAWREEKSNGAPEILFQ DALQKLFSKNGKDITQWNSSAGNYALIHALIQHIFFVRQVVRCRFDGPGELSQDDVSY LENALRNWQIGWKNNPESSLDPMSPNGPVAFNSTALLRLAYIRLYVDTAGRALDTRDP VLIANAFRAGPAIRRSPKITRAVLHSAHALSIPVKIGYRLVAKTQSFIWSIQHSLCTL ECAYLMSKWLEALSIPNPEPAVTEDERRITSIVKSMLDETEFALPADVPIDSPAYTKC LSAGVLRVWATIFKGAQTWAIVDVIGSALNLYADMLDSG CC84DRAFT_1224913 MATKLPETMRGQFLHAYKQPYQSRIVPIPKPLHPDDVLIKVDAA SYCHTDYVLAQGQMPGLPNSFPHIGCHEFAGTTVSHSAHPSPDAAKFKIGTRVGVTGR AFHACRECFECQGSKDPIAQDEKGYSVHCTRAENNGISKDGGFAEYAVVDARQLAPIP EKLSAVETAPLMCAGITIYAALKRCQLTPGDRVAIMGAGGGLGHLGLQYATKMGFKTL GVDAADGPLNLARSLDTGAQIVDARSEKAADVVEQLGAEDGKRDLGEKGVDAVIILPE SQAAFDYGMTLLKSHSKCVIVSFPEAGFHVSSRDIVFRDISIIGSLVGSNKTLREMLD FSAQHGIRAVAKSFPLSELNALVEDYHKGGGGKLVVDMALDGQ CC84DRAFT_1224915 MTSSSSEEVVTLPAPSRNQVYVTISALEGGHLTLPESLFVTPAD PEKRSTVPSLCFLIQHPPSIQTLNQKSKTTKLIFDLGLKRDFSGYREAQQHHIAQRQP TTVSPDVVESLRNGGLSPSDIDVVVLSHVHWDHVGTPSDFSNAEFVVGNGTLHLLAHG GGPLYPAALFNADELPHDRSKELPPVTESKEFASHTHVTDHTWRPLAGFPAAIDFFGD GSLYIVDAPGHLHGHVNVLARTAPGKWVYLGGDCCHDKRILSGEREIALYDDGRGGLR SVHMDTDGATRTVERVRRLLGERKVTEEGGGRVDVEVVVAHDGGWRERNGGRFWPGTL CC84DRAFT_1133590 MYLATLFSALALLATTVAAANPTVYLIRHGEKPASGNGLSTAGQ QRAQCLRSVFGASSKYHIGHIMAQTPKSDGKRKRPYDTVAPLAADLGLSVDTTCDRDD AKCVEDVVKGYTGAGNILICWEHDALSDIVDELGKDDSPPTYPDDAFNIIWIDPYPYD DGVTAQESENCPGLDN CC84DRAFT_1211298 MATKERLSAEALKASKIMTNMMAEFKTFRGILLGIQKAERDHNT QGQRDLLHRLTDQIGSTDDDEANFKTMDEANAKIRELKANEKEISAMLKQSQIDREEL EGRLSTHQAEVDRSMNERDFTGDVKKLTGVASAAGASWSSGRPANARTSTDDGGAVGP RSIRLSKQSSSDVVPSSSHSSPASPMDDSDDGDLN CC84DRAFT_1049379 GWTPLMTAVRNGNLSAVQLLVSAGADLEATSSDKDYEAFTPLIA AIHEKHSAIASHLISAGAALNVHDKWGYTPINRAGQAGLLSIVRLLVEHGESVDEQNN KTGWSVISEAAWHGFPDVVDYLAEAGADLETRDGNRDTSLQKASINGSTECVRRLLAH GANPNTHNYWDWTPLHDAGIRGYAGIVELLLKAGADMEARNSRAEGENTALILSSKDK ESVRLFVDHGADLDAKN CC84DRAFT_1224917 MLAKSPLAGYTVALSGTFPGTTHPTVSNRITDLGGNLAKSVTVD TNILISTPADVSKVSKKTQDAQSNGISIVSIDWLDEVELRSDVVDPKDFSLLTVSMPA ADVKGKAKKRAASPNNSNAPASKAAKVGPLNTAPKLEPKVGDGSLLKSRNMTIPLDEG CPLQTYRVYVDGDGIVYDASLNKTDASANNNKFYRLQTWTRWGRVGDRGQSKVLGAGS YSDAFLNFNKKFKEKSKLSWADRGEEPKRGAYAYVERSYEADTEDEEVEEVAANVYSR QFRSASPAKSTLAVPVQSLMQLIFNQQYMFEAMSDLNYDAMKMPLGKLSKATINRGFQ ALTELAALLKNGISSRDEVEDLSNRYYSLIPHNFGRSRPPVIGDTSTLKREVDLLDSL GDMKAAADLMKKDLNSVEKINALDRQFQSLGLEEMSVIDPYSHEYQELANYLINTRGA THAVDYKVENIFRIERQGELDRFQRSSFSKIKSDRRLLWHGSRATNFGGILSQGLRIA PPEAPVSGYMFGKGIYLADMSSKSANYCCSYNSGGHALLLLCEAELGQPMQELTQASY TAGEDANSKGNWSTWGKGMTGPAAWKDAECVHPSLRGVKMPDTDILPTNTNIPGAYLQ YNEYIAYDVAQVRLRYLFRVRM CC84DRAFT_1159122 MAPASLTGLPLELLVHLIATYLPTKDFGALRLSNKYLERVLFDT FAKEFFTKKQFMLSTASLQTLVSISRHGAFNKTLRYVIIGLESFDTTDLSSLFSTMSP AQYNAFQKGSADQFALSSSGRDRALLTEAFRNLQNLDTVGIRDYEASGRVRDDDRWRS YGAPSISQEIGFNLHTGSATFASQAFLLLMQALVDADHPVSAIETILRTRNAGHIAGL KDSAFFLGSPNPKMDVVLGGLRQLLLTLNPSDGLRDSRLDAELHSTCLELFLLRCSFL EHLRMNFYKPLQYSPSWVLERLCKTPDLLPVIRRLDLGMLTIMPDVLIKVISKFAATL RHVSLWKVELQWDDATQWKDFDDRYDPWPRVLKDLAMTMSLTNISLGCLSQRDRTDYI GYFEVKFSGGKIAQAYSGDKRVWMPLLLDQLVVERPKPPSDLSDLDEDADEDEE CC84DRAFT_1192119 MVSSWFTVLSFASAATAAFHTNLNYASPSRRHLALGIDLPKVAK RSSALPARSWGPEALNFTHGVASGDPLPNSVILWTRVSPTVKNDASNVTVEGNVPLYN HETEPYIKASKNPVCVEYQVGADKNFTSIIDKGTAYTTSDIDYTVKVEATNLEPFTQY YYQFNVCGSSKKSPLGRTKSSPRADDDVTKVGLAVYSCSNYPNGYFNAYGNAARKDNI DYVIHLGDYIYETGKGTLGKDPRATNPSDEIFTLYDYRTRIAQYRTDLDLLLSHQQYP WIPVWDDHEVSNNGYRDGSSGLNNTEESFRKDGGVSVDQRKMNAVRAYFEWMPIRQVD MDDNLRIWRTFQIGKLFDLIMLDTRNYDRSITTLNWNDDYVAELIDDAGRTLMGSHQE NWFYGQLSKSAERGATWRIIGNQIVFSRLNNTARDNDWLNADQWDGYTANRNRTLHHL YSNEISNTIFLSGDSHANWVSDLVWLDEMPYDQVTGAGSIGVEFAGTAVSSSGYAKNI TYGNIEAAGLIRDNAELQWTEGYYRGYYELQISPSEITANYFGCPTVAFRNPLEISLA NFTVLAGANRLQRRVANGTVENGALQRGSVKQTNLTLNTETGAWNVTHFNQMFIKY CC84DRAFT_1159124 MSTRKAVVHTSEGIAKLSSDVPYPKLRDEYIIVKTKAVALNPTD WKSLGPARRSPGAIAGCDYAGVVEEVGKAVTTPFKKGDKVAGFVFGGSPYNHDDGAFA SYVTAKGDLQIRIADNISFEDASTLGVGITTVGQAMYQSLGLPLPPAKVSEPTSILIY GASTATGTLAVQYAKLSGLQVIATCSPHNFDLVKSLGADQVFDYKDPDCGKKIREATN DSLKLAFDTISEKGSSEVCCDAISSKGGMNTSLLPVKNFPRADVRNGHTLAYTALGEK FAEQFPANQNDFDFGVRFWRLSEELFNSGKIRAHPTEVREGGLAAIPKGLDDLKEGRV SGVKLVYRAE CC84DRAFT_1192120 MAQPAPEDEDVLTSYFPAPPPFYKHFTPKNLDALSQFKTTHSID EDAALTTQQLLQLPTELRYLVPPAPPSPSAAYSVFGKKTTLHALEDYPDTMAAIRSRL LTHPVSGDPVLDWTYEQLYPSSPTWSSLDRQAYLFRFLRSIVLSYIELLGIMAHDPTS EAKDEKLKDVLTLALNMHALVNEYRPHQARETLIREMERQVERKRGEVEGVRRMGERV EEVLAGFERSGVEGREEIDGAAGEVSDEERRRERQRETWGVLDEVLGH CC84DRAFT_41543 MASNPQTDTPGRATDVQQSQPSAESSANQNATQQPQHVAVPVSG DAPPPVIDTSASENEEQGQPVDTSVSEGGPEEDDDLDVASWGSRSDAGDSAYEDLQSQ TASVTSSILAGHWENGRRYHSYQSGKYMFPDDEMEQERLDVKYAAIHLTYGSKICFAP LEDPQNALDVGTGTGIWAIDFAEEYPGCTVTAIDLSPIQPTWVPPNVKFEIDDAEQDW TWPENHFDYVHLRTMAAAVRDWPRMFRQAYRHTKPGGYIELQEQDYMGVMQSTSRNPG TAFLTWCIQQGRAAGRVGVNLRSIPSEKADLLRAAGFVDVVIHEFRCPIGPWAADKKM RDAGLLQLSAVLDGLEGLSLRLLKFYDEGWTKDELDVLLAKVRTELRSRECHAYWPVI VVYARKPE CC84DRAFT_41608 MSSSEPRRALSLANINPHVKEAQYAVRGELAVRSEEYRAKLAKG EGKDLPFDTVIAANIGNPQQLDQKPITFFRQVASLLENPLLLEHEETFVKSLGYKPDV FERARWLLKEIKSVGAYSQSQGAPGIRESVAKYIERRDGYPASFSDIYLSNGASSGVN TLMHVICASPNTGVMVPIPQYPLYTATLSVLNAKCVPYYLEESQAWGTSLEAIRTSYD KAIAEGTDVKAIAIINPGNPTGASLPAEDIKSVLEFAAEKSLVVIADEVYQTNVFIGE FISFKKALRDLQKEAPGKFDHIELASLHSISKGMVGECGHRGGYLELVGFDPEVAQQI YKFISIQLCPPVIGQCIVEVMVNPPKEGEPSYELYKKEYDGIFNGLKERAYALYEAFK QMEGVECDKPQGSMYLFPTIKLPQKAIEQAKKENRTPDEFYCFRMLDATGICVVAGSG FGQKEGTLHFRTTFLAPGTDWTGRIVKFHQDFMAEFK CC84DRAFT_1107326 MGDPQFAKFPDLQLAQHIFQLTNPSSSKSTKQASLKSVQDAIQE KKMAPLYRYLAHPVDGVLNAPGEGSAQQPAGHRRPSSSAATMLATKNPNLEVPLAWDE KLYESLKAENEKELDAIQKEEDEAAEKAGETEVQAARGKRAELYNRIGDKEKAIASYE AIFEKTGILGTKIDLVLAIIRVGLFFGDKILVKKTIERASALVESGGDWDRRNRLKAY HGLHLLTVRSHAQAAPLLLDSLSTFTSYELCNYSSLVIYAILAGSVSLKRVDFKSKVV DAPEIKAIVGGNQEDKIAAITGQASAGPAAGDEEMADASGSTSTPVPTAVNLTTLGQQ QDTSETAIDFNPLAKLVKSLYEGDYKNFFGALAEVEVNFLSQDRYLFEHKSWYVREMR LRGYQQLLQSYRVVGLQSMAHDFGVSVDFLDKDLAKFIAADRIPCTIDRVKGIIETNR PDDKNKQYADVVKQGDQLITKLQKYGQAVRLRGSERG CC84DRAFT_1192124 MAGPAGPDRGTKRARSPSRSPSRSPPRQRKRPGQGSRISAVDRE AARKRQEEREQEAQRTAHQEAAQRGVHDVVKQHYNTVPERGREWRVTDSKIKGLRSFN NWVKSSIIQKFIGDERNLKIMDMGCGKGGDLQKWQASRKVALYVGADPADVSIKQARE RHEQMRKRSRGRVFHAEFYARDCFGLSLEGIPIVKDVGFDAHVGPGNAASQRWGGGGF DMVTMMFCMHYAFESEEKARGMLKNVSGALKRGGRFIGCIPNSDVLSAKVIAHHKSTG GAKANGNGFAAEEEDDDRPTFASDDEGDWDPEKSLDSPKPQDGEHGEDKAKEKEPNEK EDGQVEEEGFEWGNSVYRVKFPGKTPADGTFRPPFGWKYFYFLEEAVDEVPEYVVPWE AFRALAEDYNLELQYRKPFREVWEEQKDDPELGPLAERMNVRERGGGRLLTSEEELDA ADFYHVFCFYKV CC84DRAFT_41613 MVADALIYHPTVAQYLKFVATTVGRDKALRTLQYLSRFLAWYLY RTNHAASSIAPFEATKKQFGLTRKLMRVGKFVEHFKAAAIASDAKSVDPVLRYTAVGR QLGYAGYLLADNAVVLDAANIYKYPASARLVREGNKAWFTGITFSIVSSLYQLYCLRE RSAKLSSKAAEAEPIVEAKKIARETNAVRLQLLSDLCDITIPATALGWAKLDDGIVGI AGTVSSLLGVYSQWKKVA CC84DRAFT_41799 MSTLPEHSPLPEDTYIPVSNRPETIIGVVVTFLCFSAIAVSLRL LVRYRDRLWGWDDLFVALAAIACVAGSLLSCLMPQHGLGRHFADLDAHTRIEYFKYVW ASNLTYAFSTTFIKISILIQYLRLFDGRSELARKATWATLAIVSLWGVTFCLLALFSC TPVAKNWEFTLEGKCVGWGSKNANEFFATWMAHASSNMCLDTLILALPVPFISNLRMS GKTRTGLIALFIMGGIAVSLSIARVISLSIKRIGTVPVFDPSWYTPTVYIFSVLELNV AILTASIPIFWPLVASFAANKILIVNEIEIRTERIDNSFALSEQGKGFAGVGDDDVPE GRTSRIGVSGKSDSDKLHRNNSRLTRGPKHHHKPSHSDSSSKEMRLDMGHRASQERLN LTHQTSSNSFNSGRLEDSPDLTHARYQNKFVQDWAVPDFDRPKAGEERVERVFTTSVE RAQVPFDHLSRATEK CC84DRAFT_41579 MSKEAESPSPTADEPRRASISQTEKGRWERLWPVIACGAGLFSD GYLNNIIGPVNTMLSKIYPDEYASSSAQANVSSITFAGTVLGMLFFGYTSDHFSRKWS LFASTIIIILFATLGTGSYGAGGSPKGLLAALVAYRFFLGIGIGGEYPAGSVGCAEGT GELKSGSRNKWFILFTNVQIDLAFFIAAVVATVVVLITGENHLRVAWRVCLGIGIIPP LSLLYLRLKLQEPEAFKRESLAKAKTPWVLVIKFYWQRLIIVSIIWFIYDFSAYSFGI YATTIVNNLLGDEQPLWKSLAWNILINFFYIPGCLAGAFVADLKSMGPKKTLFIGVML QGIIGFIMAGCYPWLSEPKNIGGFVVVYGVFLMLGEFGPGDNIGLIASKTCATGIRGQ YYGIAAAMGKIGAFAGSYALDAIQKNAGDDKIAAGRNPFFVASALAFLAGGLVLLLPH IGQDTIDMEDINFREYLSSHGYDTSKMGLATASDISSEDHVAVETKTVPASVS CC84DRAFT_1159134 MTNPSIVIVPGSFTAKGIYQDLVDRLRAKGFPALAINLLSSQKR LGLEPATMKDDAKRVRDVAEALLAQGKEVVVLGHSYGGVPMTEGLAGVPVKRIIYLAA IAPKVGQSHVESMPGPLIDALLDSSVGGYMHSDPAQLASGLGIDSWEFAYECAQKLPH HSVAAFTEKTTQAAYETVPVSYMFTEKDVIVTQEHQESYIKMMEEVTGSKIDVIRKPW GHCPNWSHPDELVDVLVKVAEK CC84DRAFT_41948 MRLSLCLATLAALVNAGLYIPTTLQSVFDLKDLWNGQPKIPQVI LDTNHAWLAANKKWLDRYPDVRTAICANDSDLWTKPTTPERAPPPDLLANLTIDNNKY GVNRFGWKNAVDRLTELKSCSAALEAVESLHVEIYVHDSKYDTWKESTFPPATLYPLF TDVLASMPHLGKLHWQVRQESSPGFAAAFARANVTLPSVLQLVVGGDDAWLVRRCPRL EHLTLEHDASHAALVYATEGLPLQSVSMATLGWPRVTWMELLGALLEAQPRIPRLEMD GELYDGAKWKDDPERFIQHLELLARFENLTSLTLPPAWQLGLGFDGGHWCGNAYWGAD GRAYGRQVTRDSVAAVERAADMTVKMLPRLRQLGVGGMRGNVTVDGEGAVEVVWPWTG RLDEYTYEVWPEVENDFGYEEW CC84DRAFT_41936 MAAGEEPVSRVDDDPRTSSDALSLHTIADADLYASQEQEDADFA LALALEEQENARYARTQRNLRGEDPNRVNAAPEAPVDAQAEVAPPPYRDDPDVVVPDD NLPPYRDDPDAVPAEGEADEAVTEPAQRQAAIVRVLRKLFRTWLCILMVSTIVTITII VVVLVLVFVYGKTPLSKEASKQAAWEASGSSDYDLRLTKLYPALEGGTKDSCKSVWNQ YSRSLKCHRMILSPAWDDGDAAEASAAGADPFFYSTAVCTDECSRSINNLRRVARTCL NRTDRFDFAAYGNNGKAYFEKQKMEEGPLHAFTGLSERYRRLCRSKHNYADTKWGTCA ADLWMNWGIVDGKNEAHLNGLDQFMEQTSSKKTIQGSVQKFPILLRTGTNGTTTIRIK QRSVGPGDRETTCSACTVDWLARKMRSFEFGQILDPVTGEALGLSEFREKLRSALLRC NRLEAHKVLRNMDENWTKLRWWCKDKPCIPFIDKPPLSNETMAVLHGWPDNNEGLVKI RELLEKKEAPAKVLEAAQVLYDGLKAMPCGYGFDPIIAKREILPRSHIVARLCSAPCR NALDRLRHQHHALFLDAARADRAYEGLFLYPKTAADIVERICLSTTPNAIVPIVQSPE NLCAPGYAALGSPEWIFAGTYGGYPDPPIRAQVLDVFSGRMDELAVRLERHPRTCLHG DEDCARTWSKMIAESACNTCAGKIFIGADGQWKTTTEEFLKDKDVNGTAYVAAAKKGW RTCAKMYGYTFSEKEWQKKWKLRGLDVFDD CC84DRAFT_1079134 MASSGLLPSFVAAIQASLSVLLVISYGGIAAHLGLLDSKNGKAI SKISVKMFLPALLLVKLGQEMHAGAAHRYLIVVIWAVLAHVVSFLIGIAAHVVLGMPD WITCAIMFNNTTSYPLLLIQSLDQTGILGTLVGKGDTTGAMIERAKSYFLVFSTISSC LTFAVGPRLIDTENAPDSDEEDRGSSSSCGILTDPEEGPADVENGEEPSERTGLLSVG ARRGRADRARHASVASITFFPSKPKFTTVKRRPRYIPHLRWSELGPRTKWWLLFLYDF LNAPLIGAVLGAIIGLVHPLHKVFFSDMTDGGVFTAWLTESFKSLGQLFVPLPLVVAG ISLYSAYQESRHASGSSPVAQVPWLTTAFILMVRFVVWPVLSIGAIYGIAKNEGKGGL LGDDPMLWFTMMLMPTGPPAMKLITMVQVSDAGVEDEQRMAKILTISYVVSPVLAFTV VGALKASEAAI CC84DRAFT_1159138 MPYDPLLPPPEVNILLLGDDEVGKSTFLSRLSLGIRPHDDDLPP YSLPQLRDEDQPFTFNVSLYNRGYSLAFSDTASPTSYTLLRPDFIVLCYDISRRATLE SLRARWLPVVNSHFNYDEALPVMVLGLKRDLRREWTDEEKRDGGRGESVMPQEGLGLA TEMLCDRYAECSAVTGELCKEVLEDVARTCAKTTTENGAKSQVAHCVLM CC84DRAFT_1159139 MAEAQNSKFQVYLQDFGNILGASPKLTLALSDDSPLFHEACVFV PRLNAVFVTSNQFVPPGQDNKTIVISKLSRGSNGLWRREELDAPTIPFANGGINYDNG ILFCAQGSLTHNGGLLWMSAEPPYSTKVVVDNYRGYPFNSPNDVVVHSDGSIWFTDPA YGVEQGIRPEPKLANQVYVYTPADGDVRVMADGFGKPNGICFSPDEQTVYITDSDFVR GDGNMDARRARTIYAFDLIRRHDAPFLTNRRVFAYSDTGIPDGIKCDTDGNVYSGCGD GLNVWNPGGSLLGKVRVPGGVANFCFGRSGELFLLNETKFWVLEFDRDVRGALLKGMG IDADGASA CC84DRAFT_1107350 MSKQPSMVCTSIKSHGDVDRGPTEIYGHGELLLRIGNGGAGALG LVRALAEDYLATLPSSFSITWTCNHSRNTQLALLHDYIDIALTYERDQEQLAAKEGWS VTAGCAFHDHFVLAGPIADPAGIGHARSLSEGMQRIAEKRCLFHARADSSATMWKERA LWAAAGIEPWEDDAATTWYRTSLLNPADALQGADKAGAYLLTDRSTLLRQTGMQTTRE TTVFFEPGQGNDVLMNSCYALYRMNTERVKAVNEFLKYVWSERGQEVIGSFGRTSIGV PLFATLDEGFSKTKLNGGRPRDGRWIHAPKL CC84DRAFT_1170536 MATPELTPPLLRLPREIRDIIYSYTLVFGTIAIEAAITRAPRSR IAVGGEVGFCEALYQQHKLRYPLVSRSTWTIPIEDFGIPEEDFQLDSSAVHITYQIKS LEHGRRNESSRTSLLQACKQIYSEAVDIFYSKNKFSFTGEYRIPTAVIFLHDRPSASL RLIRSMELQITYMEQHPAFLGEPGRYSGRHELYRDNGFYPELCALLSSPKMHLRKLSL IVDTNWINPLLDADGPALRQRDNLKWHNSTQNRTPDIVGWVHSLLKVETLERLSVFWI NGVQTRIIGQTVALMANNMFQGRRSGLQSNRMHPGQEIFEILYRTVHKNHPPSCAVVV FDPIDQSVSGRSCAVYDDGERLVEGRERDDHEFDFTLERPLVQRYLNISPVCLTCYCE MEAA CC84DRAFT_1254086 MISQDDGALFAQCYHEEISDAQLNLSVRSIPELKRAESREELAS VGLLSTLEDDTRPSFVVSISSGIPQDGILELAYFNSALAVAPALLAKLKGDDVSKAKF VDDLQPRAAFAQWIWDQVNDMDSAVIGDAYLFADHLWWATTIAGGRHRVVSGVSAAFL RQDDAGHKSPHSDDRIDMGEHNKPKDMPQYLPGRLPAAHGRIDIPQEMAPASSFGPFD YTQDAPCQNMPDHVLYFRSVDWSSTPLGPMASWSPQLRCVVNMILNDHHHAVLFWGEE ATMIYNEAYIELIGGMHPCMGQSAPVIAKEYWPHLEPLIQLIKSTGKTFSNADMPLFF DRHGFLEEAFFSFQFIPVLDASGCVAGYYQPLVETTRNQMLERRITSLVEIGSRTAKA RNLNTYWDLVIDTLAINDRDAPFALLYAAEDFSVQTRPSVSTPGISSEIDKFVLKGSI GVDAGHPIAPPTIDLQNDSCVFKSHLKRSVRTMTTVVVHFSDMDLPEGLRDGIDWKGF GDPCRSLLLCPIQPTNSEQVQGFLILGVNPRRPFDEDYKQFIHVMLRLLATSLASVVL FDEEMRQKENAIGQAAHIQEQLAAELHLKEKKFQRYADQSDVAIFVIDSVGAYTYRNQ SWYDLFDGATDAKDVADTWARIVWPEDIAFCEDLLSKLGVQKSPICFELKTRMRWQPP NDSASESDPQVHWKWVLCSAYPELDANYEVIEMVGNVTDISKQKWAEDVQRRRTDSAL ESKKHLEHFIDTTSHEMRNPLSAIMQCADGILTSYSGTDGDFAIPSPVTYGALLEQTV DAAQTIAQCAQHMKRIVDDILTISKLDSGLLIITPIDAQPETVAQHAVKMFESEAKVA DVDLSFEVDDSCRTLGINWVSLDPTRLLQILINLITNALKFSRFEPEPRRIKVTISAY EREPTNGTNGIHFEPKLVEDDAHLVEDWRQGSLVYLHFSVSDTGRGLTEDERSNLFAR FSQASPRTHIHYGGSGLGLFISRRLTEMQGGAIGLASEFNKGSTFSFYIKARRVASTK LRRSSIPTMFPEDMRHRASTRREISKMNSRPESPISEKSPTHSRWPSRGSKFQSLNDE KSTNWGTQQRPPAVQRRQSMNPDIPNDAIGLPPEPDLAELKCKRSVPEDLHVLVVEDN LVNQKVLANQLRKLGCVVSVANHGGEALDFLKTTSLWRGLIVQTGDSPLEERDPPLDL HLILMDWEMPVMNGLTAVTKIRQFERGGQLSGHIPIIGVTANVREQQIQAAMDVGMDD FVSKPFRVSELMGRMRGLVEGVGSGDVRWKGTIPEKDEGEA CC84DRAFT_1159141 MANAQPDETDLKVALKLAAVVRDVNAVERVLDTEISPSAKVIVA RVIIGKLGIATADRHLRRLLSRPQFKVIVAVALELLYSGEGDLELIESMLGISMTHQM RGVTDSVSLQQLKPSLAHPRLMGLINISFPALRQDSTPNGPGSASSANTSPPGVAGIT TSYLTSHAPARIDVNPFAPAANMQSLAQGRPVRQRERALSLVSLSRDEDYGGGGGCNT THPYAAAHEPSVGSPRTSALMAATTNTSPSPYAMMTDPHLTNHSPSRLDLNQIPPVAN MFPAAGLSSPFTTLPVDPRKRTRSGEDMNDDNRRDIKRVAGPVVLARGKVDAYWTFAD MIQHIILLTRGPPSAWQQNSIRVPKEAGLFPRTYVTPYNSNHPDKQGKTEPSLYGAQQ HQDLGLCFGTWCREGQCLVGEHCPWRHYLDKYTIGFIWRSGAGHEGHHFLKKALKHLQ AGPCRPVHTHVPIPNLKV CC84DRAFT_1159142 MATAVLHKASWLALFAVATTVTAQSSSAFWTFTTRYQDCISTYS GMYSDYTYSSTCTIKDSVTPTVEPYEISTPTSDSYDYYYGSSDLAMYTAWYTAGAVPD SDLKPTNDYYATTTTARSTSTYYDFSMLVTYTAPADCPTAFTITTNASVDVPTQVLHS VTPYAVDTSIPVPTARASYIYETWYLSGGEAPFTSTKDYYYTEYIEQCSTPYQFGSYS DYSYTRSRYTSPTDSVSGGTSGNSGDSYRWDYCYYGYGCTNLRVWVIVIAAVIPSLFV LGFFESYFWFRRLMLGKGCLRFGTISWICISLWVACFTRSQSRRSPEDQLLLRQKWKE TSFGTAIKLWFKWGFRHRYPVPLLGQYSRNTVGIVPEGQPLPAPGMVQTNGNYPGGPQ PPPGAFIPNGQGQPYYPPPQGWAAAPNGQGYPMPPPGQPYMPNGTVQYYGEQTKDAPS VTATSVSPVNGAPQPAPSPVSPTVSPHVHNPNVAEAPAATQVPVHPTEPQDPAPTQLP THKDSAV CC84DRAFT_1107356 MATATDDMKVGAGGQESKIDDVGEKREVSDSELEATAIDYDGPE ARRILAKVDWRLVPVLSLLYLVAFIDRSNIGNAKVAGLTSDLNMHGLQYNTAVTLFFV PYTLLEVPSNIVLKLMRPSRWIAILMLAWGLVMTLMGLITSYGGLLAGRFFLGVAESG FFPAATFLLTLWYRRYEVQRRMAVFYVAASLSGAFSGLLAFAIQKLDGYDGREGWQWI FIIEGLIPVGLSLIIWKVLPDSPETASFLTQTERDFLVRRLAEETGSGHGRVTNQDKM GKKFVIAGLSDWKVWAAVVIFWGNTVGVYGFTATVPTVINGLGYSAANAQLLTIPIYV FASIMTIIFAWWSDKVQTRSPFIIAGFSIACCGFIAQLAIPHPKYPGLTYGFLFPVAG GLYCPFIILVSWIANSLAPSSKRAVGMALLISVGNMGGIMGSNIYLEREKPKYKTGFG VSFAMCCGSVLMTLVLRWAYQRENHKREALLREHGEDAIRARYSDTEMLDLGDKSPFF RYTL CC84DRAFT_1200879 MTLTDTTSHVSTDSVALSYNKADKQTNQDVTMFYPTAETNYRIT RAIAPKGSKFQVGAHWHEDYDEYMRIVQGRAKIRLGSTWKVYTPDDGEIKIPRMVVHD ICRADKDAKPGEEDDEDMILEEWSDPSDGAKEIFFRHIFSVTIDKDFFGWKLPLQQLL IMMSADGYIEILPGPAGWYVTHGLYAALKPVAKLLGLKPFHDEYTPSRLADVRKAMES GAKPKKDA CC84DRAFT_1211322 MAGNATSQSRLLQLPGELRNRIYDFAREEGPVGEQSTTRSQPLF LGLTQTCRVIRNEYRPTYMAYTMFSMRLLDAYTFIPAYVKPHGEDSHGCMLINFDYGF SSIETNVLPLLKQLRRFSSLTAVATCSDITIQHAFRILLSACAREWFDDIAPLVTRIT IKKNAPRHIDQAPRTILNWYLKCTVAKSPFFLSENANVMDIWAWEQRCFQWLFLEKDM NMHGLEVNFRPLWSGF CC84DRAFT_1224949 MRWFKALPVLLCSLATHTEAQNSSALLQAALTTLPKCAINCLVT SVKQSTCELTDFYCIAHDAQLNANMEACVQASCTVREALTTKNFTVTAYGLPVRDHSK LVSYSGMIGGGLTLLAVILRSFARMPCCGGTWGWDDWGILATMIPVLPLTALSVVLAN DGLGKDLWTVPFDKITKILHIYYFDEMLYLSSIALTKISILLFYLRIFPNPTFRKLVW VGIAYCVGYILGTILALIFQCKPLNLAWTHWDNEHPGQCFNLNLLGWLTAALNIFGDL IVICLPLHELSKLAMGRRKKAGIMLMFLGGGFVTIVSMLRLKYMIQFANSHNVTWDYT PIGYWSTLEVHVGIIIACLPAVRSLQLRIFPSSRTQNSYYLGPAGAYGYNSKGGSPFP SSIAKSKGGHVDLMTAATQPAEYRSRDRTPGDKEFIQLEEYEFRLGDKSSSFEKEDAC NPRGKTSTQIERGSVHTDDDAVFLPIQSTRGLSPPRAVYQNSGRNTSPQFITVTKDYS VTVEMTPEHLSSSPPRESEDMGRGRRESQVALTRFSGIEKSSSQKRFA CC84DRAFT_1159144 MVKVLENRLVDLDLLPGTKKQGQAMNRIRQKDQDEDEEEWSSSD EHDQGALTQVQHAATALAGTAGGAVKGVVDTAGNTVQAVGTGVFRTVTGLGTGLGSAA AYGGSAVGKSLGLGDQHRGNNKEYEGLSREQIRSVKHEAKRRAKLSDEERWRLEREEL EEAQRRYEEHEAIRLESK CC84DRAFT_1072154 MPGGIHPPLSVILSWPTPNYMNPVTRPRVATILSCVFGPLTTLL LFARLWVRIRVQQNAGLDDWLMIAAIVPLGALTIIIPLASDVYGFNKHVWDVQPHFYV IERKYVMAIESVFCVASGLIKVSILLFYKRLGSRAVSNTYRWAIKLTIAFITAYSIAF ALVPIFGCQPISAFWDQVDIIKTAMGYEYKCFNEGADVFSACIISTAQDLLTAILPTF LYWKLQIPIRQKIALFGIFAIGYGVVAIGALRSYFSWQIFFETYDVTWVTWHTWNWTL LEIHMGALCANAPALKIFF CC84DRAFT_1159145 MTRQQLCGSRRTVTWLATKLPFLFDSTLQLSKQTTPEVCPKVTE KCPGEEAEKKDFLAAYGTFRLDPSLEAFITKTPMWFAFMSNLVASVAVLQCIARDLFD IISSEDVDRLLPEWSQPQILVGFEDRQPVLQDAKEKVTAGKLISHISGLAYGFHPGLL LQCCQWRGEGHRTMRGKIPDVFTMPLLFEPGTG CC84DRAFT_1211326 MRSTAILASVGTASASAVIPQAIPVLPRTVPQSYDFNLTAFTHS HSRGRVHTLADGQLRLGDGSIPLANFTFTPEIGIVDSKRRGCISTPGPTNQFQCDEGV KPMPDFDISCDNQLLFNSSPHFWACRVNDKGVENIYTEPVPQQLWCVYIQLFAWSSPK ISNRTGCKEDKGTDHVPWIFPWDRFYNNSPAVQPNTPEGARQMASYLEDSKHASSNAT IGRPKAEHGIQSPDGRCGSPTGFNCFGKIDGPCCSSHGWCGFSASHCGAGCQGDFGIC DDESSEKASYGTSTSSKMASKASPISKALNSTSSKAPSKTSSTGKATNSATRTADNNG TYSPAPDCNDPANTEKCGNSYLAYGCPHDLEGTFESPRLMATVSKVDPEKALGNRMDG HIGNAQCSLYNFDVGPGNAGQKCSLVWLFPEERYTDNSTFFVTSPKKTPVMEFWHVRQ PASDGMTW CC84DRAFT_1159147 MNEEDVEMLRNEEDAEPRRFSSWVNAQGEFQRNVEPGRTVDALF ESPPSGRPLPTAGANAPPHATETEEVASRRSSLASSSSSASSSSTTHTPRLEEIRTHN VSSTRERRDTFSSVGGGSGILYRHPTERNPEALSRIETIRSQHAGTVGARLTGPSRIT RTLSRRRTEKPLSEMGANKPYPPPLPDREEYVVEFMGVDDPMHAQNWPMKKKIYIAVL MAYLSLAVTMGSSIFSPSTRPVAEEFGVIPEVTTLGTSLFVFGYAFGPLVWAPMSELY GRKLPLLVGSFGFSVFSLAVATGKDLQTVLICRFFAGLFGACPLSVVAAVYADIFSNV QRGIAIGTFSATVFMGPMMAPFIGGFIVTSHLGWRWTMYISSIMGWLAFALIVLFMEE TYPPQILVGKAAKLRRRTLNWGIHAKQEEIEVDLKELIIRNVSRPLRILFTEPIVLLI TIYMSFVYGILYCFLTAYTLVFEGQYGFTPGVSGLTYFGLIVGVILGFALMAAMNPSY GRKLKANNNIPVPEWRLPLAMVGAPTFAGGLFWFGWTGYNGRTLWVAPVFSGIFTGFG IWTIFLALLNYIIDAYLMFAASAVAGNTFMRSLFAGTFPLFSTYMLNGMGIQWASTLL GCVATLMVPMPFLFYIYGKKIRAKSTFSPAPDIAQDKRRDEEARLGTDGGNGSGSENT AQGSDLGPAVEKEESEGGQVQNRDLNTHRKRKERGVRRQGETVGMGREEA CC84DRAFT_1107365 MWMSSTWALGIGAVLGVAAQDVDYSQFVNPFIGSEGGIPGYAYG GGDIFVGGAVPFGMVKLGIDTFELPVNQSALNGGWTPKGKVTGISLMHESGTGGGPKY GFPAQMPLTTIEAPVNVLDNVTYWQDRVGDDAASVGYFRTHLESGVTVRLSASRHAGL YQYDYPSTGGKHVLVDFSHYLPHPTRSWDSQFYTGGEIEIQPNSSVYTGYTSIAGGWN LGAPVTVYVCGEFDQRPNSANAFKGKNTFPVSRHFRSFDNETVPEPTYTGSKARAGPL NNRVGAIFSWNSTTNGTATVKSRVGISFMSVEQACAYKDDELSTWSIEDTAQAARDEW NRDVFSKIRVDTSDKANKTRLALLYSSLYFTHLIPSERTHENPLWDSDEPYWDDFYTM WDLFRNQVSLWHLIQPAYYESMIRSLIDMFKHEGYLPDGRSGNYNGLVQGGSNADNVL ADAYVKGLRGKINWTEGYAAVKKDAEVLPYFDQNPVDPQGSLKDGRSALDDWIPLGYV SADRNSRAVSKTVEYSLNDFAVSQIAAGEEPGDRDLYLRRSAGWQLSWDPEAESRGFT GFVMPRYANGTFHKTYNITNCGDCNWSDESYEGTAFEYSFVIPHDVARMITLMGGPAH FESRLDYVFQPNTSGVDLGVNGLGITTINNIANEPDFQTPYLYNYLNKQWKSVQRSRQ LATDFYLNTSSGIPGNSDAGALNCWLIWQMLGLYPVVTTPVYLIESPWFDDVNVTVNH NRTLRIRAEGLDDGEGREGFYVQGVAINGQEWDKNWFEHEDAGGIMSEGGEIVFRLGA EKRVWERGEVPPSPGHAVVDVGGGY CC84DRAFT_1107368 MEGLFYNVKYGYIEGIVRGYRNALLTSQNYSNLTQCETIDDVKL QLSPAYGDFLASLPPNPSTSALASKTTDKLVAEFRYVQANATGSLAMFMEYLTYGYMI DNVALLITGTLHERDTRELLERCHPLGWFETMPVLCVATNIEELYNSVLIETPLAAYF KGSLSHQDLDELNIEIIRNTLYKNYLEDFYSWVNSANDIAGTPTAEVMSEVLEFEADR RSINITLNSFGTELSKADRKKLYPTFGRLHPEGTLLLSKADDVEGVRIAVEGVSEYKT FFEQTGLSGGGGVGNMAGGVGGESRSLEDLFYQKEMEISKLAFTRQFSHSIVYAWVKL REQEIRNITWIAECIAQNQKERIGNYISVF CC84DRAFT_42264 MAPPTRRRTRATANSSPLVELKSEPKRRVTKAKAKRPARAAEPK RQPEPEPEPEPEQELTNLPQVEAAPAEASPAKAAPIVEEDRTEALELLRLADMKREQN MALSRIDVRPVELSPPTVSPVHAAPPPPSTPRANETSPPPVTPQTSTSFLGSMWSTLK SSVWSTPKPKSARPSSKANTPVAATPSTPIAPPVIGELTMTLTPTPTPIGDNRGNTKV KRLSGRRERAAIIKNVASSVPDAAEEELATKWAEKVLMQLLQESSTSAGDKRKRLEDG MTYGDLNHFNSKPWKPAASSFGLDDDLMDHVDNTPDEPAPMWAILEHLRIEQQWKGKQ AEQEYEASPPSAKKRKISTGARELVASPKQPVLPLDHARSPFYNSGGRSTSLRDLRPR SCLDRGATSPDNNIFKQSQQTAAQDESVPFPTSFSVPDDTDSDEDLDEEPLTNGTPGA STTWTQPPPPAPVMPHAELPGSVGPTPPIPSVEGNLVTSPTVARVEAQRAKALKFTPA KPSNLSHMSKPSPSLRSDAGNESILADSPVPMPKTKGSGTATPNIFQSAGMANGASLF QNKTLSNGATPAQDKTSSKSATPAQDTSSSNGTSIFQSKSLSNGTSIFQDKSLSNGTS IFQNKDSSNGASPFKSTDIPNGDSPFQTKSLSNGTFAVKSASTNLALPDYDLTPPDAE PLDLPSDVEADLENFVPSAEFQAQLDAIKNWEDPILVYEDEL CC84DRAFT_1254097 MRSLTLLRTAAFLIAGAAAQAPVKDGDAVVFGKGGTYPRAVRLA DNSLLGVYTASGGGNRTITTVRSTDDGASWSPLGQVDTAPSATRDLDNPYVHQLPNGH ILCAFRNHDLGNGPKQDPTWYRITITTSTDLGATWSFLTQAIEMPGGADGPWEPFLQT ALDGTTQLYYSKETGPGGQDSIIRATSDNGLTWSPERVFTGEDTNARDGMLGVTRVAE NSPTKVAIFESGTDGHFIVQTVRSTDDGATWDPTRYTVSSNPGWNAGAPQIVRVGSTL VASYGTNEKGGAWPEGAMALRVSRDGGLTWTDETVVHELPAMWAGLVGLDDTTFLALY ESGGTSYAQRMRFG CC84DRAFT_1159150 MERMVAVRSSFQAGIHNVDTYRRQKLLFSISISIILIIAVIAAA RYPELIFDCAFLCVYCAGLYTDMVMGYAALP CC84DRAFT_42290 MSAQSGSKPVKKRAGRKRQPPLAPGPALQFVVASHPSQFKNENT MRHVRSHVMYKHRGEQRGGSPTEGSRSRQSSAALTRTPSPMTTSSDGALEDTFNLPPA ARLRSTVWDDAWYQYMSQSPSIDPLRNLAARIIAATTAEPARSAPPTFEHESEYPFPS AATFSGSENLDELREIFLKTSNLIDGDDLSACRWMRMMCSNRMSFLSQISVVCVYQDV AEGFLDDTALTIYAKTKLMKTINDNLNTHTDDFTILSIVNLLVSEIGGQNEDVFDVHQ EGLVRIVQQRGGIANLGVDYYIATFLIVVLLSFTVLRGRPEPAMLQGFTPEPLSRSIG SHRPISPLYAPEGNISGIYGRCSVDTFEILQDMHELTRTFLSRWSYPESEDAVADSRL EQIYTRLLYRPSTEDNVLRDWIYESCRIAALIYCRSIVQGMPLSQSANVMHARSSGSG TATSLITALHRAVEQTDRAGHWGDMSGALLWVYLVGGAASWPSFQPLYVELPEPQSST AWTRKWFALHAVRTSLSINFDHADAIVESQRTMLQVQHMISLRRGGPR CC84DRAFT_1159153 MSLPPDYSNEISSLNREILKHQPHDVLQFCANFFLRRLESQRAE FLLSQQHSSPQGRGMAESTFPGGNPFGTSPSHTGTYMQRLDEEDENDQVASPTAASFF PNAAMDKAQSNPASEDTFGNFAGFGGFGDAVKSRPQPSGAPEPQPFPNNYNLNRRTSV SAESLNPASSDHSDWTPPRHPKSAEQQARLREAVAGNFLFSHLDDEQSAQVLGALQEK PIPTKGIKVIQQGDVGDYFYVVEKGSFDIYVNQSGKLEAGPDGQGSKVGSVGPGGSFG ELALMYNAPRAATVVSAEASNLWALDRVTFRRILMDSAFQRRRMYEGFLEEVPLLASL TPYERSKIADALETKKFPPGTHIIKEGDVGESFYILESGAAEVYKRGNEQPVHRYEKG DYFGELALLNDAPRAASVVSTTEVKVATLGKNGFQRLLGPVEGIMRRNDPSKVQDADG VDPFTKSS CC84DRAFT_1107380 MGKYTFTWEHDAQNVYVTGTFDDWQKTVQLHKEDGIFKKTVELP QAKHQYKFVVDGNWVTNESAPKEADASGIFNNVLTPDDIKEEPVSTLSSAAPESSTAA LAGAVPLQNKHLQTPANEDLPGAFPLTPPAETPSQEPKAFSINPIPASEGLGNPVTLA PGEKVPEPSTLTSNTIDSTVKHDREPESEDATVSVAPIPATAGAGNPIHLAPGEKVPD ASTLTGNTVSSTVTTDAASYEKSDALPPQLAAPVFTPEAEREAQGGMFSLPPLAGSVV PESSLPMGVAAKVEQDTGIHIQSAAPTSTTAALAAQVPKEPRVPETVSESQKAAGFEP EASANAEAVEEKQELEQELKDKVPEAPATSTNEKTATQQATEAASAGADTAKHVGEVA AAGAVGAAGAFAAATYAAKDKAAEAVGLNGQATTAASTVPDVVAESQKEAHVSPEAAA NPEAVAEKKQVESELLSEVGNQSKTATAADAVPAVVAESQKEAHVSPEAAANPAAVAE KKQVESQLLSDALYADQTPSEQPARDVPAVVAESLKEAHASPEAAANAEAVHEKKDVE SELLKEVKKATESGEPAPTITAATSATAPALSDEALADSKPLESSSEPNVLDEAKSNA PAGAPAQPATAEKVVDSRDVSPMSKQPTTNTQTGPVVTTGVQSSTAPEKSTPETPKKD AAPSNTNTPESVASGTTDKKKKRRSFFGKLKEKFSSKN CC84DRAFT_1224979 MSTHTAHTHTYGSLRPAAGQRARADSPMMKENPEKHSLESLIGD IDTYIAEQEAYERDSWDEERPGMGRPRSDSRGTMFVDMDEGGAMVVSYRYPLEPTPAL PARSPRRDELPEGELYVVDGQEVGAAPYMQDEDGASFVRVGVSADGKGIYVPKREGGR YGVGGHSRPRHRRGPRARSNSAQRPQYRCSPYPTTTAHRRPHCTALPSDLDAGSTEGE YPRPMRRVENNINIDVDKPLPRLPQSGYRKRRSFVEVIRRVMRKLCSSSRPAQGKKGK VYGVEQRDGSRVLTRRPS CC84DRAFT_1133662 MRLELVSLTAALGSSAHAAVAGEKRLNNGLGIKPALGWNSWNAG QCNAASAKFALDTANKFVSLGLKDLGYEYIVIDDCWSTKSRNSSGYLVPDPSKWPNGI KAVSDQIHSMGLKFGLYGCAGTMTCAGYPGSEGHEVQDAKTLASWGVDYWKYDNCYTP CNGGRVQTCGSPIGNTRTWMTTMGNALQQSGRNIFYNLCQWGRDSVWTWGASVGNTWR MSTDNWNDWASVVRIASAAAGISQYSGPGGFNDLDMMQVGNGKLTPAEEKTHFGLWAM CKSPIVLGNDLSKISSATLAIVKNKDLLAINQDSLGKAATTFRPSGAAAPVSGQLYPY WAGPLSDGVVVGLTAANGAATLSVNFKDVPGLGSGSYSWKELYSGQTGTGTSVSFNLA SHDMVVLKVKTS CC84DRAFT_1224983 DKIPVYYSDQRDPKHEQKLVQQTTEGNFDSWEPTIDMVASNTYE DCPVMPIITKLPNGQSFMVFEYARMSTKDRASTHTRSTKIFPSTRRMRTRSHGTSSWP VSALNRTAVLMQSGHLWMALTLL CC84DRAFT_1224985 MLVPVSFFALGALFLHLLDGVHAGTAGCGKSPTLTSGTKTVTVN GKQRRWILRIPEGYNSSQPYRLIFGLHWRDADMTGVDGGSAPYYGLKALAKNSAIFVA PDGLNKGWANQGGEDITFIDTIRQQIEDGLCVNENLRFSLGFSYGGAMSYSLACSRAK DFRAIAVLSGALLSGCSGGNDPIAFYGQHGVRDSVLPISMGRDIRDRFVKNNGCQTMQ AKEPGKNSRQHIVNVYQNCNSSYPTQWTAFDADHVALPGDNGGDAGVNSFTISDVWKF FSQFQ CC84DRAFT_1224989 MLSPIALGCALSLANTALAHGWIDKLTIAGQDYEGFNPTAAPWV PDQGTISWPAWNTDTGPVYSSNLQSPDIICSINATNAKLYSTSIAAGQTINLHWTTWP DSHHGPIFSYLAACNGDCTTVKKDQLRWFKIAEVGQISLGTGGGKAGVWADDQLRAAD GAWSVKIPASIKAGNYVLRHEIIALHSAYDVGAAQFYPQCANIKITGGGNATPEGVVG TSLYNENDPGVHYNIYNDESKPVYPMPGPKLFTG CC84DRAFT_42433 MAVTQDIEATRADEDVPSTPPASALPTYEEARLSSPEPQYEEPL YTEINIPLPPLPKPKAHAAPTGKRPKSHSISSFRPFHRRGSSSESTRKSGDEWPRIKK EVKSREVAAAMNLVGRHHRSGTVDALAVVPAVLVLSAELFTPGIEKSKSGKWEEGMI CC84DRAFT_42614 MLLTALYARLRQLLCTSSTLRHRRCLSAAAACCRDLHVILRLRK VRCRQLPFSRPLKCGAVQMSPCLLRPVMHARFASRAGSAGWWAVEGGMAYAVRARMRI LARMAAELRKQAEENFGGSIQAIHSTCYYLIAERLTTRPPAKAKELISHLSRSA CC84DRAFT_42435 MVRNIVVLGGSSHPQLTQQICDHLGIPPAKRLLSKFAVGETRVE VEESVRGKDVFIVQSGGGKVNDNLMELLICISACRTASAKRITAVLPLFPYSRQSDIP YNKTGAPLAKVPGANRSRTGTYSFDSVPATPHPAHPGATLTNGTASLDKSLERLKMSE ERTPSHGLKRTDTVETKKSDGSDKGSFQANGVNGTHGNNGLARAATMPPQFSPQPGYK QWVAQAGTLIADLLTCAGADHVITMDLHDPQYQGFFDIPVDNLYGRHLLRKYIQFSIP NYQQAVIVSPDAGGAKRATAIADALGMPFALIHKERRPTQISDRQNATMMLVGEIRDR TAILIDDLADTSNTITRAAKLLKKEGANTVYALITHGILSGDAIDRINASALDKVVVT NTVDQTEHKARCPKLEVLEVGNVFAEAIRRVHHGESISVLFDYH CC84DRAFT_1133667 MANIDAEEQQYQQEVEEVKKWWSDSRWRYTKRPFTAEQIVQKRG TLRIQYPSNAQSKKLWNLVEGRFKNNDASYTYGCLDPVMVTQMAKYIDTVYVSGWQCS STASSTDEPGPDLADYAYTTVPNKVGHLFMAQLFHDRKQREERLTTPKANRANAPSID YLRPIIADADTGHGGLTAIMKLTKLFVEKGAAGIHIEDQAPGTKKCGHMAGKVLVPIS EHINRLVAIRAQADIMGVDLLAVARTDSEAATLITSTIDPRDHHYILGATNPALQALS DLMHAAEQAGKSGPELQAIEDAWTKEASLKLFHEAVIDTINAGVHVNKESLIAEFLEK SKGKSNGEARAIAKGLTGVDIFFDWDAARTREGYYRYQGGTQCAVNRAVCYAPYADMI WMESKLPDYAQAKEFADGVHAVWPEQKLAYNLSPSFNWKAAMPRDEQETYIPRLAALG YCWQFITLAGLHQTALMADTFSKAYAKQGMRAYGEIIQEPEAENKVDVLTHQKWSGAN YVDNMLKMVSGGVSSTAAMGKGVTEDQFK CC84DRAFT_1107391 MKTTASGRTGLLRRLVLFSFSFVPCTFAFGLSTWPLYAIWAILG GARIRYGDRKVLQDSLFFGSFIILLARQPISDACVRTWTLIGKDKQSTATTGAATIVA LAALGYIFKESNNYSREKQSEPLPKGTRPWSVDHAGSFVIPCHTTHSRIFPKKHSFGY DYLLCGFPIVPVGTTPEGIDITDGSDHILGKWWLQIKAEDYLTRGQAALGFYGKLKVY LREKGVKDSEWSYAYLVTAPRFFGYSFNPASFWYIYNQNHQLTRMITEVNNTFGERHL YLLDGSSPTSPAQTQGEEEQVLMPPKTTFADYWTKEFHVSPFNSRKGGGYAQKALNPF PSPTSSPAIDITITLKSTKDHAKIVARLFSVGAALKLDGMSLFEALKFIAAWWTVGFL TFPRIIKEAFVLYFRKGLRVWFRPEVRASSIGRVPTRIENLLYQVFRDYLFSLVHLSE DPFLINFETAIPDKPQDVVASTHRRDRHSSVKSLDIRVLTGAFYSRFVHYSHTSEAFD RECLFTDEKNRTLWISQPELLQELLPKKLLEDPKEQQGFVERAYLSELRWTLLRKLRC PPADPAYPISPKSAQFDLDDIREHTFSELDRFVRGSTGLHYAGHYRRAVTKLFLAQRY ALGFTEVIDVLDLCSRTMLCWLGARMLVSYNQAGGNHHSSSLYDMYGVYNWWTTGTAL GLCHIYGLSKGYR CC84DRAFT_1192148 MFESNEVRADTSTKSVLDTLDSVKELPLPADFLWGAATAAYQVE GGAKQDGKGPSIWDEFSHREPSVTSGEDGDIACDHYNRLAEDIALLSSYGVDVYRFSI CWSRLIPLGGRHDPINEKGIAFYNALIDGLIAHGIKPAVTLYHWDLPLELHKRYGGPL NTTEFQADFEHYARLCFSRFGDRVKQWITFNEPYIVALFGYHSGILAPGHSTKTGHDS TTEPWRVGHSLILAHAAAVETYAADFLPSQKGSISIVLNSDFYEPYDATSESDQEAAQ RRLEFYVGWFGDPIYLGGDYPESMRKQLGSRLPQFTEAEQELLKNSAPHNTFYGMNHY TSQFAKARSTPPSDDDHTGNVEESTTNSEGVEIGPLSGVSWLRVTHKQFRKLLNWAWD RYKRPIYITENGCPCPGENSMSLEQAVDDQFRVRYFGLYIDAISQAINEDGVKVAGYY AWSLMDNFEWAAGYSIRFGITHVNFTTGTRTPKRSAKYLKETFQKRRTAVSNGEAVNG KL CC84DRAFT_1133676 MPSLQPDSANPYTPSLPKKALIFLFKGVNKFVPWHKLPTYIGVL NLAAYRYELRQHNLHDTYPSPSYQGSATSDPVPHEFAHTRNSDGLYNDTNQPKMGCVG MRFGRNVGRDHTKKPSEEELLSPNPRVVSEVLLKREGFKPATILNLLAAAWIQFQVHD WFMHTNSTTEKHDIKLPPGDTWSDSQMSVEATQADEILDDTDKKSPAYRNENTHWWDG SQIYGSSEARTKELRGLAKNGKLEVDEQKVVTYLPRDENGVPRTGFYTNWWLGLEMLH TLFVLEHNAICDDLAKEYPDWESERIFDTARLVNCALMAKIHTTEWTPAILGHPALQI AMNGNWWGVLGEKLYKLLGRVAPGESISGIPGSGAEQHGAPYSLTEEFVSVYRMHPLI PDNIAFFSAATGEHKKTYPIRGVAFGEARAPLTEQSLGFEDIFYSFGINFPGAVTVNN TPDFLRDLHTPEGRHVDMGTLDILRDRERGIPRYNKFRELFHMKPVASFLELTGGNTE VAAKLDKVYEGDVNKVDLLVGCLCEPLPKGFGFSDTAFRVFILMASRRLKSDRFIATD WNEDTYSKAGMHWVQYNGMKDVLTRHFPVLGESLKGIKNPFAPWTKIGKSGEYKGEET NA CC84DRAFT_1254107 MVAKGLFTPTFLGPTVETTAFSLASISTIVVLLRFYCRIWIVKK LKSYDYLIAGAVVCTWGLCVINHYQVLYGTGSGAWPADLPPPSAEFTLNGVLGAAKAW YGYQIVYLLVPALVKLSILVFYLTIATHRPFRTIVYWAIAFVTTYSIIMIIVNAFECP RKPSLVFEPEIFVMREQWHCFNLTALYFSQSALNIFSDLFILVLPLPILVKLHMPTIK RICLLIVFSVGLLVPIAASFRLWILYLWHDAPPDISRYYGGYILFWDAVELNTAIICA SAPSLQPLFRRAFGELRAFSRGRSAYYYYGDDREGTVMTQTTIGRRGSRRLDQEIPLQ RRPSSREVHKRRPEELESDLVVIREMENEEDIRIWAQASAVESTSTHSESHPPKSPAR PRDLLASE CC84DRAFT_1107402 MSTPEAMPASTTPAVESNEAGEGSGLLAKGKRKLLALGKKADRQ AQDKTAATGAARSPTSAPTLLPSPPLTTSPPPDRRSPRGSPRINPAGISASPNRRIRS TSPGLHSPASSQIFERNVQEPEPSPAIPAHIKTEDHIPAALDASSLAITDDHLNPDEV EIVMHAAHQPASAAVAGSMAESVHSPSLIHEEASLFSHPDASESASNANYGSVDTTDP RRLSFISFADVVQAEHAETDRDAAQFISLSATANRSPSPVRSPVSSHGFGASPPRSGA TSEKGLGSPTAPGTHSPPLGGGGELQIETMRQALRRTGSGDLSGARSQPLSAISLEET AADRPPFR CC84DRAFT_42816 MDPAPRSRRSRNREEEDAPEAGDRQRDERGTYTIRAVTPELPED TMTPVSPRSNSISSRTSASNPRSLVARANSNNDAEYALRNVKDPQNRPRTRTMEERST RDKSPPNLFVASRHRIGSVHSTASSTFQSIEESVVTSIGHPSTISPTTHQPPPRTTSS TSRSRLLKQPRASSPERSGNLSPNAQQPDSWVSPVPASDARKIFKLMRATKGKMQGML AFRRGESSPWSLSYCYINEEAGSLVYEPKSDNSYHRTLIPDLRGCRVKSAYDAEAYTA YIHVVGHNSKLEVYLRPPTQEEFDSWFAALMCWQPIRPKGIHNKMAKPQTPLVAERRL ADSRRHSEVSLLKEAPIIKVGKMIYWDTSVTYSNTGTPKSGHSRPQAYRIQSHGSRRW RRVSCTLRENGELKLYSDTDVTLVSVVQLSQLSRCAVQRLDPSVLDNEFCIAIYPQYT STSTSLSLLRPIFLSLESRVLYEVWIVLLRAFTIPQLYGPKQPALSELNEEGIMSPTF GTKDMFRMERSLFVRVIEARLMPPISPKVADMHHTSQRPGSSNATNAGGYYVEVLLDG ETRARTMVKMEGNTPFWREEFEFLDLPAVLSTASLLLKKRPPSSRGDKHGHEAPTASD SFASDAGGGFTGISFDQTCGKTDVYLDDLGPNQETEKWWPLVNMYGNSVGEVLVKVST EECVILMARDYQPMSELLHRFSNGLTLQLAQMVPNELKRLSEYLLNIFQVSGQAGDWI MALVEEEIDGTLKESPATRLRFSKRLGSSESNETHFGSNSDRELFVRDMNNNAKLEAN LLFRGNTLLTKSLDFHMKRLGKEYLEETLSDKLREINEKDPECEVDPNKVSSQHELDR NWRRLINLTEDLWRAIYNSVSRCPQELRLIFRHIRACAEDRFGDFLRTVQYSSVSGFL FLRFFVPAVLNPKLFGLLKDHPKPRARRALTLIAKSLQGLANMTSFGTKEAWMEPMNA FLTTHRQEFKSYLDNICSISSMASPSPPIPPSYSTPLAILQRLPPTSREGFPSLPYLV DHARNFAALVDLWLENTKSTAPSIETTDGDLLKFHQICVVLKERTSDCLNRAERAERP SSSLSVKWEELVEQLQGSASFDSGRGAATKNRVATKDDGRESLPTSPGTVDEQTSSSS TSTPVTMKPVRIPRTRHQQHPSISASASSLTSTASNTVNSPFAAPKVPRNAGYAPSLP DSISQSASTNASASASATEETPPGSSDGLHMAPPPSYPQTRANPSAPAGSFGYANPNA QINASALANSGAFSQPPRSAGGHSVENSDAGSMLEEEYTTALPAFSKDKDIKEKKDRS GFRGVLPFQRKRKDKEKGKDKEKEHQRDRSPERNDHGAGRGGGSALGEYASHSSLRGR AGAEAADF CC84DRAFT_1211347 MAEGNKRPRVFFDIAIGGVKKGRIAFELYNDIVPKTAENFRALC TGEKGANKDGVPLHYKGSGFHRVIKQFMIQGGDFTAGNGTGGESIYGEKFDDENFEKI HEKPFLLSMANAGPGTNGSQFFITTVPTPHLDNKHVVFGEVINGKSIVREIENLKTQS GDKPLHDATIIDCGELTGDEYTKATDKTPDQTGDPYEDFPEDQKPDDAEWVGAQIVEI ATALKDLGNTAFKAGALQLGLAKYQKGLRYLHEYPTLLDSDPKELGIQLNALKTSLYL NSALLQNKVGQYVEAAESAGKALEVEGISEKDMAKAYFRRAQARVGRKNDEEAVKDLE MAAKYAPGDAAISKELEGVKRRVKERREKEKKAYKNAFNF CC84DRAFT_1159161 MARFAKDDIEGRIGELLPSILRSIKAETSERETVTALRALAVTI VTLDSDDLYDSAADLLRRKVSDSESTQVKISAIHALGTAAFFGGTSEDELEDTMAFFL EIVESDGLSIDAHDEGSVVIAALEEWSLLVTALDDFETTTETAMEALVEQLDSADAGV QGAAGEAIALLYEKSYTPVEDDEVPEPTSDDDELPRGAQENLFVKRYTVYRRQDQLLH TLDALANASSRRISKKDRKTLHSTFGDIRNTVEKPTRGPKYSTAIDQETGFVYGGGRM KVKINRNCEVRIDKWWKLQRLNALRRVLQAGFTHHYDENEAVSRCLPFSMSGR CC84DRAFT_1079934 VHGVGTPDFPCTVKDCTRVGDKGFTRRDHLVEHLRNFHHIDIPK RRPGERSAYPLGLPNYQ CC84DRAFT_43581 MNGYGYPVDQSGYYADSSYNMPQYTPAQIHAYYQQYGCYPAGYQ PPQQTPQVPTSAYGYPQGTTAYGHPSTSHEYYTPVGSAQQVAR CC84DRAFT_1159164 MAQRKLQQEIDKCFKKVAEGVATFESIHEKIQVCTNQSQKEKLE DSLKKEIKKLQRSRDQIKTWATMSDIKDKKPLLDQRKLIETQMEKFKAVEKEMKTKAY SKEGLQLASKLDPKDKEKLEVVEMLQHMNEELDQQVEKIEAEVEIMQASTKKGKKGVT NAERISELEETVERHKWHMGKLELLQRALQNGNVETEQVKEIEEGIKYYVESNQEPDF MEDDTIYDEFNLDEEEAAYGLAQDVDRVSSQDTQSIQDEAEPESRSASIPKVKPVETV QSGARRSSTQLKSPLPALATLHTPLPGVSNAASSSMKPAPLPARTPGEPLKYASAAAA AAASDKNGVGIAPLPPPPGSAAAQAGLAPSGARASATTSPAPSHPQLASQPPAGAPET APQAPPTKSPTGTPASAVREKDTLSRAQSSDSRKTPAPEQEEEIQSATPPLTNGESHA DEEEEESIFHLPSTLQDLFESLEATKNDINASSSKPPDERMLAASMATAPDSADTEAP RHYRPQNPYPYTPAHYPQEPLAIFDDPRLYSRIETDALFYAFYYRQGTYQQYLAAKAL KSQSWRFHKQYQTWFQRHEEPKAITEDYEQGTYRFFDYESTWMNRRKADFRFAYKFLE DEL CC84DRAFT_1159166 MHSEAYVAASIHSPLQPSITALAGISRLLARQSLPTPQHSFGKD YPAIMRTVLQRVKSASVTVDGQLISSIGRGLLVLAAISKDDTEKDIESMPGKILKAKL WDDESTDPPGKWKKNVVEIEGEVLCVSQFTLLASMKKGNKPSFHQSASGLKAKEQYQA FFKKVQDSYQADKVKDGLFAAMMDVALVNDGPVTIQIDTDPPKMDDPTSLGPSGFGTP SNGTASTSVDVNDLVNNMTRITKEFKIPAELLE CC84DRAFT_1159171 MLGSAVCIAAVTNTAASIGFTVYLFTSEAQGRLPKLFSQWAERS FTQEFYLCEAVPSVFPDTNALYGFSACERSRAGRYLMLVVCCVSALLAGLGVLQAHRD GVFAVFVKARLRRGPDVEQRRPERANKGKLPQPPAMAHMPSGLPLSKSGVLQNMSPEN SQCRTSLHGFYGKS CC84DRAFT_1107419 MRLRTRPACSLRRSRRPRFASTALRLEYSPAKCLLVTNNKSNLK TEMSNPAGRPNSDIDVAASIVFLAGPGGVFYNEQILFPDGASCSRLQSKYIRLMTVHN VMAYLYS CC84DRAFT_43905 MTTAAPRPTPAHKLSGTISSTPTSTDVNFQGLPIPRGPVKASLS FYKPPEDGAKPHNYVEPLPGVPQRNFGESLHEVTLDDLRGQEQKFSLNNNAFDTIQSV SSQEHEFQDDEQIKRVYYPEVEKLLLENVPGANRVLLFDHTIRRSHPGANRAPVTRVH VDQTPFSAAQRIKLHLPNEADKLLQGRYRIINVWRPLNGPVMAHPLAVADSSTVRDED LIPVEHRYPDRTGETAQVLFNPSQKWYYWSGMKNEDRLLLKCFDSDETVGLWGRAPHT AFVDPRTPEGAVGRESIEVRALVFG CC84DRAFT_1211354 MYEVSCDGFLATLNRKNYIYVEAVNPAGNSVRHAGWSFRDLDEA HIAAEGPADGLEDFKKAVEGAGDDEIFAKGRWKARHMGTQRMASTVSTLLKTQTCNMR WERGCRLAPRACISSASLSPQYPKVWTVRSLILVTR CC84DRAFT_1159174 MAILDYAGTTLALATVPLVATIVVLTKLVAFIPVLVKWRKDEET STAKGVRVPQDEGKVAEFNELRRRAGSSA CC84DRAFT_1159175 MSAHENAGAKASEKEREKAEADLDVDDDRTSVSSISTDSLSFEE EVISIHQTLSRNAGLDEREKSLAHITTVTTNMTTDPRFEIDFEKGESPQDWSMAKKCM VIFFMSFSTLVVVMYSTSYTAGVPGMMVTFHVTSKTNLVLGLTTYLAGLALGSVILAP LSEMYGRRPVYLIAVAMFIVLIIPCALAQNLATILAVRFFGAFAGAAMISNAPGTVSD IVSDDYRALAFSVWSIGPMNGPVVGPLVGGFVFQYMGWRWTNWVVMIGSGVSWFMVFL IGETYAPALLRAKSAKMRKETGEERWYSRYDDKKKFWPMLKENLYRPLVMSVKEPICI FWNVYIALVYGVLYLCFVSYPIVFSELRGWSPGLTGLGYIGIGIGGMITICSEPLLRR LINSHKPDPVTGKPPPEAMVSVVCIAAVCVPVGEMIFAWTCTPDVHWVAPIIAGIPFG AGNCGVFIYASNYLVHSYGIYAASALAGNAVLRSAMGGTLPLAGPAMYKSLGPHWSAT MLSLIEFAMIPIPLIFYRYGHKIRERSTLIRQMREDREKLENKMKKVAEREERLRTRE VTAIDEKEKVEV CC84DRAFT_1133705 MAASRDFLLQLYQHVALPRDVPGTEDRNLCAVEAALLDRILQAA TNLEGTLDKDLLAQELADLGDKRALLLYVKEQNAAILVYKDAGTNGEHVLFEAFEAAA NCEAVVASENALRRDFPAYAASVPSEVYLTRSFQESFASFLQRASIEPLKRFAAVTYK AGAPLPEIRGTMDPSLITEFLMTVLEANGMRCDVPLLRKRIHDTISFKDARIPWRRSP LYLAIRVAMQRHLYKIMGPNLGHFYYKLIMALFLSRLLGECYRVIPHEAAFNFMQKLG RRLAKIEHHQMSVSGHDATLRASLFDKMQRDFKMRLENTRGFLVNQWNVYRAKTRRPI RILPKVADPNDSKLQLPSSGHRLRLVAFGASWTSPPQLFSPRQLLERYEAFRGNTNSF AVVADRYLELASTVEVQVVPMQAGYRVPIEWETRCCALARTIGQYVSSTKDLLEGYPE MKSRFLLDVMELWAELDRHAIVGFPLLKIYHPGFDPELLDALEFLHADDMQRVEEVQT YIKHRCNGWAGSGSKTIFDPPAEDSFASVYYNELGEYTGLAQLREEIQADAEELRTHK EEEWETKLEQYKQTLQEIEESPPCPGFEEIVKDGVLKSIHKSACPKHRLAFKARSIKI EIFEDPLPKDEATAKAAVFELRCPLPFAAYRDATWLLLSILAHVPVQALEDVPTLRGY NGLSHYANSVLPQVTLGSSTKSHLDCHYAETGFPVELHQIWRPCGLTLRYYDTGSQSW TQRDDKMSFSRHIPLMLPSSSPYNCVGVNTYRWPSSNDILASQTKCPADLNVHEFMAW QELLSGTNRRWLSLLRELGATNLNFSTESTWAIVTKLVLQVGPSFLGHPLRDIHMIFD DDTFCNKLLEQVSNRLDAVRRNWREPVQMDLLITLLLKVISLSSCRDVQRKALELLEQ VRIATWKWCLALHSPPPNVGQDSTIFALWASVLCKRAVQRPVSADFNLDTKALEYLIG ASIILQESLVGPFDDLPYALRKLLLDDLLYSFQHRIELASLVKSNVEALLSAINYIWP LPSGASADLPTIRIVPQSWWVEISIQARQERTTHLVHYHLFRGDFLIDGKQSGILPAV YHKPVIHTLFGTYALRVLPSYMPGMSYYVAHSMPFNHKIHLGFRQGELVVRAFQGPAT LELIDASIFTSVTGSNTQHDLPLPLITGCFHWLNIDSKILEIRQGDKWRSKKSNWRLN LNTSEVTRKSSSLVDPFSTVALNVAHNFLLFETAEHILVTQPQKGRLCVELRRLELNF VVNHSNLLQCRELGAEVVYTDLQDIGAWYGCFSKIVLRSIKNERHRLILVPEGRLQFG KHGGHVRIRVIPEGSYLRFDVDDVLGRIQCPAEHRLLYTKAMWHAYTSHVFSDPLTGR TGLEEALCLLQSGLYKPWAPIRDPMVPSILANIANLSPVRAYYPEDLRCMEVVTWNQH LTTTIQDDRYRALIEDIYRRASQLQLFTIDPLGCISHKASTSDPHLVSRSVSRLSDRS PPSDTFYAARGSPTGSVARDSIALITKYLSEWSISNRSTGQLAQILQKYSIIGGLSGP FDRIALCDLISVDVVENWCALVQTAMEAGPDDRYRLMFLLAPMALSPRAPVELLQVLV AYATLPALKDIEMPVWPSYVRFKLDEIPATKDLAESMKEAHQPHEAVPKKQGDLPGQM ALARIHHEEACQHNCLQLAQSILLQWPQVDIDVEKVITIDAKFLNNKAALDLALVDWR RLAQNFQLSQYIERVQQVLDEQSASIDSLTNSDILENGFALPEPHRYFYHRSRAVPTL QELLLSGNLTRSASKGTSIDSKVSTIATAGGPWKQLPNGHVAMLHNKGAHEGGQYART PSTQQPHLKELRGIVEVIQRGTSGQPASAVQREYGRELMDSIEALGKRTSNFLPNLSP SNSVLLKQQIHEKKQSCDEALRALGQALEVHDRRATWLKASCLWPKMTKIALLSELRS TSESAFGPGIKEAVIDLGLRITHWQRLLRVEDASLRHKKQQLQEELSNEGHVNWNPLT RSDWLLLEIDGNIMLRMEQVEVANATIAPATGCNSVTQLLMGKGKTSCILPMVAAILA DRQNLLRIVVPRALLLQSAQVMHIKLGGLLNREIMHLPFSRRTKADNALLETLGQLHS TLKKSGGVLLSLPESILSFKLGGLQRLCDDKLEQANIMIKMQQWLDRNARDVLDECDV SLAIRTQLIYPSGFQMAVDGHPLRWQTVQAVLRLVLLFIPELNNRYPHSIEVVTRPSG GFPLIYLLRRDVEDHLISLIVEAICKGQIAALPCAEILSSAQDDIRVFISSSMVASHV VSKVNGLFKEKQHLMKILCLLRGLFVHRILLSALKKRWNVQYGLHPTRDPIAVPYHAK GVPSPTSEWGHPDVAIILTCLSFYYQGLSVAQFKQAFEQLLKSDEPSVEYEKWATRDL PGCLRDYTAINVDDNMQLRSLHQYVRSNIYLLDFYLNNFVFPRHAKQFDTKLQASGWD LVLFDPSSPPNCRTTGFSGTNDSRHQLPMMIKQNDLPNLAHTNAEVLSYLLEKRNRGY VHMMDELGRRWSEESLLSELHKPNKLFSKEPIRILIDAGAQILEHDNLNLARTWLNID WEATAAVYFDDEHRPWVLYRKGKRIPLLATPFAENLEGCLVYLDESHCRGTDLKLPPN ARAALTLGPHVSKDAVAQAAMRLRLLGQTQAVTFFAPPEVHQSIVDLMKKSAYDHVYS SDVVAWLLKQSCNGIEQLEPLYYNQGNTYIKHEYAKIRNSGFLKNIYQRSEYLSVIRT KESQTLKQMYEPKSRNRGFSGDTRMRSYRGVLGLFATELDQRKKDFQDSGIAIHSSAL EEVEQEREIENEVENNREPEKPFHFTALNTARLHEDIKEFAKSGRVVAGSEAYQPMLS ALQCTASGRRHGAFAQNKSPGLYVSKQFSRTVKVTEPNDNFIRPCQWILWSITSNKAL VISPEEADALLPYLRQHRPAAGASRIHLIVYSAPITRRMLHFNDLSYHATPPLIPGTK VPSWLKIELGIFAGRLYFEWHEYEGLLSYLGVQTMVGGGEYLGNSSRDTFVQKPLTFV HDWLAALRKGQDFEHTPMGFITTGKPLSADHPFFLTDAAEELGAEANAPVPPGGAMHQ DEASLNEMEDDDEDDEDDFHDGEDHGGDTGEDNRARFEEGDNTFFDAVEQFEVDEEEG REGDSSGVNTE CC84DRAFT_44056 MTETKDDGLLRIPCCCSFAFAFAFHYPVLLLNFCSAFVLRCILS TAARYGALSISIYLARPLHSREVLSAFSEQGFCIIMVRLRHFWCDIVHGMAMSLVSVV ACSAPLHTVNTTAYT CC84DRAFT_1133708 MSGPSETSGRPSDPSTHQLESTIPSPTAPQRLRRSSYSSDERIG TRPTAPRRRPGTRKETANPSSRGDISTMQRSTSPTQMSPDSSVHYTRTGRISKAKKGL KVHHCECGRSYTRAEHLRRHQRNHAQNALRCEWPDCGKPFYRLDLLQRHQERHNDPGR AESPQMYSPASTADPEPQGSAPVTLPAPIVTTLPATDSYYAQPVSPMPVSAADASHSK RAYTAPRQNPGPASVPVDAGMQNGITWTDPFGHSPHYTSSSSDYPSPIPSGPDYSSNM FANPPYGPGSNRTRNSSNASYTETAWGYPSRSPTSATSTMAYTWNSNEKSPAPSHPVY MHTSYPMTSMPMSAGVDPMTGFGHFGPKTMVQRDEEEQAFLFPEQPYGMGQLVHTYPF DQYLNNYWRFFHAAYPLVHRATFESISQPPMLHAAMIAIGGQYSDDASVKRKSRILHD RCMRLLDKRELDVITEPERVCDWQALFLVEVMSQYRARRAAMALSSRFETLYQKLCNG FREVTSNIVENISALVQPENATYARWTQWIELSTQQRLLLCCYILEYQQPTLLARNSH QSSIDCQGFDLPLPAHSELWDATTPSDWAMAAQQNPHQLTYVFQVTPDVMQTFDTFQS SLIIAAYYNHFNNPTPYLAPSNFQHIDHLLDNSAVTKHQLLTAKLLQVVPIRALLAVS GESWILCEKTSSPQVFASYKTTLRSWISGLWTDGTDHQGQSAKDALKLAIEIAQHAMT AQTLTLRLELGADMGLYFAALTIWAATNHSPLPGGRTSYPSTPSHFSMNTSGGTTNPS HPAALGLTSHPTTSPVPLSPSATSMQYSELTMLSINFLNTALVELDLLGVVPQWPRDV AQWQQGCAALMRWVKMRLRGGATEGRDSVVSSTLNVGGRTGDGFGGDGFGQLLDGVIG ALEKILSRKWDVWGF CC84DRAFT_1159180 MTRVPFRAVQLPAGLVGDASHVRSASCERKSMLGGHARTQPDIT SLAKRQRFSRDEPARGTCAYGDCAVTGFREWSHVKGRKDEEDQEFGRKERSWQAPATL GPP CC84DRAFT_44135 MIRRARRHYDPPRTARAKFRRQAQYHRSPSHRRNGVARLGTNEV YSGSVLPLIPKRQTRRQRQSEVVLSSRAFVNPGGRYYFPMARYRLFFLDQDASLRALE AEARDVSSWPRFRTGNIGELRAYTTSETYGRGVVRRSSMWMARIELCCTLW CC84DRAFT_44103 MRPSHHHLPPQLLRRDVPLPLHPFHLPLPRLPAFPLHLLLQLVQ LLHNLLALRSVRRRDELLFDEAQLNKRPTSAFHVQLWILTASARSVRWDGVEDSIEAS GGGAPAVLATDVDEGRFGAEGADGRDDMGVRTRARGGEHRLLAWCRHKVSMSQDRVAY FFGAFACARHSGIGQSE CC84DRAFT_1170578 MASMRRSRLSAHNLRIHTAAFPKVGIPNIVTEMILTLITQQPYF PINRIREEMSRPDDEANDDRTPLDHLGFSFDGLSPQDIDMEVRRQASMDALGLYSGNV ASLSTPMDRLIARQDRRGPEEMHARTRDVETLDASELASELSEDARADDVVSVGSFLA SSDTLSVSREHFVESAREQKEQAKRSWRRLKMRRRNTTDKMKSTRRPHQAAPAVQAPR G CC84DRAFT_1159183 MTSQSSRPRRSSTVLDPYATPGVYYGESHDRKHARARTYSAVVD THGSGGRNAAVTDSFKGRRISHDEVSAQPRRFLVQVEPTLKTLLAREDSDGNYQITID DKGPKVLSLGTLASNAHNKFDVRGTYMLSNLLQELTLAQDYGRKTIVLDEARLNENPV NRLTRLIEHSFWDGLTRRIDGSNIEKVGRDPKDWTDDPRPRIYVPHGAPEQLEYYRRI RDEHPDMRLDVVELPETWNDEYVRDLNEKPGLLAIAMEEYINPETKKKDLRGLPFVVP GGRFNELYGWDSYMESLGLLENNRPELVKAMVTHFCFCIKHYGKILNANRTYYLCRSQ PPFLTDMALRVYERIKHEDGAKEFLRQAILAAIKEYYTVWMAEPRLDKKTGLSRYRPG GIGVPPETEASHFEHVLQPYADKHNMTFKEFVEAYNYQKVNEPELDNYFLHDRAVRES GHDTSYRLEKVAADLCTVDINALLYKYEVDIGRTIRNIFGDKLPLPKEFCGEGMQEGH VETSAAWERRARDRRMRVNKYLWDEEAGMYFDYHSVLEQRTGYESATTFWPMWAGLAS PQQANALVTRALPKFEVFGGLVSGTEKSRGKVGLDRPNRQWDFPFGWAPQQILAWVGL QRYGYNDEAQRLAYRWIYMVTKAFVDFNGVVVEKYDVTRPIDPHKVEAEYGNQGSDFK GVPREGFGWVNASYIYGMTLISAHMKRALGALTTWDTFEQALSDLGMT CC84DRAFT_1182597 MSEDWDTVTKIGSRTRGGAAGPRETVVKGKSALNAAQRSGAVVA TEKKFSTANAGSSGSEGQRLTKVDRSDDIIKPKTVGIVVGQAISKARSEAKNDKGTTM TQKDLATKCNSTPTIIADFERGTATPDQKLLGNMERVLNVILRGDKIGQPKFPNKKK CC84DRAFT_1211364 MQSAEQLSPNTFAAGAGPGPLEGEAYYQGLQKDVAASGDRSESN VRNRHHHRRGASARKFANAAETSRNEDIPRSQPMQRQPAALHHASSLSLTPLPTIETI LSSSPPTPIYHQSPAPTPSSQPQPAYKTKIHIPKPPTLRRRHQVDPDTLEELLRAADR RAQRKSSSGANFTGSREVDVAADADVEMPDMRKTDRMRQDAADAADAYPSPPPSPLLS PADVWFTPKVSSTPALQGEAMDWDWGDGGEMDEDVVYGAAGLALSPRAMKGAEGRCCR CGGLELYDIAEESEDDGSGEDG CC84DRAFT_1133717 MTASKIDGNAIARSIRERLGAEVKQKQATNPRYRPSLKIVQVGD RSDSSTYVRMKLKAAEEANIEAELVKFGEDISETELLYTLNTYNNDPSIHGILVQLPL PKHISEHTITSAVADEKDVDGFGIASIGELAKRGGKPLFTPCTPKGVMVLLKEAGVDP KGKNAVVLGRSDIVGSPVSNLLKNADATVTVCHSKTTNLPEIVKQADIVVAAIGVAHF VKGEWLKPGAVVIDVGTNFIPDDTKKSGQRLVGDVDYDSAVDVASQITPVPGGVGPMT VAMLLQNVVDSADATFDRQKKRKINPLPLKLQDPVPSDIEVSRKQHPKPITSVAAEIG ILPNELEPYGSTKAKVDLSLLKRLEHRKNGKYILVAGITPTPLGEGKSTTTVGLAQAL GAHLGRICFANVRQPSMGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNL LAAAIETRIFHENTQKDGPLYKRLVPTKKGKREFLPIMYRRLKKLGITKTNPDELTEE EIRRFARLDIDPETITWRRVLDVNDRHLRGITIGQAPTEKGQTRETGFDISVASECMA ILALSNDLSDLRERLGRMVVASSRQGDPVTCDDIGAGGALAALLKDAIKPNLMQTLEG TPVFVHAGPFANISIGASSVLADKLALKLAGTEPDEDHTEKAGFVVTESGFDFTMGGE RFFNIKCRSSGLQPDTVVIVATVRALKVHGGGPPVSAGAQLPEVYRTENVEILRKGCV NLKKHIENAKSYGVPVVVCINKFSTDTQAEIDVIKEEALAAGAEDAIAANHWAEGGKG AVDLAKGIIAASNAKSDFKFLYNLEGTVQERMDAIAQKMYGADKVEFSELAQKKVDTY IKQGLGNLPICVAKTQYSLSHDPELKGAPTGFTVPIRDVRMAAGAGYLYALAADIQTI PGLPTAPGYLNVDVDVETGEIGGLF CC84DRAFT_46367 MAIDNPARLVDVSAALAALEPLGAHQYCIAFGPGSMQFCATPNG YSTIHLPRKVFRDLLAGQIKKVLHASFGNNANSYFFTYEMQDGTIAHRAGSGIPAGSR CFPDRISSASKERASSLHVQLGANRSYVAWSGSLWVCHGISGGLRAVRHHSSSIDIDD ANGSEGVLLSGTIDNMAWHNDSSWYFKNGNAYAELRTGSGAEVLREAWHNLIPENLAY VAINPHSLTGGTFAFFEKAQDGCEPNFVLRFEPEDVVSRLPSRSNPPSIHSQVINVPK NSEGLQVFQWAASKYTGRSHARDAWELELKKGEQMIPHVDPREAYVRSTVDIEKMLKA GNIRTFPDLTRYMNACTKDACSPQKADPHHLGICSHDLYKLMQGSGDYCLDTLKMERN KWHPDRFARYCHSDDRETLREKAQALFVLFGVLMEKTPAPEPVA CC84DRAFT_1159185 MRPILLSGHERALTQVKYNPDGDIIFSVSKDHVVCAWYSHNGER LGTYHGHQGALWTVDVDPTSTLLATGGADNTMRLWEVKSGKLLKTWDFATSIKRVEFS ADGKQLLGVTEKRSGHLGMIYVYEINPDVEAQQSDEHVLRIVCEDSKPTVAGFSYLAH YIIAGHEDGSVSQFDAKNGELLYNTQVHEADALITDLQWSQDRTYFITASKDKTAKIS AADSLEVLKTYVADTPLNSAAITPVKDYVILGGGQAAMDVTTTSARQGKFEARFYHKI FMEEIGRVRGHFGPLNYVAVHPQGTGYCSGGEDGYVRVHHFDKGYFDFMYEVERERAQ EQANL CC84DRAFT_46602 MSASASESPKSFHYEFETLKGYFAQSEDSTDDSNFDFIKEEFGL LERKYEIDTDAEKEQGQWRRFENLVRDLNKKSGEGESVKVLFLGRHGQGFHNVAESKY GTKAWNCYWAMLDGADGAIWSDALLTEVGQGQARDVNGLWQALLPKGIPPPETYYISP LTRTIETADLSFKGLDLPHDKQYRPYIKELAREALGVHTCDRRKTASHILKAFPHITL EPNFSEPDVLWEADYREPRSARQYRLSRLLDDIFENDDGVFLSLTSHSGAIRSILAAI GHRTFELQTGGVIPVLVKARRVEGPRRKPPKEPSSAPPKCREPPVGV CC84DRAFT_1211369 MASSGIKKIKVKNPIVELDGDEMTRIIWQEIKDRFIHPYLDIDL KYYDLGLPYRDETDDKVTLDAAEAIKKYSVGVKCATITPDEQRVEEFKLKKMWLSPNG TIRNHLGGTVFRAPIVIPAIPRLVPGWKQPIIIGRHAFGDQYRAKDRVIPGEGTLEMV YTPKGGKPEVIKVYDFPEGGGVAQTQYNTTESITGFAHASMKLALDKKLPLYMSTKNT ILKAYDGKFKDVFQEIYDTQYKKDFEAKGIWYEHRLIDDMVAQMIKSEGGYIIAMKNY DGDVQSDIVAQGFGSLGLMTSVLITPDGKTFEAEAAHGTVTRHYREHQKGKETSTNPI ASIYAWTQGLSKRGELDGTPELVTFAEQLEKACVDAVNIDSIMTKDLALACGKKERSA YVTTNEYLDAVERRLKSSLKEKL CC84DRAFT_44303 MCTWDLYWGFVTSSALADASSAHAECPPPSQMQEQVSFEVSLVV VLIHICVVAGCLRYIFQTDPNPCIQVITSRNVVDALPRTVQMHRYSTG CC84DRAFT_44812 MAGHAEPSRLALRQAHWHTAQGTWSPYPPETKSVVDIDGLEHTR ACSDPVPQFQPRQQLTCATLRHSGPTPAANDSTRPTVPAGNTTRRTSEPLTRSVTGNT RGDSDGARAAIGKPSLVHVVSTAPKPFSESSVGPSSTAHAQTLTLSPSPGSMQIALKP RLSHQHTPIPTRHVTSTASHKSAVRHTKSPSFPRLTINIPQTQSEHGHFVIDMATSQE SPPTKKTSLPGEKRVTFSKEQPDIIPQPSKRRQSSVNQRPSWSNVFLLRDSKVRDDVA ARSRSLSPGPSILKEVSAFKSDVTDAELKQDEHGNERKVHIKPLWEKDLKRLPRGRQK TNALPIPQAKVSRNASPRAFSPEDASPRDVSPTSMSARWASPREDTFDKAATSPHSIV VHPPSPPESEDEDEDVIEEESDESPSPRHSRNSSIEEVEATHNRFGNMKIDIDGSSHA HRHEKRKDSGDSRKDSQDSRKDSQDWRESRKSSYGHRRGSQASRKDSDQSDKDSDRPP PPRRRSSSADQAEMWLRKSSIYGVRSKAPRLPTRLGSLRA CC84DRAFT_1133730 MTTRSYTQKDFLHSYEFPLRPVQDFTLNGTPASHAPEHPQEWGQ EKDGFDYWKDFEQHFGSKSSQRFGETAHRSIDTALSPDNKLLAISSASPEGILIYDVV TKELRQTLEGCGTLGFWPRAAKHNVEAGGRAATDAVGGNPAYILISNFSTGEPRPQPY AGLIVWELDSNGRLFVEEESINPPAIATKAIEAIMPELESEHEWTRAFVNASALHADF VKALEKTSADHRRRNNSVIQNAQIPSFVQNPFSYDGKLVLYVTNNQTTQHGMRDPDNL PHVVVYNVDAGREVHRFRGHTDMVAWAGFSPDGRYVASVSWDGTLRMYSTETGELEWA TADAVGQSWSGAFSPDSTHIVWSSRSGREIKAHQVSNGRVVSTFPETVNHWCRHFSWH PTNQQIVLCADKTVYVWQPFGGPGGLVVQHMVIDGGDCFPRMASIQNVFWMDDGRLLT MRISDRTTLVYDTRTNAKEVFKRPRGVDAADVRSGFYELPKEEGGKSSYLSVDGDGKV RYWDRCVAPTPDVQSEGESPVEALILENRSKQPKEAPKNGKFGAVMRKISEQIRDMDG QDPATTSDQEREAWVQKGTGIWTAE CC84DRAFT_47160 MDGLSGAASVIAVIDISAKVASLCLQYSTEVKHAKGDIERLHQK VGETKIVLGKLQQLLDKRGKSQLPTTNTLLGPLQRCLEELKELEATLQTNLEPSGPRK AMQRFGLRALKWPLTSKEVEKTIQNLEKYGHTFSLALQVDQTVLVADISQQLDLTKLP VAIGASFDSHADEHNARCLPETRTDLLKTIADWADNKDGKAIFWLCGMAGTGKSTIAR TAAQSFNKNGLLGASFFFKKGEGERGNASRFFSTIATDLVAREPGMLPSIRKALDEDS ALPHKVLKDQFEKLILHPLSGMQKTHSATTARVIVIDALDECERENDVRVILELLTQA KNMQAVPLRVLVTSRPELHIRLGFQEMPNGTYQDLVLHEVAKNTIEHDIRLFYEHELN EIRRARRLRPDWPTGDQIQVLVELAVPLFIFAATVCRYIGTKGGDPEEYLGKVLKYEK STFSQLDRTYLPILEHLLDEQDEYEREAWLRAFRKVVGSIAVLENSLSIISLANLLQV PKRELEYRLDALHSVLSVPDSQDVPVRLLHLSFRDFLVDPQRQDKNQFWVDAKDTHKS LASYCVELMSGPHGLRRNICNLSGPGALRSEIDEGIITSSISPELQYSCRYWVDHLKQ SQQEIADGDATHVFLQKHFLYWLETMSLLRELSRCVHLLNMLETLVIPSANMVSAFLL DAMRFVLRFQPIIDDAPLQLYSSALMFAPQTSIIRKGFKDLAPRGIKMVSRRETDWDA CRSTLEGHSGWVSAVAFSRDGKLVASASGDKTV CC84DRAFT_1159192 MFSSSASLYLAIFACVLSMSAVALRFCPRFTPLEAPALCASSSA CLSSDEAFFLRPRFALPFAS CC84DRAFT_1159193 MYFKSFVALIAASAVSAAPAIKLARDDVQPWQITRLYTHTPSGR PGNDPHSTLNITITDPNTIPVGQSPTGQAVFPPTSANCSLQYLTNEDVPWGVEQSCTT DDNTKTYSTWSFTINKPAEGAAYASENFDLSFKLVDYVHLPGQEVTKTFTGGDHFEVG DNMSGQCGGSGVCNWNLNDTPYDIQQTEAEA CC84DRAFT_1077364 MSAGLGIIIMKEPRPNSKGQSRIILRSSEPPRSPLVTSNECEAL ESNGRPCQQSLPREEDTWCKRHSAELKDFQAKWDKAFRDVERVEADTPDTARQKILKL RQAMDLRRQIRERFYSRGGDTSDFINWMANVERDMRTLADSVLMLNLNRGPTPETPGG RSPHPMQQGLEKIMILQSPLSPRIPITSLHNMPDDGTILILKHFYSDLCVEGVQRLYS IVPDLNDSGKHLGSPVLEPRNDSGTEIIRAWFRIMILNTSNAETLEHAVRSPTISEFL SGCHASQLEAFCDFFEKAWRPHALQFLRVAICAQTLAGSDVKTIGLLGGMIPSTTEGL KMSKASWDILHRWFPMLLTPWTLASICSNFEDYTTVCKLLMLGLYRDYWFDPSSILVE CITGGYLGFLPSSKGPPSTSGLKQVNESIVQTESRNYVCGQMAIGDPLTQLFLDELQK RTGRLLLVVYEGTNADATVHPTEGELVIKRHRSAKSHQALDHAEWTNDTTLEDIKNDL RFRKSSMYDPIVVDSWQFIIIDRDPNLAFELFEIIEDILLMLVDDPSPREIMRRVVEE VIPPAAQEMFLEKIDVAKIAGVGFPSAPEVQYEGNRQRSHEPDRQILLAHQKKMVQDG KSREANRFIRRVVDDMERCGIVSLVSDHESPQTRPVIVQGSDGALDLYFPYEFGGLSP DVELMPNLSLPRKTCLGDFARQFKNKHPEGIMAKGSILTHYCAWPMPAMKRMGKSRLN FGTWEGHVYHWNAMREYHLSVCFLSVVVPLQAVLCQTSGISPTSRCSSGLLLRI CC84DRAFT_1159195 MGLAVDRDYFAVEQSALKEGYHDIPDIERKVITRMENKKLFGKA PSSLATSLDLEPAVSAWQTACGRLSLPSKEQGSSKGKGTGKSKQEKRKVDVRLSDDVG TYVCGLIYFSSLVEMQKRTGNRDVVFFHVPQLTGGGEVDVGIEVTKELVVALVDVWEA RT CC84DRAFT_1159196 MGKPRMIILVRHAQSEGNKNRDIHQFIPDHRVKLTQLGWSQAEE AGRQLRSLLKPDDTIQFFTSPYRRTRETTEGILRTLTSDEPSPSPFPRNKITVFEEPR LREQDFGNFQPCSAEMERMWQERADYGHFFYRIPNGESAADAYDRVSGFNESMWRQFS EPDFPSVCVLVTHGLMTRVFLMKWYHWSVEYFEDLRNVNHCEFIVMKQSPTNGKFILE NELRTWSDLKKRAAAGDKDKGTSATPTSSRPTPLTGTSGNATSSPVVPIRRWGGCANG CNHDKMSWPKRVMRKNTAEFLGNQPVAPLAHVAHVDGEKDDNPIASQEGDHTPAIKEP TPQKPTRKASTKAAPGPSLPLTPASPNDASDDGSSEDEQVMSSEQEDSGPVAPRRHHA APRTGAILRHLQPPSVGEGFSDDSDYFPGMQHLHVHHNAARRAQREKSKERKAARKQE KAWMQESGMYSGARADGLGDGDELSDVGSASYVKIVSPTTMEGGENMPILKEALKGDM PVDKSAEEENRAEDDKEVAEKKIHEEDVEKLKEADRKTLSEVY CC84DRAFT_1200926 MSEGIAESIPTSSDSRSKRPLKKRALTPGGKLAQDVEALFAKPD REIHIPGSKGDKSLAPPPEIVANVQGSSAGAGSGEFHVYKASRRREYERLRMMDEEVK KEEDEREFQKKKEEQERKDREKTEKNRAKREKARARKEKLKKGQKGSAGGSQASPAPE GDKLKKKLAPNTNAPRHADEEEDPVLNGGGEVKNADEIGLVIEDDD CC84DRAFT_1211380 MKVSVIAALFAAGAIAAPVAQEAAPTAAPSHSRPVRPTGLPSGL PSFSVPSGFPIPTGLPTGGFPPRPSGTHSAHHSFSRSARPSAPPAPSSAEDLEARQEA TSVPGLPSFSLPPRPTGSAPIPSFSRPPRPTGSAPVPSFSRPPRPTGSAPVPSFSRPP RPTGHPSGSASAPPAPTASA CC84DRAFT_1080657 MDQSHFVGRLTFAQEESNSGHDADLTSPHLGISTKPAPDAKLTI SSEPQVDFDLFRTWNERCQQHDDCIPDDTSDLERLKVIDCETRTVQLAPEKCPFVALS YLWGPQEDFAPQPLPAEQTRTIEDAITVTLRLGLRYLWVDRYCIEQTSIMDKTLQIKQ MGQIYASAHLTIIAAAGTDPTHGLPGVSQPRQVNETVAEQVGPVTLVQLNTPTASDFQ QSMWATRAWTFQEGILSKRRLIFTPQAVLYICSTDYLHDLSPGYSSGINFELVALAEF ISCARLPREFQSSAGSSRFKEAMRYVTAYSRRNMRMSSDALNAIIGILNYISTDKTEP VYHLWGVP CC84DRAFT_1077545 MSDETYQQAVPANVEPQSQNESSSPKEDILRSVWARVLNLPVEQ IGLNRAFLSLGGDSISAMQVMGHCRKQGISVGVQEILRSRSIAELATMVKDVQMSTDR ATEEIDVPFDPTPIQSLWFQLPNQGHGHFNQSFYLQVKRKVNPADFRAAVETLVGRHS MLRARFTLSDDQSWQQRVTKDVTGSYRFRYESVSTKEQVDHMIEDSQKCLNHATGPLL AADLFEFGNEQHAFLVAHHLVIDLVSWRLILEELEELLTNGSLLPPALPFQRWAQLQR EHAQTQRIDKVLPPADIPPLDFNYWGIQHADNTYGNAGHASFELDHNLTSLFLGDCHA PLKTEPVEVLLASLLHSWVKVFDDRAIPAIFNEGHGREPWDSNIDITRTVGWFTTVYP ISVIPSDDPVETIRMVKDFRRQIPFNGRPYFAKRVLTKDGNEYFDTHWPMEISFNYLG QYQQLERQDALLQPLESMAGETREAGGTADVGYASPRFGLFEISAIVFKGQLKFAFTF NRNMQHQDRIRDWVSNCSQVLTDMIQKLPSVAPRPTLSDFPLLTLNEERFQAMLHRLA QMGVSATEVESAYPCSSMQEGLLLSQSKNAGFYAAVTIHELTIPNGHPKWEDIADSWS KVVARHPALRTIFLENIGAEEGLYDQVVLKRVEPNVVHLQCANEEEALRLIEQQRSVT YENNSSPPHRFTICSTADNKVFCCLEISHAIMDGHSMSLLFRDLRDAYGNSLAEDGPP YADYIAYLMNQPQEASLDFWRSYLNGSEVCSFPMLNDGVVAEKQLQNIRVDCGSISIL DLQAFCSVHGITLSNIFHTAWAVTLSLYVDNPDVTFGYLISARDTDDIQRVQDMVGPI INTLVCRVQLTDGTRSLLDVIQDVQRDYVESIPHRHIALAEVQHMLDLGGANLFNTAL SYRRLPPDSTSSNEKLRYTEKAPIYDPTEYPVSINIEVSDEAAMIDLDFWTDHLSAAQ AENVASTFVRTLENVLHNAENSINSLDNLSGRHWQRIQDWNVMPDTIHECVHRRFQEW AKVQPDAPAIRGHDGDYTYAELDAVTERLAHYLVDLGVGPEVFVPTCFDKSSFAVVAM LAVLKAGGAAVPLDAKHPKPALESRVEDTQAQVVLTTAARSEQFEDIAPDVIVVDSVL LDDLSDVESIACPAVEPHNPAFVIFTSGSTGRPKGVVLEHAAFVTSASAHGSKLGVGR DTRFLQFASYTFDNSLEEMFTTLQRGGCVCVPSEEDRMNDLAGAIARLNANFMDLTPT VASLLNPKDVPTIKGLALGGEALTKAVLEQWTPYMQVVGQYGPSEASVNSAFKSFRSF KQGDDPTNIGRAVGSVSWIVDPKDRNRLAPIGCKGELLIEGPILARGYLQDPEKTRLA FIQDPAWARSSDGHSRRFYCTGDLVHYTGDGELMYLGRKDSQVKLNGQRIELGEIEHH LNLALPSNAQSAVELVKFTDSKGTKALVGFVCLAETSTEVIPGIGEMTDDVRAKAKEA EVALANALPAYYVPSIFMPMTSMPMTTSGKLDRKVLRQLAQAVSEDQLHIFRLAGKSG RAPSGPVETTLARLWSSVLNLPADSVGANDSFFRLGGDSIGAMRLVTASRKEGIVLAV SNIFSQPQLAELAATASILSSDERSTTSEPEAAPFELIPEHKKRQVIELAASECGVFP DSIEDIYPCSRLQEGLIALSATEPGSYVAETIYRLPADIDVQRFQDAWNRVVAEEAIL RTRIIYVEDQGFVQVVLRNSVDWSQLESLQDISNVHRHLPAKPGGPLASYAIVGQGTS SVFFVWTAHHAVYDGWSLTSLLGKVESYYQNSTQASHAAVPYSRFIKYLDSLDPKQAD NFWLSMFEDIAAPQFPQLPSPDYKVEASGQLRHQIPVTRRSGTDITMPSIIRAAWGLV LAVYSGSDDVLWGETNSGREVPVSGIEDIIGATITTSPMRLKLDRQLTVQDYLQEVQR QTSAAIPHQFAGLQHIRKLSSDTAAACDFQSLLVIASGDSMKDPEGGLWNLQSTGAVG TNFFNYGLIFNCSVDKNGIEVEAHYDGQVIQSWLVQRLLEQFGFLINILNREDALQRV LGNLSMLNPADEAVISSWNSRPVNVVDRCIHDSVSQSQVILRPTSIAIDSWDTGEMSY REFDERTTRLASRLMHLGVTPQSYVPICFEKSGWTIVAMFAIMKCGAAFVPLDFEAPL LRLREIVGDVKAELVLCGPKHEDLCRSIPCKVLVVDREATEQHSGHLHSQVTVQSDTT AYVLFTSGSTGKPKGAVISHRAFSSASAAFAPAFGLSENSRVLQFSSYTFDACLIEIF STLIMGGTVCVPDQNSRTNDLAGVINKFRVNLVCLTPSVVRMIQPSQVPSVKTLLLVG EAMSQQDLLTWADRVVLCNGYGPTECSAIATVNVMTTTTKPNNLGKVVTARGWVVSRN DINQLVPVGAVGELILEGGGVGSGYLNNPEKTAYAYVEEVSWTMGEDRNVGASRKFYK TGDLVKYNEDGTLLYLGRKDNQTKVRGQRLELTEVEHHLIQDSVVQNALASVPKAGPC AKRLVGVVSLRDVAPSGSSTAELQLLPKDTASFNIATIRERLEARLPSYMIPSLWIAI SRFPLMPSAKMDRKKVVQWLENMDNDTYRTIATLGMEDPEEDANVVDRKLQTIFAKVL NLAPEDIRMGSSFLRLGGDSIAAMQVSSMCRAQGLAVSVQDIVKSKSIAALAAGVSIS RTSTTAKSQPQDYNLPFDLGPIQKLFFDTVGDNYRHFNQSTIFKLARSFELQEIEQAL SALVDTHPMLRARYFQNESMLWKQSVAKTPTPFRLRHHQVKSAKEEFIRPVIDESQAT LDIVKGPTFSADLFDIDDNFSQAIALVAHHLVIDVVSWGVILEDFENLLNGTAPPPQS LPFHAWTQQQAAQARRESAEKVMPLPTIPPADFDYWGLDASDNLNGDVISEDIELSPK DSMLILGAHDALATEPVDVFIAALLESFRKVFPDRHAATIHNEGHGREPFDSQDLSRT VGWCTTMAPIHLPVAPEDPTDIVSTIRWVSSLREKTPGKGRPYFAYRLLTGEGQDRFA SHWPAEVTFNYLGRMQNMERKDALLQRMTSISTTDISATTPRFALFEVTALVAQGTIK LSFDFNRRMSRQSQIKSWMAACKDTLVDAVDQLLQLRSEPSLDNFKLLPLTYNGTSKL SALLPTGTTIDEIEDVYPASPMQQGMLLSQLKNPELYSYHCILEIKSTAAAQQINPRK IAEAWQVVVQRHPILRTVFVESISKTGLMDQVVFKERPGRITWIADCDTEDVARFLRD QPLIDFREFNCPHRLTICKTKANNIWAKLEMSHAICDGTSIPIILNDLARAYGNKLTR ADAGPLYSNFVAHTLTNNRDADVNFWKAYLTGVEPCFLPVLHDGVPGPHEMGSYELHL QDIAPMTTFCRKFGVTLSNVLQLAWALLLHTYVGAPDVSFGVVASGRDVPVKGIDEAV GCFVNMLICRLELSDDSTIHQLLEMIQTHSVDAMAHQGCSLADLQHELQLPSLFNTVF TFQRRQLSRDPTKTALAFDNVEAADPGEFLMTLNVDVSDEGASIDFGFWKDKVHPSQA RNIVDAFEKILSSIILSSDKDVTVTELDMLTTSSVQQIMEWNADLPPPVRRCVHDVIQ EQVLLRPRSAKAIESAELTVTYQEFDEITTRLALHLQGHGVGPEIFVPILFEKSPWAP IAMIAIMKAGGAYVPLDPKHPPARLRELISDVGAKVALCSRMYHSRASEVIGSAVIVD RLSINKLPLSRGVQLKSNATPENPAYCLFTSGTTGKPKGTIIPHEAYCTNSASFAPAI GINSNSRTFQFASYTFDASCSEILSALMVGATICVPTEDERMSDPAGAMRRMKATWSL LTPSVLSTLKPTQLPTLKSLCAGGEAVPAAEAEKWQNRLHFVIAYGPTETAVIASTIH KTADIDGRNIGLASACRLWVVHPRNHDKLMPIGAVGELVIEGHTVARGYLGDEVKTAK AFITNPAWASALPAENGSFDTARMYKSGDLVRYNPDGTVGYIGRKDTQIKLNGRRIEL AEIEFHVNDKFADNIQSAVELVAPASRTSAKALAVFFALNDDPRASSTEVVQPASSDL PQSDELLLPMDDDVRDVCKTLENALVGVLPAYMIPSIFFPMKKLPWTPAGKLDRNRLR VLVQNLSKETLSPYRLANSMHKKKPATEAERRLQKLVSSVLNLPLSSVGADDSFIRLG GDSIAAMRLVAAAQAEHLDLSVIDIFKRPKISDLAAKCKFSASEPKVERSIETFELLP RELPRDQVVQELSEICRKPRNKIQDAYPTSPLQEAFIALSIKQPGAYVAQHVLVLAES LDVKKFKAAWEKVVQEIDLLRTRIAQLQNGAFIQAVLVEDPIAWREVSTLEETEGDAE NLPAYLGGKLATYAIVRTASAARYFVWTIHHALYDGWSIGFMLQRVQQIYQSGSSEVP KVPYTKFIQYLQSISRDASAAFWKHHLAGAAPYQFPQQHSNTSEVPDGQTLQHTAKLF SQRHTDITPPTVIRAAWALLLSAYTGSDDVVFGETLTGRDIAVPGVTDICGPTLTTVP TRVEVNRDGNVLDLLRSIAQVATDRIPHQHFGLSELKRMDEDTAAACDFQNLLIIQTG SEQPAESMWSHHNNGVQGQYFTYPLVVECQTSQTSISITAYYDANVISSWQIQRILCQ FDAILSQLNSVGNIRDIHVFSEQDAQFVSRLNAAEPLIVNDTMPSLFFQQVVARPHAP AVSAFDGDFTYGELRDLASQLAQELIKLGAGPEILVPICMDKSRWAIVAIMGVLISGA GYVPLSPDHPVSRHRQIIGDCKASIALSSPQYESRMADIVGKVITISETTIRQLSMRQ AQLTPRATPENICYVLYTSGSTGVPKGVTIEHRAIATSSAAMCKSLNIKPTSRVFQFA SFVFDVSVMEILTALSCGAVVCVPSEESRTTDIASAINSLKATWTCLTPSVANVIESP ESVPTLQTFASAADPLTPETIKKWGSKLQLLNAYGPTEASVIALSNKVASSPSESTII GRALDSGRAWLTNPENPHQLAPVGAVAELCLEGPFLARGYWNNQAKTAEVFIENPRFM KEFSKDSFTRIYRTGDLVRYASDGRVHYLGRKDNQIKLAGQRMELGEIEHHLQADKVI KHAVVLLPKSGAAKRKLTAVVSLHQTLTKVDVHNEPWDTPINHPDVLQQIKVAKERLS DLVPPYMVPTLWIGVPRIPALASAKLDRKQVGAWLADMDEKTYRTILEIESSMEPAIP SSGVVMKMQGIWAKVLNMPVEKVKPNKTWLSLGGDSITAMQLLARCRKEGINITLNQV LRSKSLAHLAENVGASGIVDVGKDKTDTPFALSPIQRFYFQSLNDDKNSHFNQSFTLK LARKTDSTSLKAALDAIVRCHSMLRARFAKDSSGIWSQIIPGDFSNAYAFNVHNGIPL SDVPELISKTQESLDIVTGPVFATMLLNIQSGEQILFLTAHHLVIDVVSWRIILGDLE ESLISSASAELQKGLSFATWNEKQISHTTDATQIAAVQKQSFHVEPADLAFWGLDKRP NVYGDVEREIFSLDEKISALALSHHSALRTDIVDLFLAAIVHSFSRVFVTRKTPTIFN ETHGREPWEFSNLDLSRTVGWFTTMYPVHVAIAEDEDDVIQTVRQVKDLRRKVADNGR PYFAHRFLTKDGQTDFAAHEPMEILFNYLGKMQQLETADALFQPMHFSEEDEARMTDT GADLRRLALFEVSASVDNGKIHFTFMYNQLMKNRKGIRRWITECQRTLEEIISALAEI DTPQPTLSDFPLLPLDSYDRLNRVIKSLASVGVSYPQVEDIYPCASVQEGMILSQIKD SSAYWSFTTFELKAKRGQVNVQRVLQAWKQVVDRHQALRTLFVDSVCKGGVFDQVVVR IPDTGALTVTCTDDELDTKLDSIQYRDLNGRKKPHLPHQLAVVQTTSGRVVVKMEINH VVIDGGSLAVIRHDLEEAYEGRLRQEDGPLYSDYIKYLRALPIKDALDFWKGKLRGVR PCHFPIAPQHSSKHRQLHSLYVDFDRFSEIQVLAERNNITFANILLAAWSLVLHTYTT SSEVCYGYLTSGRNVPVNDIENAVGAFINMLVSRVTVSSSRSLLAMSENVQNDFIESV PYQHCSLAQFQHDLGLSGQALFNTAVSVQNQGATEAERASDANVEFIHIDGGDPSEFA LTVNIDTTRHDEGVRLAYWTDSVSDDEAKNVSSLLVKILTQVLADPDQTVAELDAAIS NKPKTMPKPRVYTPSVRSPMSSPHLDISDPMASARIIPRVEVPQAPTPTTMDAPDWSN LIRSIVSEMVPQIVNQVMEKNKLSPIATQSTVSEMTNQMAGMLARRASTSKRGRNLET ASMRSRRLSTASDTGSRIQTAADMVAAAGVMATEALKSVPPDFVEKKLLGLWSDLLDM VEDSIDQDDSFFQLGGDSIIAMRLVGAAREEGLSMTVADVFKNPTFADMARVVRVAGE VIDEVMSRAGGDSLKDAQASSSKPKIQIPERTVSAWRDFQSMVSEQAIDDKTTEGGNT PPTQQERQLQEKTEQMFKKWTGFANGQNGSPLPQRTASQKPTRPHTIHEDSAPKSISL LGDPNVDSVISKVQVFKGGITDVLPVTDFQALAITGTLLESKWMLNYFYLDGEGPLDL RKLKQACFRIVQAFDILRTVFVPYGDRFLQVVLRKLQPDFHYQETDQSLDDFTTELRH KDQEHGPRLGEAFVKFVVAKQKASGRFRIFMRLSHAQYDGFCFSKMLTSLQAGYNGLP VSTTPSFGNFVRESAKAVAGAHDHWREVLRGSKMTEIVHRYGPNYQRSAGRTVTLKQT LTVPSLSHINITTATVMKAAWAATLSRVAGNSDIVFGHVVSGRSGGVPNVENIIGPCL NMVPVRVVYRPEWTVFDLLGYIQEQQINNMAYESLGFREITRNCTDWPDWTNFSSVLQ HNQNIQSEDATLQLGGIEFKIGAAGNQEDFADISILSASKARNEVEVTLTYAPNSTLT ADFTQNVFDMLCANVITFSEDPYTLLPAQSEIGSQSSTTITSETARKKSAEKQPLTLP TDTGLSKHELATLSTKLRNAWEQNLRDNNNAPPAIDLASDFFQLGGDIQGIAQIASIF DHEDGWKVRVEDLLDKPVFVDQVGLLAAERRKQIEKDEMSPWGEKGKVAAKGLLGDKE KLERRQSGLGALARKIGLKRKDTQKETK CC84DRAFT_47268 MASNNAQVHSCQFPSVGRGSGSDQKRPLSVRVELQHPERLEQLF AKDDGKASLVAAVRTAWALVLRTYTGLDQVCFGLGEVGGDESPRKDGQQDSVIAHLVS DEMLIAELFQHTKEDCFAIDRPEHQGFQFNTSVLFRFAVQAGTASGVSRTASKAMPAS CHLRLLVKVLKTGISLLLEYRNSYIPTEFAKSIASTTDKALDLALSTPHLTIREADLL SERNRNQVEKWNSKPLARVDRTIHDTVAEVTKQSPHAEAVASWDGCFTYRELDEHACR LAGRLIELGVGADVIVPLCFRKTRWNVVAVLGVLIAGGAFLPLDPAAPRERLEYLVSA VGAKVVLCSPAESHFVESLAENIFAVDDALFESLPPLVERPQGRADSHNAAYIIPTSG TTGQPKLSLLEHGNYCTGASAHFVGLGMDTKPLRALQFAAHSFDSSVLEILSPLMFGG TICIPDENDRLNDISKVINDMHITWASLTPTFVRFLEPSMVPTLATIILMGEAMSQAN LDTWSRINLINGYGPSECAVCSSSCLHMRPTSDPKDIGFPVGCNLWVVDPENRHRRLP IGCTGELLIEGHIAARGYLGDEEKTAQAFITDVSWAPQPFRGYLTGDLVVQRPDGGFT IVGRKDNQVVRE CC84DRAFT_47842 MASDSSQRCAAVDNTIGPYAGAHCRGGFDFTLLFEDAFLCIAPI ALLLCIAPLRIGYLWRKSKKVSTSLLLPAKLIAWVFLAGFDLALLVLWANHRGFRSDA AVPAAVLSLLGALVLGTLSYFEHSRCIRTSFWLNVYLLFTIVFDTARSRSYSLDEQLN QISILFTTRVGVKVFLAAFEARGKGNLLLSGYTDETPEAAAGVYSRSLFWWQNPLLKK GFNNTLSIDDLYQLDKYLRADYLHGIIRSAWDKVAHVGSNSLFVTTLRKLKLPILAVV PPRACLMAFNFCQPFLINRAVSYSEQAPSAQTTNIGYGLIGAYVIVYVGIAVSTGQYQ HFTFRFITMMRGGLISMLYNKAVAVPLTDVDTASSLTLMSADIERIVTGMQTGHEIWA NIIEVGLAIYLLQRQLGIACTIPVGVAVLSFGGSLVATSMVMQKQALWLEGIERRIAA TTAMLSSIRGVKMTGLTDVLRADLQRLRVEELTISRKFRKLLIWTMAISFSSPLLSPI LTFAVFSILAQKSNGTSTLNTAKVFTSLSLFTLLSEPLSSLIMSLSSFMGGVGSFQRI QRFLVNPSQVEHRRSPYLIGTLGSTSDLGDDSDKDSDESQKSRFSLDVREFGSTSSTA VLVENGYFGWDKEKQATGCLQAINMAVPRGKITMVVGPVGCGKSTLIKAILGELPVMG GTVQLSSVRIALCDQTPWHVNGTVQQSIIGASEFDQRWYAAVVRSCALDEDLRQLPQG DQTQIGSKGIALSGGQSQRIALARAIYAQKDIVILDDCLSGLDGQTENRVWHSLFGRN GLLRRCRSTVLIASSSAKRLPYCDHIVALSKEGSISEQGTFEKLNATGGYVANFDLPP PDWDFVPEKHIYEAPPRYSERANNGMVTEDDIQAETNRRTGDVAIYYYYIKSVGWTAA LIFMVSVTLFIFGQSFPTIWVKLWAEYNNEFPNQRLGYYLGVYAALGVGAMIFLIISC WQLIIEMVPRSGIAFHKRLLNTVLGSPMSFFASTDTGVTLNRFSQDLMLIDMELPVSA LNTFATFILCIAQMILIAVAAPFATISFPVVGGSVYFIQKFYLRTSRQLRFLDLEAKS PLYTQFNEMLEGLATIRAFGWQDFLGEKARELLDRSQRPFYLLFAVQRWLTLVLDLIV AAVATLLIILVVVLKGKLSAGYVGVALLNVILFSQSIKLLIHWWTQLETHIGSVARIK TFTTDAISEDLEGEDQVPPPSWPSDGKIEFKDVTACYRPYEPVISKFSISIAPGEKVA IVGRTGSGKSSLVLSLFRMIELSAGSITIDGLPLHRIPRQTVRSRLIGLPQDAYLLPG SIRLNADPLQQSNDKAIMQALKDVQLWDTVVSKGDESKYAHPLDVDVEDLHFSHGQRQ LFCLARAILRKDKGSVLVLDEATSNLDQASDSHVQRLLRSKFTAHTIIAVAHKLDTVL DFDKVIVMNQGQLVEYGEPYKLLEREGSWFKSLYEDVSLNVGPAGEDDILEIAQ CC84DRAFT_47954 MVLIINLQESKARVPEVHTIFSDQHLQLQVDLMMTMIRRFANRT RRTINNILWSTTITFAWMALIPSVAAITPSSSDVTVTTLKSGQSTPASDAMRSGLNDG IVGPIICAATSFVFVAVAVTAIVLRWNWNHIEWALMSVSGSVFFLWSRESSVHAASKW IPLMMWVMSSLVHIWTRKRTLPAKRFELYILLVALFTCGMVFLHDTVSQDGPTRAFPL FALLSMFFSELLLRLLIRSVSVEFVTGDGNGAV CC84DRAFT_1080822 MDKPSQDTLNLLKAGIENNTLPIKNTFNSSTITLLLNLEISETT TLQLDINIPFAHQSEDSPEEAPLAKIRIRQPPWLNRAATSQLNAQVSETDDLFTAIDD IKQAASDLLQSQLTLASQSNPTNSQSDSAPLVRAWFYFPSISTRSKRDDFIIHAPSYK LTGFLFAGKPGLLCLEGTSTNIDAYMKFIKTESWGDIPSHHKKVSERLREEGDEEGEG KGIRRVFEDMREITDEVGERRGARANRGDMGAVEGWLGERGLGEALGRVLM CC84DRAFT_1254146 MGSVVLPHLRTGWHVDQAILSEEDRLVVIRFGRDHDQDCMRQDE VLYKVADKVKNFAVIYLCDLDEVPDFKAMYELYDACTVMFFFRNKHMMCDFGTGNNNK LNWVLEDKQELIDIIETIYRGAKKGRGLVVSPKDYSTRYRY CC84DRAFT_1133758 MVAALQRLSAPPVQTLHTLQPTPATVSYTVSTRPEATTLTAKLR FYAGIALRILPAVALAWTLGDARAEEILVLVSRLPWRYLAPASLCAVYLALRRGYAEE SLLVLRGLGLQTSTASSIYLRTPTTRFIPTTSVQDIFIHEAFKGFEIRFYLAVVVKGE EDVVVVFPRLLPRRGILEEVWRGSRRCLWEDAGGAKKKGEEKGRESA CC84DRAFT_1159205 MPSPTPHPDRIALVEGARPRSPVRKDDRQNTSRPRSRSRSPRRS PPRRPKGFSGLKWKKPERSGDGDSNRGRDDRRGGGGGGGGGGYDRDDRRRDDRDRDRE RDRGYRSRDDRDRRDRRDDDRRRDHRDDRGRSGRDRDSDRPRSDRPSRSDKPPKDKPT KPAAAPAPVATGEPMIIVTVNDRLGTKAQIPCMASDPVKVFKAMVAAKIGRPVHEIML KRQGERPFHDQLSLADYGVSHGVQIDLELNTGD CC84DRAFT_1133762 MGPGYEQWTHEQLVARIQDLEARLVASTKVSTSNAAEPNAATFT SFPIPSPADGEKYRKPPKQQPSAFDASKYSTRLIALKFAYLGQKYNGLEHHANNKTPL PTIEEELWKALMKTRLISPAPKDGKEAETFRRLDRKTFDKWDRDGADVNWEGCEYSKC GRTDRGVSAFGQVIGVRVRSMRPCPKPATASEDQAMQDAPQELETAMLVENEEAPTQP FDDTKDELAYIQLLNRVLPPDIRIYAWCPNPPPNFNARFSCKERRYKYFFTDPCFAPV PGTSGLYPPSPSASTSSPPMREGWLDIAAMRDACARLEGLHDFRNFCKVDPSKQITNF KRRIFRASVEEVSPLSVPGFISHPAISNPATGEPPKMYAFVLHGSAFLWHQVRSLVAI LFLIGQRLESPELVSQLLDVEQNPTRPKYEMASDAPLVLWDCIFPHEHEVQDSEVREH GYEDRLPWVYVGDEGGIEPKSLGTAKAGGPSSMGRGKWGRGGLVDDVWELWRGHKIDE TLSALLLDAVAGQGEADLDNAGGLEGNAMPKSGPRCFDGGNVGKAKGNYMPVLKRERQ EAVEVVNEKYARKKGLVLDRTRPKEGDADE CC84DRAFT_1192184 MLVVDPNERIMQDSGWADGLRGIAAVYVAASHLVLCFGRQFTNP CCTDNGTPWLFQRPILRLIASGHSWVAIFFVLLGFVNALKPIKQARSGDSESALSGLS TAAFRRSFRLVLPASVATLISWTLCQTGAYELARNSDAYWLYTNTPPRSDSWCGAIYG LVRALMSTWRLGDGNLYDQPQWALIYLLMGSIVGYFVLLATVQLTTVWRSITLALCLF WSWSWSYNLRDPLVGFTVIGGILLAEFSVSPQPAKLAAFPLVSTILSPVLALIGLVFM SYPSSNPDSAPWSAVLKYVFGSVFPRSASFSMPRLVGSVGALMLCFAILISPHLRRAL SVKPLRWLGKLSFPIYLLHGTVMRTVLAWVVFAGQPLEAFPLELATEDRDTVYRYAQP GNVRITIGLIIMSAFTLYASHLWANNFEPAFGRITAWAEGKMKPEKREG CC84DRAFT_1079020 MHSPPRNHPGLFPGVVSERVFLPQVQLEAHATILQTTSRVTLTQ TFINPSATKAIREVKYVFPLYEGISVVAFTCHVADRTIVGEVKEKNEAKQDYTQAVQR GETAGLFEQLDTADTFMTTVGNVPPGVRVVIELTYLGELKHDMEVDGIRFTIPSIISS RYGRTAPGSEAILAQFQNFTPSRGGHSGNILITVDAEMAEGSFIQKILSPSHPISVSM GTTSIAPNDVPEMSKASATLGIQSTHLDTDFVLQIVAKDTGIPKAILETHPTIPNQRA LMATLVPKFALPPQSPEIVFVCDRSGSMEGTRIALAKQALQIFLKSLPVGAMFNICSF GSGYSFLWPRSVAYSQKTLDEAVQHVGSLAANYGGTEMLEPLKATVHQRYRDIPLEVM MLTDGAIWNQDILFTYLNEQVQDTTAPIRVYTLGVGSGVSHSLIEGIARAGNGFSQTV GEGEKMDSKVVRMLKGALSPHVNDYSLEVKYAGGIESLNEEEDFEIVERVADSLTVKL DLNEKADEPNTIKPISLFDPSADPDRSEKPASGASNLHNVATPKIVQAPSRIPPLFAF NRTTVYLLLGPDAPHQTPLSVLLRGTSTHGPLELEFPIQVLDRPGTTIHQLAAKKATF ELEQGRGWLAEAKDESGKLLKERYESRFSSMMEREAVRLGVQFQVSGKWCSFVAVEKN RPTEPEKAHTQWEYLEDEAAPEPPHDYQMQCMLLEQQNKKRLLMARQEQDTLASASYG TTNPEGVNSPPKTVETLAASSRSTCSENVTSPPKTDDETLALLISVQTFEGEWKYSPP LFLALKIREDVVEEARTRLNHHLSSKAAAGETVEYSEAVWATSLAIVCLETKLASLQG SWELIVDKAKAWLSGSVGAERMEEVLDRAKELSDEISKYDGSRMRSGN CC84DRAFT_48667 MKTIAFALSATALANAAAIRRQNDYGYQFSNALSTGPVATNSFI REANTTLILPETNSPQTGNLALWPGMGTSGGDLIQGLAISVSDGSAGCEKSSGKWCIV ASTLEDTQQMGKFVTADPGSSVTFHYKYNDGTAKYDQTVSLDGTVVSTLSTDSGKAQG WGTAVECQQAACGTVPAHKYVDTTLIMDVADPNYEQTKGVTGATGDLVTADGGKTWTI ETISIESHTYT CC84DRAFT_48668 MLQQSLRASSSTAGFFQTLPALPPQYTSPDSLSARGEPESPPQN ATEASDDKVLCRILDLYLPKDAKAVTQHAHRIARLSLDPDVLANATDAETNHPVLRPL TTFGEENRNDPLWTTAGWRKLKDIGYEEGIVAVAYDKSNTAFNRRIHQFANVYLWGPT GTMTGCPQSMTDGAAVLMSRHLRDPDGDQPGRSQVFEEVYRRLTSRNHKEAWTSGQWM TERTGGSDVSGTETVANRLTPEEIEREISEGRQSDAVGMPLGNWKIDGFKWFSSATDS EMSVLLARTAKGGLSAFYIPMRRRVKRKSLLHDAALPTELNGIRIQRLKNKLGTKSLP TAELELKGARGWLVGTEGQGVKEISAILNITRLHTAVTSAASWARGLAICRAYSKVRK VRGGLLADNPAHLRWMADETVKYWAGTHFAFLGVALQGALDQGWENAVQNTRASKLIP QDKAQTADLLRLVLPAMKAQISVASVHGLRNCMECLGGVGYCENNEDGGLMNIAKIYR DQLVNPIWEGTVSVMAEDCVRVILDKRLGNGDVMANVFAPWIRGVIGAMGAESNVEVD AIEQRLKDLLGLVQGASKEELLYHGRDILDSVEFITSSLVLLYDARTSPDTAVLEVVR RYIRSNSNKATTCSSRDALAKTMIEDKAIFLGSMSAHQGVSAKL CC84DRAFT_1192188 MAGTYHKDESNTVNRYKHQATYDVDTIHAIVNSTPVLHVSFVPD TSVPVPVVLPMIGQIGHYPSDSESHCYLHGYVSSRLMNLAASPPGIPLCVAATKVDGF VLTLTPNTHNYNYRSAILHGHGTVVSSDEEKLWAMQLVTNSVVPERYENSRVPPDNAE MQSTQILKMRIESASGKVREGVPEDERKDMKRDDVLDSVWTGVIPVYEKLGEPVGGPY NRVKEVPEHVRRFVDGENARRWTYAVEASRKPAPVKRVKKQNQVE CC84DRAFT_1079957 MRTDDHIPNHLHDGPDATDNKYAGYDDQKHTGDYFPPPAHTDYD TDHGIVEDHYGGKKLGMMRTTLIFFTNQVGIGILSLPGMLHTIGLIPGIFTIIILGVI ATYTAYILIQFYRRYPSVRDIVDCAKIMGGLPLQIIVGIANVLNLCLICASANVTLSI GFNTISDHALCTVGFIGLPMVACWLLCMPRTMNFAGWFGIPATISILASVFIVVIALA VDGPHRDTTGYFGEPANEPIAMRLGPNPLASMNIQFESVLNVAFAYAGNQAFVTVMCE MRNPSKDFTPAIVWLNVIAIPLYVIIACVVYHLSGQYVVAPALGSAPGVASKVAYGIL IPTMLGSGLVFGHTGIKYMYNVLMDSVIKSKAKLTDNTFLTWGVWLGCGTAFWVTAFI FANAIPAFNSILGISSALFVTWFTFGLANAQWIFLNWGQQFSTWRKALLSIFNWFLFF ASAFLTVFGLYTSISDLNGRVKDPNDPLDVFTCTDNSLF CC84DRAFT_49960 MVPDKGGYVNSTPFAGTFPCTAAVILDNATVLAGTAALTLFLTV PFHVRLCITFHKVAEPCPSNRHRGASRSTLRSKRGIRIPHFNPKCDFDELTMSTSEG CC84DRAFT_1254156 MIGIAALRHVCRPRALHLLSPRQAWQPCATRSFFGLGELAGVIA NPAETLRQLKESQEMLRKAKEDMELAREAKKIPKKHTFSKLPGFHGRKAEQALLRKIL TTQPKMTTIFGATSVGKTALLREVLATDDYFVVKFDLRISGFADLRTLYLALCEQFQT FFNEASTMRDEEMGKQALTFKHLVLALNEKESAEGGYTVTVADLASLMESLQSALLQY WEYDPTATPSDKDGNSEDPYVKKKPADRRVLSADELTALNAESQSDSPIFRKRPIVFL LDEAHKLPALVDDQLSLKVFLDTLLVLTKQDRLCHVLFCTSDPFFQHFLRSMNVGHHS QIVTIGDCSREETLSYFLDQMLRSVPPHLASKLTTSFEEIWDAFGGKLSHINDYVASW VQAEGNLTPYQSAIFIQAYTLLQLHLTQANFETFSPLSTATVGTDTSEDTACFKPSDL LQVMRKLTQPPYSIPYFTLCRMIGTAQVDSMIKTRVLEVRWTRTVTPEEGWVERQWSE DGIERPVLLPMTRIIRKAMEVVLREEQQLKHASQEESKGEGKTA CC84DRAFT_1182629 MDCIPVPIVCFQCGTDQNPCHCKVVGPTIGFLVTVGMAIVCWPA SLLCCCCATDTGKKVLALPVDTGNSISNAIPI CC84DRAFT_47998 MEEQESLFPISLEEHVKDVSTAVEALLLLSNGANSMTGPDQERP LEDKRVETTAMDGQNSEGGVDFEGSSRPASQAVSPTLKSHDQPPTGDNVTNEQSPQSN DMVQRQSSEVKLTEDTLHVHEDDATAEPEMVATPSEQIAVAPLLNIEAHPTPFKMKID KYYWNAASLISRNSAKEDTNTVDGIPVAPASTPRDAEDEPPEINSSLVLQESIAGADE DVGREGVEVSDEPSLPIVREEGALSDREEVTPQPLLPGLEEHAAAAASPTTIAPSSPV MEPQDQNSPWLATVLEQELELPPSPYEAEPGTDGFPAEIQVPDIAPSGTAKAPLKDDP DAEPIEAQLPVPKQDTPHHAPKFPLPSLKGPGKLPSPVMSSTSDLDEPYQTDNTEPKP ARIVPGKRVHPATFKETKADTDATAQYKAKRESESEYEAPARKKSKKRGVTVLSLQAP AVASRSKGNAKHAAPPNGQCGKKAMESAGDEKIVEREYTEMEEQEQEVNSARSGLSPH CC84DRAFT_1159213 MAATNNPLEGHTADQPPVSTQTYTIAGIRTTVHGLTELPPATST VACLWLLHPRLQTAKTMAPIATAILSAWNARIHAGRAGKSPTGLIAVSFDQRNHGTRL IDPLHNEAWRQGNPRHAQDMFGCIHGTAADTSQIMDHLASYIFTSPSSPQITQHFVLG ISLGGHAAWHCVLAEPRITAAVVGIGCPDYTRLMVDRARLSKLDSYTASTPPGAAFLG SPDFPRALQDAVAQYDPAGLLLPGHFNPAGADPDVGKPATDRMKVLLRERLQGKRILN LSGKIDKLVPYAAGEAFLGAFKRALEEEPGLDIGFEDVLFEGVGHAFPAAMVEKSAEW ICGLLENGQGQVSSKM CC84DRAFT_1159214 MGDTPESGISPLGTTPRSSSPARIDGSSANNKSTLSTDAARSRF PIRGSSLRNPIPANEPKSTSAPSPNGAPVITRSAPTGEPLSASEPDEFPFNTGTGAHK QLPTNELVDRDSHGKEAQSPARHVNGSPSVPQHAFVPISRRGTGEGDESDAAPKGRRS VQFARNTTFNDEQQNAQQNAHSRQQSWEGDDVDGKGKERERQGSSLMTKLKALAANPM GLQGHGRSLSGFAGAGPMNEHSPRGQLSPASERDEFRFDGHDSEADADAEESAGEGPS RPARRRRKSRRWFDDGAEGPQTAPTTPRHTGFPSRDRDSPNITPTASNVHRPTFMRRG TMSDIPEHRQGVSEDEGRDRLAKENAWTRGLHSARGLSYGGLRRHDADGDEGERRPSH LRRLTAFGGSSNEGPQASPWRLRSERTSSLSAQKWKQIKNSLRVFAKSTKAIDRQVDH AKSAELMAELLAGAPAALFLASMFQRDEHDRKRVPILLEQLKVSITDSEQLETKDGKE VKEENRHINLRIELEYGSGLTRMKWVIKRSVRDFANLHLKFKLQEKQNSFLNRDQKKK DSKKEISAKGKEKSEERDADKDDRTPKLPRFPRSAIPYLRGWRGYSVLNEEDEEEDKD EEEAEGNETDRPGKPSRLKSSLGLGRRKSSIGSPEHGGSFIGQLAVRQGSFAGAIGVP QHNKQHAYQERQRKKLERYLQKLITYLIFRPESNRLCKFLELSALGVRLAAEGGYHGK EGLMMIQSSKGVDARKKWTPSPFVARHSPKWFLVRHSYVVCVDSPEEMNVYDVFLVDS DFTIDDKGRSLRDKKARDIASEAKASATGHHLLRLRNSERKMKLLARNERQLKQFEDS IRFMATNTEWNNPHRFQSFAPVRKGIYAQWLVDGRDYMWNVSRAISMAKDVIYIHDWW LSPELYMRRPPAISQKWRLDRLLQRKAQEGVKVFVIMYRNINTAIPIDSEYSKYSLLD LHPNIFVQRSPNQIRQNTFFWAHHEKICVVDHTIAFCGGVDLCFGRWDTPQHVVTDDK LTGFELDDNPKDADHCQLWPGKDYSNPRVQDFFELDKPYGEMYDRSKVPRMPWHDIGM QIVGQPARDLTRHFVQRWNYLLRQRKPSRPTPFLLPPPDFDPADIKALGLDGTCEVQI LRSATSWSLGTPNKTEHSIMNAYVQMISTSEHFVYIENQFYISSSEVLGTKIENKISD AIVDRIKRAHQNQEDWRACLVIPLMPGFQNTVDQQEGSSVRLIMTCQYRSICRGPTSI FGRLRAVGIEPEDYIQFYALRSWGEIGPQKSLVTEQLYIHAKCMVVDDRVAIIGSANI NERSMLGSRDSEVAAIVRDTEVLDSFMAGEPYKVGKFPHTLRMRLMREHLGVDVDHIF AEECASQRSEADSTAFHDESSVPSSPTGDRETEQKLAENRQRLEDDLIARAEKIHSFN HDIDWEQENNPHLISNKKPSADSRVSNNPAHQKDVRGEGADHMREVENRHPSLSRARD SYVNEKGEEVLASDVAAEGVGQTPKKNSGRRGRSNTTGSRATAHSVPEGYAGLPPPKL PRMDTRQLGLPQLSQLPSLPVLDDTDIGGPPLQRTFSYESSSVINPLLSEMRRPIVTD DCMRDPLNDAFYLDIWHAAAENNTKLFRQVFRCMPDNEVKTWKEYKEYAAFSERFNQA QGAGKSAQRKQQDSPGKTGPPGSGSVGEKLAAAPGNAFKAAEMLGEKLNEKMSRDSRD SGSAFGKVEEWAQDQAKSARESLRVDTHANGDIDEKLAMRTTADDAIVSPLNTAPPAQ TFTFPPPPPEPESGTATTDFATANGAARARTVTISEPAPREGVPSRQHASTMGSKRSR RRATTKSSAKAFNATDAEQLLDKEDAKKLMELVQGHLVLWPYEWLEAEESNGGWLYSV DQIAPLEIYN CC84DRAFT_1170610 MRFFITAAVASLLQTCFAATATDWRSRSIYQVFTDRFARTDGNT AAPCNVANNLYCGGTWKGLEKKLDYIKNMGFTAVWISPITAQVANAYHGYYQNDLYSL NTHFGTARDLRSLASALHSKGMYLMVDIVPNHMAFASCPDKVDFRRLKTFNHPDKFHS YCPIKNTGNQTELEDCWLGDCNMALPDIKTDDPLVAREMNAWIRWLVSAHNVDGLRID SVKNVNKAFFPPFCRAAGVFCMGEVSEGHANYTYPYQKQMDSVLDYPLYYSITRVFQQ KSNMSDLVVALASCTDNQETGCKDPTLLGAFFENHDNPRFANVTQDLSLVKNALTFTM LGDGIPIVYQGQEQNFAGGDDPWCREALWSSQFNTTSPLYKHTALLNNIRNHIVSESA PYLSYQTRILAYTATEMQLRKGAIRTVLSNQGEHAPTWALKTQGMEFEKGKTVFDVLS CARYGVDGNGEVDVNMRSGEPVVLVEENVLRGSDLCTCERGRGSPRYRTVCGGERGTH DL CC84DRAFT_50041 MSSLSEDYTVPLWIDGEALRESLATFPVVQGSTGKTVHQVVSAT TAEALRAVASSKAAFKSWRNTNHVIRRELILKVAAHYEENADTFIKSQMIETSCEEAW AKQNLELACRYLREIAAVISSVNGTIPQLEKPGAIGFVFKEPVGPVLCIAPWNAALIL ATRGVASAIAAGCTVVFKASELSPLTHYMITRAFIESGLPKGVLNQIQTRREDAAEVT EAIIAHEAIRKVEFIGSAAVGRIIGQVAAKHLKPVLMELGGKGPAIVLDDADLEEAAK LAAMGAVVNHGQICFSTERIIVHAAVAERFQQLLIKAMESAGSHAGCAVSASIGKHAN DVLRDAERAGENFLMGGPSDSDIRLRPTIVLNPSTSRIVDEETFGPSTSLYIVSSDDA VIELANRSVYGLNATIWSTNMERALKMSRELEYGQVHINSISVYTSPTASQGGVKGSG FGRQNGKWGIEEFLQDKFVSWHGKV CC84DRAFT_1079699 MSIPSPSLPMLPDVLERLGLTSYLDALTENGFHNWETVLDITEE DLTALNFKLGHRRSLQREIATFRGVPSTLALDESNASDQPALSTSALETLTRQTQTPP PREKRRYRRHPRADSNAPKKPKTAYVNFADHLRTEPDISILSFVDIAREVGRRWQHLP PEQKRIWESHAARAMQEYEAQMDEYKKTESWRKHQAYLIDFRSQQHMASKGSSSTRPS QSRTTTSSSYTPHHECSRASPSASSESPATSLTSPATPLSMEAEVCHNALTLAFSELV SLRGEILGQSVRPYDAQHLPSEQLVRKAMYAFVQGTGSLLYMWSYAQVDEILDRIYRP QQPVDAMTLAECFTVAAMGAHYDMDCFPDRIRRVLYASGTLCFNEKTARLDYLRTMRL LLATAFYALLEKHMSARYVLVAALQIARWKYPAMAKETSDPAADVNWRRLFRSLIFMD CWLSYTLGYTSEVTSQDVALACPAPCMDSTLDEQIHVQTSRIGLIAADISKALSSPEA VTRTTIQTLADQLETWRSEVPQMLSIGSLTAPNPPPMTLYQRRAILMVHIMYLGAVIL LYRQLLVATAEVQLTDGAAWDLNLSIEDAKRYRNECALAGQQVARILRLISFDGTLTK RCWLIIYWAFTACIVLLYSATTKLLEGQADTVEQDLAYSKACMDMLETCRSTEPIAAR YLDILWPLYDTLRDIHHRMMGRAKTSIYALLQGDPNTLSPPIPVSKAEMGPISEKLSA LLVDPFGRKQTLGDNSMRRVLNTDGSCAVFWWK CC84DRAFT_1211402 MEHMDRLYESPFAIPREDVQALLGSDGFVLLRSCLAGDADFCDF HAASDSQTRETWLLHRDLLHALVMPVVELQRRAEALASTALRTAKSEDLELAFAGEAR GAFVWLQCFATGEEADWCRTRGCPACITTLTLSTESHIRLTIAASLVSTSSLASPPSS PAASASSSRASSPATEDPPQHPQDTTSAPALPPIPHILPALRAALAQDPFWGPTYWPY LLSRATQLSGGIQALIADCVDLEALVAAPASPTGPAKAVGPEERGLRLRKSKLAQRQL RMKGEETAYMRRCALQTWARAKLPSALRGKLLGLEDGVRGRRLSCP CC84DRAFT_50769 MLPYMGLRGKSLKSAISLVCGLCFLCFGYAQGVMGGLLTVPAFL ERFPQVDTINNDSYHNATIQGAVVGTWNLGCFVSAILTIFLGDYLGRKKTLMIGLVFW VIGEIIQSSSYSFGQFIAGRAIAGFGNGFTTATVPAYQAECVKSHRKGTILMISAGAF IAFGLALSYWVVFGFAYLSNFAAAWRVPIAFQIIFALVALAILIFMPESPRWLILTGR EDEALRVLSALNDEDIEGPEIRDEFLQIKDAILIMAKGSASSMTSNRERRNFHRMVLA YGVQVFQQMSGINLALQYLAIMMYTQFSYAGWLARLLGACAASFYFLSSFTAVVGIDR FWGRRWLMLFGATGMCACMVLLTVLGYLWQDRGMKGTNIAQTVFLFAYLFFFAMGWQG MAWLYQVEVVPLRIRGPANALSTSANWLFNFVVVFIGPIAFHNISWRTYIIFAATNFA IVPLVYFFYPETAYRSLEEVDVLFHLANEGPGNPWLSVVKISLNEPLWFGKKGDAPFD YEQSDWHQRYVQLMGSGSGTTLEGSGGLHREKAHGNGGSSGGTEGRNTPNAAGLIEQA MSHSNNSPGSSATHSSLSEKRHKEQYAAHTAAHRSSTGTGTTAVSLTPSKRPPLPDEP VHSFQSAHSAHSDPNWAGSALAPPPLRVPSRDSSLTARSNSLSHSRSQSISYPRVPSG GITRTESGRQTYLPDGIASPENSPESLSAYESESQYRLHYLPDSVEAQMREVLGRSGV VLGEGERVIIAGDGRAVVRAERAGSRSSSFSHRVARDAGRGH CC84DRAFT_1159219 MRPCVRTASSLLRSWQGRAVRPNCTGLERVRPLARSYAQAFRAQ SELEKRIADIPIERYRNFCIVAHVDHGKSTLSDRLLELTGTIQPGGNKQFLDRLDVER ERGITVKAQTCTMIYQHEGEDYLLHLVDTPGHVDFRAEVARSYASCGGALILVDASQG VQAQTVANFYLAFSQGLTLVPVLNKVDLPHADPPRVLEQMRETFELDPEAAVLVSAKT GKNVQALLPQVVKHIPAPVGDVHGHLRLLLVDSWYDVYKGVILLVRVFDGQVRPGDTI RSFATGLKYIVGEVGIMYPDQTPQTVLRAGQVGYIYFNPGMKRSQEAKVGDTYTIQGC EKLVQPLPGFEEPKSMVFVAAFPTDQDKHEFLEDSINQIILNDRSVTLQKESSDALGA GWRLGFLGTLHCSVFEDRLRQEHGANIIITPPSVPFKVVYRDGKEVIVTNPNDFPDQD QAHLKVQEVHEPYVLTTITLPEEYLGEVIKLCEANRGEQKELTFFTATQVILKYDLPL SHLVDDFFGKLKGATKGYASLDYEDSGYHRSSIVKLNLLVNGAPVDAVSRVLHTSQVD RVGRTWVEKFKEHVDRQMFEVVIQAAVGKRIVARATIKPFRKDVLAKLHASDISRRRK LLEKQKEGRKKLRAVGSVVIEQEAFQKFLSK CC84DRAFT_50088 MSQPRTSSKSKSSSSKDSSKVHKLALKGSSKVVNEFFEYSINTI LFQRGVYPAEDFTAVKKYGLTMMVTADDQVKAYIKKIMSQLKDWMYGGKISKLVIVIT SKETGEHVERWQFDVQIFGKNSKSKSSKKTNDKENSVPAANDAPEEKTETEIQAEIQS IFRQITASVTFLPMLDGNCTFNVLVYADADSEVPLEWGDSDAKEIKNGEKVQLRSFST SNHRVDTLVSYRLAD CC84DRAFT_50090 MASFFNSFRRRGRRPEPAADQAPELALDQESNGDPDDRSEPEQA TDDQLSVPESGRHSSLNVPSDSSRSTDSTRPPSLLADFHNAAPGMSEDAKFGMVANFI HMKQEEKLWTTGAPGEGVFLKKNRGSYITCPETLEYDGSMTFEAIHQLNVKAAMTIKT KVIEMLLSDSEIPFVQINEGVRLQVIPDIEALPFCQKHQSAAFVLSKRMLVVWEDQPH MLVKRAADIDDALFNMVCQGPPLNDKLDRDDPKNTYFHWQGIHVESEKDERRSIVIWQ PFFTASTLILVLTALGGGWRQICIEIAMDGGFKRLLFLLCVIPQLWLGLFFFQALVGN FAQIVGCVGHMKLNTKVYSGKAPFRLCATELPHITIQMPIFRENLRTVIAPTLRSLKA AISTYEMQGGSANIFVNDDGMQMMSDEEAKERQTWFDENNIGWVARPPHNPDGRIEHK PLHNRRGKFKKASNMNYGLRVSTDVEKQLTGPRYDWQRENNDWSQQQENDAYSAELAA IIDQNGTWADGNIRIGDYILVVDSDTRVPADCLLEAVSEMEKCRDVAILQFASGVMNV TTSFFERGITFFTNLVYTQIKFAVSNGDVAPFVGHNAVLRWSAVQEIAYKTTERMVLP VRDETEEQNLVLEDVVDPETGEPILDEVTERAIQQPVFETKDEEVEKYWSEETVSEDF DMALRLQNVGYIVRLAAYQGDGFKEGVSLTVYDELNRWEKYAYGCNELIFHPLSSWPT RGPLTPLFLRFLTSGIPLPSKLTIIAYIGTYYALGSAWLLTLSNYFLIGWFNGMLDHY YIDSFKVYFSIVCVFSALGNIALALMRYRNNEGSLHGNLIENLTWVPLLVIFLGGISF HISHALLWHFLNLDMSWGATAKEVSLLPFFEEVPVVLRKFKWTFLWCGAVATAMLYCA YGLDEMWRIRFFTPVYPLACVVVSHALVPVVLNPNLMRFTW CC84DRAFT_50091 MMPCAPVVLHAFDCSAFEVLRVRDVSFIFRDVSQDAGICPVRAV ESGNNSTRRRGEGCLARPTISRSNISQAPDRMPMAVSSHANALKPNCCPPLTGLPLVK ALIPFLHLHLVCVLASFSVQASRVVRPSICHSSSRESRKVESVPPTVPAQ CC84DRAFT_1159220 MATATVTATDARHAKEQVVDKIPARIKHIQFGIYSNPDVVNQAV LEVSDRNVYDLTTTADNARSLTENGPMDTRMGISTKVGKCTTCGEGLNECNGHFGHIK LALPAFHVGYLKHIIEVLNCICKDCSRVLLDVPERRKHLRTMRRPGMDNLQRTAHAKK IMEECRKRKHCPYCGALNGTVRKVPGHPLKVIHNRYDAFLRSTAKTKKTPLGRREFEQ SFETARAANNEVDKNFKKAVDDMHPLRTLNLFKKISPEDCELLAMIPEDARPEMLIWE YMPVPPVAIRPSVMQEAGATEDDITNKIGDICHISSIIRAGLARGFPLQILMEQWDFL QLQIAMYINSETPGLKQQGLQKTMRGFCQRLKGKGGRFRQNLSGKRVDFSGRTVIGPD PNLAIDEVAVPQRVAKNLTYPEKVTRYNMVKMQGLVKRGPFVHPGANNIIKLNGRSMA LSILARDTTGQKLKDASDRLQIGDIVERHLEDGDIVLFNRQPSLHRLSILSHRAKIRP WRTFRLNECACNPYNADFDGDEMNLHVPQTEEARTEATELMGVKYNLATPKNGTPLIA AIQDFITAAYQLSSKNNFFDRGTFTQICNYMFAGEGAFDPSTGKKHAIELPPPTVLKP QALWTGKQVWSVLMRPFRDYGGRDRPVLVNLEARCKQFKAKAGVADDLNEDDAFLVIR NSEVMCGCFDKATVGDGKKDSVFYVIMRDFGEDYAVQAMNRLAKVSARWLTNNGFSLG INDVTPGDRLNQKKQALIDKAYAECDVLIQQYKAGTIERMPGCDALTSMENKIGGILS AVRAAAGEVCFEELSRWNAPLLMAKCGSKGSNINVSQMVASVGQQMIGGARVADGFQH RTLPHFPKAARQPVSKGFVSNSFFSGLTPPEFIFHAMSGREGLVDTAVKTAETGYMSR RLMKSLEDLSKQYDNTVSNSSGTVVQFQYGADDLDPVDMEGKAAPVNFVRTFTHAVTI TWNNAVPSLTPNAVREFTEARLNKRRNAKDLRRMKLDQVTELDFNDETDIGLDDKDPE RAFLNDVQNFVFKQADKLEKTLADLGLPDPSNATSERSDSDTARYGLADGIAKISAQA LETFIELCLTKYDRSKVQPGHAVGAIGAQSIGEPGTQMTLKTFHFAGVAGMSITQGVP RIKEIINASTAISTPVIACQLSNPDLESAARIVKARVEKTYLKDIITYIEDIWHPDGS ARINMGLCEETIRSLSLDLHDHDIIAGINKHKPLKWGKAGAKVTIKNGKTVTIFINDP KFEKKPAAKSTKTIQKEHFERVQQVKTLVLDAVIKGFPDCVRAIIKKETSPNARGNYE CQLLVEGYGLKDCMTTPGIEPYQTKSNHVMEVNQVLGIEAARATIAAEIGSVMGSMDI DPRHMALLADVMTFKGAVFGITRFGLQKTRDSVLQLASFEKTPDHLFEAAAKGKIDNI DGVSECIIMGQSVKLGTGSMEVYRPLEFGEGDIQVKPTMFEAGWDAL CC84DRAFT_1211408 MAASGGKCPPIDITLRSSDKLDEYTTRTFTLRPGSMVQIGRASK NAAKPELMVGPANAFIDSPTISREHAVLTATPPPAACVCIQDKGSMHGTMVNGVRLDP LKAQRLNNGDVLQFGANVTREQLFYTARQFTFESSLPSYPNGITVPESSDDEDVEVDD ELSCPPSYGTQTNPLTIDIDHVNERRLVETEDADHVPEVRANASNDNEFDDDELDKHL QETTLPLASSHDAQERSPSPDDGDEDDDDPMFYPEHLPTANPKGHGYISGDDVFSEGD AASISSGEDENVSQLDRYGIASADSDESQSDGDMELAEEDDGEEEEEGSASSPFSMQI KLLEQQNKTRLMFARQEQDSQKISSEVRPVSPETQEGLSGASTTIDFGNDSSELGLTA PPAPPAPFVNSGPATLLTTEGEAPKLPDTYFTSNDVFSDSYHRTYLDGMEPLPPRPAA PRPMWPSMVDYTSSFSPPANASNFPAAYSPHFVGNYSGPAEQFLSHPYAAPHSYSSAV ENPWEQSKSSAALPISGVQTPPPAPSSEFSSPPVRRTEVSIREIVEDVTQQPPTPTSV TGGLKRKAEVLDEAVEGPEEEPMPAAQAPAGATAPDATAVEAVNAIDQRPKKRPRSLL TKAASYVASGLIGAAGAVVLLTSVPNDFFVA CC84DRAFT_1159223 MTSFLIRDVQVFTGEDTIPNGYVHVSDGKIKAVGPMSAIPELSI PTHSRPGHTLLPGLIDAHIHADMANPTALPQALRFGVTTVCEMHNELANVVKLRKQAR EPDTAAYKTAGQAATIENGWPIPVITAHDKSAETAAEIAKWPKLSCREDVVEYLDWME AEMQPDYIKLMHESGTSMGWPLAKPSVGLQRIIVDEASKRGHLTVAHATSLQDTLEVL EAGVHGLTHTICDQPPTQELVDAYKRRNAWVNPTLATMGSLTGEGRELQDRFAHDPRV KGLIDESAVHNLCNCMGFAAQHGKVEYAYESVRMLKEAGVDILCGSDAAGPAVGTAFG LSMHQELYVYVHKIGMTPAEALRSATSLVAKRFKFADRGRLAEGLNADLLLVEGDPLA DINATLNIRGVWREGRMCSVHQGKLEE CC84DRAFT_1211411 MSARAGVEGVGLLEVEHQREKALNPLQSEDSVVEGKVTWRNLPQ KKQLLLLALCRLSTPLSNACLLPYLYYLVKSILSDPEHPSAPQQISRLTGLLVAAYPL GQMSTSMIWGRLSDHYGRKPAILLGLFVSVTANLAFGFSRTIGMLLFWRVLAGMANGM LGVMRTMTAEIVKDRKYHTRAFLALPVVFNSGRVAALAIGGCLADPVKNIPWLFGPRG LFNFYHHPEGVAWALVYPYALPALFNGAVLATCLILAFLWLKESLPSRENSWDPGLVV GRVISNLFTKKTRRLRSGYSAIQIEENQSLSANLLTTESGSGSSTPIRAKPASRRSGL RGIWTRPLVKTLIAFGLLPLHNATFLHVFPVFLSMPTAPNSNATIVRFTGGLGLASPT VGLYLASFGICGILLQLFIYPRIQKYVGNLGVFRIASAIFPAAYLLAPYLTLLSGHNT AQWVAMATVLFLQVMGRTMAMPSSVILLTEAAPRRDVLGTVHGAGSTLSALSSAVGPV IGGMLLAKGIDIGVIGLVWWSWLLLVALVALGWSCGLEIEEKGEEAKLETQLE CC84DRAFT_51075 MATASAATAASLPKKASVRLLTVSLFFGLASLAWGYNIGIMASI YVHPGFIKSLHKPNKTITGLITSIYYLGTWLSYLFVSHPLADRFGRRVAAATGVLTTA VGAAIQTAAKGDHGVAMMIAGRIVCGVGLAIVSTSVPLYQRYCFYDVRLQGLTLNSEI SPAKHRGRYVVINHVGLVAGLAIAFWVGYGISHWESSRGTYYGWRVSMMLQFIPESVF LLGVFLCPETPRWLLERGRGDAAKRSLAWLRALSPTDQRVIVELEEMEHDVRSRRIAT NQGWTVLFSNRPLFNRLWRAALLQFMAQMCGNTSMKYYLPSIFMSLGIERKLTLMIGG IESTLKVGCTVIDTWLVDRFGRRLTLVVSCLVMSLALLVNGALPLAYPNNINHAADYV CIFFIFMYTFGYSIGFGPAAWVYGSEIFPTNFRARGLNIAASGSSIGSIISSQTWPIG MEKIGSRTHFIFMSTNLVSAVLIWLLYPETSQRSLEDMEVLFNKNYQTQRAMDDEDIM YRDDAEEHATGPVHKATST CC84DRAFT_1211413 MTRTKYTVPLIYITSFLFLFGETVQPAPRIAIYEAIVCQQYYSS PSTGAGPHDCKAAPVQEELALLGGIERLSIIIPSVLAIPFAALADRYGHSLILAIAIF GVFLEDGWPFLVTWFPNVFPIRLIWLHFVFSCVGGGFTTIITLLHVIIVDVVSAEDRT RMFFRSRAAGVAASIVGYATSGLMMRVNDYLPWAVGLGSLVLGTVAAAMIPNQTVEAG RKPVGSSGRDAGWKAKIRRTAKALKDVATLLLGNKQVVAMLGLVFLCQLGFDAGPLML AIYVSKRFGWSFSDASFLNSLEMSVELLMLVFFLPLLTATLPVRFQNLSPAMKDKNVA GWSLLALAVGQLCLGFAPVAAVAILGVVIIAMGAGQDSLLRSLATEMVPTRDISMIYS AITMLRAIGGSVSGPIYAWLYAVGLRQRQEVWIGLPYIVAGLLFLVALGLLMGLEVPE REGYEAIEEDEARA CC84DRAFT_1254174 MRFTIATVLAFAALSFAQITPNVAGAKNVGAGNGAQFITGGCVS NADCSSACCADASGKGVCSAEAAQFQNGKNGCGFQDPNAQATIAAAKAQVQKQGFKKV KRATC CC84DRAFT_1211415 MQGTTWAAVAAGSQVQAPASAVVPTSKKSQLSAIANDGQNSTAA KVKSRSANASDANSDVPKKDVTAGTKSTIVAVLEKTSTQKNNHKEKESAVVSKPPNAI EEQIQKAPDAVTSSVVGASHTLGANKKKKLLVNAPESTVGNLSPHLKITSQDNVTDSS LPVANEVSITPIKKRNKIKMWKAGHKVKDAKKVVHEVAKSTLKPSGVINGADQQVFDS NVHNPANNAMTANKSDAVLAEPDRKGLEYTSIVECVHVSTAVSEAALASDASAFDTPT PAALPLVETELLEQPKHSAHAHKNSDSTELSVHTVQDAGIARTRSVVSRKRITHHDVE TYVVSLGLPGISFDEFGVCIASDAPDWVRGRVARRVYWLVSDFKAGKTATEIDIATEL ELEKKEKTHFHQAVWDRLFLIEDWRNPISDQERLDLEPMMREFDMKLAARLISDSPST KVDDKVGEEKPLDKVDTYSSSLLSIGEVEFQPPVHQQTNFQQDHSNNDTQVLRPNFRL PSQELPPANRVVPGLHSSFKATANDHSYLVPFMLDPSLETSSLQENGQNSPQHHRPAS RQLCHNFANGYCYYRTACHFIHAGPGEVQAVAGPSQGNPQEHNQNPRRQRQSKSTQPC RNYVKGYCPYGATCHYVHIHHGEYQAVAESCRQDSTYDVFDFSRSVSRSSNNRGTAGR LNSQSNDRRQSTRAPSSNARVSGYSKTRARLTPDSHSSTQGSARGSRPNKTPQKKKKD EPKHKGGKKSQNVNH CC84DRAFT_1211416 MRLSIKDKTRRFGLHDLFIVLAFLFSVGQTIAVSIESIQVLGQH MRELNPNQITIFQKTEYASCILYIASMGCARISLCLLIKDILPGSIARYTTLGFAAFT ALWTTTGVLVAAFSCSLPDPWRWGNGKKCLDISSWVNYVGSTNIVVEILLITIPLCVW NVRTSAGRRMSVSLLFLSRLSVVAAVSAQLHFFNARHTNDFTYESWRTVLCIQVAQNL SIITACLPSLHPFIVKMLAGSTKSEDILSCGERHFQNMSWFSKRRAFDSMSSQSSSMP IKEEKEQEYCAPLATYGLDRASTHLISPQTNRFPLNIATPRASPEPPKDVFMRSIEIP TSASRSASLRRKSTIRTVRNSRGIPEIPEIPKSLSEVGVLPIIDFDSDNNTSDIRSEA SSQQSRRPPSDYVFHRSKVISVPEESFLRDQREEGQYYKKYYPPLPSPKTPRKPPRAF CC84DRAFT_1170625 MAPPTLMDSISVDDRANVKSSSIPADLRLESLLKNQATIDAQSR LTIRDVKKQHIDITRAIYFWRFDDLHQFQRYRDSARWNIAIFIALLTDVTLPSFIGVL IPGPRSQRALYYRAPNQKIEDLKLQSDISGSDMQPGLLETLKANEPDYYVPTLQRNTK PKVNTEPPQIPNTTILDFAVQDAEFLEVQKPKPEASTTDWPALHFPKTLPPNLGEQKA AEVVDVKEAIWFLKNSKPQEMLAKLMELFPEELPVRQPIFASSTPTSLPTRPEVVTPP TFEDLGAFVGNNVPKDSMDSAAHSKSVASMSSSSSSQVNQQTSIGKRSAGEQDEGLEE RE CC84DRAFT_1170626 MCAPLEVLADFLSRRVKQLEQRVDTLIDLLANGQTAALPVSSSA SPIPREIDLPLTTPPESSADPDGHSENAHPQYGTPARSPENIPYVQTEPSCIAYDPVE AGLLDDCMAESLLQEFRHSYTSAFPFVIVPPSIDGKALRQSHPFLFHAILTVTAHRQV DLQLILAAKLKEQISLRVINHSHKSLELLQGVLLYTAWYHFYYDPIKQQLAVMLQLCV AMLQDLGFSKNPRNAKKKITMGDTGANLYSVRSLAEKRAFLGTYYLAANFAQSWRRPT TLTHTRYMDQCCQHLMDCQEYATDTIITPLVQLSTLICRVQDYFSYYDIENADVKGEA LVQLSVANFDRELARITDSFPQTLIQNNPTLSLTIRLVNLWIYESAVHDSLWVGPNGV PTTRLSSNRLKTLYRCLNAVQSYTKTLITVKNTSLHHLSFPSWSSWCYACIIGCKLVF LTDEREQQTDINETFIEVLNLVMDKSLFHEPRTCSLPAEVTSSTWNPISVAKEGEMLS LFHQMYNKMRFTLPQDLNSTSIDHCETDPLSRIAYFQRNLLCSFTNRLNEHIAKLYVP ANTDSSAKSTNSVVLRENWAAPQTDYARQRHERNGIPLMQNMNFNSMNFDSIAPPDNL MPPNGTFEDWLWNTAMDDFTIPPL CC84DRAFT_51616 MDPSTEEQFPAQEKDLEVRDDTSTDTPKSSPAETTEDDEDHFMS GAKLHLLVLGLSLACFLMALDMAIITVAIPEITEKFHSTADIGWYVSAYLLTLCSLQP LSGKLYSNFSLKWTFMAFLLLFEIGSAISGAATSSTMLIVGRAVAGMGAAGLMSGTLS ILAVAVSVRLRAAYTGVIMSMFGLAVVVGPLVGGAFTQHVSWRWVFYVNLPIGAATAS VLFVFFRPPTRKAELDPLKDRIARLDLVGAALFIPAVIMLLMALQWGGLTYPWNSGRI IGLLVGGVVLVIIFGVWQWHKGKEAMIPPPIFLQRSVFWACITAMCSMGTMVMLGTWM PEWFQAIKGVSPVQSGVNLLPSMIAQVIASVSAGALITILGYYNPFIIIGTILLAIGS GLFTTFTIDTGSSKWIGYQVIFGLGSGFFVTSPLVAIQAVLSAKDTPVGIAIVTFFQM FGSAFFVALSQTVFNEQLLKQLAKNAPDANVGALLAAGTARLHLAVTPEQLHGVLLSY NRALLDPFYLGAGISALATFCSLGIQWVNVKGKELSPGAA CC84DRAFT_51544 MGLHFTARRSGRCTNTTLSPLPSPLPSRLRPTKKFLFSCLSAGI PHNQRGVHTGHQTILARGLGLPTRLAAFLVFILTCFHNQRRRIYPGAGEGFRTTGPLC LCSFYYRRGSPGWRPFESIRLRRLISVLCSACACVKRFRVEDSDDSMMLESHHGSWYD PGMSSFHSFCLSLPCPTPQPHRASSRLESNRALGSFSTPLLNCTSDVGVPSVVSSATS AHTALAPSWKSLSHHARVPGFSAKLSASLAQPADRCATHASICGGHPDVGAPGLGSDV GGKRRKISKWEVGKWSVKNSLV CC84DRAFT_1211420 MVSYHIAEPHPSASSSAYYGRGGAGNVTRIPKNVTPGPDASGPA SRIKLSPPSNALFTSGRGGAGNIHREKERAMFSFDEELAAQERLREHAAPVYHIGRGG AGNLVEERVKTSRQNSSASTASTDSERGVRGSIEGAWRKMSRQFSNN CC84DRAFT_53382 MPHVQTSADHIVGEDSRSRVQIQVCAPRIVSLGRQGKGPVTLGL NDLVMRWNNGAMSHSHADTRRFGQRRRCWRLAGEMRKGLVLIRCRIDRVPGRLAWCTW WVRKRIASASASLHDARRHAVGSIEIGRRGIWRENVLSARGRSQRRGGDGVSAAISGA AQAVVCS CC84DRAFT_1133831 MGSRLHNAPIVLDNGSGTIRAGFAGQDLPKCFFPSFVGRPKHIR TMAGALEGDVFIGPQAQQYRGLFKINYPLEHGIVTDWDDMERIWQYVYTEELKTVSED HPVLLTEPPLNPRENRDTAAQILFETFNVPALYTSVQAVLSLYASGKTTGIVLDSGDG VSHAVPVFQGFAIPNSIRRIDVAGRDVTEHMQQLLRKSGRVFHTSAEKETVKDIKEKA GYVALDPAKEEKEWSGAARSDNKSIEYTLPDGQKLKVGAERFRAPEILFNPEIIGLEY PGVHQIVVEAIHRTDMDLRKALYGNIVLSGGSTLTKGFGNRLLHEVQRLAVKDMRIKI LAPPERIYTTWTGGSILAGLSTFKKMWVEKDEWQENPDIIHTKFA CC84DRAFT_1077149 MSVSKTAPKAILKALQEALTSGPAQQIGDAGYYKPRPQLFHHYN GSTWVSYSPQNYPNGAELPTKNLRIMSWNIDMLIGFAEERMSAALAHLSNLVASTNKE VPVVIFLQEMSQSDMQQIRNAPFIQNYFYITDLDERNWLSPLYGTNTLIDRRLTIKNV FRVPWISKFGRDGLFVDLTLSDQGKGRVLRLCNTHLESLVADPPVRPLQLQAAAPYLH DSSVAAGLLAGDLNAIQPFDRALHSDNDLKDAYLELGGQEDSDDGYTWGYQVPQALRE KFGCSRMDKILFSGALRPQKFERIGMGVKVAEEKRQEMRAAGEEEWVTDHYGVMADFH LNDESVLAMTEKREDESPLSKLS CC84DRAFT_53363 MESMPDTPHLLALLTPFNLILAAISLPIISLTYQIIYYRFFHPL RHFPGPFWASVTRLWIAYHNILGDECETELALHKKYGPVLRITPTLLLVSDATKLPEV YNRQANKSNHYITGSFGEQESLFNMREHKVHAHFRKIAAGPYAFSNIKKMEPLVDLRI GQWLERLSGLFSEKNQEFDFAPWAVFMAYDIISEIGFGAPFGFIESGTDVGGLIQGFH DGLLPFGLMARLWPFTNFIKKTPLGKHLVAKPEDNSGIGMLMRFRDKLLMQRLKDVEE GKIERVDLLQTFIDARDDEGKPLEIDYIKAEILLVLLAGADTTGTAFQAMMAYIMGNE GVYEKMMKEIDECTEKGLLSKMPQYDEVLEHCPYYVACVKESMRLCPSAPNIFPRVAG PSGLRLNGQFVPPNTEITCNPWLVHRDSNVYGPDSCEFRPERWLGDEEDVKLYNKYNM AFGYGARVCLGKDIALMELYKAPLQFFRMYRPVVNKQKPGRFVVKGGVGFWEDMWLRI ERREKA CC84DRAFT_1225263 MAPGHLVHSHAHEEALPGTVNLRAAEGDDTAFGQALYPVPAEDP NDPLQWPAWKKSMILLVCALYSFLGNCALLGPSVYIGLYAKEFNITPTKASDLISYPN LAFGFGSLILVPLYLKIGRRPVMLLSMVFFCAGLIGASQATTFGGLMACRIIHGFGSG VCEALPVQLVNDVFFLHERGLRIGYYTIALCFGSTGPLYAGYMLAGGYSWKLYFYVVF AFAVALLIFAFFVVEETAYKRVTPTTPPPSILTTDKVADASHTETLAASIPARKTFAQ QLKIWNGIDHEQEFWIMIPRSFTYFLVPQVLWVATSFGIYIGLGALAFNYTFPLKITA PPYSWSETNSGLITIATFLGFMFALPFLSSSDRLAAHYTRKNGGIREAEMRLGVMLPA MIIAPAGVILYGLAAQNNLHWTAYFFGVAMDQWGALFYFSFTLAYAVDSYNANTSEML IAMNLGKQAISFGMGIYLLDWILENGFAVTISGIFAAVLLVNNLILVVFMVWGKRIRV FMSKTWLARLHKGTIKNVEVA CC84DRAFT_1200961 MEEASELRPLSAQNSRNLSELHDAYRTFCEYGWHHLTWKNLAYT LATYLSEYFKQDADGQLFHTRPDPGRIQYLADLNVETFGYLTKDALWVLFRRTGFGED YELNLGDHRPFQTPAWQYLLLLSQDDLQVLIVDVANILRVRTTSSHANANILSLTPDW RPTFGSEYGIFRYFEVQLSPNDAASLSYIQLERQAALQPLPTRSKNFLRRALSVRNLS NRNKAQSTGTVDSDFQPRTRFSWPLTEKYRRLFPRRRSGTSTQLSNATIRPNQPQVDY VANLSQAESTGSPSSSATLTPAIFGRRIVNDTVEEEHFSDAESIKSTNTMDSLLDFYA QGLQYPKFRNEGLGIPDGSAKESPIADSPTLAPTSAPNVPLLSALDTSLDADINSERP ISPMSGHQSFITAKTVPSDGIASRTGSRMTKRSSLDSFLSFESTFYDPPKLPVSEYQR LLEDQNLLPEPAAETDWSGRGQHAEFSLAERNLIPLQAEKLIGSTRTAIVESVLCKRV RLVRKTIKCTRWTGVKREDALREVQLLYRAQHAHIVRLVGSYVIGPDLAILTYPCAEW NLEQFMSFARTAHESTNRCASALRQFFTCTVKVLDFLHSFPIKHMDIKPQNLLVRDIS GSGTPETTQYKLYFTDFGISKAYESTDECDTESPTSFTRTYAAQEVVIQESRGLSADM FSLGCVYSEMLATILDASIASFEPYDKVIEVHWAALRAARHTSENGVRPYHRATTDIQ SWLYQLPIESEPEMLAVREWTTALLSNEANTRPTARQIANDPHLPFACRSCNLRRRPE DFEVAQPLTLTPHQESPHRWGNSTKELMEVPALA CC84DRAFT_1225273 MLGLLLFLSVTSAKLHHFYVGQISSTALHALELDDELHTLYEMG IIPTTTASPSLVIDHSRKFLFSSSPQDGTLTRYAIQSDYSLVTEANTTIPASCNATAF STLHLTPSSQAPYSIYGSASTGNCSVLFSTSVSGFKSLRSKEFAGDIRSLAWSPNGRH LHALDSHSSQSAATSIFNFYISADDTLNAQNQTDILANVTNAEQMVTHPTGNLVYVVT KDSNELVTIPLQGSETPASPSRFKILPSSMDPSQYTTLSLTISSSKTSLWTLSQSPAQ VVVTVFSLDPTTGVVINTVARAGWTGDGAFFPAQISPAPFAGNDIVAVTNSPVGYTAF IGLDKGTTALGEAGDVKIAGEDFLEDAWMLNVKSGAVAAPKLKSYGRIALAFDSLGEG VWVD CC84DRAFT_53415 MLQHLPPIRACIFDVDGTLINSEDIYTDIYNKILASHNKPPYPW RIKATQQSRGTPGTQRLLDWAELPLTVQEWQQKEKAYTHLFTASKVLPGVEKLLEDLA TRTAHQLHLSLASSAGASKFALKTSHIPSIAKAFADKRFHVFGDDPEMSDAKKKPEPD IFLLALKRINEAARQAGGKEVDAMECLVFEDSIAGVEAARRAGMRVVWVPHRGLAEVC EGREEEVLMGRTEADGKVPRYGEKIGAEAKKLEPAEDGRLISEDGLAEMRASLEGFPY ETYGIRIAGQ CC84DRAFT_53426 MLGLYAEDQVTTETTTFENGIDSSKWVVDTRGYGGSVDNPYMRQ MDPKNVEVADGLLKLKVPGGQTYDPKGTVGLSSAQIKSVKIFSVGSLEMTVKMTSEKG TCQCGFLYGADNNEVDLEYLTRTNVSWGVVQGMSKDQPIQQVNLNVTTDQTDDFHTYK LVRTESKAYFLIDGQLVNTFDKNIPTEPVQAMLAHWTDANPGWTGGPPAKDAVMSIKK VVVEYVGETSIFSTSTGVPSATQSPGSSPSASGKSAASRGFTLNAGSLLAVAALCSYF VL CC84DRAFT_1211430 MDFDARSRNQPRTYTPPSTPTKRSHSKSSSVSSSSIREELENLR RGMSSGYSVEGIEKPGSHEPRQFPAWSSDYEFEMDAAGTQKVIGTGAWSTVYLASPAP SMPEELVISSSLASPEITPPLTPVRSRGSSMSKFYPLMPSAYAVKVPYEKTAHRVLTE EGRILSYLSRFPSAEKYLVPYYGQDMRTDALIMGYMPSTLDSLITADLNTRDESDRAA MLTDIFPHIACNLLDGLAWLQDKACIHGDIKPSNILIAVNPSTRTPHAVFADFSAASL PSSPAETGKKQAKPMGGATWDFMAPSQLTSTAAPTPEADVWSLAISLLLLVTGASPYD RVAPNAILKREILKQGTPLDYVSAGENGVRSVIRMGALSRGLGFNVKKWMGKVLVREE AARMDVGEWREELGDALM CC84DRAFT_53410 MVEIKRAARVWSGARAQAAPRKVMQKRWQNVGVEGSVFVATTSA GNGAVCDHLMGRERRESRSHHDSMAPIQPLAIAQSASASSRRQHRRSVTLSSALLCLA LILASTASQRAACGLTLSRRRHRSSQ CC84DRAFT_53409 MKLLQLVWVCVGLPQIVRLALDKRRKAISSLVGRRFLRVSLADN GTCSKRHFLACKQRCGRVRCWRVLSSLALALVSAEQSWAPARRQQTRSARVPRNGRAP IRQRALLLCEFWGRAKSPNTERPMECVWDRFGQ CC84DRAFT_1077519 MVPSLSKALLLLAAALPFAHADNRKIESRSLNPCTSNSSISATL FNVAFTPGNRSLDFDIEFVSTISGKVNAELELLVYGYSAMKQDLNPCDPKNNIEGMCP MAAGNIKVKSNLDISADIMSKIPGVAYTIPDLDAVVRIKVTNQTNGDEVGCVEAELSN GHSVDQKAVAWVTAVIAGLGLAASAITSGLGHSNTAAHVAANAMSLFGYFQAQAFLGM CSVPLPPIVAAWTQNFQWSMGIIRVGFLQRMATWYQRATGGVPTTYLKQLAYISVEVQ KKMKRGLENLPMTKRAVYGINHAVGSIVARSNSDSTNAQHSSNVVLHGIERVGFKAKI EPTNIFFTGYTFFVIFVIFVVIGVVAFKYICEGLVKAGKMKHDQFTDFRNGWRTVLKG ILFRVILIGFPQMMVLGFWELTKRDSAGEVVLAIFTIVTMIAILGWASSKVVRIAQRS IVMHKNPAYILYSDPVALNKWGFLYVQFKATMYYFIIPVLAYTLVKAMFVGFAQGSGT AQAIGLVIVEAALLVGVSVMRPYMDKKTNGFNIAIAAVNFLSAIFFLVFTEVFHQPPI VTGVMGVIFFIYNAVFALVLLLMVLVSSGFAIFTKNPDTRYQPMRDDRGSFIKSQTQL TTELDALGATARGEGKGTHARLDDDDAMSISSADQQRQLANKEGGFTEHYQPAPPRSP IAPTGPSPYGSNPSTPAQAPPSYYNNPSPPSSNGYGRTASLRNEAQYRSANNSSPWQR GAGYDH CC84DRAFT_1159240 MPSFTVYKGSDSGKIVKAQTTRPDLKNDDVLVKVTASGVCGTDE HYKHADMALGHEGAGVVEDVGPGVTSLKKGDRVGWGYEHDSCGHCEYCLSGREQYCPE RKMYGMADTDQGSFASHGVWREAFLFKIPDALTDIEAAPLMCGGATVFNALQATGVKP TDRVGIMGVGGLGHLAIQFASKMGCDVVVLSGTDSKKEEATKLGANDFIATKDKKEID VGRKIDVLVVTTSVMPDWNVVLPALAPTATIIPLTVSEGDFVIPQNPLILGGHTIQGV AVSARAVHRQMLAFAALHNIKPIVMKFPMTEGGIEEAMGTLRDGKMRYRGVLIPQ CC84DRAFT_1200969 MSSNEDSAAAASRGLLGLPLVLVREIFELVYNNGSPGIALSELR LTSRVCRRECERLMVRSLNLSDTESFWKKTSLRSRGLLKRLQDPNDELAEHVRELWLG PFDNNDYFANEVLRYEADGMSIPYSIWFKTMDRASGEAIRSPVISEPQEGFVDGKNVL CEALKKMASRKRAENNSNPGASAPSSQHEVTTETAWLGLAPSLLSSIQQLLRDPDSIA QGSDFGAVLWDNEGYVSPVLGRAIRNMTNLCSVTWNTNFLFPRDVLRILQQNHPSVHI NVHQPMPALSNAFHMDCTLLSSPQLHSADIYVYGTPHTRDDFQKSYSEYRLVKNCLAR GNSIKRLTLKCDGTYNWGWPTRLADGSALIRWNRITEGPMNFDWQESDRFPALKEFTL PLRGLYLSKDHCDMWVRCMDWSHLVHLDVGRFTPQRLFVALTGRVSQLQKLRFGYWPK WAYRAVPECESLSLIKPFLDSISALQDLSFSCHDIRLHPLPIFEAQQHSLRRLKIEEN YQGFYDSKAIEEEGVLLRLLENFPGLTSAEFAICSPPFEAEWDAHLTPRQKLGLMLDK RMTAV CC84DRAFT_1133853 MSAEYKNADLNALSAQAERDLNSDGAKKGHGASLSATESGVNSS VENRFAGAEVTYGSAASGTGNNREIPLSEGGDINPRTGQLFKAGDFEQGGVGETKQET LARTQGGDDAVRENVRN CC84DRAFT_1192217 MADKNTEKQVGHAGDTHEERVSIDDPDERELARVGKKSVLRRNF AFLSILGLSCSLMITWEGLCSVFVFGLMDGGPAGLIYGFLFCWLGYGTVVATMGELVS IWPTAGGQYHWTHMLAPDGLKDVLSYVTGWQSVIAWQALSASGGYLTASSLQGLVINS QSRYNPTRWQGTLLVFAIITFCLVVNTWLARLLPRAEQAILVLHIVLFFVVLITLTTL APHKSTSHDVWALFLNHGGYESKGLSFFVGLITPVFAFTGADGAVHMCEEIRHASTAL PNALLGSIVINGVTGFAMLIAILYCIGDIEAALSTPTGYPFIEILTQGTSSVAGGTAL SALLVTMFMLCTMGTVASASRQLWAFARDNAVPNARIISYVHPTLKIPLVSILATCSI SCLLSLINIGSATVFNAIVSLTVAGFFGSYLLPFSLLLHTRLKHPGKLEFGPWRLGTL GPWINAFAIMWSALVMFFSFWPTSVPVDKVNMNWSCVLWTAVVAFAGVFWVVHGKGVY KGPIVETGEVDLAVDA CC84DRAFT_1079099 MGLDTQRPPLPAPTEPSTLSSVDNDTSLRDDVDTNVSSRTDKTS YSIPEDGTPVTINTTKQQGGFHKKYPSQTSLLIEYFEGGKSEGKVHSRPSVRVRVTPS SRKNKSATANDHVQITQTTRNTRKPLYTRRVSLGSKPRDELVHHTNTDVSYSDGSNVS SIPPVEIEVLQNASDLSFNGGRFVPMASDISSMPPDSMLEGEPIIKTPGRRRSRSLER DEVLASKDISDTLKAPTRQRSRSLSKERITARVMEKLQQKPTESVSPKSKHRTGSREE LTASRKSHRKTSRSRREEETSGISGTESSLLSSNVHRRSGDTQSIRSGVSGTSSINNP KLLATVEDAIKRLILPELTALKEEQRTQKNAAKFETMSRDSTYEAAESTKSRDSSRRR VSKSSSAPHIHGSKPKVVLNRDENDPGTVLSGGSSRHRRSSRGSVSERSYAESTEEKI RHKKSKDSSRHSTRDAALAGLAASGLTAAALKGHTAREEEKAERKERRKRHSKSRSRT ASISESIEDEVFETPFTEPSVPPLPILQSELQGSELTRDSILSAETETRERPESSSSR HTGAETPLREVARGFASPSPRTPTLTPNTTTQRSLGTAHSNHSQGALSAKSDRSYFSR TRETSTAAQEAAAAAKARAIERAAPHSPQYEIAEATPTRGLSPIQSEASYREEVLETG SPRQLRSVHSGASVSTAGRKGARPQSTLSIQSFESSPSTKAARTRKRPQGVSLERTKE VLGEPDTPRDVDEFFEKNHEQNEQYRREYEASLRDSPGADSRRMTGYSEEGEGPYPER DEQRLSLSQDIRKVGLNPEYVHTPLAVESAVASLLEPSSISIQSSTTSPVKGKSRLSR VSPSPDYQHIAEGSELSELHEHPSQERWNAIRGQAQTLAGKSGAGSSPRQSLSVSLQD EPQMNHSAIPTGYVEPEYGYGVDDESEVITNPSIINGPGGAQYDDRTEELLSRQEERE QRTPSRSYGKAAAAGAAAGLAAAAAARSRNESPMYDQQRDSMASDNYELHYDQHEPLH SKNSYSRERTPSRSPALWKDEGYQSAHQPGAHTPDMRHQRLYDGEMDEYDESPLDGGD PFSTPDAKHARHLSGNSHGMASPLYDSATGKGLDRIESKDIVALMDHLTVRDAQRNAR DTEILVTLVRSAAEMRNTFEELKQFIRVQDSMVMNHTDKRVDLAEQRIIGGPRPQPAG SPRAPRSSADDLDYETKKKNVFKRALKGLGSKGDKDIKNIEAMLMQLLGDVEGLKQVH QLTLDQQRTNSMTSYEHMRANDPGAPESGYEPEGRANTASSPNQSGYLSNPSTSRRIQ DLHSGYDVPQTQRISTVHEEDDEEYLEDEPQYENTERMTTPTQEARRNNSLSHDTPPQ AAKPFRESQSQENTPKRKHKSNSSSIFGIPKISRWSKTTASTNRDSESLPRNSGSGEE RRPYSSGSRSGSRVNIPFGDGQYEMNEDDRMRSTASLPRDGRDSVRSPSPLIPEDTEQ YAMEDPKYQAHRNSLNLQHPQPKHGPTGRHTNQLDQQARIFDPVRSPDYSDQWGSNPS LARNRMSGGGASYNAGNLSPISSEGGYSQHSASEQQAPPRPPKILDDGPLIPPQQPLA GHGQARQMYSSPNEYGGQGTLTPLAPIQEVRYSLETDRGVRYTPTPSPRPSSQNLSIT SPQRKITGPRPMGSRSPQPQHGQTELQHSDTVIRRKPVAADTESLESYRSSLDSEIF CC84DRAFT_54430 MSSAQEIETKKRKRKHKSKTREEPVIAEPVSNGVAEQKQKPRKK AKKEHTPEPDIAEDVASDASQEENEEELNAELKKIAAEAKGAEAEKDEDEQSDDDADD VEKATGANADLVASTSMPTVDDPIKFSDLKMSERTMKAIEGMGFETMTEIQRRAIPPL LAGKDVLGAAKTGSGKTLAFLIPVIEMLSAMKFKPRNGTGAIVVAPTRELALQIFGVA RELMESHSQTYGIFMGGANRSAEAAKLVKGVNLMIATPGRLLDHLRGTDGFVFKNLKS LIIDEADRILEVGFEDEMRSIIKCLPKDRQTMLFSATQTTKVEDLARISLKPGPLYIN VDYHKEHSTVDSLEQGYVICDSDTRFRLLFSFLKKHQKKKIIVFFSSCNAVKYYAELL NYIDLPVLDLHGKLKQQARTNRFFEFCNASSGTLICTDVAARGLDIPEVDWVIQFDPP DDPRDYIHRVGRTARGSNGKGRSLMFLLPSEVGFLKLLKEARVPLVEFELPANKILNI QSQLEALIGKNYYLNKSAKEGYKAYLHSYASHSLRSVFDVHKLDLVKVAKSFGFSTPP RIDITLGASLARDKKVEGRRAYGSQPQQGRRPMKPNKRF CC84DRAFT_54673 MGWGPWSLLLDGKSISSKRIATDGARHVRSGNWQNFDNTAARAG TDLKVDTTVTGIELLMTEEKHMRYKSSPSDLGSDATLSAQFDTVIFTTPYNQSSIAFT PRLHVQPKRIDYVIQHITLLASPHRPCPNFFNLSDPTNVPDSILTTTPAGYDLTSGAP KRWTLTPSFSPSQHLEN CC84DRAFT_1225325 MAPKIFLTGGTGYIGGSILHALVTAHPEYDITVLLRKTPEAFTS TYPNVKIVQGDYDSAETLAERAKQADVVVHNGDSDHEPSLNALISGLLAKPKKSHLLH LSGTGIVSDWASPQHLGTLNPKVYSDVDDIAAIAALPDHALHRNTEKILFKTAAEHGD KLEIAVMCPPDIYGPGTGPGNTQSVFTRLFIADATTVAGNRVFYHGAGTNTRSWVHIS DLTSLYLRVVEAAVADSPTFSGPGKYPGYYFAGTQEHAHIDVARTIGATLVKHGVIQD AEPVEVGLEEVDRMAKHPLFPKLGRYLYASNSRTRAVRAEKMFGYVGRAEGLLESIEG DVLAALGK CC84DRAFT_1159245 MSDLAGRKVFKVFNQEFVVDERYNVTKELGQGAYGIVCAATNNQ TGEGVAIKKVTNVFSKKILAKRALREIKLLQHFRGHRNITCLYDMDIPRPDNFNECYL YEELMECDLAAIIRSGQPLTDAHFQSFIYQILCGLKYIHSANVLHRDLKPGNLLVNAD CELKICDFGLARGFSMDPEENAGYMTEYVATRWYRAPEIMLSFQSYTKAIDVWSVGCI LAELLGGKPFFKGRDYVDQLNQILHYLGTPNEETLSRIGSPRAQDYVRNLPFMQKVSF QSLFRQANPDALDLLDRMLAFDPSSRISVEEALEHRYLHIWHDASDEPNCPTTFDFQF EVVEDIAEMKKMILQEVNRFRQQVRVQPGGQGVPGQQQPTVPIPNNYDRSGYEDPRPH EAMAQGGWQGNELERDLQGLDARMR CC84DRAFT_54038 MLVPQRYQRCATLLWLFVAALLTPALAGDDGLPLPISVAPDQNW EGIDGSWNTFSLRVGTPYQPVRVFVSTASQQTWTVAKYACMRSEFDSATNTTTEKEDS DCRNSRGWTFDSNASSSWDYNGFYQLWIEKNLDYAGNGQYGWDTVGLGLPGEEGPTLV NTTVGTHISSDFWLGHFGVNPKSTNFTNFTDPSPSYMTLLFEQHHIPSVSFGYTAGAQ YHGTSVLASLTLGGYDASRFIENDLTWNFAPDNERDLVVGIVDISATSSTKSSIDLLN RDPFSAYIDSTVAELWLPVEVCEAFEDAFGLVYDNTTGLYLVDDQLHDRLKAENPSVT FLLGQKFVTNATINITLPYGAFDLQASPPYKGLTNVTNYFPLRRATRDNQFTLGRTFL QESYLTVDWERQNFSISQCNWALDEHKNIVSIISPKYTGENDASSSGLSTGAIIGIAV GIGLVVIFAAGAFFLWFRRRRQRQMDEKAKLNYAGQAAATKKESSSEKEEPLHSPGQD SGVGHVFPKAELPADSKFADADEERKDVDSPTSFGRLVEADNTERPIYEMEGDVPAPN ESGGRQLSEKETMMVREARYNGVDPSGTADASPRSEEEPPQRHRPAPLTGLDIAMVNR RLPVSPVTPLTPRTRDGASLEAGDTFFQPLQRRTPRDGSLLEAEDTLLSPVSPLDPPT DMSRRRFSYES CC84DRAFT_54684 MWLCIEDRHGCPVVLRARRVRSIRGLADQVPRRPLAMAMSTFIR HFSRIDETEYPAAASARWPSAPPVGLSRACLCSHGREGWTDNSLLEAPPGEGSDGRVF ALGVPSSSASMAGEPPLTAGMRSVLLQGLEDSAASPHCRSRELADCAADRAVGVLAVP CALVLTMKWSRSAHESQS CC84DRAFT_54969 MAEDSVHPLLVDDAEARLIHRLRDLDDEDEDTPERTQKLKSAGT VHLKVSVSDREFDDTYPVPATALIFGCAEVGLKIQEKLLSQQWIDGAGAQPHDPLVDA QGHVDEGLSQLVNGDISEETISWGKVRSEVLPSYIQNVTFTIAKTDLTDDRQKELQEK FPGVTITVKEAPTSQPLSGPNRSAKRLAAPDYLLEKPNGVKRAIFELDKDATTEDDAL EANIRKQYPWATVATATAINVPIEPRAFRQTAPVVGDIESQLQDFATFMNDYPPDGLF DVNVRHDQIWGTLPFSRKMNIAHARAIQSGHYSETRAPATRCGTCQRNNYACKVYRQD FIEKVASTNHINLGEGCQHCRLLGNKCGLPPYRACFASPPARDDLGMAEPPGLGRAYS GDLLSEAAVTPQASRQVRLAIRSGIEQFPPVTNVGNDGFTSKTDMIELAQQLGLTLAQ PDILWTMYTSWRERSTFRNYDPGLMNLQYYYVNLVNLHIMARNICYSKLEFATLLQFQ FTNLEQEDNLPDINKPVIKAFEHFPADAPLCRWIAIVYSYVWNTVEDGDYEAFLRKNS GLDPVALCKFLYAVAYVRDPHTKGGNSAVLQEWCSVHNHVEGSAEDKQCMTAERACKN RLMATRSSPNKADDGQNKPSKRPFDGRPGRKQDKKFKHNDGYQHDPRPKSRSSAVEED MRRDGDDSTDDEDCIGEDFARDSTGIVSRSLGNAGYDRQQPNTPARQTVGTNDPPSRK RARPRKNNLVDDVNESSAQALKGSRVHSGLAAMISSEHLDSLPFNSDFDLGKALDDED TESTRASTAERLPETLQRSIARSEEPTSERRSARAKAHPPGFFRQNLPKSQFANTMWG VFKDD CC84DRAFT_1225335 MKTTTLAGLLALVPGALAYNAYTGGLPVPTSTKTNSKVIEVKAG QVFDSGWARYDRGTGACNNQVEGDADAVFLLRSGATLRNVIIGKKQAEGTSARIAITI KNDVAGQQTNIVGGGAYHVSDKVVQHNGWGKHRQHLQFYVEDYGKLYWSCGNCSKQCK RNVYIDDVNARNGGELAGINSNYGDTATIKNACYTTAHQWQMYTGCAGGCEPAKAGYW SG CC84DRAFT_1170653 MDLNMSDPSLADIAELQRDCHPFPAYIDAMYGRPTEYERLHSNG QDKKPSSSEQAATSNRTTTAPCQHQQTNSLQYSASPAPDPVASLYPSPQSMYAVPPSS FLGNTKASRAPSQTPGGPGKNLQQQQNPSLFSSPMKTTGGDLIGGAPKSQAQSGGALI QNKIGSSPASLYVGDPPPTQLSASTLLEGLASSLAPPLNPASPTSPASPAPIPPVRIL LYDDMWKPAFDWMPRQVRTSINDKVGRLRNIIAEEEIMVSTRNQAKVELVALSTQVME AHKKEILHKMHQAMPSLYDAVLTRHHYPSHHLEHLKANEAIHKIRQQFPGPPQEYMTG LFQEMVRRGKQGKVPYEAIPGWLDQWNIEHAPKATNENAATQKAELEQSNGENSPLPQ WRGS CC84DRAFT_1225343 MAGAAEQFRFSDLPQDIRLIVHDYLCQEKVCYLCQKNTKNGASS VLTSICCLDYDPKIHVGLLYSSKVFSEENTAWVVVHNATEVYAEIHRARTLLFGYAGY VGADFQTSAMLGVLETVTKQGMNIGMACVGKDAKHVEEMQGQRPSADGTISSITLHPS RYSRGVKLEAAIASSMTLAIVDEWYSLQSGGPVEGCRTLAFETPRP CC84DRAFT_55059 MADASEAPETLETLQARHRKEQRDLVSRITQKKKQASKKTRKGV NDECERLEQELKERQARELATFNGENPHEEPALEEEQDIAEVEEGQVNGVAMAVENLT IDSGREQKHTTEQNGPKKKKNRAKERLARRAAEHDALVAQAKEEAANMPDLREQERTR MEQHFGKYGVEVKEIRADGHCLYAAVADQMETRGLGLKPRIQVKTQEEAKLPDYKRVR YAAADLIESHPDDFAGFMEEPLDVYLQKIRETGEWGGHMELMALARSYGVNINVLHSD GHVDKIEPGDDVKDEDDKEIWLGYYRHSHGLGEHYNSLRNVS CC84DRAFT_55072 MSTAAPTQKQDRLKAHFSNHTPEEHSKRWDDLWSAGDFLPWDRG YANPALIDALAERKDLLPAPKNASGKRARALVPGCGKGYDIALFAAHGYDSYGLEVSE NAVKVSDEYLKNPGEGPLEGEYKVFDEAAGRGTMKCLLGDFFEDAWLESAGGLGEGFD VIYDNTFLCALHPTIRPQWAARMQQLLAPGGALICLEFPTHKPPKSGGPPFSLPPTVH EELLKRPGEDISYDEDEKVQSTDRPESDKALHRVAHWKPRRTHDVAIINGEVKDWVSV WHK CC84DRAFT_1159253 MSSSENELPGSSSEQHRAEESVKSADPSIAAKEVDVTDEDDAIS IAHSTTTTTTSGHDEEQASERPNPPATLTRTTSVNPEAIIVDRKNRRGLFGSLTIIPE VENGYHYARKTKWFITAIVAACAMGAPMGSAIVMPALQDVAKAFGASSTVANMSVAVY MLSMAIFPLWWSSFSETAGRRTIYLISFTMFTVFAILSAVSTNMAMLIVMRTLSGGAA ASVQAVGAGTVADIWETKERGRAMGMFYLGPLCGPLFAPIIGGVLAQTLGWRSTQWFL AIYGGLTLLLITFAMPETLRRTELQVPTQTQQSTSEKTTARPDLTRVSTRQSVQTTTK TTLKTLRRYFLDPLVVLTWLRYPPVALTVYYASITFGSLYCLNISIQASFSTAPYNFK TIIVGLLYIPGSIGYILASVFGGKWIDKIMHREARKAGRYDASGKLMLRPEDRMRENA WMAAVLWPGALLWYGWTVQHGVIWIVPMVANFFFGVGSMLIFALSSTMLTEFMPKRAS AGIAINNFVRNICSFTGAVVAEPIIHAIGNGWFMTILGLWSLVTGLMVVWAMGRYAGK WRVKMVAALG CC84DRAFT_1225350 MWLLLMVGFNASLAQHLPLVEGIVLVHYVLAFFAFLLIFWTMAD RLPACGVFTTLYDCGGGARLEHPLSSVSPGHCGASSVHMPELTWRRNSRTPQSCRKGH NFPTGVPVLQAVYNATKPITNTIIMGTVLVVLLFFAALSVTAASSRQTWAFLRDKGLQ ISSWIEYVWPG CC84DRAFT_1078421 MSDHAKDELEAQAPPQAHSLENLPPSNAPKETTATTAPSALDWD SPEDPDNPQNWRLASKVYHVTIPGLFGFAVTFGTSVYTPALHSISSHFDISRTAAILG LTLYTLGLAFGPVISAPLSERFGRKLVYLLSAPVFMLFTLGAGFSRSFGSLLVCRLFA GLTGSPALAVGAGTTADLFPPAKRAKVTTVFVMAPFAGPSFGPVIGGFAAQYKGWRWT QWCILFIALAVFLGALPMKETYKKVILERRAKKRCISPPEPVGGAAVKNTVVQNFLRP MHMLVTEPVVFFLSLYTAFAFAVLFLFFAAIPYVFQRPPYSFTISQTGLIFLAIGLGV LLASITGILIDTNFYQVQHRKAMATCQTHAQPEHRLYNAMIGSLGIPIGLFWFAWTAN TSVHWAVPVVGAVPFAWGNLCLFISAAMYMVDCYGPLNGASAMAANGIFRYTLGAVFP LFTIQMYESLGIGWATSLLGFLSILMLPIPWVLFKFGPAIRKHSRYPVMM CC84DRAFT_1170659 MYIVLLLSSLLATVLGAPLVARQSDTEWKPAAGTTTTCDVNADK YISLTIGPEQGNVIFEHACAGIMPGCAFPESLAEGTVCTQTVTYKLDGPKNTTLNALV EKRENHNKLSDWAVNFAVAPAKQPEDSAGVHLTKADCIGYFQELLLKSAPEGCTLQGL GPSAGTLTVGGMTSLKDAVFGVSFVKRT CC84DRAFT_55132 MATSCHSTVVDIEKHAHTDHTRLSNESVHSFSWSDIAVTVKDRH TKQPLEILSDVRGLIQAGEMLALMGPSGSGKTTLLNVLASREATIGASVSGLTYVNGA QSNSKDFRKLSCFVEQEDALVGSLTVQETLSFAARLALPRSVSKAERITSINALLESF GLREQADALIGTPIRKGVSGGQKRRVSVASHMITSPKILFLDEPTSGLDSAASYEVMN FVRATARKYNILVIASIHQPSTTTFELFDQLLLLSRGKAVYNGPVAGIQDYFTSIGYE MPTYINPAEYIIQLVNIDFSQNQAEAVERLTRLHLSWKNSPQAASLQARLEDDRRSGA GLTLDHAYLSANPYSVPITLMHRSFIKSYRDVVAYGIRIAMYGCLAILMGTVWLRLSP TQNNITAFTNAIFFGGAFMSFMAVAYIPAYLEDLSLYTKERLNGLYGPTAFMLANFLV GIPYLFIITLLFSVIAYWLGNFRPGADAFWTWVMWLFLDLLAAESLVVLLSSLIPIFV VALAATAFANGLWMCVNGFMVEPQTLNVFWRYVFHYIDYQAYVFRGMMVNEFGKRNYA CDFVEGVCQCMYPSKLQDQCMVEGTAVLERYAFNTENTGKYVGYMLVIILGYRLLGWV VLYARKH CC84DRAFT_1159257 MKSDDLEKDTAILDLERLRAGGVDPGGKLRLVDEWHHQHLTATF RRFGGDHPAAAYPTQTSRIPVYEHDDMPGLLIVPSLLPPETQLALLSRLLHRDLAQPS HLTNIHTHYHLSYPPSASSFFTLPPTSPAPVAHPKDPTLHRPLNISQLLNKKLRWTTL GGQYDWTAKRYPDATPPSFPSDVKDLLEAMFTNTKAEAAIVNLYSPGDTLSVHRDVAE SSDTGLISVSLGCDAIFVVGTSSESPATTNETGDASSTIPTGERVLAIRLRSGSAVYM SGASRFAWHGVPQIVPNTCPAYLESWPAGQGKDDTKFEAWRGWMARKRINVNVRQMWD CC84DRAFT_1192228 MENYQKMEKIGEGTYGVVYKARDLSTKDQRIVALKKIRLEAEDE GVPSTAIREISLLKEMNDANIVRLLNIVHADGHKLYLVFEYLDVDLKKYMEALPASEG GRGKSLPEGSTLKVTMGPEVVRKFMTQLCQGIRYCHAHRVLHRDLKPQNLLIDKDGNL KLADFGLARAFGVPLRTYTHEVVTLWYRSPEILLGGRQYSTGVDMWSVGCIFAEMCTR KPLFPGDSEIDEIFKIFRVLGTPTEVEWPGVTSFPDYKSSFPKWAKQDIATTVTTLDD YGLDLLDALLVYDPAGRISAKQAVIHPYFTGGFHDFHYELRGVRASDPLHLRTLAEPT SSLISMPPTPPKLLPTSQGPRLIVYHQTFHDSAGNYHSLLPLLTNNTGVTHIIVAAIH LNEGPGNITLNDHRPDDKRYEQLWGEVKWLQGSGVKVLGMLGGAAQGSYERLGGSEDN FEAYYKPLQAIISAHQLDGIDLDVEEKVEIQTITRLIARLRADFGADFLVTMAPVATA LIPDPNMPAHLRPPRPLLASGPTPNPLHPTLPHLSGFSYPELECSVYGKEIAWYNTQF YCGWGDAGSTQWYDAIIAAGWTPSKVVLGVVTNPGNGAGHIPVSRLRDVCARLRQKYK DVGGGFGGVMGWEYFNSGDHEEDMVHVTSLELNNETVQAGWVAALGRVLRVEDAPRVQ TQNPMLGVTPEQIRQMVTKLPEAPAPWPAETVEKLVVLGFSNQEAVAALNATEGNVEL AAGFLFEQYPQ CC84DRAFT_1077569 MPRTGGGVFGRNAGPVLEIHPSSPFVVFYGEPNEAQNTELTGKL ILNNPESMSVKSIRMTLQGTRKVAWHTTNTVTPQPISQKQNFLLENLSLFPVDGSKNK AHKINAGVHEWEFKFKMPSTLDESIEGLPTNWVVYNLKATVDRGYMSKPLTASSHIRV IRTLGRDLMESVPMEQINEDIWASKIAYKITVPQKNYIVGTSITADYVLIPLRKGVEI ANIKMELIESRQLFCDYAGRRISHTTEVQVACTEGTMPENSKNLVPDGVEDADQLFDE CHRFSMTLDLPRSLKNCRQSVDTENIRISHKLRLYVNLHNPEGHTSQLLVKNHVHLFI SPNLPPGEDQSVLVDPQILSQQAVQDEVNQNAPPTYGLHQLDSLYNDIDPSGFMTPGA HASYGSSGANTPFYAQSRSGSAEDLASLNAIAGGGASAAALQHRLQNLDLNSQGSHSR FLPIRHHSSGANTPHSRPDGVNHTPPSGYFDNHPDYDMEALTRTPSYNTAVRTPARTP ISEDLPTYEIATSRPSSPSRTPTSRGTTPSPARSLDTLREETERNTAMNSRRESPRHS GDGR CC84DRAFT_1254210 MFVLPPPPRYPVAGYPGAPGGGQLIETNNTLTHPTGPEHQLVVG EGTYVLRDNLHLATPPPHPSEAPVHNPNPLATTVSPPTAGTKLSIVVVAPRQQSHSRL FRLDTANSTRSQVPPSIQESPNESNSQASDAGSQSVNGNGVTAAPREGLHAPAFGSGN SALAVLNGKDSKDPLKRRKPKSNIVKSNSSFVSRVIPHEALTKRLQEHNPNGLYAFAN VNRALQWLDLTSSTKEEHFTKILFTKAHALCHDVNQSTKGPGHVDIILGFSTGDIIWY EPMSQKYTRINKNGAINSTAVSDIRWLPNSENLFLAAHMDGSLIVYDKEKEDAPFVAE DQEQVQAETEKKARLVVKKSVNSKNQKFNPVSYWKTSNSKINAFAFSPDCRHVAVVSE DGSFRIIDFLKEQLLHQYMSYYGGMICVCWSPDGRYVVTGGQDDLVSIWSLEDSMLVA RCQGHNSWVTAVQFDPWRCDERNYRIGSVGEDCRLLLWDFSVGMLHRPRAASVRQRSS VTSATLKMQRTRTDGSNTRLRSNSNLTSGSMLDEEEVVHEVEPRARTAMLPPVMAKKV DEHPLCWLGFEEDCIMTSCSNGHIRTYDRPKEGAGSEEVSTTASASNA CC84DRAFT_1200986 MATVRICVCGDEGVGKSSIITSLVKDVFVTAKIQPVLPQVTIPP TLGTPDNVTTTIVDTSALPHERHALRKELRKSNVILLVYSDHYSYERVALFWMPYFRS LGVNVPVVLCANKADLASNGTTSQVVADEMLPVMTEFKEIDSCIRTSAKEHHNINEVF FLCQKAVTHPIAPLYDSKENALKPAAVAALQRVFHLCDKDKDGYWNDEEIHDFQIKCF EKPLGEDDLANIKRSIERSVPASTGEHGMDEKGFLLLNKIFAEKGRHETIWIILRKFN YTDSLSLQDTFLHPKFDVPQYSSAELSPAGYRFFVDLFLKFDRDNDGGLNDKELANLF APTPGVPASWVDSAFPSCTVRNEAGYITLQGWLAQWSMTTFEEPKTTLEYLAYLGFES GDRGGTTNALKVTKARKRRNKPGRVERTVFLCYVLGSSGSGKSALLSSFLQRPFTSMY HPTIKPRSAVNSVELKGGKQCYLILEELGELEPAILENQAKLDACDLLCYTYDSSDPD SFTHIVELRKKYPHLDHLPAVYTALKADQDKTMQRCEQQPDEYTSTLNMAAPLHVSAT WSSISELFVHLAECATHPSTAFPKQDEEPYDNLNLYLALGAVTCAVASAVFIWKRNGA SS CC84DRAFT_1200987 MQDSERRARELERLYQQRRGHAPQISISDDSHHVTEAIGDMYGH DDDFPMRRNSRPLSFISNPLGDSLEPFNPFPAAPPKSPLRAQMNHDRPPNAAGPAPLA RKSSAASNGQLSPTLSTPPLTRTNSDTATQQFPLHDLDYESSPAGLAQELSNLQAIRR MSMDVNTADPDLPSFQSFNVPAPPQHFSEDEDPSKLFWVPARLHPELAPKEFKTFIEE KVDTIRRRSGDGDSLSPDGALGRQGSGGGLRRKKSMLSRQIDSGSGYRDGAERLERKR SGAQQPSGGLPQLQELENIVEDPVALARRMSIDAARRNSATDNDNPEDVPILPGNIAG PGSLKRSTRTNYRRGSIRKGERVPFSRRAAVARQAETDTEESPTASPIQGREHELSNL SLTRVQSEPSNQSAENFSRPTRQRSPPGFRQASASSEDLSRAESSTEDRRPQPKKFHS RIASNGRTTANLPGHNTAPQLPQIIATPPPPEPQRKQMQLPERNSSRQPPPSAPQPQG PRQPPQQQQRPPQASRQNKHAQAAQSSPIKPGQSMDDLTHPASLPGNSTRTDTLSIIP NLVEEKKPEKKHKDKKDSSEGGSRKTSWGWLLGGDDKERDKKEKEEKDKELAKKVKNK LSKTQDKGQVHDDTRLDVLQNSIQGSNRGRESVVIDRESVKLEEERKKESSRKSTGHE NKKEKESGLFSSIFGGGKKKGEKESHHKKGNSMRGLSPEPPPRVLKPDIDYNWTRFSI LEERAIYRMAHIKLANPRRELYSQVLLSNFMYSYLAKVQQMHPQIQIGTSAQAKQQQQ RQAQAAAQQKKEQEQQPEEYSQYQRYQQQEQTEKDGHSHNYQQHEYAGPESQHEEDHG QQQYQQHQRDPRDSHHSNYDSSNGGYSVANSSSYLGQPKAQHGYGGYDDSDQSSRDDD MW CC84DRAFT_1192235 MASPTSPTVSRALTNGDDDAIPGEDTSEVTKLFAERLAAWKHAV GYLEDYITATEKTHHAHGKEYERVLKTVKDPLKEGHHFDQSLGGIAGMFENIRSNTQG LSNQHYETAKQLKGSVLPVFERLHTEIKNKTKELTKGAGKGSKAVDKARSVTQKHIEL LGSHTASFESHGGKMTATEDPYVLQRGVLHRLNKQIQEENNNRQDLISVQNSFAQFEA HVIQTIQGGMGQFMQVVNTQAEQTKMAYGDMVGTAQKIPLDFEWNGFVQRNNTILIDP SAPARSVSNISFPNQNHRSTKPLIAGSLERKGKLRSYSTNYYVVTPSKFLHEFKTDDD FAKDPVPETSLYLPDCVVGALSDRKFNVKGKDVSKGKVGNTFSMSHEFQFKAHTPQAA SQWWELVRQAAGQVTTEVPEGSTPTSPIGKQNSEVEDGKHPLPLRTDTAGLARSDTTG TSTTGTAGPTPISAAPASGVGREPGQY CC84DRAFT_1133906 MSISPAIRISPSRAARAMNLLRTVQFTHPPSCPCHSNPAHHHHT PSTIHQARRHLATPLDTSHQKEYAFEMAASSIRFGPGCTKEVGMDFTNMGSKRVMVVT DGNVRKLDAMKQAVEGLTREGIQFEIYDGVRVEPKDDSIKAAIEVSKKYRPDAFLAVG GGSVIDTAKLMNLYTTFPEADFLDFVNAPLGKGMPIQSKLYPLVAVPTTAGTGSETTG TAIFDLVSKRAKTGIAHRNLKPTLGIVDPLNTRTMPSAVHASSGLDVLCHSLESWTAI PFYERTPRPANPIQRPAYQGANPISDIFSLQALRDTVKYLPRAVKDPEDHEAQSQMLL AATLAGVGFGNAGVHLCHGMSYPISGQNPGYKHAGYEVEHKIIPHGVSVAVTAPAVFK FTAASNPERHLQAAEAFGVDISNVKKESAGEVLSEALAEFLIKLGDQPRGLKHLGFGN EHLDALVEGTIPQARVLMLAPNLEMSNVDTEREQLRGLFEEAMEY CC84DRAFT_1133910 MEPTSQEDKQAALPTGIARKPIPINTIPDAAPPKLQSKSSFAPL AYLAFTLLATTVLSWRWILSGTPFLACSLAIGEWNGKRVFPLIPLWAIFMIICVTYVV ASTSWVLYWGFAAACYTALSLSCLFQFRFAAKIVRRYLKNILHECHIVQDSISLFDLP ALEIDKDTVGLFVVRGLTFSLSTLTATAYGIEVGVKLDDDMELSIQTDKVIVALFRRI QIGDVYANVKGRDEMTFKEVRQFPNERDMSKDTLIARDTPLLKAAYASAKNGFSEIQE ELGDAVERPGPDGQLVRKLSPDEEKARIEVDKFTRHILNTSTSHIAQEMLKRTAKERD VDGVLDSDNNLRAAVCAQVHEQPTVMHPPTKSIRLTTLSHNKHPNFKKFLHRLPLLYR LLLNPISYFHPIHIRSVTSAGSGKWFVSLMKDHFFKHYSQSDAEVRRLESRISAWLAD ANFAVGLTDLFCTAHVPVDTDYDIECKFKIGDLMAHRTLPEAVSLTQVVHLGGADATF VLPSYLLPHHEHLFPPILTDFDEMRMEQEIEEMAGTPQAVRMKKDLERRRKDETCMKI AVHGHLPALFDQELLNFVAATVKATKVIEVEKGHEELVLKRAETDKLEMEVRRVDSIA SESVTSDQTSMSSNESDAATTGSQASVPASTQSTASNRNAAWKAGMAKTFKGMNTKIQ DGWRKAGIQTVNVVANDRWIASIVGKIMRKMEKAQGDVGYSGLIAMPMTEYRQKAESE SKLLP CC84DRAFT_1225425 MLRIALIIGSTRTPRAGSHVATWVHSILKTRSSDSLTIEPLSIA DFNLPVYDEPVMPAMVPAMKQFTHEHSKKWSAAIASFQGYIFVIPEYNYGLAGGTKNA VDYLKNEWPGKPVAIISYGVHGGSKANEQLAESLGIVMQMKVVPTKVLLPFAAGSDLM SAVNEGRIGDASLKEWEENGKKDEILKAVAEIAEVLKLEAKVEDVLERKE CC84DRAFT_1225434 MGIPQLFGAALLLAASTAAYHIRQAENQTNQILPVIDLGYELYQ ASNFNETGRFYNFSNIRYSAKPIRFGLPKDPEINRTVVRDGNDGRRCPQSGPAWFFLG QKWLSRLVLGTPCDECFQPYVPLGASWNLPLAPPDRREMEDCLFLDLFVPEKVLKSTG KGRGAAVLVWFAGGGYVFGTKEENPAGLFAASDPRNITNSDIIYISINHRMGAMGFSS GPLYQSEGGIPNLGLRDQRFALEWIQKYVHLFGGDKDRITLFGESSGGGSIMHQMTAY GGTKGPVPFRRAVPQSPGWIPITSTMQQDEIYRLLLNITNSSSIADLRKVSEADFMRA NSLMVGYNTTYSNFMYSPVIDGDFTPEIPSQLLAQGRFDKNVQVFYSLVTHEGDFFTS PYINTSEALQASLVTIFPYMSSRSRDHILNTLYPPIFNGSYGYKSEYERASAIIGESI ISCNTKYLADAYNNKLYSYLFAMPPAYHGMDNLYKYYDGGAISDVNVNMVMNRTVATV LQQLLVSFTKTGIPVAEGVEQISMYGEDSSMLLLSNATGFRKVKDTTSAARCSWWQKE RYS CC84DRAFT_1133914 MPIAIIGISVRAGSAATPDEFFEMLSRGRSAFSPEIPTDRFNNA SFYHPNAGKLGCINTNGASFIQRDMTKFDAPFFSITELEATSMDPQQRLLLECAFEAL DNAGVQKHATVGKDIGVFMGAGSPEYEFDLFRDSDTMPMFQATDTACSSSLTAVHFAC QSIRNRESEVALVGGCNLNLIPEYFINYSTSRLMGNEGRSYSFDARGTGYGRGEGCGM LLLKPLDQALKDNDYVRAVISASGVNQDGYTPGITMPNGESQETLMRRVYHDAGLDPA QTGYVEAHGTGTRVGDPIEVTALHKVFSGRSLRNPLYLGSVKSNIGHLESASGILAVI KVAVGLDRRFILPNYDFKKGNPKIPFAEWGLKVPLRQVLWPKGKRYASINNFGFGGTN AHVVMERAPMRITSGAVSVVKQDPTKKLFVFSAADKIACQKIMSNLVVYLEQRPEMFQ RDLMSNVAYTLGQRRSLMPWRVAIPAKEAFDLSTKITLGNFTPVKETGAPRIGFVCTG QGAQWWAMGRELYESYPIFTQTIDKADAMLTSLGALYSLVEELSRNEKTTRINQAHIS QPACTAIQLALIELLRSWGVTPTAVVGHSSGEIAAAFAAGILSFDSAMQIAYHRGRLV PVLKERYPDLQGTMMAIGCGKEEIDPLLEQLTQREARIACYNSPESLTMSGDTPAIAE LQQMLEDQQIFNRRLVIETAYHSHHMELIAEDYFASIKELPSSDKSDVRFFSSLTGKE AVHSELDSSYWVRNLTNPVKFAQAFTHLVSPTGDFSTGVDMIVEIGPHSAMQGPVKQT LNVVGGAAVKIPYATSLVRKKDAVNTMLDLASMLFCKGSPVDLANVNFPKTVKKPGLL IDLPRYPWNHTTTYWHESRIAKLHKNRSFPRHDILGTLANYSNDLEPTWRNIIRLDDL PWLRHHKIQSLTIFPISGFVGMVVEAAVQHAQLKGIAIGQIELHDVNVHTPLILPGRD VEMTITLRSPQAADVGLTQITSNFLIYSYLAGKGWTENCTGTVTVKTNELNEVDGQRQ LERRSASIAQQIAEIEKAGTLVPQERIYDALAKMGVAYGSTFQGLSECRADDKRAAAN ITVTDTATDMPEHFETKHIVHPSFLEQLIQMYWPILGAGRSSLSVICLPASIGKLTVS WKVLEQANAPGQSLRAYSIAHNPLSIQKPSKVSMFAVANGETLIGIEDLTIAPLPADE ADSNIQAARELCFKQIWEPILAPLVLDQQIRVDSPQTDGMSNDTATPATPICIIHGSS SAQVELARMLAASIEGPNNAKVDMGLLEEIDAMDKQLICITELDEFILPTLTQAQFKA LQTIVENSYGMLWVTRGAYGQAGDPTGSMVVGLSRAIRSENMYKFANLDLDAEDKLED SAAIRAILKVFKTVMSAANVENVEMEFQERRGAFLTPRIVQDKVINDYVHKRTFPPEV EENKFGGSTRSLRMAIRTPGMFDSLFFTDDELRKQPLGSDEIEILVKAVALNSRDVEV AMGRIEATGLGEECSGIVTAVGNDVKAFAVGDRVAAIAGAAFATYMRAKADFALKLGS KISFEDAAGLTLAQCSAHYGLIELGRLEDEETVLVLNGAGAAGQAAVSLAQTLGSTVF ATVATAEDKLILMDAYDLPEDYIFYSGDDQLASSIRRTTNGRGVDVVFSVQATTSCIQ SALECIAEFGRFVRAEVNDASSKLKLDKSSFSFLSFDIHTLATHKPRAVRRLIKNVNQ SLKYGKLRTTEGATIVSLAEITRAFKAARSSPSSNRTIVVPGPDDLVKMTPSKEIIKL FKENATYILIGGTGGLGRGMTRWMATKGARTVVLISRSGSATGQVKTLVDDMALLGVD VVVHLCNVVNREEVNALLARLAHLPPIRGVIHTAMVLRDKMFSKMTPTDWIEITENKV HGAWNFHNALSSTPLDFFILYSSCAAAMGGRGQTAYAAANIFMDAFAQYRRSLGLPGA SLGPAAVLDSGYLSENLDLYNEIARNIGDNYIRESEVLSLLEACLNGTAESSCNNHII TGVKLDPMKMQFWATDAKYRDMRLAAEAIAAQNNAGSKTVSWNAAVKDAASLLEAEQV VCDGLTDKIAKTLGLELEEVDTTRNLSNYALDSLTAIDVRNFITREFESTMQVLEVLA SGTIQTLAKAVCAKSKLLKSLTFRE CC84DRAFT_1225444 MPTRFLCLAGAFANDEKFKVQMAPFINEMESDGTADFYFAHGQH EANPPPGFEEFFGGPPFYRWMEQVQGPVEHDGLEKMRDFPQGASPEDTLRMFAPIGSS EALATTASQALDYVKGIIKEHGPFEAIIGYSEGAILAGTVIMREADWSVQGDYKNHFK LAMFFGGWPPLKKDLTGFMYSDETDEVIPIPVCNVIGSLDPYVDGSMALYNVCDPDTA VLFDHAKGHTLPRTKDTVKELGDTIRDMIREVREREQEQ CC84DRAFT_1211465 MNQGIKKLREYCSSMQQFFRIFSYSTPIDRLWLVIAALSSVATG TTIPLMNIIFARLVKVFTAFYARYYTGWTPERFREEIAKNVLWTIYIFAARLVLDYVA VLGFRHTGLRTSAALRLKYMRCLMALPVSKIDAVPQGHTAVVITITASLVQDGISEKL CLLIQNVSLVISAIIVAFVFSWLLAIVTISGLGFVLLVYIFSIYFITKRWNLVAEADK EGVGTASEALSSIRMVAACGAEDKVTETYGKSVKKAAKHGQKMSIWIALQSSLGKTAA LCFWWAVQLYMRQIIKDVQVILVVITSIMTIVMSVGGISAPLGAVSRAVGAAAIFYAV IDAPMAKAKELKAPEVSATDDIVLNKVNYTYMARPQDRVLHNISVTFKAGKTTALVGP SGSGKSTIVALIERWFQLSVADHEEDYHNMLRNGTITVAGHNIEEFDLKWWRSQIGLV QQEPFLFNGTIFENVSYGLIGTKWENETEVKKRQLVVQACEDAFAHDFISRMPCGYDT VCGDAGIHLSGGQRQRIAIARCIIKKPTILILDEATSAIDVRGEHIVQEALDQAAKGR TTIIIAHRLSTIQRANNIIVLKNGMVSQQGTHDKLMKNRAGLYYKLVKAQVLTKHDES QKTETIDTSIELTEISNAKDEPDWSATDGSEHPSKRVKRKTFSRLRRTIRTFMQIISE QKGNWYLYVMILFGAAGAGAAFPLQAYLIANLISLFAFYGEFLREATNFWCLMTVVLA IGVGICHFVLGWACNTVSFRIIASYRHQYFQNILKRPIEFFDEKDNSTGALSARIAND PAQVQQVLGVNAASIMTCVFNVVGCVAISFYFSWRLSVVVVFSTTPIMLIAGFMRVRY ERKFEKMTWLVFSESSKFAIESIGAFRTVSALTMEDSICNRYDQLLCKHVNDAFWSSI GSTAVFAFSDSVSLLCTAFALWYGGNLLAEYKLWPFNYLVVYLAIVQGSLAAGQWLSF GANIAQAISAAERIRGMRPEHDRHPNAFSIEESGQWTLPGLHFEDEKSMKGPKIELKN IWFKYPTRDIEKGQFAAIVGPSGCGKTSIISLLERFYTPQFGEILMDNKSIHRLNLEQ YRRILSLVAQEPSIIAGTLRDNITMGVADPTTITEDDLDRVCTSAELYDYIVSLPITY NTLVGQKGVALSGGQKQRLSIARALIRDPRLILLDEATSNLDSETEKSIQKIFEKTGK GRTMIVIAHRLATIQNADVIFVMSEGEVVEKGTHRELLRKKSTYWQMCQMQALST CC84DRAFT_1200991 MAPRYVLSSDCENFAESSPDPLAASFDEVQSRAPRKQQPLTASS PSKQNRIHVASPSKQMLLSTPRTAGRSPWRIKVTVQAEPGSDCETLESSPIVNHVTHT KTTTIPLKEPDASSPVKRRGRPRKHDATPKRSATPVRKRMATKPRHPSLGDTSAADVD TDGTPKKKRGRPRKAQPPAEDDIWGQARRSSVGAHESGALAETDPTPKKRRGRPRKSI QPPVQEEQVLPNEQHTPQSSPIPDGPESELHNETAPDPNIKNDGHPVPDLPNPQEQKI SLKSTPSQTDLSRKLQARMNTPVAKAQDWIEISSGEDSEAESDVDSEVPISEGHLHEQ AEEASHHLSKFEALPIRATQTPETEDDQLEDDTHFAFDEGATRMPDDTTIIDSENFSM ISVDSLPSCASVARPAHGVARDSSSHKPPRNHIYLDVPSETLHGGADQTTDTRMIHTL PGQPATAPPRYKTPSVEPVDRSNPPPVATARTSRTEAQTPRIGRVVKAGVALQGLLDP SRVTPDAGPSKTVNEHRDHLDDLFRGFSERTRRELHAGLRLGEQLAKRNGLNQPSSSA LSSPSKNITSDPPVVSQSSRLLTPEDQHGDTALEMQSTEVQYLVLPGDNQGPGLLSPV SSPDEDGDDMSCRIDTPPVRLLAHTGTQLPSVHQPSEATRRNVSDMWEEEASRSPAVC KTETGRSDSACEHQDLSTQDGAVKQARDKIPIRRQRKALDDFLCSDHIEAEDDRRVTP SSTECDDMPARAVDKGQATVVQASASTQLQDDRDEEPEETDDTGMFFQANLPNLFNKK RCREFRRRRAPQQNISLNLDESLLPESSPPPVAKTPSTDRPNPFMDTPPQLAAIRSSP AKSSPLRQELQSSDISSDPTQQTFEESTLPLAPSSPFHTYVEGDTGFSMASDQRQLMH EMAETDSSLRRIRVEADKYLDAYVPQERSLHDLTEITETSRTWNKDSNILKSSPPKHT RCAPEPVSGKTPNKTKTPAALRIENNEFSQQRDNVYDIQTSPRSPAMRTRSPASMHPT LSNLDSLPAVEPWTKTHYKALDKLYQLYKQQPSIFSTTEAPNAALNATLFTNFMNTTT SNFIGARYRAWGYNVIFTDALVVLCAAFMQLLTLNSIEEYEARVRKQIQVGDCQPGHD GNTISAEAVVERLATVILGEALHVAITYESTRGRHRRKLVTPRSMLRKIETRERAPSH PIRNRKGSGVNFGDSCATIGSLSDPQLAHDHPARQMSSGWLDYKTQTIWNSSLGDQGT LTEGCR CC84DRAFT_1133916 MGIKGIYQEIGRGRRVALSKFAADTFEQTGRPLRIAVDVSIWLF QIQASKGGTNPALRTFYYRLLRLIALNIHPIFVFDGPNKPPFKRNKKTGGPGVSVASI PEFLAKQLLKQFGFPLHLAPGEAEAECALLQREGIVDAVLSEDVDTLMFGSGVTIRNW SPEQKSSKTPTHVNVYDAVETKNGPSGLDREGMILVALMSGGDYVPEGIPGCGPKKAC EAARAGFGRDLCRLARNDAQGLRDWRERLQHEIKTNESKFFAQKRPSLVIPDTFPRTD ILGYYTYPAVSNQAGLDRLRSAIKWDQDLDLQGLRSFTCDAFDWAKLEGAKHFIRSLA PSLLVRHLRMRGEQNERSPCKNLQAIQEDEALLVKGIHGKRQHVVTDGSTELRVSFTP IELVKIDLSIEEPDDEVDIPLASEADLDEEIPIEGDDEAGGPSKRGPTKFDPSKPARV WVFETYVKVGVPLKVQDWEAERQRPLRSKRTASTNDASTTATKSIGGRRTRAAGSAHQ ISIQNFAKVTKPGVKTTRVSSKSQATAVCQPATSTDIVISLLSPSPVRVRGSSQPRST RERSLSPLVELPSSVTKRRRKPMQRAHTLPSSPVISLERVNWPYPGAIETLDLVDAHP IALPSQTLAKKLKTQQVKESAISQSTLSRTVTASPAKTRQTTLDGWGSPGNSPSKSKF RNKALPPDSAPEPCPLRFKIAEGDMETLDLTLSSPLALSSVAATVPKDSKRVSRRPPL TSLSSNTSNASSSTMADTSPDAKPRITAKSLRRTSPRLQRSRSVSTEFESLDMTEISS LPSGGKSALPQVQNEMDSAVRRSPRAHTSKRPDPPAKMTSPSAAWKTQVKKKREVILR QSLAGAWSFVDVETPAKAAAGYVKSLKGDERKRWRESEIEFLDLC CC84DRAFT_1159269 MYRPHPLARIAWCRRPLLHANRRYVASQTPQATAEAYSQSLPPD WEDEAPALSDFSELPHKDFGKNQLIETNEEFKRSLRGILKQFPPITYAFAYGSGVFPQ SDATASRITHSPHPNPPEAILKWQKGGGKMIDFVFTTRFSQHFHSLNLREHRDHYSFL GRLGSGVVSHVQDRYGAGVYFNPYITINGTMIKYAVVNFETLHRDLTNWDTLYLAGRL HKPVKILFEEPNIRVANQRNLLSAVRCSLLLLPQSFTEKELYSTITGLSYQGDPRMTY GSENPKKIDNIVTHQIRNFRLLYHDLIMSLPNISYTDEKAISKPDWMDDTALDLKLNQ DMDVERRANMVRRLPKSFREKIYFLYQRKFAIPGREFQDMLEASKDEDAKGGLKKQVG GAFDRRIAAEKDLPAMVTKAINQTVYWPSTVQSAKGILSAGPIRAWQYLQEKREKGKM KS CC84DRAFT_1254218 MDSSRGEFASLQARLDTFAAPKTKARRPSARGKKAAPKAAPKGG WPLEFPRPEELAYAGFTFKPTSASPDNVQCFHCQTQLDGWEPNDVPAYEHLTHSPECG FALNLCIRLRDGDPGRNEEDPLSERMIEARRETFQDLWPLDTAAGYPSIEQMVEGGWY FDPSHDQPDGATCPYCSLSLDAWDIGDDPTEEHRKRNDDCLFFTLKEYYHPAQTKKSK AARSKRSSTRSSTASTRTKKTTRTKNAISKDDVNKPLPPAPDETRVSSIIASFPDLAN ASMMSIAPPAPPRRGRKAKIASTTDETIMSSVTESLAAGAGLSMISIPPKAPPAKRGR KPQSSSILDEAPVQPFAEPSADSIASPDASAPTKAPAKKGRKPKAAAAQVGAAGEPFA ESFAGSVASTMSKAPTKARHTRKAPERVSAISTTSTTRTTRGTKRQSEAVEMEIDMEE QMPSAKRTRLSDISLPQFESTPVHTPKEYRQEKMKSPASRFNATPRATRPVQSLKSPG PVSVRSPLAVRSPMRAEPQTPEEPHTPKAVSSPVFKWDPIVISNIVTDAGLEKEDMDI DMADTTENITKAVLASLTSPEKRMTIEEFVLHNAKRGEEKLRLECERQIAAFEAEGRR ALAALDAY CC84DRAFT_1159271 MAVPDSAPAPTSTHVADPALVPFLQRQFDPADYLNATLPSLSLS SVSSRPLKQAHAVSLAELSSHTQDLLSQLNAHTTRLSTILTQLTDDILRSGARLAYEV EVLRGETIGLTETLTIGLKEDVSKFVPGGSRLGDKQEEDTAATSPTLQGDQEPGAPTI DLPDSEPSTPGYITQLRVLTLVRNRLETVIKVFGEAMHWTLPPSEVSLTSSLISVSAP DPGTDASTREQKGKEFAAALRAEISDLVMGNPESGPEAAQTRIQALKDLAVVWKGTAE EKARAKFVEGLVRIVEDRQKELDREKEKRQQGSRSGSTTKPQVQPPASHRGGGGFLDN LQRITENYI CC84DRAFT_55447 MPRRQYIADLKKAQTGVSIAGISNVQTGGDDGEFTFLCLADGKQ YEFSALIPDVSEYPSSHMYMLIAPDDAPGPVANALSGIAESAFGKSVEQLLELTSRKL ESTDDDGDHQMLNSQDVGTAEEDSDDDDVDDFFPDDDEIQKPFSMQAHLASTQDHMES SSRFRSRIRSDLLSARVAGFKVGHQGALLEGGACYVSISCRIAKLGISEEAMQAWQVQ PQEYLVVVIAYPNGYKNMSDMEGYDVAAARRHFGVKIGISNTYKPTLQEAVQAFTKLS KEEEMKREKELEGDQNGASQSQGAAMHKGFRNSFISRPLHDLLENRFPQLLKFRYNGM SWNGAEEFYHDSLGSHKSSDLGLEDKYMTAEAVSTAYPAIVTADHIADSWTGGEHSLP LVCMQFVLRHFVRCTEFCLICFRKMPDDLQAIKPYVCEQPLCLYQYMSLGFGPSIEHE ILSQPKVVDLLISFCYTSALSNKLNDFPTGLSLMVPPSSAYEGDYTSAQQYTYNTYPQ NQTHLASQAAATGGADVKPETLKFNAATKEIMFDAPEVKCPFRAGDWLVIRLNNDKAK ALHCRVTETYFYPTIKVAEPIVPTTSQFTGQENGFPTKKPTTPAPAPQVEQAENVFRQ ASFYMYDQNFDSLSVTHKREGIYALLDLLPSVDDMRKYLQRKKQSTLSSWVDRLSPAA LGVLRWIIASNRACIMQVEDPNASGVGSQERLCGMSGWTQFRFAMGAPDKERRFVQAV RDTSHRLALEHDTLFAWHGSPLHNWHSIIREGLHFKDTAHGRAYGHGVYHSLDVNTSL GYSNVYGGMSGSGWPMSDLRVSQALALNEIVNAPKEFVSSNPHLVVAQLDWIQTRYLF VQTRNPDYAIPSALKKATKEVVPTSPIPQDSSYTPRGVSGQLVIPRSAIPSHRGQGAR TADQSAKRRKTATGAGFADPIELDADDDGASVATLEEDRLIFNEDALTPQQETLHAGI ITPTPSKGKGKVTSGFFSRMIGTKSSPPPPIKVLTDYVPGKLDYSLLQMLARPAWATP SATRRLMQDFKAVIAIQNRTPLHELGWHIDEEKMENMYQWIVELHSFDPELPLAQDMK KRGITSVVLEMRFGKDYPMSPPFVRVIKPRFLGFNQGGGGHVTAGGALCMELLTNNGW SAVSTIESVLLQVRMAMSSVDPKPARLENASRTDYGVGEAMDAYIRACTAHGWKVPDG FREMALGGAVPGSMYF CC84DRAFT_1192244 MPCIHYCHSLPHASASFHRRLEHASSSTSTKGFPSMRHHRTSLG LHPLAPLQDDHAKHERQDLFWSRVRTTLREPFSEFWGTVIMISFGNGSIAQVLLSTGQ TSGAPGGSGFGNYQSVNWGWGLGVMLGIYVAGDSGAYLNPAITFSNCLYRQLPWRRFP AYFTAQLLGSMVGSCIVYANYISAIDYYEGGHGMRTVPPAPKATAGIFATYPQPFVTK ASQFFSEFIASAILMFVIFALKDRANMGMAKSDQWFPFMLLFLVFGMGSCFGWETGYA LNLARDLGPRLMSYALGYGSEVWSAGGYYFWIPMVAPWLGCIFGGFLYDAFIYTGQSP VNAPFLGLSHFFHPRREVRAKLQAEKDLQMV CC84DRAFT_1159274 MYLPRSLIAQLYQNLTKRNHAAAPPVLLLVALEPDALCACRILT ALLRRDYVPHKIQPIAGYGDLSRAADGLIRPMRTTHGGSGGVVVCLGVGGMVDLEEIF GMEVDEAGNGGTGGVEVWLMDARRPWNLSNVFGTPVAQDPATGDWVRKRAGVEKARLQ KNYNSSKGGIIVFDDGDIEEELESERQAYCALEEMPELGEEAESDDEGEQGHEHDQEH NAEADEPPSGQAPKKRKSWSGAEDSDEDMSDDERPAQRRRSNSGASIPSTPERRPPRR GLMILGGSSDFSRSDSRSRSTSPAVAAPKEQSIKGLRRQLMAMREKHDKTLQKYYDQG ASYSEPVSSLVYSLASELGREDNDLLWNAIVGVSSLELYGRTGSGVGLNPLSAAGGSA GWNGDRGERIRSVLRDEVRRLNPVDIKDLAREATMGDSTGVIPTSARSATDKSIRLSP EPRFLLLRHWSLYESMQHSPYLSARLHLWNESGKRRLDKLLAKMGLSLAQSKQYYTHM DMDLKKGLRERLLRFAPMYGLDGLVPPAPRGGDSKDGWGFVRCWGWKACLSAIDTGVI LGAILEVGDAKSLSASSLDSFNFVGTQDHSEQALDSSTEAQDRITARFYTAYDALADI DTLTAHISTAQHLHKAILRTGTALIEKKQIRHLKAFRMAVVKEGPDVQLFTHSGALTK LALWVAEAIVEQNGTKGRNRGSELVMAGLDERQGRYVVVGLGGGGATESAKERIAKRE KKNREREQKKAAKNAERERKRQARIARLEAAGLEEDEVEDSEEEESEDDDESEDEEDV SKSGRNRFGNAFQEVVRETGARVRMDSFEACVIEIKKEDLSGFLERLSMKAVVG CC84DRAFT_1200998 MDPAQQSKKKVPYKRRMTEKRRNQNRAAQKTYREKRKERLEQLE RQITALQNNDRDRRPDGTGADNVPETTSGDVIEDLSDIDLEFLEDDQSVPAPESSFAD LKHLLRGDDAPDLDELVQFALEERPDLSKILIAGLRLLKIEKETTMTIDKKRRPVTWA TGNWSTLTSNSPPSVIYPLPDPSIDGIFLSRQSQIEVVFYNCMKIGLSIEELMKPNCQ SPWYSPTALMPSSALTLERVPPDLIPTPAQIRYPHHPFIDTIPFPWFRERAITLASMD PPAYNRSELKKDILRGGLIVWRSRGKEEGLPWDRRSWEVQHWFWEKWSWLIEEQGRVE QQSKWWQSMRGK CC84DRAFT_1225487 MENKAAFLNCTGTSLAIQHAPFPRPEAHEVLIRNHAIAINPVDY KIQDTGVLVRKWPYVLGEDIAGEVIEVGSAVTSLQKGDRVAAFAINIVTQNQANAGFQ LYTTTPASMAVRIPDSVDFTDAVVLPLGLATATGALFSREYLGIAPPQASLPVPTGSK DKVILIWGGSSSVGSCAVQLCAAAGLTVLTTSSTKNYVYAKDIGAAETFDYNDDEVVD KLVKATRGKEVVGAYDAISSEASLAACVNFLYAVGGGKLSLTLAGTKLPDMPESVKAV AGRPPLQGPDGGEPIWRQVWKDFLETGLQNGKLKAKPDAHILKGGLERLQEGLDLVRK GVSAKKIVVDLAE CC84DRAFT_55527 MATPTATQSTTGTTSTSTPFCTTAIPGQYGFVPPDACNAQWAYS PSFAAAVAFSALFGMLFIAHFALAILFRKGFSWVMIMGVSWEFIAFVTRALGAHDQQS QAFSFTATLLFLLAPLWVNAYVYMTAGRLIWTYHPNKKVWGFKAISLGKYFVWLDVFS FLVQAVGGLMLSPGASASTMTTGKNIYMTGVGVQQLFILLFFALIVRFQIELQRFERN GAGWLGKRWQWVTYALYAALALITMRIIFRLIEFSAGAGVDNPLPYHEKYALALDAFP MSLAILILAVIHPGLALKGPESEFPSRKERKAEKKQIKAAKKAGKQDRKMARTRGDVS ITDVEMNRI CC84DRAFT_1080480 MALTNTRNNHGLIGYGINMYKPNCAFSCRAAISSCTLNCSTMME MEGMDMSMDDMMMETSAECYATDDAFLKTMAYCISQKCEGLQKWELEKYWQDNIPGTS AVQPSPKWTYQESLDTISSPPNQTVIGGDSLNQTMLVSEDDWLANWNAQNSFEEAEGK HEKYSIIVIVTCFALPIALSFLRFVPFPNTLVTRFNAWIIDPPLWGTKQKQPLAGGLG LMPTRGQALFIGYILLINVVLSSVGYRSSQPNAWNPDSVRNEIITLVANRVGVLSFAN LPLLILYSSRNNILFLITDWSHSTFLLLHRWVAGIATIQAILHSIIYLQLRIEAHTHA EESKLPYWIWGIVGTLSMSLILPSSVLYIRHKAYELFLAWHVALSILTIVGCYYHILF RFAHQWGYETWIWAAMAVWGFDRVLRMLRMAKNGLRSAVVTKVDDDYVRVDVAGVAAS GHAYLYFPTLTWRVWENHPFSVASTATPQFVQKSKSLRDEESGSSEKDEIKISTAAAS SNSSTHGPAKLGLTFFLRRQGGLTQQLVSRATLPVLVESSYGTHVDTSHFPHLVCIVG GIGITAVLPYLRSHPGSSKLYWGVRSAGILHAVDDELLKNVDKEVFVGSRMNIRAVLQ EEAAYAGEGGVTVLVSGPGGMADEARIVVSEIGRQGKVNMRLVDEAFSW CC84DRAFT_1079074 MDSLVAHPSNPQQAKAFTAPGSLSYPNSAAELTPPSSEKNAMGD AKVNGVATPADTPDANANASGIVPTLQNIVATVNLSARLDLKTIALHARNAEYNPKRF AAVIMRIREPKTTALVFASGKMVVTGAKSEDDSKLASRKYARIIQKLGFNAKFSDFKI QNIVGSCDIKFPIRLEGLASHHHTFSSYEPELFPGLIYRMMKPKIVLLIFVSGKIVLT GAKVREEIYQAFELIYPVLADFRKS CC84DRAFT_1211479 MRIPTLFRIWYSTTYTVIFGILLVLLAVTPAETVYQSFRSDELQ KIFVIGGVYVLTVLIILLVYSTRLYTNRSVLQAIPKPYVPIEDGEVGKLVRRMIVKKL RRSAVVAWDSMPRDARGEAGDDDGQGPTRAHHTHKKRHAFPATVIPVNPESPPWGRVS HPGWASPASPDLPNLQYSNVVNELPNLIEAKAVSLAPPDPALEDRALRIQDTPMLPDA QIVALLQRPRTMGLRDYLARLSSFGLLNPPTLGPKFLSLYEYARFSTKCLTEHEFRDI MAVFTEILNGMTELDPVVIDEARAASSDIETRSLAPTVSSYTSSHDSSRTGLPYRTPM ADNRSEFSSEEHPSPSSPQTVQTAPSMIRTASGEGFVQPRTPSIRSAVSGEGSVRRST MQRSPSTLLPNSSTSSLRSAGSVVVRLNLRPGVGDLPYQYRHEDG CC84DRAFT_57435 MAHTLLPRAAVRLSSQSSLLAATCATVTPCRQLFPRTPKTQGPA RTFTCSPIVQKKAGKTNKANARTDSTPVSKAGTSTATDEAYDLSILESEILRAMEQLT HKLSQLRSGGRLNPEVVESLKVQLGSAGKDGDGKETVRLGDIAQVVPRGRTLNVLCGE AEHIKPVSTAIAASPHSLTPLTPEASTPLTIQVPLPPPTGETRRAAIQSASAAAELAD KWIAKARQNHNQKLRKYQLDKTVLPDDLQKAGKRMEEVVKKGHSEVKRIVDGAKKVLE AQ CC84DRAFT_1107672 MAPLLAVDYTLLEKRTPIPSTRTEPPTRDSLSTEGGFLEAWAQG YNVGSLIILILIVFCNYRSGILLHKLILFELVLALWHGTFIFVKDPNYGWYLSSTAAL LFISYQIHNVVSWLKIRPFLPLWGSRLFIFSLLAVQPFWIAEAWSNFEYFNGLGSDVN VRMRPYEALLRDPWWIFTTWKLISSIKESYGYSIWALVKINSRFGVMLACMFISIAFL LTDVAVNAAHITTASGINPYWRFALVFKCASDTIFLDDFKSVLDDIIARKLSSAGGGT VHTGPHHGHDTRKLSFSSMRGDPALIECAPIPGPEPRTTMEKSNRAPKWSKSFNPFKS HEQKQIIPKIHVQRETTVTLELRKPSHDSWHSEEGLLRTPEAAAVGGPRHDAPIVASA LCTGRPAFTQKGHEMK CC84DRAFT_1159280 MSFGSGGFGGFGSNSNTQSTFGGFGSNNNTSGGFGSNTNTGGSI FGGSNNNTGGGLFGSSNNASTSSPFGGGGGFGSNNNTTSFGSKPFGSSTTGGGLFGGG STSNTTFGGGFGSTSSTTPAFGGGNTGGGIFGQNKSTGFGSNNTTTGTTGGLFGGGNT SGGFGANNTTGGFGASTSGGFGATSNANNGTAGPPFQPFTEKDPGAANSSAQYQTITF QQPYSNYSLEELRVVDYAQGRRYGNQNGQAGAFGQSTGFGGFGSNNTTNTSFGATNTN TGGGLFGSQNTTTGTPFGSNTNSAFGSNNTTTSGGGLFGQNKPAGGLFGSSTATQPAT SGGLFGSTTNTNTASNPFGSANTSNAFGASTGTTGGGLFGQNSAAQNKPAFGGGFGTN TSTTNSNPFGGSTTNTGGGLFGQQNQASTTPAFGAGAQTSTNTGGGLFGGSNTTATNT GGLFGQNQQTQNQSTGGLFGGALGQNQQNQQKPSLFGSSTTTTGGGGLFGGQQQNQAQ SGGAFGQNKTGGGLFGGAPSQPSGGLFGNSTTSTSNTGGLFGGMGSQSIQQNTGNSLF GGANNQQKTGGLFGGSTNANTNSGGGLFSGLGQSNNNSAPLGGSLLGGNQSQQQSNQQ PMNNSLFGASANSLLNTSMTTNPYGNDPLFAGLSTPLHSPGPLATPLSSSQKNKRSAI LPQHKLNPSQSTRLLTPQGKRNGGYGFTYSTYGTPSSTQSSPLGGSMFSTGSLSRHLG KSLSSSNLRNTYTADTSVLAPGAFSMTGRPYGTGSLKKLNLNRNINQRPLLFEESTPK RVSFVAASPEIVPNGSATNGEPSSPGNALVVRDEVESTSPPATVNGTSSSGRKGKSPE MEQVNGVDLTPVPENRTLQPRTSASLNIQNGQTIDPTPGGYWSEPSIDALKKMSPSDL KSVSNFVVGRDKVGKIEFHYGKPVDLSSTPLDKLFGDIISLNHRNATVYGDTCTVAKP PLGSGLNQPSRITMGNSWPRGTNRGSGKQPTKHIERLKRVTGTTFENYDNETGEWVFG VPHFSSYGFEYDQPEDLESSELSPVPETPARFGSSQMTSTPQDSVPSATQSSPDDTFD FKRGLLKRTNVPGQFDDDAAYEVEDDEEMEETGESFLGDRSVGSLDGQNEYLEGSESE SVEDQDMADSVSGPIRTTEQDIARQADPFKDSVKPKSILKASHLFRPSSDTPSKGPAV FDDDWANALQRTISPRKQDRRALRESQGNALRERGNNTASLAQSLGVRNTKATMSRME LMDSLFGKTSAQQVSSSKRVERGIQYPYAKRPKTANDLDQLTDADKEFHSCGKPHFSE TGILVYTGKGSDTTSEDSYDCIRAPIAGAQKDVRFKQLPTFADAAPPTIAVQQEYTRI SYSDEVPQAKLRTEPTPLEFSELADAVPLSTTAGVQEHKAWQLLSLLFDGGSQVPSSV PQDEQEQWLAQDRKERLSRFWQSLVFEDAQNHAKEAATHEEKALAYLSCHSIADAVTT LFHASDLRLATIVSQLGGDAAVRQDMSAQVDEWRKMDELAEMDEPVRALYELIQGNCA EAEGNTGVGRENKVSTFKIASRFNLDWRRAFGLRLWYQATNDEPIEMAVAQFADALSN GIEDVKPVPWFIEQGVNMGWTDSQETQREDILWGILKLYASSKMLVPTNLEDVLAPEN VSGHPLNARFAFQLFQLFYSRRTDPSEEDDRIVGMPTFRDPDSRGPTDLLSSVNSTNV IEQAEDPLVELGDKLTLTYAASLHTPKHWTTAAWVYTHLSNPAMRSHHIRSLLNQFSN TYEIGQSDSTYQALLKLSIPQQWMYAASALQAKCIGDSLHQAAHLIKANELREAHEVL CRSVGPDAIISRHLDPLRELLGGFEDVQTTETITGWVQGGQIYFDYIHLLDLTEQRNP YRPDDKLNHDIHSLLRKLQRSLEKVAADRWDGVSLEERVALSEIAGTVANLMAKNKLT DRASVLRLPLTEDLWLRHSVDLSTSYYRNVVA CC84DRAFT_55640 MLLYIFMSFSCYCIYGVHLDARGCSAQPTLDVTVRRPKASFPSY SSAEELLTIWQGQTSHWTTRSVSAWPLRWAELPQSASVSQAATGSTRWQLENCPSVAC CETQPTTKPASLTAICQRLLRFVTQVYCSKSCRRARAT CC84DRAFT_55686 MATSSNNQPRFGHGGGINARAAPLPTHQQPYTTPGFGPSSKNAQ TREAERLDAQRRAREQKAAAETDAQTALESLSEEQREEIQEAFNLFDLDKDGYIDYHE LKVAMKALGFDEPKQEILGILQTNGVQAAGQASGSKQKQPVGNYQSPPRWLLPFQSFQ VIMAQRIVSRNPQDEINRAFDLFDGDGKGNITLQDLERVAKELGEGLQHEELQAMIDE FDMNGDGAISREEFINICLG CC84DRAFT_1159282 MLARHAPAAQTLSAVTHRALPAASAASRLSIAATPSQPQRRTLA TVSDAPKKTHGGLKDQDRIFQNLYGHHGADLKSAMKYGDWHKTKEIILKGHDWIISEI KASGLRGRGGAGFPSGLKWSFMNTKGWENDTKPRYLVVNADEGEPGTCKDREIMRKDP HKLIEGCLVAGRAMNATAAYIYIRGEFYHEATVLQRAIQEAYQAGLIGKNACGSGYDF DVFLHRGMGAYVCGEETSLIESLEGKPGKPRLKPPFPAAVGLFGCPSTVANVETIAVA PTICRRGGSWFAGFGRERNQGTKLFCISGHVNNPCTVEEEMSIPLRELIDKHCGGVRG GWDNLKAVIPGGSSTPILPKNICDDVLMDFDALKDAQSGLGTAAVIVMDKSTDVVRAI QRLSKFYHHESCGQCTPCREGSKWTHQIMDRFYKGQAREREIDMLQELTKQVEGHTIC ALGEAFAWPLQGLIRHFRPELEARIKDYGVKHGGEARAGGWAHDADKKGQLIAPGQ CC84DRAFT_1211485 MLSDGKRMRAIWRPEHGDGEHVPHIVERTKSTLRGHPTWKLFVD DITQPIVRGSQEEPPRHMYLDDEACHVVWKSQQYTDTELKTYWPFDFDHQGNIKTGRP NRGRPAWVDTDIPVYAKGKLRGKNKWYEFSGEPETTEYRPVRPASKSKIELQVEAEWA AKNAQDTGVVNSIEETLDIPTSETSANKNTAPSSTAITIAVGPQPRPDLTHAAFTLEE SLRPKNSQSRSVDETSLDDSLHHIEDTYSTAGPQPNSPQRTITPLPKRKLAAPFLMSS SSPRNNSRGSDPKDIISAELSLLVADPSADNEHGGPDEHQSSSMLVQLPKLPLEEDSD HEENEFLEHINQNLKRKP CC84DRAFT_1159283 MATEETVQLNELHAPQQASIDAFNSILPDLKGELAKLRRDHDKH EPEYFRAVKDLSDDDLTSFSSSDLEAVRVAVSAYGLHLFGKVKIPTLNNAYIHVRIFG SAKDGTDGSSADEREYKLHSIHTEEVVKDDGDRVYRAIFGKNDELEWFDT CC84DRAFT_57915 MTDKTSMGRKIRMGNLESCWPRRQSYRWVHQSSHGVVHVFHLKQ VRPACLREPRRTLQQYIHARTKESVHKPSRRSPGTPWVRMRASVQRLDTEWRTCILVT SHCMDHQLCPLRVVLYESTCILENLGVGKWVREQRSFTWRTSSRGWSHNAYVGPLAAQ GLEQRGATIINITSLQKARCSHQEECWTSS CC84DRAFT_1159285 MSTDATPATASSSTKAETAVSYAALILADDGVEITPEKLQTLLK AANIEDVEPIWTTLFAKALQGKEVKDILTAVSTSAPEVGIQPVLADEKNHDEPNEGAE IIDGGDGNESDADVGMFDLFG CC84DRAFT_57930 MHLAKRRFDVVNDQQIILLVIPPIFLAIATGVVIVRWRDRQVKR INTLVEDILCVCGLIMSLLVVVIVFVLVLVDGQGLSTQEVEAHFGERLKHRFWIRTQF AVDYCWATSITAVQLSLLWFYARIYSTRRFLLVSIYLMMGSVIAWFVYALAAWAYHCH PPGTCTLPNRGNCISIGSLHVAFNALIMCLAVPAITEMELTSKRRKLSVLTLFFLGTF CTVCAVLRMDCVFPFFGDIQSDPIGAAWGRMLLSPLEIAVGIIACSIPTLAPFHNSWQ IDSSELPPGGFSHGLQRLRIRSDHGGSPLTHLHPASWVGKETWQNHAFVTLESGGKFS GDHIEVTKEYIVVHKT CC84DRAFT_1133962 MAVFNTEAEFATHGLDHLHPSAYQVSRDCEICLEPLDLVKPSAN TNGGYNNHSRLHAAVRLKSCGHIHGTEYLTAWLKFGNPCPTPGCGRMLFLPAPE CC84DRAFT_1170699 MSSSSEPSHQPRVMRPDTSESSTAGDIFWNSPAPEPHSIHYNNG PQGSSESSNDRYWSPLGTDGMGYRDPIRTTSGRHSSEASTTSQPYSFYELPDSSRQSS STHSQAEFLAQSQYDGAAPSRQVSREMSRGAYYSIRLPQDRAASGAYLRPASPSRWPS TPSPDLAAFGQYGPSPLPTRPYSRMPNAQYRLVPPSGLINVTDFVGGDQDAARAVQRD LSSPLELIQERANAYFERISARIQATSSQTHTAEPRVSFPRHVEEVTNGSRATDRQRR DSGTAGSWNGSDDSAHGRNSDRPRARMEYAPIPVPAAADDPTLHVRVSHGPRRTVPAV RATQRSSENAPVVSSTQGMRPFRLSSQRASLVPPLSHVGLNVAMRGGELSTTPATARF SIPQVQRPRDVSNRPHMHSPYPALLSSDDDPSGSDTLTPVPRTASRPAPPTYQNPIRG PRITPRYGSRQDPPSDGPQRQPTRRAVTPIPAPLPFSRQSERGLPSTSTTLDSASGVT SRRTQVARAATRRQVMDERENATEERERQGMRGELAAVEWRFGEEGRMDVMEETPPRM GRHERFLYE CC84DRAFT_57971 MVTSTPRTMIRLNASELTLTPADVDETRRRMERRQAANLPATLP ARFHSPYPPTFRARLKRGPVRARDESITTLGDIPILRPQRAVHSSVGDPGDLRHRLAR SSEAVSSGLPPSPFLGAQAALDPNAATARLTVPLSDSELRLPFRPAHQDRDPSLVASQ GDTSEGTPSPSKGHPSPPRPGRARTNSSELGNEESPFPRHHTSMDGHIDNAALLETPM RNQVHSFHHRSTQHPVALQGISQPEERITG CC84DRAFT_1077000 MDVGTLGRLQIANPDEDAHPASPSPPPASASAPARPEPAPQPDT AGRPSSPSQASTTSTITDRPKPPAPPHLLPAPQPPTGSLGFNADSFTTASPASSLGDQ PSPLAQADSASSASSQPPTRLAHRQSMPFVPQAQQQASYPPYAAGPVAHQARIGPAPP PSMGARPMSTQMYMHPNLSSSSAANPGTYRYNDSVATDIRRTSSSRVAPKGMPMGMPS REPSRSSRGYPPEMAAGNGPFPPRRSSRRIPMDGAHPSSVPAASHLSTSPYGLEGGPL PSSEEWKEKGAATGVRQEMDQNGRPVTRVVKKGVKDFNFGRTLGEGSYSTVLAATDRQ TLREYAIKVLDKRHIIKEKKVKYVNIEKDTLNRLTEHPGIVRLYYTFQDETSLYFVLD LAAGGELLGFLKKMSTFDVECTRFYGAQILDAIDYMHSRGVIHRDLKPENVLLDDQMH VKITDFGTAKILDTKRSNGTGSTGADPLEGMDSDRAQSFVGTAEYVSPELLTDKNACK ASDLWAFGCIIYQLLAGRPPFKAANEYQTFQKIVGLEYTFPDGFPPLAKDLVERLLVL DPVTRLPIEHIKTHAFFDGINWGKGLWKQKAPRLKAYVPPAHEPIRLNAGPAAPPASS ANNVRVPPRLITELPPPSQLDIDWSPVLTKRDERILKLGNLFVTHHPAGHPVGADAAA APETPKKFSRFFGGNTVKKRQRLVMITSNARVLMCAAGTNDKKLKEEVSILAPGCSWR SFQDSKGLTAWLVETRDKQYVFEDPKSTTSDPNGSKYYTQEWLDSIEQARDYAISQSM TNSYSGDSTLNDLNSALSSPTSTLGGDSALEGVNIPATRMLRREQADSDSLKGRKRFS KRHSKNGLANF CC84DRAFT_1077577 MALRHFLSSLALAASLASTQAQLTTSYTDEATGITFQQKSVANY SFGIALPVNPTTDFIGQLSANTLTGWAGVSFTGSMLNSLLLVAWPNNGDIVSSLRSAT NYALPTIVDGPILQTIASSTNETGFSYTFLCKNCMSTDATVKSLNVSADTSIFGWAIA TTSGPSAPSSPSSSIEQHDTFGLYAVDIANAKSAKFASWAALASNVTAPSNPSNGTLP TNGTTPYNGTVTTHNTTYDYIVVGGGASGIVTAQRLTETGKSVLLLERGGPSFYSTGG TLTVPWNDTTTVYEVPGMFMQLSAYPGNKGYCSDTAGMAGCILGGGTAVNGMAFIKPP SFDFENWPVGWRWSDGVSEAADRLYARNPGTIEPSSDGKYYDNAVYDVLSKFLARAGW KSVSTNEEPDEKFQIYSYPAMNIANGRRSGPVNQYLPLALSEPNFTLKLHTKVLRAIR TNSTITGVETETDSGARTIFNVKPNGKVILAAGSMSSPRILFNSGIGPADQIEVASKQ TKSITLPPKEAWINSPVGFVRDHSIIGINFNVTAGMNILPATAFSNPGQTDIDFFAQA AGPLVGNPQMRLNTFTTITTSDGSKLVVQTHCYSTANNTISMMFLLTHGTQSTGRLTM TTDGNTVFSESPYLQSPTDKEALALAIDEMLTLSRRANSTLSYAGPVNDTGTAIVART SPSPGTHMTGTTIIGTDDGSKNGTAV CC84DRAFT_1077937 MKLSAALAPLLLAPLAAAEHTSNWAVLVGTSRFWFNYRHLANVL SLYRTVKRLGIPDSQIILMLPDDMACNPRNAFPGTVYNNADRALDLYGDNIEVDYRGY EVTVENFIRLMTDRVSEDMPRSKRLMTDERSNILVYMTGHGGNEFLKFQDAEEISAYD LADAFGQMWEKKRYHEMLFMIDTCQANTMYSKFYSPNILATGSSEIDQSSYSHHADND VGVAVIDRYTYYNLEFLERNVRDPTSKVTMGELFDSYNPADMHSDPGIRYDLFAGGEA GARSRLIMDFFGNVQNVEIDAVSQKNETQWREELEAIDRMILEAKRKHNETLKLRPQA QHIIEEAVAMEQQQSTKKPGAVKVDAESGWSKQIVGAAALLGFASAWAAGSWLESL CC84DRAFT_1133972 MAPDRGDSTDVDYNQRDHALHDANDAPQSRHDSRESRERRFLAE RADQPQNFTLRGVLCGLAIGVAICFSNMYFGLQTGWVTGMAMPSALIGFAYFKTVSRM LKFPFTPVENVLVQSVAGAVGTMPLGCGFVGVIPALNFLLTKEENGPLDISLWRLVVW SLGICFFGVVFAVPLRKEVIIREKLKFPSGTATALMIQVLHGDEKPKVTMSHPRGEEE ERGEEQRLLQSSETEGEYALRPSHEQQIEGQGSDAYEDGDWRRKIRLLLVSFAGSAAY TIITYFVPQLHEVPVFGLTLASKWLWTLNPSPAYIGQGIIMGPATTLHMLLGAIVGWG ILSPLAKNRGWAPGPVSDWTNGSKGWIVWISLAIMLADSLVNLGWLAIRPFLAIGRLY YPAAKEIYDTHTWKQLLALDITRQPAYTQLGDGTSANPITAIKQHLSKDNEPDAPPEH QISNRTTIIGLILSLAACIAGVHISFPNLIPLSLTLLALVLALFLSIMGVRALGETDL NPVSGISKLTQLIFALITPTTGPNAKNSVIINLLAGAISESAALQAGDLLQDLKCGHL LGAAPNAQFWGQMIGSAVGAVISALVYKLYTHVYAGQIPGGLFEVPTAYVWVFTARLV TGKGLPPMVREWAAGAGVIFALGTMLRVYGQFRKRQGLGAKWMDFVPGGIAFAIGMYN TPSFTLARTIGGLISLWWRRWKGRSETQIVVLASGLILGEGLFSIVNLGLASLKVPHL CC84DRAFT_1133976 MHLSNLIPDTVGGYILSVVLASVTYLTVIIVYRLYFHPLAKFPG PTLAATSILWYVFHWTSGDWPYDLAKAHRKYGDVVRTGPNELSFASAESWKDIYGHAR KGRPTFLKSNFYDSQGRPPSIVSARDPIEHSMQRKALSAAFSAKALRDQEHAVHQYVD MFIAQIGKLGGGATKGVNIPEAFNWLTFDIIGDLTFGESFNAVKDGRTHPWVSVIVET LFWGSIMDLRRRVPILNLLLPFLVNGDLAKGYEMHHQLTKEKTRKRIQQKDTVTREDF FRSILSKGDWSQGKLESNAELLIVAGSETTATTLSGIAYFLSKHPYCMLKLHEELTSA FSSYDEITGDATAKLPYLHAVIEEGLRMYPPVAFGLSRVSPSAMVSGHYVPAGTLVST QSWSSKHDPRYWHRPDDFLPERWIGDGFGDRKDAFNPFSLGPRACLGINLAYLELRII LAKMVWAYEWEMPEMKMRFHPRAETKA CC84DRAFT_1201019 MVPTISSLPDELLTTIIESAVFEAISIDRETCSECAVIPNNIVV KTLSLVSHRFCRIAQPLLFYAPRARHDGSDLTYSLNSMAKLRDTLESRKALCSRVRVL NIHLTPYTSHEDMEAAEHILRRLARPNCLKLEAGNWSHTPRGRSLRVAVIVAASQCLT SVRHVYVGGQSASLSQILESKWNTLARLDLRHVGVLTFLKDKAVVFDSASFTALSVRE TMLLPCALEAIVRWPRKLEHFTFIKLKGCTAALDWNNILLPIIKHHSSTLRTIEICCG IDNTNKDPSFDANLFPNLTHLRLRCCEAINVLGPSVTHFTWDLPKKNSYSSTGEIIPD DREDWSQFGERHEARLRGIATAAVAQDAALRAIHIEFHPVNDGREGPNPWNHYNTFSA WYPWELMDRVRDEVLRPSGRELTYSEPPFETKEAWQRDFSAAVKQRENSLKREIAEAQ AQERERRARGL CC84DRAFT_1254242 MIRKADLEHMFRKPAPIAHPAQYPPQPPPHHNNAQMIQQQSAEQ AKRDRLRRMAKTPTNKNVPEGVEDICIGDGVARYKELRDVERTLDATMMRKKLEASDS VYHSRSGRTGTMRIWISNTAENQPWQNSSMDADAFDFESDTNATYRVKIQGRLLDESS DEGLEEDDDEKDPDAMDDDGAEGEKQADSADKPKPFSQFFTSVTIDFDRARSLQPDNF TQIEWKRPEGAQPQDNPFSQIEFERKGDENINITINLQRHQNPEIFRLSKPMAELLDT DEEDRAGVLMGVWEYARSQNLQQDDDERKFACDSKLKALFNGADSFYFPSLPQLIKPH LTTLPPVQLQYTIRVDKEYLAPSADSGKQPSEPTIYDVQVALDDPLQPLLHSILRSKQ STETLQQIHNIDDQIVLLMSALSRSKAKHAFWTSMATDPVAFVKRWFSSQKRDLEVIM GDAARGGGEDASGEEWKRGGAESVWGTETARESVALWLARQSIPKAH CC84DRAFT_58161 MSADGESARDVQSALSVLPPPRACLSEHLDSITGVRLPGKPSSS LWDVSIHDGRISSVDQHDASNTRVQNEGTEVVHDNIFDGSNRLLAPSLCHAHVHLDKC FLLQDPKYSDLQIESGDFQEAMELTGKAKARFDQDDLVRRGRTLIEESISHGVTSMRA FVEVDGGVQFKCILAGLKLKAEFRDLCEIQLCAFAQLPLFSGEDRGEETRKLMKIAAT MEDIDVLGSTPYVEEDPTKQEANVHWISSLALANGKHLDLHLDYFLEEHKQPLVGTAL EIIKGYKWIENQGKQITLGHCTRLTRFNVEEWRTLRQSIGNLPVSFIGLPTSDLFMMR THENLRGTLPIVEMIQRHGLEAAIAINNVGNAFTPHGNCDPLSIASLGVGIYQAGTKQ HTEILYEAVSSRAKAAIGCESTSLGLRIGDPADFVLFNRPSDGRCRKSISEVVYDAGS TRATIRRGRWTGKA CC84DRAFT_58093 MDSPRAGAPGPPQTPPSMNVPVPVSPESTAPPGHSPLPQDYANE NETGARGRSSESLSTLSFDFLVKVQQVFGQHSDEWKVINRALLQFKHRAMSKKEAHHI MTQVLNDNDMLGYDLFDIMNHPDADWAPEDWSRHIIPPGSLLTLNPTSPDFLEPSHEP NIPRLPSMFQALGGNSNASLPHSSVNPAILQSPSMPYVARPYHGGQEQLDSFGSAFGG YPGHGALQEQSTPHSQGLRISWTPDSFVPNSPSSRVLLMTDSIPLSQHHLSPSWYHDS TLAPPRDARREMGMLPHAQTYNRNELHSSAAHTAGLYQQYSQRHQRQNGHYMGDWAND NLWQHEHEPNQASHMSPSFHSQASPQRALGQLSSNASPSMIATPAMSVANIPRPFRNE LHRSETEYVGDKQNINTHESYDDATANNDGSRARPSGENEAKRSVDQPPEGGFIHAIC GRGFYSRSAVKKHHWGPRAGDLTTTRGCWAKNKKPNVAWDAHPSCKTGSTRSSKNIRQ SIVTGNDNSVTLSPELNAAETPSTVFGRSTAQRFNDNPAHGLNTLVSAASFAERIDAP KPQDGRNDSVVAQLDAQAAIAERNRRMLPPWSTPTGSGTAITGRSPYIQMQAATAHLG TTFSPSNGIPTAMMSPSQHSEGLTSFEGQTSHAQTVQEDDVEPKMDINVSKKRQRATS KKVRVSTRVKKPPESQSSSLDWKKVQVQK CC84DRAFT_58094 MDLIDARKALFTVSIDKNCCIPPKSMSVYLQEGMSSSLYISHQC KLSPARILREKQAPQQRRVGAQAAVSSNGAHLHICNQPTLSTTVITLQACKSPPIGRR AVAVPDAFARLACCGRGELGARASGSWLRARQRSTRCGRQFERVTHV CC84DRAFT_1201023 MMWTSAVLPLFAASALAQYTTAPPSQNETTGPDADGKYEISAEG IRAQFIPYGASISNLFINDTNGIERDIVLGFDNASHYSVDRFHPHLGGVPGRYANRIK NSTFTIDGVDYHVDANENGGADTLHGGSDGWDYRNFTVVAHTADSITFSIVDPDGKEG FPGEVVSYITYTVTAFTWHIKMVALATTKKTPIMLSSHTYWNLDGFANPDTATTDNHT LHLPYSGQRIGVDNILIPDGTILPNEKYSVNDFWSSPKQLGANYSSPEIKNNCGFGCV GYDNAWLVNRDQNGPYDWRTSGPVATISSNFTGIQIDIFTDQDAFQVYSCPGQNGSLP IKSTQGYEGRPRVVEKYGCLVMEVEDWIDAINHPEWQREKKQIFGPGDDPYVLEAKYV FSVN CC84DRAFT_1254246 MDDTAHRNSDGSKDGMSYRERIAEMEPARNGESSSTQRGGDVGD ESEPATHAGGRRWWKRGQRGTYSHTHFKVYKRRWFGLAQLVLLNIVVSWDWLTFAPVS TKAAEYFRVNESSINWLSTAFLFSFVAISPCTIWTLNRSPKQSIIVASVLLLAGNWIR YAGTKADRGIFGLVMFGQILTGLAQPFVLAAPTRYSDLWFTESGRVSATAVASLANPF GGALAQLINPSLGSIPNIVLYVSIIATVAAIPSLFIPAKPPTPPSASSEVPKVALIPS LKACLRSPPFYVVFVTFSVYVGSFNSFSSLLNQILYPYAYSEDEAGICGAILIVVGLV TAAITSPIFDRTHAYLTGIKILSTLIAIGYLALIWAPQTRGLAAPYVLAAIEGAASFS LLPIALEYLVEITFPASPEVSSTICWAGGQLFGGIFIVIMNALKDQRPVDLAEVREMG RGAGGDTRPPGNMFRALVFQGALALAVLPLPLMLGVKRLGLAHGEGRLRVDEHRNPSV VQGEEGA CC84DRAFT_1133990 MGITDFFSDVWETFSYPSPDAEAPPQGGSSTESPASGTDEESDA EKEANKEDAKEEGEGEQGHMPSGGDDEEEEEEEEPQDPKDILEKECAESKACHGPKHH YDECVERVTGQIENDGKASEDCVEEFFHLAHCATQCAAPKLFAQLK CC84DRAFT_1159291 MASSKWKELSRYSACDIADALLKLGVPGAGFLPDITPMPHTSGL RKPELKKIIAPASTFLMAPKATPSFPNPVTLSKDFPKSNSSATGPYADLTQPGTVVIV SQPPGQSCAVMGGIMAERMKVLGAQGVVVDGRVRDLVALAETGLPIWSKGSSIIGAGA ETRLHAYEVPVRVGKTVIEPGDIVMIDPFENGVVAVPQGKVDEVLQLLPKLVGADDKV ISDVKNGRRVDEAFEEHRNA CC84DRAFT_1107724 MALHTPLAMQNVFRQTLSSHTMPATATVAGTLFAVSQNGLRLGT TLTRSMSLNSFMVRQYSTATRNGPLASLRPIPRTGNVGLALAQQRSLFGGPTHSQLAR YEQSANNNPSSASAQATFYQALLRANMPKIIIERYRTGQYATNVAVEQSYQRALEQLG QSGESTGGMGPEQLQAVGQAVAAHVGKGQVGKTKSGSGDRKDPLYVVVEESMASIIFK WVRWVAGFALCAYVALVLITLFVETSGVLKKVGGTNNAEVRPESQSTRFHDVQGCDEA KEELTDIVDFLKNPERYNKLGGRLPKGVLLIGPPGTGKTLLARAVAGEAGVPFFYMSG SEFDEVYVGVGAKRVRELFTAARSKAPAIVFIDELDAVGGKRNSRDAGYHRQTLNQLL NDLDGFDQSTGVIFIAATNHPELLDKALLRPGRFDRHVQVELPDVQGRLAILKHHTKK IRLSPDADLFKIARGTPGFSGAELENLANTAAIEASKQQAKFVSLLNLEWAKDKIMMG SERKTRTVPLVDKLQTAYHEGGHTLVGLYEVGFQELHKATILPRGQAAGITFFLPQDH KHHRSKIEYERHLAMCMGGTVAEEIVYGPTEIGDGASSDISSATSTAHSMVTRYGFSP KLGSIDYGSNYDQVSPETKRVIDNEVRRLVEEAKDRARKLLIEKRVELDRLATALVQY ETLDKEEIVKVIKGEDLPGRLKAMPDTPIKLPELTLPSALHPPAQGNDGDGGVPA CC84DRAFT_1211506 MRSESSLSKRGRDLTSDRLGPNAREATEKIGLYNGPWDPETNPD GLINLGTAENSLMLDDVAKFVNEQNINFEGEAFDYSEAWGSLRLRKAVSGFINTHFHP HSPTTAANLTISNGCSALFNALGNVLADPGDGILLTRPCYIAFGSGFGLLGGMTPVFI SSNKIDQFTPDILPQYEAALKSAEDRGIKVKVLVLCNPHNPLGRCYPPSTLTAILSFC NMYKIHLVADEVYAMSVYSESDAPFTSVLSLDWQKYIDPTYFHHVYGMSKDFASGGLR IGSLWTLSAELQRAVTALANFHHSGTVNALLACNILEDKAFTASFLAKSRQRIAEASS LARDLLDDAGIHYTPANAGFFLWIDLAPWLREEDGEDEWIRERKLIETLIGEKVFIAG GQMHNAEEPGRFRFVFTREQKLVREGVNRLKKVCGIVGRPKVAHK CC84DRAFT_1192267 MSALESISRFPKAIACCCYMLFICIMWGYDGMAGSIVLSIPRFR QDYGYIYAGDYVVSAEWQMGFTSASLFGIIFGGFVTGLAAPRIGQRACILGAYVLTIA GVFAQWFSPGDLPLFFAGKLLTGLPLGVFLTVAPIYCSEVAPPALRGAMISAVNFAIV IGQLLGYGVMRETQAIDSPMSYRIMYAVQWGFAGVGILLLPLVSESPMRLIMRGKEDE ARSAIRRLEPVDTDVEEKMEEIRNVLAHNTAAAPSETSGLATVKECFNTKNRLRTTIS LSVFFLQASSGVSWVVAYMGYFLQLSGMEGTSVFDATVGIAGAMAFGTMASWWAVERI GRRWTILGGLAFCTISLLIIAILALFVNSGRQVVLTQVGFMALWAFMYQASIGSAGYS LVSEVPTSHLRGAVQSMATMVNGASNAVWSLSLPYMINPDRANMGGKVAFIFFTILLC GDVFAFFNYPETKGRSFEEIDALFDRGVSPRHFASTRLD CC84DRAFT_1107734 MTVAEKALLLAGSSFWETNSIDRLGIPRMKVSDGPNGARGENFE NGVTSACFPASVCLAATFDEELAEQVGKALGQETKTKGARVLLGPTVCPHRHPTGGRN FESFSEDPLLAGRLATHYIKGLQSQGIGATIKHYAVNEQESNRFGIDARVSERALREI YLKPFEIAIKNANPWSVMTSYNLVNGTHADANHFLLTEVLRTQWGYNGHVMSDWGGTN SVADSLNAGHDLEMPGPAVHRTVDNIQKALDSGALSADTLDQRVLANLKLLEQCNVFN DPTIPPEKAVDLPEHRALIRKVGAEGAVLLKNDNSILPLNKDTTRSIAMVGLAKDFLG HGGGSAAVNAHRKITAYEAFEDAVGEHVELRYAEGARIWRNLPSISESVVNLDGKPGF SVKCWDADDKPQQMRQVPSSSFRSFESGGPSRVVMSGTYTPTVSGKHYISFSTIGNTT AYINDDEVFKYEGKSADVMAVLMGVAKEDQKRYNFVAGKEYIIRIEARTVGDAESPLS FLSSNIIGFNFGLVHQDLFEADLVSKAVEAAKSSDVAMVFVGNTPAWETEGCDRDDMN LPKDGSIDKLIFSVAEANPKTIVVNSTGSPISMPWILDVAAVLQAWFPGQEAGHAIAD VVFGDACPGGKLPVTFPIQLSDAPAYGNFPGDLKANHVEYKEGIYIGYRHYDKRPETI LFPFGFGLSYTTFHVSNASLSSKTFSQGENIHVTVDIKNTGDREGRETIQVYVGANQN ASMDRPMKELKGYAKAHLAPGQKKTVSVLLDQESFTYFDEVSKKWVVEAGKYMVSVGT SSRDIQAMLEIEVE CC84DRAFT_1159295 MAPKNIPADEQTVFAPSPASPAPPDLRFLHYNDVYHVEAGSAEP VGGVGRFQALVNYYREDEKFKDQPKLVTFFSGDAFNPSLESSVTKGSHMVPVLNGIGT DVACVGNHDLDFGVKQYMHLSEQCKFPWLLANVIDPALGNDVPLGNAKKTVMLTSSNG IKIGVIGLAEREWLDTINSLPPNIIYKSASATAKELIPNLKQQGAQIIVAVSHQREPN DNKLAEKTGGGLIDIILGGHDHYYAHSVINGTHVLRSGTDFKQLSHIEAWKKSSGQGW DFKITRRDVVSSIPQDQEAMKLVEGLTKKLNEKLDKPIGYTAAPLDARFTTVRTKESN LGNFVCDLMRFYYEGDCCIMAAGTIRGDQIYPPGVLRVRDIVNCFPFEDPVVVMLVTG KQIREALENGVSNYPALEGRFPQVSNIFFEVDYSQPPMKRVSSVQIGEDPLDEEKEYK LVTRGYMGRGKDGYTSLLIEEEGGTAKEIVSEENGVLISTILRQYFMSLKVLGKWKNW APDMTDKFSKVQLSLQRKHTFHDPAASPTSPTTRSNIAIPPSQKHHDGAQEDPDTDDE DYEYDDSELIRFNEHELALLRRAMRKWWRLAGLKSPPKCADEVGKEELRVDWTRAIAP RIEGRIKEINKS CC84DRAFT_1159296 MPPAAGSRAAGPSTASDRRPTRQGRTTVRPTNYYARNFGGRSGG MEQTPEAANNAGGFCPALTHFSQAVDAFPKELIRHFSMFKEVEAKIHDPERSLGLMFD EIDQMPVPTRAERLESSHNSVVVENGSGTGANGGTMQDPAILWQQLAAIDPDTLSPRE QANLKKRQAFCRLRMHIVNILPALDEKLVVLGGAKATKDKGLARMNNSYAHLDEEVSE EARYGSLSHWAYADKEEKKKGNAHERQRREVASANNLAAAAQHMHDVDSIAAKSEARR EAMLANKRSRHQHVDSDFDDRPTKKPHKRKAMVAEAAGNDSRAVGLGITSNGAPSQGK RKKTEKALAAAVAAAPPMERSLSGALKAAAGAGGRSGTSPRATPSVEGGTSKRKPRAP PAPAQRKHALPTYSPQPASSPLVGNFSLPRGNAANPERPTAARARKNSIANSVASAAP EPPVARRPSSSHSVQQSTNSNAITELEQTANINRDNAPTKRASTPKDTTELPPFETIA TATALKHEDLEPQEPESMEIDIPAPAPVNVRAGRASKTATPIVGTFPEIPMARSRSTR KANNGNGNSNTSSENNSTTTGIVSKRGYKKTTATNSATMHSPGLEAVPDPKSNPQSSR ASSIVPEEQSQEAESDEDGDEPRYCYCNQVSFGNMIACDNDSCPREWFHLPCVNLDRA PSGRTKWFCSDECKEAHAKAKTGKGGRPGSSRQ CC84DRAFT_1079946 MNDAATSTSNRSLVEALDAKVLSLQQKLLCNVDKIDAVPDAMFA TEFRQLAKAIKALSRQIQLKDPESLIRIDAVTQSLLVGRVKREDLNSAVCIRPIIEAF VWSILYSRLFCNPYKDCHQLSSTIYALANKILSNHQHRWPSPTTSSEKWRVISMEQLV QRVGEEAIATGKIQGKCLQLEANIRRVRSKVKDIIEGYLIRVSPGTNFSRVDAIVDKA FSLALHIFLQRCRIQVVYPDIGDTYDKEQPHLDSIAESIEVEKGRVALIASPGLAKWV DGEGKHLDQRLDVVPAMVLAKPVAKEDDEKQVLMERLTSSKDEALVRIKTSTRKFIHP QVVIPSKPKSEDLFMKIEDTEMADGVQWAWPDAD CC84DRAFT_1225645 MFVLLKPRLTVVGVDLGTTYTSVSYYDTSRTGNIEDRIQIVSNW PAITYLGGGLKWGGAIPPNIQRHMWTKLELDAPRTGEAAKICQELLGSGYGSVAGVKR PVDIIADFLAQVLEHLIKNLNVHYTETLWQSFPLTLVVTYPAVWSDLAKALTLQAFSQ AGFNEKNLRMPRKTITATEPEAAALYTIMCIRGTAQDKQLAVDDGFVVCDMGGGTVDL ISYKVAGVDPTVLEEATIGTGDQCGGSFVERSFLRWLEEKLGEKDFREVAGGPAADIS RTSLPARLSKMMQEFTGCAKSGFHGSDTEDYELRLPAPLNAIVCDTSHSIENGEILIT SEDLKEMFSYSLQKIGELLLAQIKAAGQKGQVKVRFAFLVGGFAESQYIQMELQKLVI NHGVHIIKPLHAWSAIARGAAAKGLEQGGATPIKDRKCRRKHREQESYICYWLISEGQ DLPTAAKVHGKVSMHSNFWPGEKRHASPLLLAADIDKAPRRSHVIAVYEVARLRVNLE QVPQRAFRKQNNSKKPYYELHYEIHISVQSGLEFSLWVDGKRYGAVAAEYA CC84DRAFT_1068987 LVIRQEPKEALVLSSGKEKGRKPVDPPPIVQLKVNSDVEASQHF LQSPYLFMVADLWKADRDERCGDHGASNLYGGLCSSLHRLKDVDNKDGAFFIFGDISV KLTGTFRLHFSLYDLQTSEHAAVFLGSIATQPFKVMAPKDFQGLEESTYLSRAFSDQG VRLRLRKEPRAFAGQKRSYFADGMPPSQSNVPGRSSSSFYEDEAPPAKRYRADTED CC84DRAFT_1225650 MATHMAPGTQAAAASASTLDAASIDSRRRANGAGCIASAYLRRT AGHGSPADGARPVTVLWWPLSTEPSWALCLAVPGRGPRRGEGASGRCWRQNAHNAAMD HAVGCAVSSPTQPPARSAWVTTPPSNRLRQPLQSRASSVRPAEDSSLPASHLYSSALS LRAGPLAVPADMTAYATVGIPTRTAQMASLHMDLINTGRMSRTPPPFRCAST CC84DRAFT_1134018 MQLATIAVLLIAPVVAQFVYQYWTNPLRKIPAAHPLAHITSLWI NYVRWRSVENATLKRAHKDLGPIVCLGPNEVSVNCVKGGIREIYAGGFEKANLNETFN WYAFFTNFGESNMFSTGRNKAHSTRKRMLSNIYSKSVITASPALLAQVSAIVYDRLLP RLACAFSNENPGVLDINPLLNATTMDIVSAYIFGLKSSSNLIDDPKQLSWFLDLYNSR RSFNFWPQELPALTSFVKKWFGYRLVPQWVDKANKDIEDWTDSMCERAAKVMSEGAAH VADTPVVYEQLSGALAKEAKKAGKDKKDCKILAASEVLDQIAAGFDTSGITLTYVIHE LSRNTQVQTRLRQELRTLSSPILPSSSPSLPDGKAVDALPYLHAVIWETLRLHPAIPG PQPRVSPPQGCRLGSDGNTYYIPGGVRVSASAGLLHLHEDVYPQAHKWRPERWLELEQ LDEEKRRDMENRWFWAFGSGGRMCVGSHLAVYQMKYIVATLYSNYTTAIVDDTGIEQS DAYTAPPKNSELLIKLQRLDS CC84DRAFT_1134019 MLTQTLTLGSLLLVSSLPGLASARKSSQFSNPILPGFHPDPSCT FISEEETFYCASSSFNAFPGIPIHASKDLTTWRLVGNVLNRESQLPELAVSVGGTSGI WAPTLRLRDGTWYLVTTMVHDKKAADDPTRWRNIIFTTQDIWNESSWSDAVHFDFEGY DTSPFWDDDGTSYMVGSHAYKVEPGNHLAKVNLTTGELQSNWTNLWAGTGGLAPEGPH LYRKDGYYYLMIAEGGTGLGHMETIARSRNLYGPYKPNPANPILTNANTTEYFQTIGH ADLFQDARGKWWSVALSTRGGPAFKVYPMGRETVLTNATWDKGAWPVIHNPVRGVMTG WPLPESGQNLPGDGPLVDQGDDNLRFPHGCPLPPHFLHWRLPIKENYIVSPPDHSNYL GLKPSKLNLTGVDGNSAPGGQTFVGRRQVDTLFMYRVVVDYTPKEAQEEAGVALFLTQ NHHVRLGLTLLAASNTSTNLTPHFRFTTEPYSSAAPPFSVPVPASLQNTRLTMEIKAV NESHFSFAAGRADGEELTVLAHAPGEIVSWGFTGTLVGVYATSNGGNGTETAYVSNWT YQGEGQIRSNSSSAIHS CC84DRAFT_1159298 MAEPARLIGQAATNGDPDDVDADGEYEEDDIGYEQVTREQTQDE GHTTDAEGSDVDAEGEEVDEDDDSEPVGAVKIAAPEDAFSEDEGDERDADAAVESSSD AKSSASEDESGSSELSEAENGWQAESEDGEADAEKPDPNVCVYCNEDEDNDPNEEFEE ILSCVECGDMAHRQCARDAKTLSLHDDAKKWTCTACINNGLHESDRDETSESLTRRRL SSVPKLARDLLPSHRGHVPKGHSIFNELILPDDTADGARSLRKRKASHEEEVQLPVRQ TRKRRRSSEISKPDLAKSSAASPPRALAGSVVTDGNETDADPHTGSEHESNRLRSARA RRTKPKRTDKRPLAWIDEPLGSKSLVIVFHLNNEKVQKIVTSKPKKSEVRPMTRDEER RERRREKDRERRERNRRAEREARESVEETHYPAAHSQYAAPFYGFADKEPDENKSKPY GGILSEADADTSKTYPTAADRKRFEDARQKAEDDWKQKQEELYAGQEPHKSSKHAGPP SKIKCINFGGWEIDTWHAAPYPEEYSKNRVLYICEFCLKYMNSDYVAWRHKLKCPAKH PPGDEIYRDGKFSFFEVDGRKNPVYCQNLCLLAKLFLGSKTLYYDVEPFLFYVMTECD QYGYHFVGYFSKEKRPSSLNNVSCILVLPIHMRKGYGQYLIEFSYLLTRVERKTGSPE KPLSDMGLVSYRKYWRLVLCEELLNQKGPISISTISERTGMTADDIVSALEGLRALVR DPVTKQYALRLDYAYFKQYIEKCYSAGNPTINPTKLQWTPYVMGRFGQYEDGPALHTV QQRDEEEEEEKPEPEEGVQLDEAAKATKTAKTNGTSQNDSATGEEGEDAATPFVAAEE SVNGGSPLPGTPLANGSTVAVAGSHIMNAPSVPATRYEVFPHVPGQQPPKRRPGRPFG ARRRTSTPQRNRSLNLSIATAPVSRIQFSPNGSGKGSPSMNGMHTPSISLRRTRSRLG ESVVNGIEDDELEEGGEVKAPGRRTTRSSTKSPHTVKTAKGKGKALLDDEEDEEEDEE EEDDDEDEDAEGEDDDEDAEGEDDDEDAEGEDDDADAEGEMDIDAEGEDDDELMPDA CC84DRAFT_1159300 MGVPFEALLPYGIMLGMFAFSAVSVGKLKEMQNGGKKTRRGMDA WDRVMLERDRRLTGFARGQTDKAEAPPGFELNNPWRMEQRYI CC84DRAFT_1182723 MSVTQRPVYTKAQIQKYFDRLKLPEEQRQYHVAGLCPGDALTYL ASLQKHHLAQIPFENLSLHYSPHRHVSIHPEQLFRKIIADDNGRGGYCMENSGLFGTL LHSLGFNTYSAGARVFDEERLNGWGHMIVFVKIGGQKYYVDVGFGANGPIEPMPLDHS GAIRPHIAPASARLQWRNIPQNADPDQRLWVYEHKIDEEHDWEPMYCFTELEFLPGDY RVMNLSTSTSPTTFFTKIVFMEKKVLGEDGSIIGNIILGTDVKWRIYGKKEREIKFES EEDRVKAIEEHFGIKLSVVERDSILGLVSQIS CC84DRAFT_1159303 MANLNDGLRTQYPYGGLSPRPMYDFPSPTSNYPSGIPATSPSFF PMAYSISPRFGGSAFIPPADVFGDPRNGSTSDSGPYTPRAFKNRGSGALGSDPVAMHL LVETAMIDSQNFDLLSVEEVDALKQEQKGLDARLNTVRKRLESETKIRDAARSLTRLN SREEKGHRRGLSSRSSPPTKDTSSRGLEELDASNKKVDDLTRELLEVESRMRLIDMQL LMHTAAVLQLTHNGPRKRKQNADMANGGDRRPDSPASIYTYENERAFGGREDGFDERS LYRSPENLDSLMNALQNGTHHHSHSADLQNRSLSTVSKRLEELNDRVRELIIEANPER SERYSRPPQSGTTPDASALERQLDFLDQGLRDLSAEQTALKSSTGSDQAAEERLQGIN IQMYAMLNTSDSNVPPPAAVSGNGLQQQLEYLEDSFYSIERMQYALNDQLDDLRADAA TKEGVEQYESTLTRLWQMIQKGEEEARERKRERRRLLAEDPDNTEELSPDESDTGAET FSLIAFDSKIQTIFRRAMSLKDKQSILVRQIKQQRELNSKSDAQREEQVNRLNEQVLS ARSEKNTMEAELERAISQLSSFDEAKVQNDSRALREAEDRNNALETQIREIRERSTAF QDQVRDAEERSTAYESQVREIQERSTAFEAQARDAEQRSTAYEAQLRDAQERSMSYEA QIREAQERTLVLEEQLRQAQEHSRAEAATIQAELAQSTSKIDEASTALLAATAQKEAA ETRAQEAAAALSAKEKELRDLEGEVVRLTTELTFAKAELDGAYGTRAERAAESGSSVK KEIDDLAAKNAALLAELTALQKLSEAASQSEQEARDNERNVKAELAAMATEYEDLTRD AIQNEKDRDTLEAIIDKLRDEKEALELELSDERVKWLGIRSPSVAAGATMAPDQGATS IRMLREDFRKMMRDRTAEALRALRSEQEERRKLEATVRQLRKESSLPKSNLSKSLTPA SASG CC84DRAFT_1080109 MASTTSASFQDPEISPRPPMGFNNWARFQCNLNETLFTRTADAM ADKGLLAAGYNHINLDDCWHVQTGRNAKQELEWNSTLFPHGMPWLGDYLHHRGFNFGI YTNAGNMTCGWYPGSQDHEEIDAKTFERWGVDYVKVDGCHMDLQHNRTYYEEFEYRYK LWHEVLTTKLKKPLVFSQSAPAYFSPNFHLDQNNTDWYRTMDYIRSTGELARHSDDIK VYGNNPTNTFEPGGHWESMMNNYIMEVRLSRYQSCGFFNDPDFLIVDWPDLSLDEKKT HFALWSSFSAPLILSAWIPDLTKEEVAYLTNKDIIAVDQDALCEQATLVSQNEDGAID VLAKNLANGDRLLTILNHDNKTHTATVSLDRLGIDPEQSYRAKDLWTGKTTTVREEVR VKLAKHQTAIYRLSAAASRKALKVTPTGQIFNTFSLNCLTAHHGSTEDLDVMFSACEG DDKQVWQVSSEGLVSSLAYSKVCLAVDGSDVSLERCNAKAKGQTWSYGISGNLVSKAK GLCLTEGNRGGVGVKKCGTALDSQVVALPGGVKLDNQTPKLESASKENMLFGK CC84DRAFT_1192277 MAPKKSGGEGSKKAQGQARKADAAASKQAQKDAEAAAAEAKKWD TGSKSNSKAEAAAAKKEEAARKKAEKDALLKEEEASLPAKPKGAGAKKAEKKTRGIDS ALGSLSATGIDNALDALSLTAQDGDKIDRHPERRYKAAYAAYEERRLEEMKDEKGLRR NQKVDQIRKEFDKHPDNPKNQAQLAFNATKEEIAEFKEAERIKKEQRLGGAS CC84DRAFT_1159305 MDGSTFRSRQFPKLPRTRRLRAPLLCSGCARGAISHPPTCSPRS ELCQAASAHHLQSGAGAWAGPSPESTNGTLHT CC84DRAFT_1134040 MRSFSTIGAALLPLAAAVGAVELKVDDEASLKAAISQYANGLMS YYSANASGLPAEEVGYIPKPHYWWESGAMWGAMVEYTNIVGDESYVKTIQQGLTANYG PEKNFILDYKRDQTGNDDQAFWCLATMSAAEYGFPEPEDAPATYLEVSKNCFNNIVSR WDESSCGGGLKWQIYPENDYGYNYKNSISNGATFALGARLARFTGNQTYADWAEKIYD WEKKVGLIGDNFEVFDGTDDKTGCKEVADKTEWTYNNAMMIHGSAFMASYTKDDKWTQ RTEGFLSRAAVFFNNPKQVKDVMFEVCEHSAGGKNCNLDQQSFKAYLGRWMAKTALLV PSTKDQITEYLTTSASAAAKSCTGDNGACGSRWYQEFDGETGVGQQMSAMEVTQACLM IKQGTLPNTGAESSEPEPKPSSSAAPKSSDAPKSTKAPKSSDAPKSEEAPKSSDAAPP ASEASAGYPTGAPAANATSSQSDNGSVPTDIPQLSYSGGVYAPIETPAPTSTPTSKPG GQFGEVHNSTAKACTCTGKKTTTVYVNPPTEATPPPAAPPATNSTPTVVPTGALPPSP PPPANSSGVEAFPGAASNVKMGASTMFAAAGLAVFAALL CC84DRAFT_1159307 MAPKKKEIGYMNKYVYDAFLWTFSVLVELFFREVHPRGSWKVPK EGPVLFVCAPHANQFVDPLILMRVVKKETERRIHVLTAEKSMKRKFVGTMAAASGAVP VGRAMDKTKPAPGHIYLPDAVNDPLLVRGVGTNFEASDFQVGGSLVLPKVNNVAASAE ILEIKGPEEIRLKRPFKGGVAMQQLTGRNDMTEDGVFVNGASSAIGPAPGYEGTVFQI APKLNQTEVYDAVHTVLHRGGTIAIFPEGGSHDRTELLPLKAGVAIMSLGTVASKPDC NLKIIPVGMNYFHAHKFRSRAVIEFGNAIEVPVELAQKFQAGERRETIGQMLETIREG LISVTVTAPDYDTLMLIQAVRRLYNPKGTKLPLPRVVELNRRLIQGYNKYKDDPRIVD LKKEVLSYNKQILALGVRDHQVQYARVSGVTCFFLFWYRLLKLCVLSIFVVPGTLLFG LVFLICKLYSKRKARQALAESNVKVQARDVLATWKLLVAMAVAPLVYTYYVVLVTWLY SYNRVFGFLPDGLRKRYLITAQVMIYPTVTYAALRFGEVAMDILKSLGPLVKMVNPWT GNELAKAQARREKLAERITDIINTLGPEMFDDFHSKRIISDPFTQSPPATPPKQKSEA DGEREAPEPVESYDFPASPTSPTSDRNGLHKNESFTDLANQDIFSTRPSTPKRHHSRM PSNGFGQGFQLKPFSTIDGNLDEVSKRIKSGMRSRGHRRSSVENWHQEEEESGATTPR SEASHDGLTMSRKER CC84DRAFT_1159308 MAIDIPTGPPGQPDISYAPDHAKYKARTEHRLATEKLPTTLPPG FPEKLESNLVWDNTDIASRYDWTYVLSSSDLAELDSALQHFKSLGKPPGYIDQNTFPL KDLHESLRQVSRDVHTSFGFKVVRGVPVEKYSREDILAIYTGLSAHVADTRGRQDHQW EGQPADVVLNHIYDLSAKVDANKIGAPAYTTDKQVFHTDSGDVIALFCLEEAAAGGQS KLSSSWRVYNELAATRPDLIRTLAEPWVSETFDGQGKGWSERPLLFYQRPTGTSPERM IIQYARRTFTGFQALPRSKHIPPITEAQAEALDALHFLAERFAVTLDFQKGDIQFANN LSIFHARDGFTNSAEKQRHLVRLWLRDDELRWEIPEQLGPRFDKVYQDVRPERQVFPL EPFVRSSAAGKGQKEKEDGVLRY CC84DRAFT_1134048 MHEHTYEAPFFNSPYSIYRGPPSPEVDAAWEAIEYPAQMHFSRE EIIKLGKDPEAAAKLPEEWGYGADRYFGVLDGQHLIHCVNLLRQWSHFDYYFPKYTPQ SQAPPLASAHKDHCVAVLLEHLTCQPSLNVFTYYWMEGQPDPYPNFEINRKCQNHRDL LEWQREREVAPEFRELSFERPEGAKVRPADPRLAMVEGWVWNGSAPI CC84DRAFT_1159309 MARSKRLAPTEELVEEASESCIREREATSAAHVGLQPPTKKRRI RSDILWDSLAKPPTDTSGSARAMRDPANASQLAALHDIGLPTPEASSLAEQEQLSKDV FPFLKLPAELRMDIYRCALCRDEPLLLDLASKDEKDGDAETPKPKKARNNAGVNVELL RTCSLVYKEARQIFYSENCFTLSIESSVATLAQLHQRSRSLIRSVTLAIPSHHDILDS FADMVRLGLRYCWGLRTFTIRLDVMLPDFDTLPAGHHGGIYANAFRILRWLPKGCKVI LEGNVNESVKRVVAEEGRLLVELDEISYFRRQHQMSGRVSGSSFMPSQRDGKGMSNRT AEGGLAPDSGSSSAPERVYNTRSCRSMRI CC84DRAFT_1254271 MSKVVRSVKNVTKGYSAVEVKVRNATSNDPWGPVGSDMAEIAQI TFNNSTDFYQVMDMLDKRLNDKGKNWRHVLKSLKVLDYCLHEGSELVVTWARKNIYII KTLREFQYIDEDGRDVGQNIRIAAKELTSLVMDEERLRAERQDRKSWKSRVTGIEEYG GGNQQHGGPAPEGRRREGRQRRENEEDLELRLALEASKNEAEEERKRRERNNVTVDDD DDLQKAIKLSKEEEELRRKELESSNADLLFGETPSQPTAYQPTGNNQGYQQQGAVDWF GNLMDNNPQQQPQSTGYLNNAYAQPTGLQPQQTAFQTGYGGYNGMPQQQQPNYDAFNQ QQQQQQYLQPQQTAFGQNNPYGQMNNGFGQQQQQQDQSTLQPGNHNPWATNKPQESLV PQPTGSNNPFAQSFNRPQTAQPQPTQRQPTLNTLYEQKTQSQFNNTNFNPPVSFSPPA QQPQKEMDPNAARLNALLATGEGQDTFGNVGNLRLPAQHTAPGTFVNSAGSGLNQINA NATGNNPFLNSQFTGMPQQNRMMPAQTGPAGGFGANPYGSSNPFGQGNRQQGGAGAGP LIDL CC84DRAFT_59843 MELSAAGRCALLCAGIAESDAKTAAQRVGGSVGVALGGAVVRST MMQAVGPQRLSWRGRTSERGRVPARCSGDAQILCRKDLVRVVVVHGAVKWRLCSVRVS RTGSVVQGAGAGFVTGLGWAGQSVPSTRLPLNKAKDARAHNAEHLGQLYRPLLVCRYI FQWHGLDGGCTARVTTNLHIRNGSGIACRNYRSASARFECLKRIYILH CC84DRAFT_1079796 MELGTILNARLTAHAGTGSPFGMQHAMQHQPQHFAAQPAYMNGH IKSENGSERGVSPHPSDSSRYSSQQPQQQLPSYPPIPAQHMNGMRYPSPTPMQQQAPM QMLNNNSYIPNPQENPYAQQQMPDQQTQHAGGRPANENGPPKAFACSTCGKGFARRSD LARHERIHSGIRPHVCEYPNCGKQFIQRSALTVHQRVHTGEKPHMCERCGKPFSDSSS LARHRRIHSGKRPYKCPYADCQKTFTRRTTLTRHQNHHTGTVEEAAAATAAALASRAS APSRSARSDAEEYSETASPLNTPSPNDRLTSMSPAGGMPAGVVPGMHRQQPEYAYMGS MNVPPHLRNEMPQPSPRASPALTSQSYTSTASGSRPAITSHPSGYGPPPILEPPASAN HNQSGSNSASGSPHMTAVGWQSPSHQALPSPGAGENSYVYPEPQYANPPHNMYYQNNT IRRPNSTEPDHYSTNQGQQMWAPAVQ CC84DRAFT_1170734 MSVQWADCRPWATRAAASHRRQDADQNRFRDATIAVCARYQDAV DARGHPSRLHLEPQCTVSGRSSHPSHALPSQSSSEHPLLAPSSRASSRDTTGQMHQPS LYSETGQRLQCGFGADLSHLTGKTATGHQRFTTPASACIISDGAFSNQHRSGTRAWSA WQPNPHRAPTASKRFLEASRFRVRGTLTAASQFERMSAHNSPRHRVRRAQPPCFQKTT PFTLIFRGWCLPGPVFAGRDRCVIPRSRCATRSVRRGTLRRSQLCVALAALGRTWVTG RIASTNASSCAIFEGTPIDNVGVLGSCRPKGDRDPYLRLPLLPNAIYVQAPWACCIIY MHRCFKTLAVNLYTSHALRVVHIMSKTTKCPRLITAVNGGKQTCGESHVWDTDPWSHG PGSFVDDLRAIQ CC84DRAFT_58642 MAAALTPAQTAIVKSTVPVLAQHGETITAKFYHDMISANPSLKN IFNHTHQATGHQARALAGALYAYAANLDDLGKLSPAVELICHKHVSLNVQAEHYGIVG EFLLKTMKAVLGDAATEELLDAWGAAYWQLANIMIDKEAQMYAATSYWQGWQDFKITR KEKESEEITSFYFEPVDANLKLPLFKPGQYVSVNMFIEELDGGVWQARQYSLSDAPGK PYLRISVKREPEIEIGEPKHMAHAGYLSNILHDTKEVGDVVKLSHPFGDFFFEDNDGE KDSPVVLISAGVGLTCLMSILNALVEDGGSRPITWIHGSRHSRVRAFKAHVDELAARE RSIRKLYFSSSPVAGEVKGQHYDVEGRVNLDKIEQEHLFTENKKAQYFVCGPTQFMLE VEAKLKSFGVDDNRVHMELFGTGGVPRV CC84DRAFT_60162 MVPSAGAMLSNQSHTTPRPSSRRANEDFERQEPGTKPKKVNSEI RKQQNRIASRNYREKRKRKLQYLQQLLRDGESPEDAAQAVEEAHQERMVTPEYQVQTQ AAPAFPLPSSALFPPTVVTSGNSMDSIFSAATTSYDRQFGRPSQNYTACEGTWNNTMY GHTSNVNMSTWNVPIWMPNVEYTPSVSSGAEDLYTPPQQTHNTFEQLPTPPQQPRTPD PDLFVLGSYGHCRRFDSQQTMGISNVCLPSSAASSPFPYMRYTGPA CC84DRAFT_1254276 MAAARSRVPAIGSARWVLDERKQADDLVAQEVEDFGFSVRNELE WLNEHMAEIFAQNGQNKLDVFKTPGKLRGKTPRTARKQAVETRQPLGNIVSGNAQRRA SAAEQSLKGHLNKGPIKSRFNIAEDAENVIPARSPAKSPAVSLFATLINKDKENRGSY GYRSQHTSPERRPATAHSTATSQYSQVASTQGTAPSQDDDVFSPPQTQSTQPTQATQS FGQSFAPSTQACEEERRDTGDSFVSAKEAFGSNSNSKSASNEDLRARYEGHDAMEVDE TRLDEPESDARGAVFRHDQSSDADDMAIDDIPAFPEPPRRVSESYSISADTHAALPTA NQHIDVPEPRTLMPPAEIFTTSERAEIEEVQHDDTVVHHEVEDNMEIDDDVRSPSDSS SPVKPLVRKSSLTFASLPAREPLLAKKSMGNRTSRTSHVDLNKARSSNLGRFTGGKSL GGSQLPAPEPQQDAMDVDEERAELPREELEATKLHNKTSSQRLLERINMLKQQNEAPK RISQNILASQMSQATSFSTSQLKESSQQSQPPQSAQPVPLSQPAYPSLPPADLEHSED DDDWISPIRTAAPAQSPKRPPFSKSHSEQARPSPSKTKPKPICVSNPDLPAVVESTTP TGSPTGKKYMDGPLSASKAKFYSALRAAKEKIIGSSATSAQVKLDALAQSPVRPTIKD QQSSDDVFRSPQRTEKAGTSIFSHLRSPSKESLKSSKSKAASATSSSPAKEDGRRTRS STEREKQKEKDIREKQRLDDRLKEMRSKEQLKAAAHHQKTKATTTKTPSAMTSQQSLR QVPAATTKTPVAIAQALQSRPQPARANTASSNETDSADEMPPPPPPKSFLPTTGTKLR EPRKPEPKKLVKPNSKETLPKAKPQKIMVSLHNSRYGQAPPTASKHAASVPAPSTTAP VAAKSAPSTQRMGPPATKPTHAAPPRPASSLSTKSGASYKTAPKPAPRVARPPVQKPA EKPIEKPKAVPATTRADLASARPVARMQTIQDANRIQVPVPPVNPAKPAKRPFEQDES TLQRPPKRPSTQAKLNPITPAHPNSQFAKGKIPFAEPSQSAKTPQPQYPNGDDIKLPD ILTDSEDEDSDNDFEQPSWVNTPNLRELLTQQQLVDPEQVFGPIAPLNMEQVFPNKER HKRFRERTSSAYWANDQVTEEERRKDREAKERLFREGAWTYNPSPRPTPQPNRGP CC84DRAFT_1254278 MWKHALLCAILAPGAVVKAAIFTVPKPTLVSPAVDGWNPAPTKA PRFGVIELLRRDDEPWQTFARAGTCGFFDGIQTSAFTCRQSKYQCATNTLYGNHGCCD PYQPASSCTLYTTCLPKTLLSKSCDRDCSSNDYIAKCSLTANPECYEFHFVYTTAGRT TTMKEWGCTDSATTGEIPLTWSGYSVPGSGDSSTSSSLSTSPTTSPTPTETPQQVAKG DGKPNNTPAIIGGVLGGLIIVGALVFAIVFLVLRDRRRKREAQLAQQPQQHQDWAAPP PTPGMSEVQYNADGFIGCNVYGEEPRVSTTEDPPKNWKAWNGVSRDKKVPQDRVTSCG EQGMYGAVEAEGRMVHEAPS CC84DRAFT_1159320 MVPKPQNVTIQSATHRPLPPLPKLRVRRPNKPETNPCLGIMSSV LGCWASSGQAAAAQGCAQLEQKLRQCMDAPRDTNPKKNNINYHLSRMYPNIVGPHKRK CC84DRAFT_1159321 MASMATSSHKNAPAFAQTWDESDAAFLDPKSLPIQRLPRAWDRK QEVKTAGNGKKKEIWRKYGTRSRTSDATSRYDPEDDTRARAVKRQQRMSPKAIEKSAA SRFGQSRAFKATRWDRRKSVLPRKKVVGAETSPEVEDDNDDEQDTTTTDLGNDSFSEM TVDSVRAEAQEMVLLPEGEGRRATFTFLLDDDEKVSYAEAMDDTSASIVDDAHTEHLE QDVTLTRLFQASHDVSFEDATPLETLSYPDVPTVSASEDGAAVPIEEDKLCEEVVDRE TDEHPVSVQPAEDAMDEDTATEAEPVARDVTGGDSEVAAGGVAYPALPQEPDAEEPAD RIDDSLEIDTTAPWSPQTSEADSADFDFDGQDEDLDENFTEASLQMNIQRDMQLEQPS RLDSCALGDTEDVANQEDSHRPSLTVDNGVDDIASGLQFGPVDSSREPTPRKLRSPSP PPRTASGPEDTTMTFAFDDDTALLKDFLSRAAASKANKAETIARRESLQNRRDSDVIR HALASPRKALEDKDPNSPSKFDNDTTLNLSQTLTLDLESTVPLSPGKGSTPAETKAED ADNSKAGGISRRSSRARTSRLPAPSSIPSGPPKISVKRDGGDPVVLKKTDAQELSLLT RSNTRKNKQGGVAVNVRLLKLLSEARITEAKGTAESTEAIVRVPGKKYVRWDSQLAYF QENPQAIADALADAESLATPDELSTAAPVAKPTRVKVPKFDKDATPKVRKVRNLGPRN GTPGKGLLTPASLLPDAMMADKEELEEKQRMSKPKTSRIRKMAVASTDTTSTPAPVES KLPMLDIAPVGIDPTKVSSMKERKSRLATPRKVKLPQLSSSALGEGKENQGKSIAAPA PRKSIPMPSVVIPAKMEMPVTTTGLPRRRGRKT CC84DRAFT_1107790 MNSTLLRTTAVRSALRAAAPSSAARAGIAGTTFVRGKATLPDLA YDYGALEPAISGKIMELHHKNHHNTYVTSFNNFSEQIAEAKQKNDIAAQIALQPLINF HGGGHINHTLFWENLAPTSQGGGEPPTGALAGAINDSYGSLDAFKEKFNAALAGIQGS GWAWLVQDTQTGKVGIKTYANQDPVVGQFRPILGVDAWEHAYYLQYQNRKAEYFKAIW DVINWKAAEKRLK CC84DRAFT_60368 MASRNSWNSAPAASVHLPNGQTLSITPVFGGLFFKSNDLTNHHS PFPAGWTVVLNSEDGDLDEEDNHDDSSNDDARVPPKRTHRYRKPTLHGDHLYISSISN PSSSDFKPATSPTRQIAMMLWATLYWYFHQPEPSLHITTKDSINTPEPGRPEGEWRIN VNREGIFKGKVVLPKLERMGLITSEDSSVGTAQEEGSPEGWTRMFVSRRSFWQLDPRI YLFTLSPMAQSPFPAGSPYPSRPGSPVSGADSEPRKEVQLDAVSQGLWSPAGPGPFHS TSHLPTYYPPPPPQFVFTNNVRHPLRPKPPRQGEIFYTRYVPSLSQYLSFRVASVSNK ALRHRGPVASHTPTPHRNTPLPASDSHVPTVSSLNLNLSDPEYLHKWMNEPRVSYFWG ESGPEEHQLKFLQAGLKSKHSFPVIGCWDGRPFGYFEIYWVKEDKLGRYMENVGNYDR GIHCLVGEQEFRGPHRVKVWLSALVHYCFLADLRTESVVLEPRVDNEKLAQYLMDVGF YKEREISFPHKQSNLMKIKRDAWDGPYL CC84DRAFT_60552 MGWDDDDYDLYTICALYLAGSGLYSGLLSLAGGGWRRGAHGFLA PGVDALIKQVMTTLCSSILL CC84DRAFT_1159324 MRRALLPPALLLLLVDFVHAAPYNFLDNYRDPTPSPEDGPPASA KASRDKNLLPAQICAIVGAYAVTVLIWGLLLVTVGRKMRRRTENSPKTLELELVTKRR DASLRSPTSPIGSARSVSSWRKIFKKEKDIENGDAPESPVAISPVIHSPGSFDQRVIE ENKARAQAEMERLYAAVMDHDRKKSITSQASLESSEPQPHSRRPSAINTTMPSHSNPS SPVKAIYPPGFSNGPTTAPLPRERPSSPRSILSKKSTSSSKRGFNLKNLRISGPIQKY PGEFADDERTPLSPRYYHNPGAPPSPPTQANSPTTPADLEEAYEHLDTVQPLPHPAPQ RQNSSNAPSPTTAPAPRTNPTVSFTRSATSSQNSLPLRGYAEPLKSPDLRTTVLNRRT DHLSMNTPKTGVPYTPYSPYMPFTPVTPVTPHLVTKKERKAAKKWDGRKQPDRGDLVQ SPKDIFGDAW CC84DRAFT_60560 MRGTGADWPSVSLRDHSLHPCKRRAGAAHARPTHRGTRSELASR LATQSVPSSNLMASEMQNIDVECSSSAVESAETMFPRPAKRSPARNSTPTRRPVCDAR FASRGSTRASRDSTERNLPFPSFLMRREPCSRLSTILLAGAMFPLAPWLPINPHPPSH QPWHGT CC84DRAFT_1159325 MATSPPTTTALAHPVRAASPDLQHPTPDLQSLQGAYVGNIERLE EHAERMSEKGSDLGEEIRKLHQEQKLSDSRRSSLLSAFVAEEPIRRIDTRSRGASVSS FTNSIVDVNGAARWGGYSPGGYLASPTGSLRSSSSQPALQRQRSNSKASRLDQIMRVD EEEETMERIEEHPGSPPPRRDSDSQRQQSPPLTRPRSVSSFTRQYDEMAQQLQQELRH SVILADSYEHQQQNAYEDRDLPDRPPTAASTDTTHKLRTLWQDFDGTHCPDAVPEDEP MNFGGNGSRDSSLLKPAPGPLPQGAPPPDDGMVYYPAPVPRMLNLPQRLSKVPNTNVQ ARRRTQLLESMQNENRKSAPWLSENGPSPTSPKANRKSRNLANLPPQLRASAYFDAPA PAQEFEVKGESAQDTLNNILEASAHAPVSAFTDHPYAGHVGNEVYGQEKKKHQRTGSK ANELDKIESRKSRSSLNLLDTRRNSHGDPLNKLKKRNSSADLNLLTVRATESRMSLGD ELEDHDPDARGPDPNDEHAVHSVDGDREHSEDDEEEEEEEEPEEQYFGAPTTLLAELQ MRKAQQKTRNLTAATAFPNGMHATLLELDAVAEIEKKKRLKKKVNLAWEAQAPPEEDA DSDEDVPLGMLYPGRDGLANQRKGAATKDDWDRPIGLIAQREIEQNEPLSQRKSRLYG NNRDTKLMDPTRRNTQFTELNRSSQHLDVPTSTTPQPESEEDEGETLAQRIRRLKDQQ ALDEAVVDVRKSTVSHDFATEMMSQFGINEEEKPNPAASPANDGEEETLGQRRARLQA EALARGDANPHGSRPPLRASMSMADVLGAHPIDPNNSARKVSDEQLLSSLPQGSLLAK NAIKQERRRVSRMDLNQRSSTYNLEQPLLGEGVGRATQDQPIGANIQAYKDRMAGVNL ATPMTAPALSGNPMTNASMSSVNLLGGQRNSYFPQAQSQQPMMGMGGYGMMPQQMMGM QMNMAGMPMGGMQGMGYPQMGPMGMAGMRQSSMINLPTMPMSGMNMNMGMMRPGMGMQ QNMGPMMVEPPMDPRQRDAIDRWRSSVMH CC84DRAFT_1159326 MEDEEQQPNKRSFRQSFRQTKKPASNGAEGHFSGLGAKLMGKMG WKKGEGLGASGDGIAAPIEVKLRNTKGGVGAVSELSEQQKKEARRRAKKDAEERGEVY VDSSEEERQRRKRRKVGGGMGTGTSTPSSTPRPKKTIYDLKAAGFEVPRQLQSIVDAT GTQVKTSSLSLRGEQPVFQTSLAGKVQRELAAFADAAEAVDSDAQNIQLETERLEAEL KALERDVAELEDIRSRIAELPSKKWPELIEGLKNLRKAYPSRSFEKEAIAVIRPTFEK RIVGWDPATDTLEDLARSLEDLSVGEPSSGNSTIPSRDVGRVVLAPVYPRNEQLSRRS TTPYETLMLSWFSHLQTAMVHSFQPDSPSASSFLRTIDTWFPVLPAFIKTRISKQIKL RCSAAIDSWNVRKAIKHRETPLPQWIFDFLPHYPDIFTTCKGKLRTVLEIWPMHRGVI PGIQLWKKAFPGPVDHMLVKHLLPRLAEHLRSLEIDPADQKLDVVSAVMAWKPLFSSK VIAELLHSAFFPNFFSVLHSWSSYAEAQYAEIGTWVQWWKDETLGEYIADLESDWIQA YNLINAALDLLDLNGDKDTIMEDLPLPNVELERVAPAPVTPQPARSKKPEPVPQEAED VSFKDYVDSWCAEQDLLLMPLRKKDETTGMALFRIAASAVGAGGIVVHFQGDNVFAQN KKDKSTWDPVGLDEELVKRAEGR CC84DRAFT_1159327 MVSKALNIGLRSWQLLCSVIIMALIGNMIATAYSGNSAMVNYDM FVAVFGLLSLLYLLPTSFLDSYSMPLVNMGLDALNVIFWFCAAVATAAYLHVHSCSNR AYTTTNHITNGSPNTEKRCREAQASTAFFWFGWAAWVATLAFSIMNGRSSGANLRGGI RRGPAMSQV CC84DRAFT_1192293 MASTPFKNSLTVGGSPFDKAKLQMRRPTPDSDVLASSDDDHEVV APPPTRPTGAPFPTARRPSWMAQDLPNRKNSLPSVSLGSQPGTPSLSLDSPSRAPTFW NTNSFTAASSANRLKEVLPSPTSAQGPVDKPFGAPPQSETDDTVGFLLNQHTVRKAVR SQSYSVGQGEIGNPASHLRSALRHRPSKPSLLATNETAVGLGQLREDENDELDSSNGS QHGVPLHPDFYQQETRPSKAMVTKQVTIIAPPARRLSHSMRSMTQNDADDVAESAYDT RVMPLTRRYSEHVGTLAQADDFAQSHEPHGWGGSVPRFNTDALSRRHSIAHYGSNLAS TFSQTTLGNTQEEEEEASPLETVLPVLPPAQNDPKWSTLDYFSGFGPAARTINSSAIS AAHPAPTVNHPPPPPNAYANPSGYGRPGRRIYVVQFKCSRSDIYYLYENTGLEIRVGD LVIVEGDRGHDLGQVTHADVTMDDAKRLKNEANEDHFRWLVMFSQYSVAGASAKNAML GALFRAHEVPKTGNRPQLTGMGVQQDPENKPRMIRRLAQTHEILQLRDKEGEEARAKR MATDKALDHNLPMEILDAEFQWDHHKISFFYYAESYVDFKILVTDLFKHYKIRIWMSA VNPASVVNPAGMQIKPPSAIGPGAIVPNNAPNASLSVGPLFGNNSYRPNEQYGNRDRS TNPQGSFGANNFGPFSHQLSGFPVQNPYQMQQWGQQFLNAGMYSRYDPNATADRSPMN MGGWFPPATYSASPAFNQASSNASFRGGYPASSANNPYAASTAVAASAYSTAFNAQAG FPPSSATGAAPFVGFDPDMMAAMASLNLGGAGSPARNTDNNNGGNNGANSGSGTN CC84DRAFT_1080688 NSNGREVQAVMSLLAKPKGDEKEVHQVRKKVAEDRRALNTLLQR RLEQAERRDVHRQSEMSAVIAKALTKPNKTTSREPMTFETTRIACNSIYHSALRVDAA RRSMLFEYAGLEATIAKMGGDHMNTVTEKWVQDIGREDRLLRLGHKTAVKRVKKVLGA KAPGQETLVEETEGEEKGIGVQDELNMELLQGLKYAERGIKRMVKGVPKDCY CC84DRAFT_1254288 MGALKYVEELQKKKQSDMMRFLMRVRCWELRQLKVIHRASRPSR PDKARRLGYKAKQGYVIYRVRVRRGGRKRPAPKGATYGKPTNQGINQLKYQRSLKSTA EERVGRRCANLRVLNSYWINQDSTYKYYEVILVDPSHKAIRRDARINWIVNPVHKHRE SRGLTATGKKSRGLGKGHGYNKTTAGRRKTWKRHNTLSLWRYR CC84DRAFT_1159332 MAKIDAARNYYADLSIPATASDNDIRKSFRKLALECHPDRHPGH EQEWVAKFQQIQAAHEVLSDPQQRAKYDAERKKFRNLNIPPYNPPNTPRSRPPPPPRN TYTSTPHGGQYYRAPPPQPQPQPRPGPQRPAPQHHNTYTNGADRFTSKNFRTPPTAQK PPPAAGKANVFTAWQKMKQPQAEPPRPPPNPNNPNGVPFGRSQSTRVPSSSKKGFDPN SLGADEGQARSAYRSNYERPKASPMGEDIPEDVPFSEGNRVRTPYFSTKSGERTSMFG DGVSRSASVRNSPTHRPASSTDPGSFSDSGHRQRASYSGPPKDNPFPHMYVSSGDEED EASVFSKGRKHRGPSPPKDSPQQRTSSNVFGTPQHDAQQGNGTSPNPFKSKSEESINM KFSPGQWTGKFEGAGYFVPTPQKSGTSKGRVSPSRGRSSQRSATDKKPFTGQPQPPPP VSPFSQFSQMPPPPPGPPPPLKTQTAFPSGSDSAPHTAKFAPDVWTETFKEPSWAYPT QTQTKETSPRRGSVAPKRSNPVRKGSIAPESSSSAEGQAERKPKYQAFAEDVGAGDAM DVDEDSPPIGKTMSTPASAAALNLGQAKTGGPDTVPNGTAAASSTVSADRPADTGPNP LADLGNVEPFLPATSRGLGLDELKDTLPFKSGTSQNHPTKPNTAQKLKYPDVPLAPSV PAKLDPASTNDYFNRMENYVRQYRKWNQNMMSHFAAREEELNDLEDRFIHQRGETTNR IGFPGYLARMKEDESVMATWQLGQEWHIAAMLKCQEVRNKTMKQYIPSG CC84DRAFT_1079400 MARLHLDAPWSPLSSRREQLRPGNAALQWACGRRGRVTIVTVVL FIVMLVLSGARRSGTLTSSYRSFSSNLDQFSTWRPHLPHLPSSIPTSIRKPSQTALLL EDGAMSHVPGNLHKSSPNFHLLLSSLEHDISFCKTTMSAMIMNYPPPTIVSPFVSSAR KADWEKAKLKDVLAYLEDKRVVKDNDLVMLVDGRETWFQLPSELAIRQYMTVVADANR RVKKEYGEGFAETIVFGAKKTCEGDDVACRHMPSSVLPRDTYRTGEGMKETEELVPAQ YLDADIFMGPVKDLRALYQTALLVLDEGKSQYRTVQSVMKTLFGEQMLARRIYKQENR PAPLKMIAHISGTLKGSKNKDQTSDFTLQENRQYEFSICLDYTHALFQPLMECAEDEL VITQPTNASETTLKDRQQMLHISSDLPSAFVNATGPFWRPDDSTNDPSPNDKSAYIDK LEYKYGLDKLPRRDTAWTNISLIQNTYTGAIPATFRAARESNSRGNKQIPQTPDENNA VANFKNGNITWTSLWYAGYERALLRRYFRTPQSPMGYHTAAVGGDLLWDQRGGRGGVW TADFSLWLPWGEVDGVCGTYDLVSSVFGDKKGVWLHEDEEGGGKKGREQEEAELRKHY EEERKQDQEWMQKMEEERLEKEKKEKEEKERAQREKEREAKEKQERERKAKEEADRKA AEDAAVAIDAVASTAAGGVKNSAVKNAAPDIAMKQGRQQYQQRRRRGA CC84DRAFT_1192296 MVSYPDSQGAERSLAIRRPPSVTSHSSRASTVRRHRPHRSHFGG SSYQPQNEFPVFTHTGDVDILISNGRKEQRYLLHKLILAQCSGFFEAGLSDEWATAGE SSAQSATSAALARVPQGALQEKKVWKYELEWGRDDDLPMLIQKKAQPTLFGGDGASSQ PPALRNKPPAPQAGFFRSMANFSSLHVPTTPTDPDDDTFRDYDNLLRVFYNYPPALDP VNIANAYVECKSLLQIADMYDALDVIGPRVDHHLLRFQGRLWKQIAKYPPSYLKLGYM ARSKAIFSEAMVHVVGQWPQGMNQLRGQVAESVLELVEDKVDEMDELKAKVEVKLFRL TLTTSRGERVTPSNNWLEWMAISLFRQWLAENTTPPPAPILKSPRQPSRSGDDTPLPP PPPFNTGRIFKLLGTGGASYLGHDECKRFLKLSPEHYNRDNLKRFERRIEEIKNKAKD AVKPLMRNFLELDLREGGLPYLTCTRIDPHDFPWDE CC84DRAFT_1159334 MRSLQTLFPPTCAACRTQLQIIRTTTRAPRIARRAYTRPAFAPK PAIDIKHIRQNPGLYEQNCIDRNYAAHSKSSWRIIELHEQWLALQQNARHLRERNNQI RGQLKANSHSAGEGEGQSRELLIDEAKALKAEIGTIEAKEVVLQEEIDNLAQDLPNLS STDTPVGTEPQLLEYINDHPEPEPSQSDRVWRSHVHIGSELGILDFAASAQTSGWGWY FLVGDAAMLEQALVQYALTVARNRGWKAVAPPSLVYSHVASACGFQPRDQNGEQQVYA IEQSEKDQAKPQLVLAGTAEIPLAGMKANQTFEDVELPLKTVGVSRCYRAEAGARGID TKGLYRVHEFTKVEMFAWTLPDTNEQEHFAADTPSNSKTIFEEMLSIQKEILQSLGLH CRVLEMPTTDLGASATRKIDIEAFFPSRREKHEGWGEVTSTSMCTDYQSRRLHTRVRL GKTGGKLEFPYTLNGTALAVPRVLAAILENSWNEHDYSVTIPEVLRPFMGGQEMIQGH KIHGTGNY CC84DRAFT_1134098 MHDPFPFPCPAWLRQLTEPFADYVSLHTLPLHIHEVLFAFSLYY AVNLIVAPVFSRYFFPRTYSSLNARTKLNWDVHIVSFVQSTIICVLALWVMAKDEERS EMDWAGKVHGYTGAGGLIQAFAGGYFLWDLVITVQNISIFGPGMLAHAVSALFVFSLG FRPFVNFYGPTFILYELSSPFLNIHWFCDKLNMTGSKLQLYNGILLLVTFFGCRLCWG SYQSVRVFSDVYRAISAGEFVLEDPELGKLNNGTTIDPSAIPTSDIMQFAGDRAVPLW LAGCYLLSNFTLNGLNWFWFGKMIETIRKRFDPPLGTKKPEAKEAEKEQVLVEGISLQ SLPPTPYAQTSGTDVNDYIGAVKVEKKANHLEIEQMEIRKRTGEDGRTISSARAA CC84DRAFT_1159336 MYYIMRWSQVQPCIWSRRGQTRTSYNRNRFEMMLEAPGNSRNTS RYGLLFHLIICAVRKIRYRCECAMDGVSATIARVRAFRSLDVSNTSASLALHQQHHPV HVNSSTMHYSAHNIRVLSIDLPPLGICASETPSIYRTHIVADDDAWKGMQSATAS CC84DRAFT_1254294 MLSPKDIGSKANASSIWSFDSGYETSRAVSPSLQAHAPNNTRPY HTNNHTNNHTPVIFNAGDLWSAPSDCTRVREDSLVDPITTRLNVFTTSDAPDHSFVLP DLPVFDRTCLECEVWQLTNPGQQVKCQKCRAHSIHQALPTTTNPLSPNKDALPRLDIP GDMHGKGLKRLRPASRCSICEAPLLVESEYCPSCDPDQRFPPSSPSSPAHRVKRSRAG RNSKLPIAALNRLQSWLDANKHNPYPTADTKRWLAQECGITEKQVNTWFTNARARQMN KEHVESGSEVDGADDSEDAEAPQSDMGIAGFIQDKAHADSTHRRTSAAVRPSRRGKKK DYRRAHHAEAPQTPLLLSPASMTTSPLDQTLPVDQEMWQCTFCLKALVPKSWRRHEDT QHRPKTSAARWTCMLHGARLSFPNRTNSGSVCAFCMAKNPTEEHFLQNHRIDECWKRD AADRTFYRPDHLRQHIKNFHNATLFDIVQARWKTAAEPVAEGWTCGFCGDRLETWDKR ETHISNHFKEGMTMASWRDYSDVGTQSDKKKGKGKAKEKEKITALSGSFLNIFRRPTP QQQQQQPQMHPQSSAFENPFQPLPIEPQIHNYQATTSQCTSTNYESAYTSSTAPMGLG ISQAPVLPDIPHVSPLVVTQHDFETVGNYVEWAPMPTFEQQMQYQLPNTNTYDASVPT TTMQPDYTSLNAFGLDLYGNPLEYQGSWVQQPASSQDPHQYPQYQRRQQ CC84DRAFT_1134101 MLAQLVWESFAFVYAAANLLGVIVLAGLRDGAFFKRPSKEAVKE VQIAQKQYWSVGLNPLPGFSHRFFTLRNGVKLHYVVKTDSLLRKDSLRSSQDDAVKPQ NVAVFIHGFPDSFLLWKKILGASSLDSHILIAVDLPGYGGSEGINTYSANDVLETMAE FILGMREKYLKEEAKILLVTHDWGAVIGARLAAEASQLADRWVIASVLIPEHAYSNTT AKVASAKQMLHTYMRQPTRISLLKNAYNTMKPVLSQFRRSFYVFIFNLPYPLAPIFPT FGNYWFLRLLHKAGHGRLAPSKYRKLTSKEAGDAMASSAGPALAQLDEPNGYAASLKN RLPNNGMLEKFRIYREGLFRGPWEKSIETVVALSEIQSSSKRGSGGSGAGLFDDGPPG ALKAPATIVYGADDPAFESQLALGGVGDYLAKDSQVVVLEDSGHWLPLEELGSSAIEE VVAWAMEGEEGALKERFGGKWKERVRFHVQV CC84DRAFT_1192300 MASQERIPLSSVSTSTVMQSAPPDDDVEIAIDNDPNDPYNWRFA RKLRIGTIASFGQLVAYMSASMIAPALVDLEHELHVDDTVSQLIMLITFLGFGIGQFV FAALGEILGRRPAWIAGNTWFIFWNTIAPVGNSTQLLVVARLMAGIGASVNILLAVPI MQDLFDEDDRGESLAVVSSLTYFGPALGPILGGLVLQKLRWRWIFWTLSIGNTAVVVM GYFLLEETYAPSLLSQKRAAKAAHASTSPLWKLWQSMRAAQQETDLGEHFFRPFRLFV TRPIVYLITGVLALDMAAYCIMLSSISKLYTEKYHTSKFTSSLHYIAVAIGATINGQI GSKILDRIFAMLKRRNTGVGQPEFRVPYLVSGLLLMPVGLLWYGWSAQHGLSWVMVDF GIGLFTLGSFTTAQAVNSYQVDEFKELSASALAATLLLQNILGFLVPIWAPKMYEAFG YGWVNSLVALVMLLVGLPMAAVLWFWGARIRAMGRKDE CC84DRAFT_1211555 MAHQEDTPMPNAPLTPDADNDAEGSPESPHAEPTSPVIDYSPAS IAYSEAFENALMSAVLENEPAAPRTPLPSIPVINPTTLPVPLDSALRTYTSPIPGVLL THANGYHTGGPGPSPTSIDEFARKFIAEEGIVDRKGLESAVRRAIEVRMGVVRERMEK REEAVRRNRGVERELEDLRVQRAAEVSVQEKLKLKR CC84DRAFT_1159343 MADKLRAQQQLEALQARYIGIGSADTSKHEWTSNMARDSLASYV GHPPLLQYMSIGMGQPREKTRVQLLERMIQPVGPPPKQDD CC84DRAFT_1159345 MADEEGASPREVILEACRRDNTSLLEEALADLAKEAKAAGKKEK EHVAQTLNKAADGVGNGCLHIAATYGSYDILDILLDQEGVEIDNIDRMEHDTPLHKAV RYVNSLNKSDWESAGHPIVDILLDAGCDPRIRNKAKLKPLELVDPRNTVLRAELQKAE YAMNAGGDVVADDDDGPTGSASDSD CC84DRAFT_1192303 MLIFCPNCHNMLRVSQVPPGDPSTAALEGRSRLECLTCPYQHIL TKQLFERKEITPKQPDDALGGEGAWDNVDKTNVQCPNDKCRNFEAYWYQLQIRSADEP MTAFYKCTKCAKEWRE CC84DRAFT_1201068 MSHYLPFSVSDDELERSTRSSDSFEYADSIEGTFYDASPSKAPR KTSYHDQRPRQRRKLDDQGPRLACPFAKHDPLKHRQCFRYDKMDQISRLKQHLLRVHQ LPIHCVRCSQTFSTESRRDSHLRSVPGCSIQPNQAHLGITETQKSQLAQRVSAKNSKE KNWYLIYEILFPGSPRPDTPFLDSTQFSEELFAVREYAAREAPTRIAQLASALKHDER FAPIPPEYKLRIEVFALAAVKDVLDAVVDKWLRGGVSEQTNPPTPVHTLDPPSPSLSC ITMNQSPSNTRQSSSGDEGGAFDILPSLVEGTKSWSSPEEPSRLDWLSMVADLDARSW DDLLNSSLDGALQQPLK CC84DRAFT_1159347 MLYSVNAKVYIAARSEEKSRKAISDIQTAYPSSKGSLIFLHLDL ADLTTIKATVDEFLNKESKLHVLFNNAGVMSVPTIPDRTVQGYEMNLGVNNVGTFLLT KLLTPVLISTARTEPANTVRVVWVSSTIDLAGAKHVGVPLDDLDFHKRKVPGMERYGL SKTGSWLHAVEYAKLHEADGIVCMPVNPGNLNSELGREHTRFVQWILRFIVHPVYLGA YSLLFAGLSPEITIEKSGSFIWPWGRFGTVRQDLVAATKSEAESGNGNAKKFWDWTEE QIEKYV CC84DRAFT_1159349 MRPQVLTAVAIATFADRGVAAADSSCTVTQYAGVPAAIANCTAI TLSNILVPSGQTLDLTKLKTGATVTFAGKTSFEYFDGNIDLIKVAGTGITITAEPDAI IDGNGQAWWDGLGSNGGISKPNHFITVSKANGATIKNLYIQNWPVHCFSISNCNNLSI YDIILNNTAGDAPNNRSNGLAAAHNSDGFDISSCNNLTLRDSWVRNQDDCVAVTSGDS ITVSNMYCDGGHGLSIGSVGGKSNNNVTNILFENSKVLNNQNGARIKTNSETTGYIAG ITYRNIELSNITTYGIDIQQDYLNGGPTGEPTNGVIIKNITMENIHGTVTGKAKEYYI LCGDGSCSDFTFNNIHITGGKNSSCNFHPDGNFKC CC84DRAFT_1201070 MKRRRNPTSLFALLLSCVIRSDLALGFGTINEPEVVGQHCEHER ITRAAFACPPGVTTSDGRCFEELSLHQLAGRSGPEGHVGWGTNGAVGAPDMLDPTPEG PEAHCDNADFLDTEAHGLKGEYPRTREEATAQLQVCTNHMRLRFLEGVDAADRIVDHH ARIVVPEVDISGSDCRFSFPELQMHWFSRGKCSAIEGFGRALHGIQDFYAHSNWADEA KPPYGLSNPPGLKMNKIPVFLDLRAENNITDQVPHDLSTGCFGGIMTDGPEGKAGHPL EPGSLDCTGRVTHHTLNKDNGIIDYITGKTTKPGPNTPRSDIPGNFERAVSAAIKDSR RQWRYFREQIRRTYGKERGNIIICALIRDNPTHDCYGRRVAMLQDALRNTSRIAEMQI PIQAWLLQEIEGTAHDEGPGEGLTAENSTKSLGSSGELGDEETDDKGHFVKKLQHPPH EGLDVGVALKALRHNATIFPTNKTAIIALTKTRNKNLDEQIAHVWHAGDEGIRVHFGL LPPPRSPSDESDATHEDAALTKAKEQDLITGVLRTGGTYSILHNEDAVPSFLDHVVSR GLTQYDNARDTATLLAAGLSISDYVTPESEPRRFSFDASELDNAIISVHPITPKLSLH VCMRHVRRNVVLKELDIGLDGNATFRAELGIGDVPRTDAWFELEVAHVEGGGLVGSGL FEVSIATEDVERYHSSKAVGEAVETGVAPKHNEL CC84DRAFT_1170764 MGEQAQDSPVLRRQSVNSTILTYHPANESNGVGVLVLPGGGYSY TSMDKEGYQPAAWLNQRGYDAWILDYATANNSKTPLYPLPQDQALEAVKQIRAKNIVT KLGIWGFSAGGHLAATTVTNTSADLDFGILAYPVITLDPKYTHNGSRTNLVGSNPSPE LQYQLSAENRVTNLTPPVFLFHTANDGAVPIQNTYLFANAMASHKRPAQLLVLPDGGH GLGLALSDPVRTWTGELERFLKYSI CC84DRAFT_1107853 MAAEPPYLELLKAVDSFPHIDISKTPYNEDERSSFYQLLLPNDP RSHGYIHPSVIQKLEWTASFQVKHTVPRTVQLLDDSDGKDTAAACSRAFYEVLEKAVN SKTYPFLKTMQWENYRIVGARYPKVQILRSAARLFGICSRGAHMTAYVRTNDGMKIWV GRRAAHLFTFPGKLDTTVAGGVRAEESPFECIVHEAAEEASIPVEFVRANAKACGAIT YVAKSSDAYGDGSNLMTPVILYNYDIELPETIVPRPQDDEVEDFYLWDLEQIKKAMIN REFKTNCAAVMIDFFVRHGIITEENEKDYLEILTRLHRKLPVATSAFES CC84DRAFT_1159354 MAFGYRTVHPRAMRGKMNWQAQRRRLQASKWGVSYDPCSEKLSD YELYDLSLQDPEADRWPNGMHPDLGAPGWHDCDSHNKPRKHHYLVPPVCKQVWTEASE CAWETVTFAFTTSGDFQHFARFSGARLDKVRQICLISPGMDFFGGHEMSEKSKFERGW ERALRSGVMDEFTSLVGVHLIIRSFWGTSQWGTSTVEKLDFPPDECLYLPEIIRVFRQ IQLRPERVTVLVTNDRADEPYGYEEFTVPKRRLMAQTIRDFILEEDTLRDWTGPGQMM MMNCS CC84DRAFT_62236 METVSLPVHRKYRHYYPKQNTPFEHCISQLIPHSPFISPNYDRP PLPAQHGGPQSAHHTASHHTSPLTHILSSMHRPQHARRTPLHPCSSRSSVPISAIASC DKVPFQGPPLCLRTVRTPCCAGAAPV CC84DRAFT_62242 MEFNAVQQYRSSPNRSYAVNQQTGHPTQMMYSYGGVALQHPQHH HQSQHQQHQQPHHMQHVSRPSPPQPTWAVPQSHSHSQYYPMSSGSTKAPTVTTREEQQ RYVSRPDVAATHSPALSTATYRPDSLGTTDYFPGVIDTSPWGAKVCEELESFPSMDPE LHEFNHGFMGHDENAPVIHLGQYHGMDQHEVENMPTYNPNSTRRLSESSFSISSTGGV LPEATTYEELGTSEAVAYPSDCDNWPTLEPTPNLLSPLASPRRPQHDNVVRSGSRNRA SPAPHTNVRSSPYTLESSRYKRWSTGHAPTSTPTQRPLSQVQDRFAPYGPRLNPHHSM PAYPPSALHNFGLQTQNVLYSQPHPLQSSNPTMFASQDQPYQLEVPRPLPSQGLFRLL QSNADRHGGCASHFADLSDPPDLYSSLHEEASDPPESDMNPSDPDLIPHEQDLRFNGD LYTPRWVRGHGNKREGWCGLCKPGRWLVLKNSAFWYDKSFTHGVSAATGAAFQGPQDT RRTEGNLDVWEGLCGSCGEWIALVSSKKKGTTWFRHAYKCHTHPKVKDGPKRRRETHA VRARTVSSASATSSYPIKTETSSQQDTTAHRHSAPIPISSLKALGIPTSTSAVPTSTS QPSTTSTSYPAASAYPTPTSTTAMHPPASAAEFQPKTPVVGLFTSPLQPGSTFHPMHQ VSEPELVGVPRTTALSSIASMI CC84DRAFT_62256 MTELPQFVRNSHCSPSRCITGTPGTHLRPSRGMSANPRQPYTHL LHRAMSLFGRTPLLQVGVVPDLWRPRRLPMLFSNSLPQSGSSAPASHMSILPVVTLGS FQPASHYIRRYPRTTFVLTLASSLFRNHALFLPFILFYLERAPYRAGSEGDIVLRTLF CC84DRAFT_1159358 MAIAHKPNPRTRYVRTLSPVHAAPHQQMGPVTGVRPEVLGTSPR GRRRRDRAPMFQHVGRCAAPPRE CC84DRAFT_1079908 MYIDTEAANQAAKKPQVTISSPARYPEERTPLLETFVGQDAPPS YLEATTPMGWREEGVGLLNEERAVLTPMREEGHKDGRYRRREWKEIFSRKRVKWSGAL LAAILLIVVIAALAHRDKKSTVVTPPAQPVQSGTPISKPTKGKESFPIRWPAACGKKN YNIKTEERAFGKPSQLDIVEAVHQLDGPWKRVSGWIHVVQAPAEQAAGTIEARMSYAA SQTVDVDSIKYSWTSTGITIGDPSFPDGFDGLHRGESCLGISVVIYMAAGATLENFNV QAIHMGMQIHEGVNFSVTNGTSITLTTGTLDASSFNSRETRLETISGSISGKYSLLDL LSIVTKSGSVNINVDPKEKAADGPSTALFYASTMSGSIRVDTERKKIPERDYVVSINS TAGSIDGTFIHGTKTDFSSVAGGINVDLIPYSADGSSILTTKTTDGETRINLRATFKA PATAMSKLVSTHTSVSGSINLTYPQEWEGHLEGQSVTGVLHVQGKDLELIRQEERPGL NRVEAKKGNGGSTMVFKTLNGGCDVLVGKKR CC84DRAFT_62296 MWVLSVLFDTRMSGTCELRSVSSLQPLFCWINFGHIGRVFPSQP VSSWCIWVLVRFASAHHAKLYGPAIVQTSITPFASVSGHVMSAPGGIELRVVRQGVQT WVSPPCRSRRPAYAKSASCGSRNDELGSWRQRMMSAKHRNIVQLSWSERPSFDDHNFA FRIVRPW CC84DRAFT_1159360 MTPYLTRGFAGGSACDQRANTLLDGTYAALDYLLGVAAAQLPKE CLPHVARTHFSTVNTGSPYFPSPLKQTEAISALKAVEAGLAASIADLEADVPDRSINV DLERASAFLFSTYLATVGGMDKAHPKVKKYLKDSDLLQAQSILYRRLSANLYATKIPG EYFHLHGSLEATKALNMIGLEGHRPDLTDYHECIKVIEDHVKKYTVDELEEMNWRIKQ AGVTCLRWEDFQITEHGQALLHEPPWRVDLLESDSPPAPFAFAKSAAPKSQILAGIRV IELCRIIAGPAMGRGLAEYGADVIKITSPNLSDVPFFQVDGNLGKHAAELNLREPTDR KVFEDLLQSADVILDGYRPGSLKRLGYGPNQILQLVKDRKRGIVYVAENCFGHVGPWS SRPGWQQIADCVSGVAWAQGLGMGLDEPVVPPFPMSDYGTGCMGTIAVLTGLYKRAKH GGSYLGTTSLCQYDIFLLQLGLYDDIIMAQLREQHDDDFFQLRHNDSVDEVGKRALKT MRRTHPELFDEKHMQECFSRGFDANLRTIRPVVEIEGTWNGFLRSSRPNGFDKPTWHD WEVDNDMLKS CC84DRAFT_62514 MTRPKVPPEQRQRTAQACESCKRRKQKVRLLLKSHIGLISEQFP SCRLLPTPLPATVLCKPTVVQAAPLLYCLCLAHSAPGNHLPPMARSSCSTCCLRLLLD PSPPPPVRVVTADHNASYPPSLYDSLNPSLFTVTWDGIAAIPLALSFRTLLR CC84DRAFT_1211569 MRVLLIWSNPQAIKREDNANKVPDERPSVEVAATSLPLASGPPS DEPPPLISRGSTIQSGQDEEAVVYSQTRMLQDPTGRLLYVGDSATLSFLQLLRMMVEN AAGQSPFTNDPRRHKIVEGQFSLPAGFRHTHLLPDHRTARVLVDAFFINTHGLLQIFE RPMFLERLESCYSDPLSTDPSWLCILNLVFAIGLTMATPLSGSSEALIVDKLRSEHLD RAEVFYLNAKSLNDPMTGFEDQDFWSVQALLLMSVYMLAKSKRNTAFALLGMAARSAQ ALGLHREETLVIFGPEEQKARKNLWRSLFVIDRLLACLLGRPAAISESDCSGDSLRPA EAAPDVSSLTANFNETGAFSLEAAVRSCSAIGLILNKVYQQRKISTRLAQEIADICKT WPRALPAVLHWRQASTATASQGVAILHVNLFYCHSIILLTRPFFLYILNMETQRQVTQ ASTGDRAPRPYLRMEKFSEACVIASTHTLLLVQNAFDAGYLSRRNPAVIYFLFAAALV VLANEFAGLYRVESPDACIANSITIMSYCAESDQQASRLVYILSSFRDVVAQQRVRKK QTQSDDMHLPSISSQLYGVSVPNQQTPTPGQSEDAPRLHQVPIHIYPGMQTLPHSDPS GGLQSRQQQPGAPDLSVHLTPIQDYQAAGAGRDLYTLDGQSKPPSLSNVFDLSGLDAT RVPSLYSEESGADEQIDFDALWAWQSNTPAVGSPRNTGDAAVNGALPTQGIGDNSVPL FGVTSHDGD CC84DRAFT_1182760 MAPTVIEEGIIQQLIRTDKVRWWNKPNLRSLYLLLVPFCLFIES TSGFDSSMMNGMQALEYWKAYFNHPKGGQLGLLVACYNLGALTSIPFVSIVSDHVGRR WSIIFGSVIMIIGSIMQGLSRNLAMFVFSRIFLGHGIVYAIIAGAALLGELGHPKERA FLGSMFNAFYGVGAVLGAGIVVRTINIQNDWSWRLPSMLQALPSLIQIGFAFTVPESP RWLVSKDRSEEALQILIKFHAEGDASNELPHIELAEIRKALEIENESRKRGWVELFQT PGMRRRSLVSGALGLFVQFSGNSLISQYLVPILEMIGINDRQQQVRYNVGKEAWGLLV GVVLASITPRFPRRRMYLLCASCLLLCYTGWTVAQARNRITGSVESGYAVLVFIFLYS PAYCLGYNALTYVYMVEIFPYYVRTKGLSWFQFWSRSAVMFSSFVNPIGLADIGWKYL LVYVCWLCFEVVFIYFFFPETFGKTLEELTFLFDDETENKEALAASAQKVLQDPTVTE LHESAEKKA CC84DRAFT_1182761 MVSTRNHPTTFPPPEASPTKSPRKSRTSTPAPTASASSPSRAGP SAVVGRSVQERVAETAQNGFTAISGDGGRGKGAWSHTASNVTLAWIAVSIPLVIWDSL YILLRPHTMAGGFLQWPFWKPYEIYAAIDYVYGQPGWDLKDGFGGGQGAVNVIETILY GLYIMIVYNHGVKAAGGSGVQIGSGVKGWASGGVRVRGIKGNTALIIGFTASVMTLSK TILYYLVEYYTGFKNTAHNDWFTLFLFYGVMNGLWVIFPAYMTVTFGSDILAGLDAAV QDEKKQN CC84DRAFT_62467 MSALGIPHSPCPSAVPTCPRRELSALQAVPSKTLKVNTAIRAST TPIASDHHRPAVQTSISTPPKNIPSPAMSGRPSTAPPVMLRDSINNCQRLSATLLPDD WDDTTPSAIQRRESGTLAASSVRNRSTRGVTSAPAAAPSQDNPKPERYYQSKEETSSP ATTSESQAAQPPTLTPASAPSFPRRAMSDSPYPVPSLPRTTLVPMPISPSDDIASARP VLRVASNPSRERLLSPSSRTFSHANGVH CC84DRAFT_1254315 MSSTIRRVTPSPDSLSEALSQGYATHSTPCHHTRTQSLNHRRLR SVEDGTLVSGIQQAEHDDDAQGHVSRTRSVNSPSDHRPSPNRSRRITPSRIPVPAPGR SKAVGHLKRRKAGRFSPPKLGAPRQHRTLLSSSLATPGAQVVAAASESHAACARLAMP AAPTRVQPPVPGPVPGPEDERARLREFTLFPTEDPFAPHVQSQSQAHSPVSQHAAAIA LDPTRPAPRRENDYFTHGRTYYEHEPDSISPLPTTPPQPLWKKQPQCVGPDVPSPSRP LTAATSPQKPQLPRHGSARSVASTFSTPGRDEMERKRGIADEGPFKGAMGVQELAERR RMVSEGKRAEENSQKGNERGKGGMCRCVVM CC84DRAFT_62598 MSANTNYAYTSLANIASGPHARWRRILLIALIPMLCAFVYIALE PSAIPSYKGSQYPYQHNVSIPDGDTSMAAVIKALYEPILHPVAAANFTDDEGQVYRLH GEPRFKQKLGKKVLVLDIDSRPLNKPGQLMDDELVWKGGEGIRALSAGMLSHYTYAMI HGYDYKFVRAPDYEDRWGTWVKVPVMKEALKTHDYILFMDSDVMLHFPHLPLEWLLNY WNLTKETLIMMSLDPDEPQNYDSRGNRYLNTGFVIAQQSERTQEMFKVWAECPDEGRY QGCAKWKLEMFHEQAAFGNYVRYDFDRPEDVKVLPCVEANGAPEAENRGGCKGTFVRH YWVNKGLVSKALSDQVMQYFVPRLHQLYHKSGGDHVVNAKGNYRLQGAEMIELTNEEK QALKNDEGKNQKDEGW CC84DRAFT_1182763 MTVAINAIRRLEAKVEDLTTAINSNNAAPPPPPPPAPQDYRSPF ANSQPSPGSNALSYPLSHHERGILDVSSPLTTETPGKASNAATEAHGAIKLSFSQHGV ASWPAIADLLPAEFLAARNALPRDYIVNIETHRPPLPIHIDIPAEYSSNFWLTRLPLS VIKGLADAYFAVFHRNTPVLDRFQFFSTTLQGALESNFGYDVETCLVLIVLALGCLSV RTYEEGDFALPSRTSSPTTGFVRPEWHEVVTDDPPGLKFFNEARQRIGFLMNQNDLPI GQFYMLCTLYYAQTLRPIDSWTMVNRAALCCISVLSREESIDFDDWEGDMLSRLFWNT LMYETIITQELSLPMSGLLDYEADIPIPRFTSYPRPKTSISGLLSDEEDSFFNFHFLA QAAHRIILTRVRHNLYTFAEKEGYPSPNLTAEMHHQLEQWRSNLPPSLQFTDNENADD SPSPAHVIAKAMLRSRYRVAKFHIGRPFLYKALHAPEYTNRSEYDEVRKGLEGAMYWP TTMGLCVQMKAALPIKFGWCSQCFGQILLFTAVARSPNPDLRATLPEGWEEWVRIMMA LIESCAQESPGITKDYELLRLL CC84DRAFT_1159371 MTPHPRPPRINVHGDYHTEKPSALNAQPGLENPFDSVDVVIPAH LLQTAQAVSKRDEADAPNWQPQRQLTVRENPLFRQLSASIRRPRDRGGRVQRSSTVPS ARSSRAPSPATRSNSTKAGLAVPSSDENTPHHVRRPEPANRRRSWRLSYTPGGLDEIA LSPRGSRAGTPTSETFPVAGHATHDFAAQPARHVSNPFGDKHVDSSANTSRRSSFGDN ANPFLSRNNSSISVEQHTVPEVAHVPREARKRSGTLETVVNALVPDSLQRKLTNNTVT TGGLTRHSSMRKTFEKAKLRGAEMQRNKYAMWAFEYGIYIFLLCFIYFVLIGIPLWNG AVWWLYWVVANKFVFAGGFSITLGIALFYAFAPLLIMFEDDPPPMEITAETKIQDQVK DTALLIPCYKSANLIAATLEAALKIFPASHIYVLANGNSPTPLDNTEEICRPYGVNHI WSPVGSKIVAQFVGCYAAKAFKNVLLIDDDCALPPDFPVVTERLKGNVMCLGYTIKSV GPNSSKGNYCQQAQDLEYKLSGIQRDFAGRIGSATFPHGAIALWDRELLVKTFHEHPG FSVSEDWFFGHVARKLGSRIKMCSQVFVETETPTAVFFSGGGSRGGFGEMTIFSQRFK RWNFFFVNGMWFNLAYIFGSWKLGWWEIGAKIFVFQEVYETLLYLMTPFILPISFYVR PSFCGILLAATVVMYLVNTIIFNEVHLRRKGERIGWFCVYVYYLPYKIVLTGINVASC YWSLYKYARYFARRHPRVVEDEKAVEVVVRLEESAYAAEAGGLGRSLTVRKVAEVPVG RCSMESESTAVNATRSNTPQIGLTLHSDTAHYYEPYYHQDEFDMAGSGASTPARGLMN GHAAVDFANDSQAPTPQNRSYATSMASYDGWDSRVSETRWL CC84DRAFT_1170778 MFTAFFCQAENAIIAPLFHTHKQPYSDAQIMDRPLPGFDARALL LAPHCRRADQTPSRFPVSATIPQRQDLHCCLNTMLPFYTFDYYPCCIMKFTTEVAIAV LAFTNLAVADAPSRRWTGSLSARANTLGRRQIEIGGLKLGGGGNATAGEAGGQTKGGN GGGLTIGGLTLGGSKGGISGNGLSLPGGQNNNQGQQGQAGGAGAPDTQKPAEGAARAE GQPAQESKPAAEAAKPSKTASAAETVPTETASGAEKPAKSAPAAEAAPPKATVTVEAS KNGTATEAAPVKESQAAAAEPKAPATKPAAPATEPKAEPQTGAGPGTENQPGRAEGEA AAAEAKGEAAALKGETAAEANKSNGVKATEESERFSEEAGITLNKDGSAANLGGNLGI TQGSDGSKSVGGENGINIAANGQATAAGTERE CC84DRAFT_63224 MMTKTALSEERHRLQKEMRKKDLELGSVRTLKLQLSVKTVQVEN LSRTLAVASDREAEQSKQLSSALTELRGARDTQRCQSKNIAYLKSKNSHPGGDVQVTW ELKRDIAFYFTQLDLAHQNYRIFTCSHNRLANLPDGNKSTRQHDASFTASDMFRNWQR DKVFMEEYRQHRAYLTKHHLAHQQNDPGRGDHAHQIQETCKYPITNSPIALLITTVIA LDGYRGVVVQYK CC84DRAFT_1066251 VPAYSAISPGTAVSLVLKIDQPTGRQVTGIVADLLTRGDHPRGV KVRLRDGRVGRVQKIVSESDGLAGEEVAGGIGANLGRDGENGIGGRGGGASGFRHERD IREDDEYLYDEGRAKGRDLGLFAQLEEEDRRYQESVGRETAGGGRGEIATCPVCGEFE GDERAVAFHVEGHFTE CC84DRAFT_1170781 MAGSCEWRWTAGATPVGALARGDKIQSRLRAAPIRRSSRTARVS DVSLFWHVFQWKYGEAGKSKDNSSNAVGETATATVDWSDIAVVYAAAAGDVLICKSQP PGCGLGTRGDDRCRRAVGCGGGTTTRGVDRVYFTFTKNHDDQLPAPREQTQAAMTSCS PAQPPGGARALPAAAALLTPGLLTFVRSPLMVLPSLFRKSSKSAQPEAPKLAPGKQPT KSNPGTPPSSPDKKSHSRVKERERERRSSSYSSKRSSKYDRDSHPLNLPPDELRRLSS SAMSAMADQPTPQPMDIDREFTASPTPAPSSPAAAQAPGAFPKSNGDDSRPAPPPHRY TTSPPPESAKYAATPEESSPPAQPPTIDAEEYKAAGNKFFKIKDYPAAIKEYSKAIEA APQNATYYSNRAAAYMSSNRFVEALEDCKMADDLEPGNMKVGLRLGRVYTSLGRPDEA VAAFNAVNATQKDMQPALTMQRHLQQAEDTLKSGGAGSMVIYALNEAERGLGSNVERP RKWNLMRGEAHLRMGNINALGEAQNVAMNLLRRNNQDPDALVLRGRALYGQGENDKAL QHFRQALSCDPDFKDALKYLRMVQKLERTKEEGNTAFKSGRIQEAVDTYTKALEIDPS NKQTNSKILQNRALCYSKLKKWTNAITDCDKALELDPGYTKAKKTRAKALGESGNWDE AIKELKAIHEANPSEPGIAKEIRNAELELKKSKRKDYYKILGIEKDASESEIKKAYRK LAIVHHPDKNPDDETAHQRFQEIQEAHETLSDSQKRARYDSGEDLIDPSEMFGGGGGG FGGGMGGGIDPEILMQMFGGMGGGRGGGGGGFSFQGGGGGGSPFGGMGGMPGAGGRRG QQFQGGGFPF CC84DRAFT_1159375 MGLTYNIYLTGGRIYGCRTCKTHLSNHDDILSRNFRGQHGKAYL FDQVVNITESDPNERNMTTGRHVVRDIHCRQCKETVGWKYDKAYESSEKYKEGKFILE AELLCTVS CC84DRAFT_63447 MATMKAVVFKGPHKVVIEDRPVPAIKDQTDIIVKVDKTALCGSE LHVYRGHQPSGTDFIMGHEFTGLVEEVGSEVKNFKKGDRVVTPFTVSCGECFYCTRGF SSRCAKGQLFGSVGLDGGQAEYARIPLADSTAVKAPEGIKDEALVLMADIFPTGWFAV DNAFKMIPKEDISNSTVVLIGCGPVALCALVNLVDYKPKNILAVDSIQSRLDLAKSLG AEPWNFQTDREGLDKRVKELTEGRGADAVVEVVGLSPALKMGYELLRPWGVLSSVGVH NGEIPWTGNQAYNKNLRVQMGRCPVRSVFPKALESLKKHQDKLGFMADKIMPLSQAVE GYDLFDKMQAQKVVFEAQK CC84DRAFT_63436 MSAEESQQAVQDPSQVADSLQRLSVNEDGDVQPRTEEEYAESQL TLRAIVSSKEAGVIIGKAGKNVADLRDETGVRAGVSKVVQGVHDRVLSVTGPLSGISK AYGLVAKGLLEGAPQMGMGGLLRSDGTHPIRLLISHNQMGTIIGRQGLKIKQIQDASG VRMVAQKEMLPQSTERIVEVQGSPQGIEKAIWEIGKCLIDDHERGYGTVLYNPAVRVQ PGVAPLASNGINAGGRSYNRTGHGADFSDSPPTYSRRSGSDAASRPPPPTHTEDGEEM QTQNISIPSDMVGCIIGRGGSKISEIRKSSNARISIAKAPHDETGERMFTITGSASAN EKALYLLYENLEAEKMRRSQAAE CC84DRAFT_1254326 MARSKQNTPDKRSSSGIQAQNGSTNGTARRRPSFTETIEKRLEE VAESAARDPEEQKQSGLLALAICVGGIYASFLSWAYLQERITTTKYGPNNSRFTYSIF LNTIQSAFAACTGAVYLFASSPRDPKTGARKVPPIFPSKQILFPLLLIAVTSSLASPF GYASLKHIDYVTFILAKSCKLLPVMFLHITLFQKKYPFYKYLVVLTVTLGVAMFTLYN PSTADKAEKAAKKGVSPDASKALGLFLLSINLLFDGLTNTVQDQIFSSFKGFTGPQMM CAQNIISTLLTTAYLLSAPYIAASPLGAAVGLTPTSGNELADAVSFVTTYPTVGWDVL SFAACGAIGQVFIFHTLAHFSSLLLVTVTVTRKMLTMLMSVVLFGHTVTGMQWAGVGL VFGGIGAEAWYQRVEKKAKMEAKKREAAKSK CC84DRAFT_1159378 MMKLQYPYIWAFCAFAALGACQYGYDGVYFSGIQAMSTFIRHFG DRQPDGSYAISASDLSIMSSMINVGELVGSLGAAPINDFFGRKGAFLIAAIVAIVGTV LQTITDHDKGVIIGGRVILGLGVGIFSSTSPLYIGEIAPTAIRGPLLGCWQLVLSVSQ IIAAVINRGTESLTTTASYRVPTAVQLIFPILSLTFLYWVPESPRWLLRREKTERCDA ALRLLRKDDKTYEPDVDMITIRASIEHEAELSADSKWMDLLTDPIERRKVIFSAGALV AQQINGIQWFYYFGTVFSQAIGLEDPFLMTIIVFVIQVVTVFAAVLCANKIPRRPLLL STTLVMMASIFIVGCLGIPGGQVSGTSGKVIISFVIIEITAFNFAWGPLGWTIASEMA VGRNRNKIYAIAVACFWITVWVTVFTLPYLYYSANLGPKTGFVYTGLCFITLAYVWFC VGEVTGRSMEEIEGFFRDGVPARQWSRQPKTIGFGEDVQVEINDKEKAMLRSQQERNE V CC84DRAFT_1077873 MPSRTVPPSTWYSRPSTSQAAADAIPILVDDEYHVFHLSTPPNT IRHPPRLRSSWSRLRSRDLLKWERDQTEVLIPGKTRDSPDADGAWTGCAILGPDGNMH IFYTGYNLSQDGKQVILHARSDDKKGTKFTKTPCPISITGDLSRFEDIDFRDAHVSRQ ESENCYWMLVATRLRTGPFWTRGCLALLTSPDLEAWTIDPRPFYAPNDIFCPECPELF SLPNGKWWYAAKSCPKAGDPSKRIYFGWVADKFDGQWSWGGDMAMPREVFADKNGQLV VQPCPEFLRAMFAPCSEVPIPTSISISSLGETKISSLSAMESVPNEYHLNFQVAASDA ASFGLIFRTSKDMDGHRLRMTPASPYLCDVVLAKYPAPLDDFWADQYKMHLPREVDGP EIARHLVDIRGNICITVKSNVLEIFVGGKSISYRLPGASDSNNKFTIEANGHENGQTR EPLLNGIAEVMQELSVFVEDGSLQLMDVRLRARTN CC84DRAFT_1079204 MSESPGPRRRRQTAPKSSRPCDACRRRKTRCIVDTGQQTCNVCI QKKNDCTFLEHPPKRSVPAPVQPSDEEVRIPSVPSPSQVLSPRYNASPTVNLGAITVS DGLETQNHTAEPLEQTEDFERSLGLNSTKFAELYGLGSDMEPILMRHRPYSAVYNEYT LPTHSIRRVLGHDQNVDYPVTFHMASMMEYYLILSALFEGHHHAVDSIEACVKPHGEK LLRLFWKLIHPSYPIIHKDGFIDRYKLSYRHIDAPLLGAVYLNAINWWFYDSNLSNQP AIDVTTLRRLTLQHIQDSYHRPRLTSIEATLLLLQCKPEDPLNPDHTFAWGLTSQALS VGQACGLHLDASAWAIPSWERTLRKRVSWAIYMQDVWTALAHGRPSHISEDDWGVLPL NEVDFESTENVSFLYLQSLTTILHTVLKTFYTVKSTTQQDTLKLKEQAQPILSSLDQW HSALPPSLAISNIPARELCANGNIHLAYYGVKINVLRRLVRSTALAPLCLDMAALTAI RVQAHETAQQATSLVASLRLEHLDGFWYFATPYLFSVIGSFLTLLLVTSLTPAERDHW RESLRAYLWTLRLMSKTNEPIRYAVNRLEGAILRGLEHALEFTVEGTSPVAFEATPGH FNADPDAFALGFGFTEGFDATEMDLDAFDFLSNATLG CC84DRAFT_1159381 MRSLFSSAALLTAALAAPITSPEPNAAAIKGYLPLTVTVFNDVT GAHAAAGIDTSGHFRKFYFNLFRGSAIDREGKIIATSMQLSFPAGPLPDGNSCGVYGP DKTIGFLDARHTYLELDGQPGKAVKTDVSEFIVKCDIYVVME CC84DRAFT_1211589 MYSLRSPRPWCMTRKPTQRDCVESGAIQAPETLPSSLRPTNIDT AFPSLLRRASCDAVCDYVPDTSSDQRYICCPSDADYDFRCDAPTKSDDESTRDTLVRF ADVHSILCTPPPPTPPPHLTLLSTSSLPLTPLRLQPYGPRIPPWGSTEHLDRERRFRT DIRVLEDTLDEQFDKRERLRKEEEARNEKRRTEGEELRELVHEIYPEMEAGQTDRGCC LCAVM CC84DRAFT_1076836 MNSRSNLLPAIQAPSALDIIRYRYHHATNLGSVYVLERWLSPSR FLQETSGSSELEAAKSWVAKIGAEQTKKMFEAAWANAILDEDIAWLKDEAKGTTVRLP IGYWNLPGEEFTRGTPFEDFAHIYSAAWTSIRHLVLRLRAHGVGVVIDFHAMPGGANT NDHSGTNSGVAEFLTSPYNRKLGIRCAEFVARESAAGLQLVGISLVNEPNEDSENLYA WYDQTIDAVCAIDSSLPVIISDAWDLKRAIDYSLRKNVASPTRSINPIVIDTHMYWCF NDADKKKSPQEIIQEVPTKLSELDGKEGSVTDRGAVQIIIGEYSNVLSADTWLKTGET PKADLIKIFGAEQSLRYQQRAGGAFFWTWKMDWMPGGEWGFKAQSDPQNRFIFPPPHA FIPDCNIFGLLECARNRKDERMWKAINQHRAYHEHLAPNKLGDHSRYENGWRIGYNDA YIFFEGKMNDKAMEAVEPGNRLGNVELWVLKRIRESGFTGDLVWEFEQGVRRGIHDFN AVVGI CC84DRAFT_1254331 MSVSHASTPSKGTPRRALGELTPKALNTPSKRADPSDNTRAHSP LKQMQTLSPQLFGNKENVTSSALKGRKRSINEVDDAERIDAKVRVGGSTTGHVGLSAA AMRLYTSNTTVDLPVPGSPTERNTPTPEPELQHEAVNSQDTQGTNNSFSALVNYDLCA SQQSVQPAPPRAPSPPSPVAEEKKSRAELLRTRLGFGIYKVKTNQIAKSGSDIISTWE TTYYEPADASTSMAIPSFDGSRDAYQVPDITISSAKRDPHPVFIKANLDPFRPIGNLK LTPAPVLLPTATSSRILHDYDMPSSPPHVVSPEQLRSPVKQRLDYATPVNQSPRSERA ENDFSDGSAQSGLRKLQRFQEGELTSSAVKGNAAKGLMQLMAGKR CC84DRAFT_64091 MVAARLLQSAFVHLPSTAIDTLLMRSCRSCLGIHAMRIDSYRWC DQQNCTCRLHPLSLNHINY CC84DRAFT_1159383 MPSGTIRTTPAARSPFFPTRLELQLLAIYPATLLLGSILSTLTP AFRNAPYSPDLQSHDPAFAPTYFAKKKNIFNVWFVKKGWGWTTVAFVAFLLMWPGFGK GLSVKRMRAMLRYSVITLWWCFVTQWFFGPPLIDRGFLFTGGQCEMLNDPSAMEDMSD ARVYLTAAACKRAGRKWAGGHDISGHVFLLIIGSALLWFETLPALTKFEGLRDGRRIV LDDGKVATVAVEKSPASEQDELTRKGVPLPLGVAGFMWWMLLMTAAFFHTWFEKFTGL VVAFLGLWVVYFLPRGIPAIRAVLGMPGV CC84DRAFT_1182776 MRTRQKKAPPSRVRTDEKIKALVEKLATLENAVRTTGVGASSIG SVPPSAAAMSNSVSSMGDDISPRPAKRSKNEAGSIGTVTSTQDLLSPPDSVTSQLYRN GGAREHIEKELTLNESLKSHQKNVFETAIAFIDQLSQGPTTSVEEQAWNLNVTTDFSK GELVQIVIASQQSDANRNRPDMQLFLLDHIPPQALNRMAMCLLEGTASEQTLNLCKVI VHFKACLSLYASQLHSPKDRTIREHVKELQLRHLNAALGALNSVSVMARPSLLLLQAL LTGSILMYVVGNSQSCWNLAAYASRTLVALGYHNIHSLAPGNEQEQEIRAAVAWCYHF DRVMSLLLLRPPSLPPLQIPVVSLVSYSPDNPMAIFALVMLELVPIHEKVLDLTLASQ RRKMPKPEAEPQVDELRRKMDDIYSTIEKARADSPITHSPDYQLHWHSLEFKFFSTLT AVHRLSNTVCFCVSERERCLYAARRALQCVKSIQDLAKQQDHFLEEYSPYLAWTILSY PLSPFFVLFCNVVGTSDVRDFQLLQDVVDSVSSLVIENKHVERLTRLCNALLALCRPL VQQHGSAAIVQEQQAGLLLNFASGNEATAAEDGLATSQLTGEPQEESPVKEPWQDDMM WQLFQAQPSLEWFNSDILDPASWDLNLPS CC84DRAFT_64112 MVYHHGQSDWANNAKMTGQICSEQDFLRLLTQDRNSATNRNLIK KWEETFPQACIGALAAIGHYEVLQVVLSPSKCEMWNNSYAFPYAFCAAAFAGQYSVID EILDVLKDSLALEDISPHALIGGAACALKNGKLDIARDILELGDDFLPVVASKTFPAF LAEALASDDASFMSLVLDMAHDDNRRCYIDAFANACMNGQVTIAGLFFEKNKLNINQN FEVAGLVEWPVLEHVNPILTAITQAPSSKARAALVGELLRLGASPDGPRIMNRGFWSL PVPAAVRIGCQDTVLVLLNNGADLMLSNNPKYKLEKMRVLRWISARFQHGLQYLIKPS VRQELRNQLGSGPEPDRKEPNPFLMLAVSVKDYRWDAVRLLLNRGANLRFRPADWYCL AAWDDILKAMHAYFSDGRRDFLSDVAWWRLNNELGYMSEIAKAAHDLASTITG CC84DRAFT_64098 MRLSPGLFLTALLPTASAHGRITNITTPTGTVYQGWDPATPQAQ LQDLTAWRAANLGNVYVPPSQFNTSNITCHFNSTPGALHIPTAPGDVLRVQWNEWPAS HKGPVLTYLATCAGSCAGADKETLDWVKIDEVGWLNSSGADVLPLGGTWATDVLRANG ASWMVKVPEALVDGSYVLRHEIIALHVAEEVDGAQAYPQCVNLKVSGGGGKDAKKLEG GVVGEKLYGVRDKGVLVNIHGNVTGYEIPGPRVWEHATRFKQPNE CC84DRAFT_1159385 MKVATTLAAALSLAPTATALNILMNNDDGFGSGNLRELYKLLKA AGHDVLIVAPATQQSGQGGRSDFSTYANLTSPAQYDIVPAGAPAVGTDPNDSSIWYYN GTPAACTFVALDYVLPRYYPGWTPDLALAGPNYGTNLGPFVYSLSGTLGATYAAVSRS IPAIALSASNSAVAYFNVTNATHPAALAAKASYAVVEQFINATTEGSAVLPLGYGINV NIPELSDDTLPPVVKSRLTGEAETDVAVYDEDKKTFTWGNVRPLAAGVNACYNGDCSL PGETYVVANGGISVSVFTIDYDAPSVPYTEMVFAKVESLFSAGSGRNDTEGYQTKPAQ KKRMMTGRDVYRM CC84DRAFT_1159386 MVAYKDIQASNASINDANAPRVAVFVGGTSGIGQLTLRALVATG TSLRIYLIGRPSSEERSRRFIQELQTVNASAEVIWVEADVSLLAEGKKACEHIKSRES RIDLLFLTTGYSPFGVRQETSEGLETSLVLSYYTRMLFILHLLPLLRKSEAPRVVSVL GGGMERTSSINVDDIDLEKPGSFGITTAQPHYAALQTVFLDKAASEHPDVTFIHAWPG MVNTGNVWRGLHASQSILYWFIWGLLEPLIRLISSTDDVAGQRNLFLCTSAAFGGRGV PWTGQAGTSTLRTRDGGLFLANYKCDSTPNDKVVHVLREKASAKVWEHTHEVLRPYL CC84DRAFT_64143 MAPLRLSIPTTHTESDGGKEFTTYEIRIEHPFPRASTSLRKRYS DFAALDSTLRSHVGPPPASLPPKSWLGGFLGLGSTLGSPEQIEKRRQALEQYLQAIET SEDGRWRVTPAYREFLDLGSDKDKKTANLPGAQFGKDRVRDSTDWLDKYQEVKSNLQD ARRWLTSREQATAATAQHEAGANAKKGLVRAGTLLSALEEGLTRLGGGGDGWGGDKLG DGEIRRRRDLIGTSRKERDGLESVLNTLAVKAAASGSTSSAPSTNSAAATNEQKAGLF SRANQGAGRSGRVLGGPAKETERTRELDNDGVLQLQEQIIAEQDQDLVDLTTVVRRMK EMGVQINDELQYQNELLNQFGDDVERVDGKMKIAKKRIAKIR CC84DRAFT_64154 MHARQGFAVSGRYARRRRALVFTRCQPSLSLICNNLSRVTAREE QVKHSYTYFAYIFWTSNGHANTSICGLQTWTLHTETCWWTLLQTDTIPSLGLDSLTIP RSSDILSALTFHHLDGEGGGDGSEGAASKSTTEESQFSKKGQLVSQVLVRRNLSSVPL WSVHANTTEVTARTVHSHTEGYIYTPGDGLYPRRLAPPPRRVPCVYQRCAGFLIGGAT CC84DRAFT_1254337 MQLPTLTLLTLSTLATLSTSTPVDNGIPISNVLTALGAAKPVTP STVAKPVTPSAVAKPVTPSAAPKPATLPATTKPSTLPAVGAAGPHISSLDDIALLGAT GSVASTPAILTYLRSTTTEVKKHTLNINNTLASYNRLDLNFLTKRASSIIQIRSEVTV ICYSLTQLNTASIGRWTDSIGDLASVRAQLLAAVQELVWEIVFTLRGALTIWELLGSQ VLVLLVTFGQLFCILNVWIDGFLKLALGLLNAQIGILTSVFAGVLSYVVDVAGGLFTQ VTKLLTCLLS CC84DRAFT_1134195 MATFLVLWCLLVASVGFTRAADCPASRLHLSEAPYDNYFLSDCI TSSHVIVTSPDANTTNSNAKPRLLVAWPAGNSGAAAYFEAENGGTLGLHLENSTTDGE VLETVNEPAADGAKNENARVGVKGLIHFDTPALLTLPILGSIRSIRDYSEGGGILSPD VQNAVATEKFGSDGGQFYRTWFDGMTTTWIDFTPTSGAEAVQIITGDKWKLRFSTGTY EFRATFNYPQLDQLSASEVLNNASQGLVKESADLTTSLSFLSYSNKLLAGSWRFLTYF GRDSMLSALLMQDILSQGEGGALEAVIGAVIERINNTDGTVCHEENLGDYASFLARQK GIDSSAPTCDYKMVDTDFLLPVLMRDYFVNTDTGGSRATPFLSKKATFLEENAGVAYL ELAQRTAEKIMNTTAPFVSSQVASNLIHLKDGESVGEWRDSSSGLGGGRIPYDVNTAL VPAGLRAIATLSQAGVFPDHPEWAETAEKYAQVWEDETLKFFEVSVSQSDAQQLVEQY VSDAALAGPSNTDQITGNITFYALALGGADNEPVRVMNTDDCFRHFLLNTTNQEQLSA FLDQTADHILQNFPVGLSTDVGLFVANPAYAGNKSLSDQFKNSDYHGTVVWSWQLAMM AAGLGRQLARCDADGAPDFCNTECHSKVQNAYKALWDTIDKNRAQLSSEVWSWEYNNG YQPVPLSAFSTTESDIIQLWSLTFLAVHEEDV CC84DRAFT_1050966 MVSLKLLSLIPILGLTTAQNTTLRYMPFGDSITEIICWRALLWE KLQSTQWASVNFVGSGKTENNCKDTKYDRDNEGHSGFLAIDIANKNQLDGWLKTNPAD VITMHLGTNDIVQQNKNVADIIAAFTKLVGTMRTHNPKMKIVASVAQIIPMGIGSYNT KIQQLNAQIPTWAAGLNKTESPIWVVDQYTGFSSSDLRDGVHPNASGDQKMMNVWYPA LLQAF CC84DRAFT_1192330 MDPINGREGLEAFRIASLPPEFYYIPNFITAEEEASILDKIPAN RWITLSHRRLQAHPSTLTKNNTLLGAPLPPYLLTPIAERFKQLGIFDDTPHRQPNHVL INEYKKGEGIMPHEDGAAYAPVVATVSLGGSLCLELTVKSSVPEGESAPEEEKGGEKG EYAVPARILQEPRSLLVTTGAAYADLLHGISPVEVDEGLGEETVANWALLGDSSAFEG GRNERGTRVSLTYRDVLRVSGAASKVLGMGRR CC84DRAFT_1134200 MEPLSIDSVVVNTPGDMTPSGPPTPSEAIHIERPKLKGRQRLLH GLQRMGSSPSLAGLSRSRSHSMRGTTKASMSCVSLASGASPYAQSYGSSYSSELSAGF STAPTSVANSPPGSPLYDEKARFRMFSPDGPSTAPIPSEFRPLTKDGIEADGDYFSRP IRKRLQPRPNFNFWSDMPAEIRMQILRHLEPKEIVRCSAVSKTWYSMCFDGQLWSDLD TSGFYRDITADALVNIITAAGPFVRDLNLRGCVQLREQWNKKGLIDACRNLENFSLEG CRIDRTSIHCFLLQNKSLVHVNLSGLVGATNAAMKILANHCPRVEHLNVSWCNNIDTR GLRKVVESCPNLKDLRAGEVRGWDDVDFMFELFKRNTLERLVLMNCDSLNDDSLAALV EGVDSEIDLLTGRPIVPPRRLKHLDLTRCRGITDAGVKKLAHNLSNLEGLQLSKCHSL TNDAFTTLLPTLPVLTHLDIEELDGLTNEVLKTLASSMCAPHLKHLCVSYCESLGDAG MLPVLKACSNLCSLEMDNTRISDLVLAEAASCIRTRNRHARALTGTERPTVGLRIVAY DCANVTWTGVREVLSRNAEISRPSPGSQSNMPSYPREIIGLKCFYNWQPTVEEHTKRV LRGDFAAAARLERKWADWMMMNEEAGVGGAGARRRRRRAREAQMMHADEEEGGIGVGT GGVGRRRRARSGPGGCSVM CC84DRAFT_1170800 MQRTAHAAGYSMYMSVCGDGVIDRAYRHARVGRSSDPPELPRAL VCTETVGTLHAPPWRYRLLQTTITGNQGELAVCSSTCSACAPTSRRTLASAPPGRSIC RANNRHPQLPKAEHTRQSGPATGDLGVEHRAEEQQRRTVAARQCQAHLYYGAASADDP RSACGLVQQRRRPHAASSDGLRVRRYLVIYQHHHATVNCSGLITITFADRRKDQAKLT KSHPRRSLAISRRESRLPHPLGSGGGWCARYRRVWLEAHHSKSGRAEVLQKPRSLTIC AIEAPMSR CC84DRAFT_1107931 MFSFNTTAKLHHVTPLPAGTTFEAAIEKLQNHDLLMKLDPELHS YETLPSDDAAPKTKRYKVTDHMHALPKGLWDSTVTFESQITNTDDGVLWVIKAPLGLV QYTTWTIMRNADVGKGKEKAVEDGESAEKQSELSLVEEVEIKASRLLVGTVRNKCEEN WRGVHGRFIGHLQGVPVKA CC84DRAFT_1211604 MATAGYLFNERPPAGLLIAQAVGITASTYLLGGNATIAFVTIPA VMDAPSPLAAKQWARVYERAKPFGIGCSVIAAACTGFVAYNQDPASLPFKLNAAATLI IPAIMPFTVLVLKPINDKLFAKGDALALDEKAEVGVAQEETTKALIDRWTKLHLVRTA ITGVGAILAIWAALDKRETGSVGGFSLQSGANRLG CC84DRAFT_1201104 MGITLYTEGTPNGLKASIALEELGLEYKVVTLDFNLHEQKEPWF LEINPNGRIPALTDKGEGGRETTIFESGAILLYLVATYDKDNKISYPYGTKEHWETIN WLMWQMGGLGPMQGQANHFTRFAPEKLKYPIDRYVNETHRLYRILDRQLAKNGTGYVV GDRVTVADIAVWPWIAAHNFSGITSIAQYTEIVKWFENLLQRPGFEAGRNVPRPHFHI TLNELPEEELDKIAEHGKKWQDEARERETFVE CC84DRAFT_1211606 MNFNLAPLSTLIGLATAALASEADPQSTPPTRRLEREPRRADTP KDSWKRQYNKGAIPQTPPEPGFEAASNVHVRFETIDTTETHQIVLPRACIRGQSAVVG SRKEIRDGKASKSSFFAQEDLALEKGKEKPDTSNCRDKYVTRFVFKNGVPELADGESG KIQLPDETQFMLQVVVSDVTEFGFDALPKG CC84DRAFT_64361 MSSSVGAYTGGGPSGQFQNTIDWGLIGTSTVSATLDILSRYCAA GVDHYTASIGEFLCNHWFQLSTNGQRRMTDVLESLSCNSTMGDRVWMGFGRRHIARSL SSTSQGTVCVAFCGALAECFDEEVAAEILHEMVKTINAPTQLTPSILQWKNLIKVCAG ILSQSDFGSFVEELIQHNPTYWDLDTDSQSYHKADRSSCQNPKLIAEAIQAIGDVSKG KLGEITIMGGNAIGWLAAISEWLFSLSTSLEIGDGTVIYQSIPKKRIQAHFIFNTTSS NRSSGQFSYELQCVGKVYNIDDPTMLFRTRSPSDDGPMCGGRLAWANIFQRFFGSTFE SLIQDERRAFESIIGSVARMLSAIVKADSKIPRRSIAAWRLYVFASHGLGFVEFITWR FPELAPLRAGFESSSICTLEEACSSYENSLTKLKITCAARGCHACSYSAERDPKSERY CQPTVVKTIIALALTLASTEIAKDLFIKSAGLWRFYHCQRKIEKTKYPPASDSGPASV GGVYSSFWNWASMAAVGSGWNRQFGDYIMLFYGNEGYNLMSTRNATAVSTGGICIYSK VLDPTTFSTLDVEKTSLVYICAGGIEHEGKPYDLIKDDDRPSGTNGPAHGALDPYDAS WKHSKIYGKVRETTQGLRVSYQMALGDAESEKYITIWPAQLAEDIAYSRGWVDCDGSG CPRIMDLTRLVQDDESGKFETLGMKFCVIPNDPMAKCAAITVAFQNKSCGRVLMREGA CLQCCIGTALRGDTDSNYQKAYYAEDRRALTWFIV CC84DRAFT_1254346 MSVTYNVIKGSASKKLVESSVSAQLESHQVYIETTHSGLCGTDE HYLGADQALGHEGVGIVRQVGQGVKSVKVGQRVGFGYTHEVCANCDNCSQGWDQFCRD QKQYGFHDFDNGTFGSGAVWDEKCVYPIPEGYDSANAAPLMCAGATVWTVLSRYGVSA RDRVAVMGIGGLGHLAIKLAAAMGCHVVVLSSSESKRQEAMDYGASEFHVFRSGEKPV DTIAPIKHLLMCGSGGVDYKSIVPLMDTHGTIYPLTVAFEPSPIPLLELVFKGIKIQG SLVASRDTMRSLLEFAARKNITPTIQTYPMNVKGIETAMQDLRDGKVRYRAVLVRE CC84DRAFT_64396 MRCRLRDMATILVSHNANDASLKRALLTPNHCFASKRLKRTPPC QILPHYTPSLPPWPTRTSSRSPRSGPPDGARRPSMQTSPRSFAMPSFGAVFGEDARNT HLKPLPSADGTSAHGTLTRPHTSGSAVPFSSFHVHRDSRDSTSTASSDNSPTTTISTM DSSSVTDPSPGPSPESPVAKTATSFVADFRSRRTDNGSQESSPSTFFELQRPTTPAKK PRNLKNLGINTSTSLGNLRVNPTASLPAVEKQEKNSSAPPSPLFIKPPTPPRRRPSNL SLTISTPGSQENKPMRLVIPSTPSFNRPALRHFQSSPSLPLCSPAVGPKNGMQLPVLR PVKPNARGLSEVPYEMEEEEEQEPNFDIPQSREEKPAAYPNGPIQIYPEGVFLYFEPT AEQACTFDVIVNVASEVKNPFTAPSESQKDIDARRLDGPPAENPDFASILDRKSSSDL QHSSPTTPKATPVLDTIHPSEYVINGKTHKTPEYIHMLWEHNTDIVSDLYRLVKTMDE RVQQGKRVLVHCQCGVSRSASLIVAYGLYKNPGMSVQEAYDIVKKRSKWIGPNMNLIM QLQEFRNGLLKANESRSFNQGFGRRSAGLPTGVSMATNRHSPFDRSMPTGPRTPRTAP LPPETDMNLQRASTGNMMAISPGPLSAPSGSSLFSPGFRQSWAASQTQFDLSPKSSPT ATPYVDPKGHIVPVLAITDNDSAAVETDQPLTEEVQAQLSEHEAGLKQLPVPNFSRQL RLRSEESSLKLDRPRTSPNVSSLLLPSQDHDLPLRSPAVATFSLAPSRDYDLPLRSPA VASFNIPFLAPDHSRDLPLRSPAVANFNIPSLAPDHALRVPLGSPAKPEFNLDPWPKG YDLDTYSRSDSPPPPPLSPRTFEFNVPQVYEEETPEPQNARYNRASDSTIKMPVFAPN LKDRNENEFISPVRTEFPSDAFGRDTDIVEQELTSPRATEFHMTPLKPRVADEDPFGL ASPRRFEFPPDILKHRSFAQQEAELEAEARRPSFQAILPPSDLAQPESVNGFASLNGT AQAPPAIPAPGFTRPKSGEFDARIDSVSPTEDISFSESPLPTLTTSRQEPPATPQSPS QVFLSTPSKQTGIRSRFSSPNLREQRKLHKLQTEMEAMLPKVAPQPTQTVDDLDALMS PRAEEFTRNPFHFDLQSPTDEVSPASSNETVKDGQNRTEWTEKRQWTPQKSLVADPRS PVQTGSSPIVRNIWDVL CC84DRAFT_1159394 MSRPTVTIISASGEASKDTAPIPNVFKSPIRPDIVHSVHTGMNK NKRQPYAVSEKAGHQTSAESWGTGRAVARIPRVSGGGTHRAGQAAFGNMCRSGRMFAP TKVWRKWHQKINLNQKRFATASALAASSSAALLLARGHNIATVPEVPLVVSSTAFADA AIAKTSAALALLKAVGAGADVEKVKKSRKVRAGKGKLRGRRYRQRRGPLVIYNPDEDG KELVGAFRNLAGVETSSVYSLNLLQLAPGGHLGRFIVWTSSAFSALDKVYGSTTEPSA LKKDFLLPSALMKQPDLSKIINSSDVQKVLRPVRGGAISKRTNVQKKNPLKNFQVQLR LNPYAAAFAKEKQGQKELNAGKPERVGESFTEQLHEN CC84DRAFT_1159396 MASPPPPQIIVPNPRKRGSISSVSSQAKKRKPSNLRNSFDPDNE SAIASPMRHSRSPSVGSVDTASLVNGAGGGRKRKRKGDDGASIAGSSVRGGARPEDPS VNGAAGAEEEEDDDYGEDEDEGTKLEGERPSEAAKQQAAHNERMLVLAMDDSQQNAYS VYRRVKLKTSMVRKLVNQTLSQSVPPNVIIAVTSYSKAFVGELIDRALIVRDEWAAAR THLPNPNLPPAVLQQGLQEPFGHRPASKPSNQHIQNAGLYLDQVNREEGFWKEIPRDA SLKDRLKEEDKGPLTPAHLREALRRYKRDREGGGAGFAGLSLEGPERTLARTGGRRLF R CC84DRAFT_1134222 MSVATLSKALPKPKYVGDVEELDTSRNARVLPEHSTQLAKRQNG PPPYGQRTGWRPRTAEDYGEGGAFPEIHVAQYPLDMGRKGTASTSNALAMRVDQEGKT KYDEIARRGHAENRIVQASFKDLIPLRQQANAGELDLERPSQEMVQATKEKTAAALNA LIAGQTAAQKPKNVKGRGKDEPTFVRYTPTAQMGEAQGKTRILKIQQRQMDPMEPPKF KHKRIPRGPPSPPPPVLHSPPRKLTAEDQEMWKIPPPISNWKNPKGYTVPLDKRLAAD GRGLQDVTINDKFAQFGEALQAADRHAREEVKQRALMQQRLAEKEKLQKEEHLRNLAR QAREDRATHTRRRSASYSSASSHSDSEDEAVRRREEARKERRQEFQRELRQSRMGTER KLQMLAREQNRDISEKVALGLAKPTQSAEAMYDSRLFNQSSGFDAGFNEDQAYDKPLF AAQDAIASIYRPNVTQDDGEDAGETYDRITKGSRFEVLGRPKEGFKGADLQEQRDGPV QFEKEKEDPFQIEKMIKEVRGEKAGEKRGGEDGESRSAKRARVEDDSD CC84DRAFT_1170809 MYTRQRSSTGAMDTGPPASRLTRPTADAQTTTPKHSQPQSPPDR PSLLRLPSSASSVATSIRSRRVSNPAAARTPTTSATATPATTPSYFSPQQASAGKEVR SPGTGRPPASFSSFGKAYGDTSRGPPISASGTWPKQHTPTRSFSVSADRMAGPSDASS SFDSAARPRMQTRRTGESRYGDNDTSGAEDGEDLFLNIAEDSAKDPTTNAISRSDRVR SRIARSNRQSLPSSIPSSSPAVASSTRPNGARIPTAIDTSAGNQYRRSSLLPSATRST REVSPLTPSNPVFAPRTRVQELSPGTRRSTTSLAQNQDQESQPHSARADAGSSFSPKE YAPQVDTVKTRQQDSTLSPKDFLAQLESGRRRPSYPDALQTPPNNRNGPFKPSNLHFH SSSRDNATIPHIDTPPEARSRYDGTEVSGSSAAATSMWDELDELKSRMKKLEMGGKMP ATSGAAIAQASAERPRTANTAATTVSSSPKQERKPASAESTVPLSTSKKIHPLLHDAL AKVKSHAAPAVYRTLEATAQEALALAEMAGSGGPQGTFHSTSSILGGHALPDRQVRRK ADHICRNLTELCIELSNSSKPFTFSSPALQRTSTAAVSRRPSLQLNGDSPTIRKSIEP ESDVHPRSSPSRAMSRIEARRTSVLGRREASQEPPTPSQSQAPSRLDARLDRTSTSLY RRHERTPGDDDDPTLTLRAPSRAMTDFRETRAAHKSRYSREYTSQEPMPDLQPSPALP TPIPSSRRTTLTGNENNLLYRDRDNTQSALGATRRYGLTSQNFPATEKHPVARTQFAS NRNSVGGSFPLGRSASLSRRRVNAD CC84DRAFT_64494 MPPPLGKYHRYLRQSCSRVRNLGYARAGRMQHEKSEREICSLLT HGNAATFITDQDLPVRVTVVQLGALKRTVKAVESSTRIPPDAPTYRYNGSERELCESE HLNLITATSKNGSLYKDLVGATCYAKHGAVSPVLCLKSRNPSTVSRKGNCSRFEWPTE WCLFESELEILTMGVKGIQARPSRIEW CC84DRAFT_1170810 MNAPIAKSNCWTCKERKVGCDRALPHCANCTRAKRECKGYGLKL AWPDKYDGRRKQKKYQADPDNSATNYVTKFGEFSFLNTGNGDVEGQKYTVRDLAARGC PAIDFGVSRSVSRSVSPGLHVWKLDLCEREGSLLSYFRGCSFNYPLASERYQSGSTLT ESLIDDSVVARMITTIDDSTNGFRLQIMPMALTSTDASATSLLQATLALASFHLGSRE EALTHKVKAIKALAESIHCASPLDERSRQTQFASCLMLCVYSVFDTSDTSWYTHLQGA RNVINSCRSAIAAHQHGCNPFLEEWYEYHNTFSAFTYPYQFETPTPSIRDILIPESLA NDRRVRGFRNSSYVRHVETDTEDKIVGLLGCSTELLRLISCINQLRTLLLGMCPSESD MMALAVHIRLRLLNLRQEIFVYPDETSGRISHTRIHRTAEFYRVAAMLYLYDTYPAAA PSSSTSSSSDSPLPFPSVPELVSQAFMLLDQMEVCSSPWPLFVVACNVTEDRDRIEIM RIFEEASQIRKVGNYDVIMGLVKTVWSRVDLRTDDGRDGNTKISDWRELIDEKTGMPS FI CC84DRAFT_64531 MSSRRDALRLHSFAAPAISLCRVLASMMPSHLSSLSLARLPFPH SRGTTMFAASSLTAHHHFPHDRAWLPQKRPAAQPPGEAPAAALLWLPGRQYSAPPSTI VCKTSREAGLTSHTSVAFALRCRIGACR CC84DRAFT_1192339 MTPGLAHFFLSLFPFLRVTDYSTTPVGPNSTTPGDLNNAYSPPY YPSPWVDSSAEGWQDAVTRARAFVSQLTLLEKVNLTTGVGWEGEACVGNTGAIPRLGF PGFCAQDSPLGVRFADYVSAFPAGGTIAASWDRGEFYRRGYQMGVEHRGKGVDVQLGP VVGPLGRNPKGGRNWEGFSPDPVLSGIAVAETVKGIQDAGVIACTKHYILNEQEHFRS PGQFEDFGFVDAISSNVDDKTLHELYLWPFADAVRAGTGSIMCSYNKANNSQVCQNSY LQNYILKGELGFQGFIMSDWDAQHSGVASTLAGLDMTMPGDSDFDSGFSFWGANLTLS IINGTVPEWRLDDAATRIMAAYYLVGRDKHAVPVNFNSWSRDTYGYQHAFAETGYGLI NQHVDVRADHYKAIRVASAKSTVLLKNLNGALPLKGNEKNTAIFGQDAGDSQYGPNGC ADRGCDNGTLAMGWGSGTADFPYLVTPLDAIKNELAGNGGIVQSVTDNYAWAQIMSLA KQASTAIVFVNADSGEGYITVDGNAGDRNNLTIWQGGETLVQNVSAYCNNTIVVIHSV GPVLIDSFSNSENVTAILWAGLPGQESGNAIADVLYGRVNPGGKLPFTFGTNASEYGP DLIYAPTNGNESPQDNFEEGVFIDYRAFDQKNITPVYEFGFGLSYTTFSYSNLTVTKV AAQAYTPNSGLTEPAPVLGNSSTDPSQYQWPSNLTYVNKYIYPYLNSTDLKEASFDPE YGLNYTYPEGATDGSAQPKIAAGGGPGGNPQLWDVLFTVTATITNNGTVAGDEVPQLY ISLGGPTDPVVALRNFERLSIAPGKTATFYADVTRRDVSNWDTASQNWVVTNYTKTVH VGSSSRKLPLSAKLSF CC84DRAFT_1134232 MPPSATRRTGLRSRRDADAQHSDADTPDSIATPSRKRRKVGSAH ASPAKLNSQFAPLNGADQAAPATHLARNRRESVGSNDSNATLPEAEADEPMDPIERQN HLINHLRIPGYTTNASIDFANDLQMRKAIGNNVAAFAKVAARDWCFFAQKTRFIIGRA DAATRSQTRPPVANGQYSEMSEPNAWGVDIDLGPERQVSRVHADIEYDSDDQVWYLTC NSRNGLKLDDRSLQKGQREPLHSGICIQVMGTQMLFLLANGDDVFHPMIWRQTMNNHN AGLSDDEDGQPHSRNAPPHAHPNGPTPKREPYDPFPPTSARSRQAHPYSSQLTSTPGR PMPGTPVTFSRSKGSPSTYPRGMMLDSTEDIDYSQDSAKDIKPPHSYAQLIGQAILQS EEQMLTLANIYEYIKEHYAFFRVTNSGWQNSIRHNLSLNKCFEKVARRTDEPGKGMKW KITDSEKEDFMKKQMLNPRKGGGAFRMDTSGPSSPAIGQFRDPRETPLQTSERLQGAI GQHDAFRRQETGGRIKSPPRSTTPPLSSVPMANESYTPDRGSRAPNQPIGLKQSPKFT SDRFVTPAKRLMYGEDESRGPSFIKGAEDAHRGLESSPSVDPVKPNVSGLRGDAANSP PTLYSDVTANNSNGGQDSSRMNPLVTPLVTRHAPRLAPPSTAQVPSQYMNFSSPAPFW KYIDLPSTPAKPLLDLSPIKLRPSNEKDGEDEVPQPSSPPLIQDEEEERSGESEEKDA EEKADEDEDAPPDSPSRTVSRPVSRRELTSQRSRSNSNVNGFDAKPGMVRGASLGSFE EEPEEEGFDLSKGFQKIGSFHRSIAQAHGVVGPRSSQSQEPVSARTSVTTNM CC84DRAFT_1077270 MASGYGLAGGPSRCFPFWQEVLACYVTNTSPESDAGKIKCQPVL EDYYECLHHKKEAARTLALQAAYRKAEANIKRDDAPSAGEIRRLGVLDAPLEEKNLKP SKWFPHREIN CC84DRAFT_1182794 MAPPGPPTIQTAFLSVKPFEPVMVFNSADEAFWFQDKVRQGRVL PDHSQKWVYLPMPEGLLRVRTAKDGDIAFDFDSSSHADKFNESIKKLGRVFQRTHDKP KWDCTVYIGKTSK CC84DRAFT_1211619 MSDRSVSPFTVNPNPNPAAAPPTPAHDLPVLPQIDDIDPDNEYL HSLRSIFAPSFDMSNPFAQSSRRRHVPFTVASVLPRTDYKEEWEPKERRSDDYLAMQI DILNFLTTHAADELHPAQAHFFTENAGTKPHAPNAKQAVELCYFMRSHSFDTYVKLDP RGEKIQLFVANRDTGEYRGHDNLYWEQDMKTGAVVPMEELARVGVRGRRNAVPHIFQN V CC84DRAFT_1159401 MTDPNFHITTPRLYLSYFQPSIPTHCDFLVTLYNTPSFIASSGA TSITTRSAAEKCLSGRFRDEHARNGYGTYLVSLRPPSTLNEPEDETTPFPERLSACKH IGTVSLMRGSSPTAYSAPDLGFAILPEENRKGYAREASAGLLAWAEKERGVYDVLGLH DPSNEGSKGVFRSLGFENRGIHTLKEFGGVQGVVWTKRGMNSELTVYGI CC84DRAFT_1225835 MRLLELITGLAAFAGVQGASVGTDHQIIKRASLTQVQNFGNNPS GIKMFIYVPQKLQAKPPVVLVLHACAWTAGNFFATTKYGQLADQHGYVLIYGQTPTDG ACWDVSSTQTLTHDGGSDSTGLANMVRYALQKYNGDASKVYVTGESSGAMMTQVMSAV YPDMFAAASEFSGEPAGCFYTGTVRGWNSQCAGGQLHKTPAEWAKQVHAMYPSYTGQY PRMQIYHGDVDTTLNIASFNESIKEWSGIFGYSGNPTKTQANNPGAKLTRYTYGDRLQ GIWGHGIGHVVPTNETEALKWFGITS CC84DRAFT_1159402 MASFYDTTIPQLRKINDAAINILTTAQTEISNGLAVTESEMLDA SFSDMLPFRMQPILLGKFECAPLTKLNLSSADLPSMDPSSFTSFSSIIDFFKALNAVL DSVSQDAWNDAADKGFEIQMGAKTLNITALKDFTEGFMVPHCWFHLNAIYMLLRSKGF KLGKGVFVGAWVSETLKKDFAPLRE CC84DRAFT_1159403 MSGSSRLDRLVTLLETGSTALIRNTAADQLADVQKQHPEDLFNL LTRVIPYLRSRSWETRVAAARALGGIIANAEKFDPNAEDEPVKDDVKSETNGHVKDEN SNGTVKKEENGAESDVLDEDLLELQTMDMGSILKFGRQLLGSGGKEYDYKLAGMDAAS RLAHQKKTLSQRLGLAGEYMEEELVTEHDLPVQTPGVRTPAPHRIDTTVQRSDSMASA SALSPPPAGTPNGDQSGLSKRQINALKRKAKKDNQKQASKVHIVDFGTAQRKSSHDVP QTPISAHPQAIKQESKEDPDAAATDDYFSLERNGVDDDQKMVKEFKGEQVPEKSSFQT EAEEQGLEWPFERVCDYLSVELFDHVWEVRHGAAMGLREILRVHGAGAGRVKGKTRSQ NDKLNQRWLNDLACRFCCVFMLDRFADYTGDNAVAPVRETTGQALGALLQHLSSENVL ATFHVLNRLISQENAPTHALWQIAQGGMMGLRYLVAVRSDLLLQDDVLMDGVLNAVIK GLGDSDDDVRAISAATLIPVANEFVEMRPDRLKELINEVWACLSSLTDDLSASTGFIM DLLAKLCSFPKVLEAMQANAQRDESQSFHELVPRLFPFLRHTITSVRAAVLRALSTFL DIQDAGKDGWIDSKALQLVFQNILLERNEGVLRLSMQLWNSLIEVLGSAQLPLHLGPI LGSLIPLSLTPIGVPRHPIQMDQSLLIKPSGQAFGPPANQQPSRRSTPPQNGERANKR RKQSRVGREETPALASTSSHNVDGPMVNGDLELVGSEVMTRSRISAAQALGKAIAIWP VDTRLQTFKSVLTPPLTSTNSTTQLTAAMIIEEFGKCLSAKDELAEAFVKSLSDIVEG ERPTAYEDLVPKLQIVRTQCTALLNVFRDHHVQNLPNIAIVVQGQPEASQYAFSVADA EKILSVSYEKLKKAMTPAARMMAIANLETTKSEVETTLREAKEIKEERDVRIKAAAAG ALISLKSPPGKPSFAIKAMMDSIKKEENVELQKRSASAVAGFIVHLVDKNKMGVVKKV VGNLVKFYCMETAETPEFPAHAHVEDGILTLKKDEDIRDHPDAAKFAEESKAARITKR GAREGLEQVVQSFGAQIFEKVPILKDLIEKPIREAFTEAALPSEMDEEDGVFGQEVVD AFSTLRALVGSLHPEVHHFVKELLPLVAKAIQSRLYVLRYVAAKCFATICSVMSVEGI TMLVENVLPSISRSDHLHARQGAIECVYHLIHVMEDSILPYVIFLITPVLGRMSDSDN DVRLLATTSFATLVKLVPLEAGIPDPPGLPESLLQGREKERKFVAQMLDAKKVEPFEI PVGIKATLRSYQQDGVNWLAFLNRYNLHGILCDDMGLGKTLQTLCMVASDHHLRAEEF AKTQDPNFRRVPSLIVCPPTLSGHWQQEIRQYAPFLSCVAYVGSPAIRGQYRDQLDKV DIVITSYDICRNDNELLKPFSWNYVVLDEGHLIKNAKSKTSQAVKNFQSNHRLILSGT PIQNNVLELWSLFDFLMPGFLGSEKVFQERFAKPIAASRFAKSSSKEQERGALAIEAL HKQVLPFLLRRLKEEVLDDLPPKILQNYYCDLSDLQRKLFDEFTKKEGKEIQAKAGSA DRDSKQHIFQALQYMRKLCNGPSMVIKPNHKQYDATQAYLKKNNTSLEDIAHAPKLGA LKDLLVDCGIGAADVEKDKGMTTNGDLPEAVSQHRALVFCQMKEMLDAVQTQVLQKCL PSVQFMRLDGSVDATKRQDIVNKFNSDPSYDVLLLTTSVGGLGLNLTGADTVIFVEHD WNPQKDIQAMDRAHRIGQKKVVNVYRIITRGTLEEKILNLQRFKIDVASTVVNQQNAG LGSMQTDQILDLFNVSADSADPSALPPPPSNDKDGVSGINENDAVDAEGNLREKGKKG FLDEIGELWDESQYEEEFDLEGFLGKMKT CC84DRAFT_1159404 MSGAQPLKILITGGARGIGRGLFRHFLRAGHSVYVLDSNTTELE HVRKTAEAWTTSSSPSVWKASLCDLRDRSNIKTAVEDVKRTFDGKLDVLINNAMATEG VGAGRPMEDDSDEIMDDWDTKIAVGLTAPYLLGRLCVPLLKAGSSAPGSPGTIINISS TRAYQAEDNHEAYSAAKAGILGLTQSMSVSLGHRHKIRVNAILPGWIHVMNERAEADG SSAAWEEGLTQEDADWHPAGRVGKVDDIAKAVEYLIGSGFVTGQEVVVDGGVGRKMVY PEE CC84DRAFT_1225862 MEVFLRNMPPDLTDHGFRNHLAPFMKTLHINDWHCLKPRKKSFG TITFLLYPDGQRFLQQHGEEAMPFTILSKPQFKARLTIMGRLVYCNLSKKQADPFLLK SLAKSAEDRCTANELPVSTEDEKIAFHSQTFSCGVCEYLNGQLVYSPEVEWPFAAGIA KFVKKAFILEYKDGNGATRIEIPYRTIENIVATSRPTALVLTLWETPRFFGTQAPDIA DLMAGLMQNINKATKRRLTGLPGTASSYQKIIGQALVYRICVSPVDFYELSNRLVKRD VLTVIRHDLAVLPSYRRRSLQTGFKLLNQTIQEMSHTVPFAVIFQLEALARNGFLPPW TVQELLLKIAARITEQLTRPVQKLLISAEAVRKLISQVPFPGIDIDASVFDIEEIWKY LEQNEQELQNGFHKELISNLTMVHKVNVTPTGITLHGPDPEAKNRILRRFPTDTEYFV RVQFSDEDGSALQFNSRVSNEDVYDRFRQVMNNGVAICGRVYGFLGYSHSSLRSHSAW FMAPFWRRERLETYLTVIPQLGRFEDITSPARCAARIGQAFSETPFAISLYYNGVQHN RIPDVMTRDGDHMFSDGVGTISRRALEAIRDAVLRGKAAATCFQIRWGGAKGMLALDE RLEGTTMNIRESMVKFESNDIENLEICDAANKPIQMVLNRQLIKILEDMRVPAAWFLK QQDATLRYVTAHISNTAGFLKRQNIADRLGFPQLIRYLESIGIDYKRDRFMRSVVETA VLRELRLMKYKARIPIEQGVTLFGIMDETGYLEEGEIFVTFDEAQFIGCHPLVLDNRR MLVTRSPALHPGDIQMATNIIPPEHHPLRALRNCIVFSQKGERDLPSCLSGGDLDGDV YSVIWDPEAVSGCPRISQPAGYERVDPVNIGRRVEKKDMTNFFIQFMATDQLGLIATR HMILADQRSEGTADPDCVILAELHSTGVDYSKTGIPVSMDKFKNIRTNRYRPHFLAPA GFTYIKDRTQIEFDVPTRPREDEVQDEDDNSGPQYLYYRSKKINGVLFDAIDERNIWY KDIKGDIKTDGAVWADFLKLMTRECKARLGGIRWAHLKDEAFSIRGDYEDAIFAITQD YSSHPTQPLTELEVFTGNIFSTSGVQTRRQRDRSLQLKDEFDRIARWTENLIRRRTTA TSAKPDDASNAPEDPMEALKMSIACLEASQLKGSRGNRFTHGRWTEAYHSFKIISACC VVRELNAADRRAEAEEWY CC84DRAFT_1051345 AAPTLAARETAVEATDRLVFRETLANFITARNAKNPSTLDWSSD GCSSSPDNPFGFDFLNSCYRHDFGYRNFKAQARFTDANKLRIDDNFKKDLYNQCAKQN FTSICEGLADVYYAAVRAFG CC84DRAFT_1159407 MAQQPTAEAAEEHELDALHETHTMPPDDGAEVAAHDEQLQRRTL RKLDFLLLPFLALLFLFNSLDKSNIGNAESAHFTTDIGLRRSDLNTAVALFFAFFVAL QPAGAALGRKYGMVAWVPTCMLLWGVSTALHTWVRARWQLYVLRIIIGCLEAGFYPVT VSYLSLFYTRFEFGRRLSLFYGQAAVGGALGGVISYLVFSHFPDIHHEHGAEGDPELN HRWQSWQVLFLLEGSLTIIVAVVGYLWLPHNAETAWFLTPEERAYASSRVIRDRIAQS DSSALPTEAADEEREFDEESRGLLASSRPRPVSAADQVTDDRGLTPHDVVSAFLNIRI WHILAINILAAIPVYAFQVFLPLVLAPLMPNSDPSDPEADPALVNLLTAPPYIFGAIT LYLFASWSDKHRSRILPILCGLAIMVLGLILVVMLPTTAGWAVPRYIALNVLLSGTFI ASPLTVAWISGNTPSPGKRALLLGINGWGNLAGVLSAMLFRPEYEESGYIVPFWWTLG CVTAAAFGYVLLWRNLKAENAQRRDMLSKWDEEEIEREKADGRGPLEQSHRIPKAATR WLRSTGRLLWAADWLEEATQGGREGEEKITFLYGL CC84DRAFT_1134252 MAFNNTEIQPMSYFRLEEHSNVILAHVFVMIITWVFLLPAVVML SIAQSWLRTPLQATFFSLNLFGVLLGVTYNRQTPNLYENEKHGLLGWVSTFLAAVWLA CGLVLSYLRLKRRIQAEDPIYSPSSDVSQSPLLSDELAGSSFEYRDIGPSDEDMDAAN SICKARDLSPRTKYSLRSSQSIKSLLVVTVAINDWLILLLGFSCVTTGAAVYGGMFQG HNIFTGLAHFIKGGIFVFCGLLTFGRWLGSFAKLGWAWNKKPHNKSTRLWLSSVPSAE FVECLLICLYGASNIWLEHLNNLGGDWSTADIQHVSITVKFFGGGLLGMLIESRIVPK VTTTPRPKSDRYELPMNPMPAIVIYLLGSIMGEHHQASTVIAMLHKRWGELFMGAAIA RIATYCILYLKPPSDDSPQRPFTEIVTSLCLVSGGIVLMISNKDTGKMLEGSSIDAII VFNIAVGTTAILMAWTAVCLAIKDAAKRRGSRVC CC84DRAFT_1254364 MNLYDSDGRWFSGGDPIGPLTHLSLTTERFWRPEGAYLLEHTVN PSAIAAGQQKLVLVLPVDKSSQNLCKVVASAIAVGYPPPVLVNWNNDFETDTKGIGPS QLGKITGYLNFLEWATSDFAPPEQRLNEEDLVFGIDAHDIWLQLPPSVLIQRYYSINQ RANKRIAEQYGFFDKDLMQQTIVVSSQKACVAPRDEISDLHCGDVPGSTLPDSVYGFF TDYNFWFSRSVYVRPKILNSGSFMGPAGDMRRYFQRVKERMEEFLDVVETSGRPQAKV LGGDQGIFAEIFGEQEVWRDTVKKEDFAEDSPNRETAIEKRQLLEYHVGLDYTQEISF QTCYNEWLGYFVPLKASDQIYEESQKAGVAPPRIQTLPADILQAEPPLAKLANNELRT KTWAEMALYMDFWTTAVPIAIHYNTRRKGLKGRLQTWWDATWWFPFLRELLQARMQSS AARETLMILEAADGPLEVIPYLPLDEPKPAMLFVRNTETGNWALTPAADWDAICREAD PRREAEKSWYDEVFRDGKGILL CC84DRAFT_1134257 MSRKTEKFTQSIGLNTVPGDIHHLILSELKDSPSSALRNVSQSS KTLQDAGFSFMYRNLILCKPLKGGKELLAYESLLDRFRGATAGDIARHVRSITVKNHI PQEDLILIVEKIAEFGTLREVNWNTCAHIPEEVLIKLVSAWPDLEISATVIDRHETQV EAHRSMDFKLLSSPLLTRLTYIVYDHGSSEGDPSRSEWPQLSQALAGTSNLRYLSIQS EPDQRGRFGKIVEDTVQESIPRLDLSFGANFSRLEYLSIRTLGYTSYAWDEEYCRTLR DTTHLSRLRSLDFGLEDPGAFFTIFSGHLPKLESLRFGVTRASSLELARDFMDSLNPL TSLHIDNAKRGLDVLWPVIYKHRETLRTLVLGPSWGPYCSAEYTDDSLLETVPVTFPK LERLGWSIPFNAINDEGTLPVLSKMNLRKLDLYMDLPSQASEYSEALQGDAMSGSNNP PLKRSQSIAAATRIADKISQKQKDPLEWLTVHISRSFYMDRFQPYNGYTAFQLRRQER PSAKEEKYCVRGNMNWRWNKSPFLSEELLFEEVN CC84DRAFT_1170826 MVAYDLLRGTALAFITSLSLMPNTTTLDELRFDMAKIRAARAPN YTHTFGHNYFVSQTPPMKGTSDLEGFMMRVASMTLKLESETNEVKALAVDEVQGSVVA RVSYQMKAPGQEPVENDLVWWLWMDAQGTKVERSMEFVDPVATQELQKRMTASNSTDA KC CC84DRAFT_1107987 MTNKTSTGVIPNPSVLNGQQEVVQQNSPETGALGLFDDLDEYPD GGREAWTVVFGAWCAMIPSMGLLNTVGVLQAWVWTHQLRDYTEFDIGWIFGVYAFFLY FTGAQVGPIFDAHDVRLLMIPGSVGIVAAIMLLSVCEEYYQFILTFGVLGGLSASCQF TPAIATIGHWFTKRRALATGIACTAGGIGGIVFPLIILYAAPIIGYGWAIRIVGFICL IAGALASWLLRKRLPHNKKAGASIDLKALKDTNYALTTLAVWLVEFAVFVPYTYISSY AIYQGVEPQHAFRLSALLNAGAIPGRALPGYVADRFGYFNVMCITSFTCATFIFALWL TSGASEAAITAFAVIFGFWSGAAISLTPVCIGQVCKTEDYGKRSGTTFSISSLAVLVS IPIAGAIINASGGAFTGLIMFAGASYMAAFLAFLSTRIVAAGWGWRTKF CC84DRAFT_1107989 MTTQNFSKHYPAEDEAQMKKARKTAHPTKYRGSLQPGTVLILLA GRFRGKRVVLLRQLSQGVLLITGPFKSNGVPLRRVNHRYVIATSTTVDITGADNEVLD RVSEDEYWAREKKEGKGEDEFFQNGEAPSKKETDPQRIEDQKKVDKAIMSNIRKVPDL ESYLAATFSLRGDEKPHEMVF CC84DRAFT_1201128 MGSHHSREVARFWYDYTTKESLWTVTDPSGFVVVAIATVWLSLA VKYIKMILGNLASKTLLHWGTGRRNADPEQRYLLGPGQTSSGSTSPLASILKETSGIR DLSEQVLLNKRRLSCKERLTLAVFTLVVAGFGTGMIVGGIRAAGIRSVGPVLLHSDVC GLWLFDGETRSDLATRAALNDLAKEERAAQYADNCYRQQFGTDKRRCTFYYRDRLQYG SADFSRPCPFKGNMCSNNITVTFESPIIDASQLGINSQTTHKFRRITQCAPLRMDQRF IQASTENGTTTYRYFYGEKPGADPPVNYTFLTVGDPWDRLAPVYDIFESSDDPIFPAD QAVPVTGDSKRWFRNSDPRARPLACLNQIEICTPDERLCLPFRKPDDDTNWEWTPEFT LLYTSLFQTDIFDSIKMRQGRALKAQEAVAGYFSTSLGHEPWANEVENLVAIAHARSQ INAWSVASGEDSIHETERYKLVNGTQNTCGLFKYRPQGYATLKGVPLLLVLFLTVVLW VLSLESEVFGPGGAFRKFFISVWQFITRSHDSATISPSSSATQETDTRNRAENSPTNN QLPVVQPGDRQRPPQSTTSSESRTPSHTDTSNVRVPSPTPPSDAATPSAASMPSSNTQ ESVEAAQLTSTAPVRDSNIGVREDIPNDTDEAEVEWKPLVYYYLFWEGPRSLLRKAPM NPAGRRESNPGPTNYGTIQA CC84DRAFT_1134264 MIQHTSCSSPTMSAPKLKEVNVSLPRKFDTPDEPWHRYVTVDLL HYVLGYTVFHPFVSCVLVLCLRAQYTAWHALEMRIAIAWALLMCSVGIFNIFSDRLAY GAPREVDLSEEVIVVTGGVEGLGGLLAETYGMRNANVAVLDMKKVDDEEAEEKGVLYY QCDVGDAKQVEAAVAEIVEDLGAPTILINNAGMVRAKSILDSTPEDVEQTFRTNTLSH FNTLRAVLPHMLRERRGTIVTVSSVLGRLGAANLSSYSASKAALLALHQSLRAELSQN PDAKEIKTILVTPGQMGTKMFQNLKTPSNFFGPVVSPAEVAKSIIGLVEKGESGEVAL PLYAKYTESLGALPVGIQALLRRWSAMDTAVEKAGMVEKKQN CC84DRAFT_1107994 MARNRSPSPAMALDHAGSPQPAVSKRDKRRNMLADKLSEMMASF SDNRDSHYRAQLAALQADINLILKADPYTNKPLEDAGEEASELITSIMGNTALTAPSA GTDYVAQCGKYYGRFVDSVNDAIEDRDRDLTMLFNKHQNTKNEIENAHFYKVQIAEEE HKLLAATIRERLMATIQTRTMRLKREKEQLDLSDSNAMLLHPNQFSIGHPASPGGPQA PRKTRRTGHKFGDAEDIAQENKRKRKLFEADDNDSPGPSGRNVEFGAASPFREAKART MHTQFEASAYSLERLFTEKELNMAMNQATTAASNFFAKMKTPDNTEPTTNGTNGATTN GDRTLDTGDDAPDEQDSDDIPGAVDMGRQVSSNPHATRGATRSHPNFNLATGTIPTLY TPPFILDAKIFQKPNASAPAPPPLAPADVEQDLKLMLRDARPDDELNEKLLQAAVHPV RAREYAIQPPEYREPANETTSLVRTLVPHLEVGNGPVLGGVPMSAQSSMGGYSDVGGN TPMGRYGEGSLAAALGGVSMARTASGSGRRGGRRGG CC84DRAFT_1170833 MRLQTLCSALAALTTLTLAAEQVIIKNHLAYPVWYSQVDQAGYR SETTAIAPYGTVSLPQSDNPGVAIKISPLETDIDIEGKGVLTLAYTRSPPGWIYYDLF FHNYSPFPGVPLKLGGPGGDNDWHDGNEHPPHTIGYQGYGHLYLDIGP CC84DRAFT_1254373 MSAAVRTSMKMASRPQQRLASIQRQFSSSARQKKEVRDAYILSA SRTPTGVFNGAFTTVSATQLGATAIRSALEKSKVPVSSIDAVYMGNVLSAGVGQAPAR QAAIFAGLPTNIEATTVNKVCASGLKAVNLAAQSIELGQAEAQVAGGFENMTRVPYYL NRASQQPPFGHQQLQDGLIGDGLWDVYNQIHMGNCAENTVKKYGISREEQDEFAILTY KRAQAAWKDGLFKDEVAPVTVKSKKGEVVVSEDEGYNKLKLEKVPTLKPAFVRDGSGS VTAANSSAFSDGGSALVLGSKDIAQQYGKDSRVLAKIITYADAAMDPIDFPIAPAAVV DKLLQQSGLSKSDIAVWEFNEAFAAVIKANAKILGLGVDNVNPRGGAIALGHALGSSG SRILVTLLHQLEVGQYGAAAICNGGGAATGIIVQRVSPDQL CC84DRAFT_64935 MKVIDVGQIRTDDPKGTRFQVLRDNHSATTPSLCYRTLSSSANT YIHAPRLRDDSVCGCQSGVEGWKRRGGTRRVGAVLGGSVHA CC84DRAFT_1170835 MDAYAISRSDVFDVRALLAAKRLPNELVLAILDHARYWVEVRHE KAVLDALVHDEHSLEFSAAYPYMVIPTTTSTHGPSSEVSKLREIEIILVSHDQGWSTT GTRHTYQQSSSFWEASILRPIANDDVNHVPRLMTKFEEDRSRNGNYRSVESAAQAITP ENCTICYVDLTRTWNRSDFTVAR CC84DRAFT_1159416 MNSSRPFTRTANNIFRPAFSYLNNVARDKPTKPDAYAARRKNSA SSLDDTDHSDIEQYAQMDASRLSHSSKNSLSELQPAVPLIDISSRSNSPYPRSRSAVQ SEDEDDDFEPADSIRPLVNPNVGRGSLRRGLWSEGGLGGFFYGTWMGWQVYVALLVFW VGGCGFGLLLMNRFIMLTGVYKFPFPLTGTYVQLVLTHLLLIGFSSLTRGLGSPLRRL GLGAAVAPAFPLAPAGASFRNPPKPQGTLLSITKWLTNGSGGIAGGGLFEFDWQVAKQ VLPLAVVFCFKVLLSNVSFAYAPLPVYQLARIPVVPFALIFSAVIQKENHSGSTLSSA LIATLFLSFASYRSHQRVTPDSIVAGVFSSFFVALYPIMLLRTYRTLVSNLVPQGDVL TGYPSSADDSSSNREETRAYYRVLHYTSLLSITLLTPIVLFSGGIPHIIRNIPFLDVP FFWLMIWCGALGSFAVFVSTLLLIKATSPLTATFVAVPRSAFQLVMLSLFKMPSHSWT GVVLCWISCLWFLVARRDEGRTLDRLRLEGR CC84DRAFT_1254376 MAKGPDVVIVARHGARLDAADKQWHLTSPTPYDPPLTYGGWSQG KALGLRIASLLHARELEKAKDTSNGPEPGTACVSSGASKSANGASSGTMKRKHKIIIH SSPFLRCVQTSTAIAAGISQFRPPKDEPPPSAPTLKVPHHSKEKSPLGKDPSTSPAVQ TVSGRRAEKSEPDSPPKPRKSGWLRLNNPAVNTKPYIGPEKILLRIDAFLGEWLSPDY YEDITPPPNSTMMVAGAKADLLRRSDYVEAPPNKPTSVGHFPGGWVRSNVASTASNRT NPGEGTFPSMGSLARALPRERSNSQGAMLSRQRSRSEDPHAPAELTVTHEPNGRLYDA PVPSYAVSPAEPIPRGYATHARDACLKVDFQWDSMREPQQWGDGGEFGDEWSTMHKRF RRGLAGMMQWYREHGTKTLPPQNMFPGFTFSERTTSAPQKAEPTPLMLKQNEKEKGEE GDDDEELVLVLVTHGAGCNALIGAITNQPVLMDISLAALSMAVRREVPRTTSSNNIYA RRSSVVDPGMADTYEMKLLASIDHLRPGVDPSKPPRSQTPTAAASPTIGLDYRPPRRT GTGTSGTSTSTSQLDSLALGPSARSGWNSSLGSIRRTSTSGSGSFMTSNTPSGSVSPS TPSGSGLWGGSLRSISLNDTQADGRASPGAEMVEAFQRSQPRQTPRPPPPTSTPNVDG AASPEAAAENAHEEIEKHDDVAPLSIPKRTPSAASKPSGAAAGGLWGAPKKQESGNGL WKNSSVMWGPPKLDEVYEHIAGPKRRWTMTERE CC84DRAFT_1079210 MEEPKDTKAAQAPSAQETSSSLTPETTVIEEVPPPKKTFMQRVL EPGSVWQIIFAALLAIAIGLIVTTQVDEVPQAAIALLAIPGTLWLRALRAVVLPMIVT AMILAIKRLRNLSQGGGKAAQLALWTIGYYIITTIIAVAHSCLLVGLVWSKLMVKVSD QQLGIDDKDKETFEERADLEIHEVVVDMFNSLIPQNIVDALATDSLLAVLVTAIVLGY VIKPNGSLMRAVEEVEQIIIRVITILIYFAPMGVFFLIMPNLFKLDLAEIGLNLGILI GGTLVGMFLHLFIIIPIIFFAFTRKNPYALWLRCSPAWITAWGTASSAATLPVTLRCV LAQGVPVIITKFAVPLGCLINMDGTAIYFPIVVVFLAATQGITLNAIDYIIVVLLSTM ASIGTTPIPSSSLVLTVMIAGSIGVEVTGMYAVVVAIDWFLDRFRTAVNVSCDTFAAV IVTKLTGIKDDEDDLRNASLDINSDDMGHTNMRADDRV CC84DRAFT_1108007 MSDTDSDTASSVGEILEDVSEADTTTFKCLFCDEQWSRVPDMFS HCHKEHDFDVENTIKKLGQDIDELSIIKLINYLRLEAQKGIAPKEIKVDSETLADDKY LHPTLPDDALLFELGDFMPDADSKAIDYDEYEAALQKNVGGNVEKLSLDNDRDTDYFE SYKGNAIHREMIEDRVRTEGYRDFIEKNAELFKGKTVLDVGCGTGILSLFCARAGAKR VLAVDNSDIANRARDNIARNGFSDKIEVIQGRIEDFHMQRKIGKEKVDIIISEWMGYG LLFEGMLDSVLRARDLYLKEDGLMVPSHCTMRIAPISDKEWIADASGEKFWKDVYGFD FTSMIPGGILNDREIGVFDVPSKSIGGEASTFYELDLKNIQIRDLDFTASFSSKLGSS SASIDAFAIWFDTFFLPGEKSQDATAIDVAKWGNNGEAGLGFSTGPYSTYTHWHQAVL LLGDEDRKKEVKGGSTLKGKVTYKKPRKDDRGIDVTVEWEAESTQGPVSGSSKRTMGA CC84DRAFT_1254379 MRDILPGRPQAKLQAVTHGLWENQQVIAYISGNALIILDAPNHV LQTTYIDEESELEAVALDENTGKLAACSTRNIYIYQPYGQDEGAVKWSLQGTMSLPDA DDSITTLSWGMPDELLAGSASLTLFSTRGTPEQTWTKQLANPVKFAHFSADAEMIAST GRYDRLLKVWRRISFGSADQRFDYFYLPHPNAITGIHWRKPFHKDQTADNVLYTICAD YKVRIWTSDHHGIDGLGLWNEVDLMGSIQPRSLDQQSDKRYAFFIDGRDFTHATERAV EQATTVEKDQMALHHLVEVANRNPEICVVLDDRGNMSAWGFENVGSKVKKVTDVFNVA HMEGLHLHFASGASGLQDNVQFYAFCHNKPDSVFTVLSHHFDGRLEWLQSRIDHFFDP SPSQKNRIERKATWTGHSHAIKKVNRTASGRALVSRTVTDECVVWVQRPARIGMTLHR HSTVSVKEHIHRTALLQDGNFLVFLHHDHIALWDTRGPMAVEINRLEYKLRGKPLCLI VVPETQLGSHLVHIATISSEMKSICWEVRLPMPDKELTTGRTSSYINGHTNGHPEAGI EEYSTFDLGSGDDLAFVLPVDPAGSAPVISGFLDTFARDIAISYTKSGVIRSWTARVN KGQHQLEWLETSTVDTSVENPSLASGTSIRKAALVDAEQTTLTIWNTRSAQLEYQETF EGNGSIQDLDWSSTPDNQSILAVGFPHRVVIYAQLRYDYLNAGPSWVQIRDVRIRDIT PHPIGDSVWLGSGNLVIGAGNQLFIQDEHVQVSEGLFPSLRMISRKKASIDIFTAVSR LNGPLPVYHPQLLSQCVLAGKLLLVQRILIRLFQKLKFWTEGEELESSLGCSPEEFSE DAETQMTTSRRDINSSYADFSDDGEPQAVTEEVAVQLNELVQTKQIPLLSSREQFLLA DIIECVGMVEKHRRSIDDNAGRFLLFFRARALSDAQAPISWREIIWAFHSQSQDILLD LVSRHFNGKMLWPHAKESGVFMWMSDLTALRAQFEVVARNEYTKTDEKNPVDCALYYL ALKKKPVLLGLWRMATWSREQPATHRLLSNNFNEERWKTAALKNAYALMGKRRFEYAA SFFLLADHLQDAMNVLHNQLGDTQLAIAVGRVYGGDDSPVLLKFLREKILPQAARDGN RWLATWAFWLLNRRDNAVRCLVTPLSTLLSPPETPNLQSKSYLTDDPALVVLYKQLRE KSLQTLRGASMVGMREEWDFVLHTAGLYERMGCDLLALDLVKTWEFLTPPPASLKVAN RPPLSPALPRTSFHARNANQSSADFDFDPRKLLRRRSSLVVADLPTREHVANALTESK GTIDEVGDESEEDEEELGGGKKEGGKEDGRDKAGREGRRETKKPPPPTQFQEPEASSL LDAFGF CC84DRAFT_1201140 MALLETPLYSQLVRAFRDILPIGRPAAVAGVSDGPVLTSTDGST IPPLAPTDLPYLPRDIRPTILEAKLHPRTREIVTECHAFFLEHWPFPSDKHRKRFCDE GYAWFNCILCPDGLDDRMVGVCKFLTTGFLIDDMLDRMSVEDGKAHNAVVIACSRGER LPDRKKPAQWIMYDLFEEFREIDKELADMLLGYTIDFFEAQTDADRSKPKSLKDYFEY RHADLGKGFMSGVMCFSMGLHMKPDELALVQPLEMNVMRHVTLVNDIASYEKEVLAAG KGFALGQLCSAVPIVMTACGVNELSAMRIMWEMSRELEKQHFVLLEEAMKQCNSESMR LYAKGLEFQAAGNERWNLLTPRYNRSGGLPFAEGRIWG CC84DRAFT_1108012 MDISQNTSVPWHGGTWQEGHSGGSLLLRAILALLVASSIGQVIY NLYLHPLKDIPGPLLARCSLLWRFRYCMGGYWHLHIQKAHKHYGDVIRVSPNELSFAS AKAWKSVYGVQKGAPITKNEFWDMIGLGFDEGSIGSERDYHLAAQKRDLFADAMSNRN VAQQEPILQAFVSLFLDKMGKLGNTEQGLDMGQWFLYFGFDVGTKMAFGESFECLVRE TAHEWLTLVLPLFFFINIADNFRRIPLVVPLLKLIPMGWLRGVRGKIVKYSKDQTAKR LLRSGPQNDFFDNCVEKVQQGDVTEEEMASHLFTFSVAAGETQATSMTGILYNLLANP EKRDELQREVRGAYKNTHDMDVATLLKLPYLQAVLKEGMRIHPAVPQGLPRASPGTMI EGVYVPQGTEVYVSAWSTSHDERYFHDPDTFKPERWIDPACQDVKEASQPFGLGPRVC PGKRLAYAQMSIQLAKMIYTYDMELLDSSRDWNSGCKTHYFWWMPPLNVRFRPRASLG A CC84DRAFT_1201142 MDDSLLESDFDDGASSDFAPPAKPAKAIKAKPAAPKKAAAPAKA RGRPPKDPSAPPKAKAPAKAKAAPKKKRKDDSDDENSDIDMHDDVVDDDDDSLLADTP PKQKKAPAAKKSSGKPLASIANESFDMMDGIDDSAPSGKAKAGGASSKYQMLTHLEHI MKRPDTYIGSVERTSDKMWVYNSSTEAMEFREVSYVPGLYKIFDEILVNAADNKQNDK NMSEIRVTLDRETGEISVKNDGKGIPIEIHKEHGIYVPEMIFGHLLTSSNYDDNQAKV TGGRNGYGAKLCNVFSKEFTLETVDSKQKKKYRQTWTENMSKMGKAKITDIKTDDYTK VSYKADYEKFGMSGIDDDFEALVKRRTYDMAGTLRGIKVYLNGDRVKVASFSKYMEMY TKAIRLEQGKSEEDKDIIITDRHDRWDIGFAVSDGAFNQVSFVNSIATTSGGTHVNYI ADQIVEKLLAIVNKKNKGGVKLKPAQIKNHIFLFVNCSIVNPAFTSQTKEQLTTKASQ FGSKPVLSDKFMKAIEKTEVIQNIMHFAAQKADQLLKKTDGNKRSRMSNAKLTDANKA GTKDGYRCTLILTEGDSAALLALAGRAVVDPDYFGVFPLRGKLLNVRDASIDQISKNQ EIQNIKKFIGLQHKKEYTDTRGLRYGHIMIMTDQDHDGSHIKGLLINFLQCQFPSLLK IPSFLMEFITPIVKVWKGDPKHPRQLKSFFSMPEYEEWKEQHRNEKGWDHKYYKGLGT SGPDDAQIYFRDLDTHMKAFHTMRAQEEELIELAFSKKKADARKEWLRDFVPGNHLDL TTKEITYDDFVNKELILFSMADNLRSIPSIIDGFKPGQRKVLYTCFRRNLKKDVKVVD LAGSVSGTTDYAYGEASMQGTIVGLAQNFVGSNNINCLEPSGNFGSRLQGGKDAASAR YIYTRLSPFARRIFHPQDDALLKYGESDGNKIEPEMYVPILPMVLVNGSDGIGTGWSS TIPNYNPLEIVENLRIRMRDGASKEDMQPMAPWFRGFTGQTEELPNDRYKFTGIIRET GENELEITELPVRYWSQDFKEKLEDIIKAEKVPSIIKDYVDYNTPDKVHFIIKMEDKH MQAARAKGFEDTFKLSSTMATTNLVAFDSQGRIHKYATVLDIMEEFYHIRLKYYEKRK AHQLEQLHKELAKMTNQARFIQMIIDGKLVVSKKKKAVLVDELRKLGFTPFPKVADAK TAGEQEEAQEDDEESDRDAGGAGDYDYLLGMAIWSLTQERVEKLQRQIGDKELEIDAL QKLTPRDLWTRDLDDFVEEWNLQLDDEAKRKKKIAGMSRRVSNKLGGVGAAKGGKRKK KRAGSDSDSDEFSDYGPAKKKAKPKTGGLLSYLKKEPDEPKQPEVPKPAAKPVATKQS GMLSYLKKSPPVEEADAMDLDHPAPAASATTAAAASKRGRPVSTKNAKKPTPVPVDSE SDSDVFAAVAKEVTKKKPAETTITKGRIARGAAKKATSYAVDKDSDSDGLSDDDFDVS MMVKTIGASGNDRPLFSAPAARASSATGTGSKPNGRATAQKPAVFIDDDDMDETNYEA LAQGSPEKRNMDAGMDSDISDDDLLLSVKKKAPIKAIPKAKAAPKPKAAAASTVVAKK TTQLSPAAKAYAARLGKTKDLASKASKKPITIDSDDDEDELMDDDADKLANDILSDDE DDVPVAKSMRAAARPGRRAAAKPAKYVVSDDEDEDDEPSEASFDDDSE CC84DRAFT_1225918 MKIHSLLNPCRGDEGNGHRSSTSPTPAPTPRPVAPYASVPKRQK IPKDAPVFSEGTKVVGHVNYPPYEGDEDGTLLLQHRRFQLFPMREIKKKGVRHIPYNS DKKDFLYKTGREAFEMFQYIYKVPGEDKEYVVVWDYNVGLVRMTPFFKSLKHSKTVPA KALRENAGLKDISYSITGGALVCQGYWMPYQAAKAIAATFCWHIRWVLTPVFGYDFPE TCLQPDDPGYGKFLIAPEIVQGCADETNRFRQEGYDYQISDPLGVATLQTPQLPTLNT PWEPMSHRPRKSEESGYYTGGDQNERSTISPQVSPRGSAWISAWTSINGSKSPSSSPI VHSPTFDRVASELPSLHQHLREHGVPLHRQPTSVQRGHYHEHLSAKRPHSEINVYDCR VKNSNPPHNLTSRPEVIGSTAVSDIRNRDRTKKELEAAKIILQLSAADQDLPDTKRTR RGSQY CC84DRAFT_1159423 MAVLNGDAPKITLYTNHGCPYAHRAHIVVKELGLQYDEVIIDLA KPREPWYLEVNPRGLVPSINFNGEIITESAIVSQFLADAYPSHLLPPSDSVDNALKRA RINFFTDTWTTKAGSFWFKIALEDSEEEKEKLTQELIGVVNKEIEPLLKDAAPFFGGS SKLTFAEAIVAPFILRLYAFAKHGLLPKSVTDGLDRLPNFSKWAAEVIKHDSVTYIWD EEKTLEGSKKKFASLKAQAKKA CC84DRAFT_1201144 MREAEVATARTRTLYGQPALAVHTRAHSKRYYIPSNLIGHLRIP RRIPHFRSSRRTPHTTAMDKKGGAYGGAAGGGDTDFRKTYDREKYAVLAKEREQRERD EGKARYEAKQEGRSYRRRASTPEDLRMAEVRTSRVDVASLVGKTMLVPAGSGVGKRGK SAGFYCEACDITLRDSPSWIEHLNSKQHLSNTGQSMDVKRATLEEVRERLRYLSRKRR EAMQKEELDLDKRLDQRREAEDKEREAKRQKRNEKRRAKKDDWDGF CC84DRAFT_1080602 EMDAAPNCAICNAPAYPECPCESERLQIAVKQAENRAMEEKLTE IRDWVISHARQHILNQFERLTSTRKAQHSAYLATLPNYTIYMQYSGHPPIHPIYIENL QRQIAEAHAELKRGIDADWRASVLRYPDVLDYFYGLVQLKLPDERSPRVVEPPFAAAG YADRGFAGQIAKEKKKKRRDSSLAPVERGRHRDEPPMHAVLGRVRGPPAAPTPPIGGG YPRPPPMYPGY CC84DRAFT_1225924 MMDGNAAIHLDVDIPLRTMSNTKHGEESTSPIRDSSSPILNDAT DNYDTFQDGIEEDDYSAATTLLGDGKSSALALPNSEKRFWFQRSHGLYNPEQIATQPS VFDDPETLQEYRPPPTWENAHRFDPSARWTWGEEHSLVRKIDLRIMTFTAIMFMALEL DRSNLSQALADNILEDLQMTTNDYNLGNTVFKLAFLCAELPSQLVAKWVGPDIWVPTQ MVVWSLVGSLQFYLKGRTSFLWCRALLGMLQGGFIPEVILYLSYFYKHHELSLRLGFF WTASALADVLGGFLAFGILHLRDVDNQAGWRWLFLIEGLLTLVVGLLAFLLMPSSPTS TSGWLRGRKGWFTKREEVIMVNRILREDPSKSSMHNREALTPKLLWQSMKDYDLWPIY ILGLTFQTPMTPTNNYLTLILRDIGFDTFKTNLLIVPSKVLHVVTMLGLTYAAEIFRE LTLTSLIGQLWALPFIIILNVYDLTEINKWLAWTVMTLLLCYPNAHPIQVGWNSRNAN NVRARTVSAAIYNMSVQTSGIIAANIYREDDAPKYRRGNRVVLILCIVNIFLYLATKA WYVWRNRQRQRVWHGMSEAEKLRYLRGTKDDGNKRLEFRFDH CC84DRAFT_1159426 MTTPNAQSDMAQFFDFGEASSIFQELGSPLPSRPASRVSKHRVG CPAYGQEDDEGCLCASFNQDVSLPDALHDDIVPEEEFNTDFSSWLPRYQKAPHPCDYC RLKSLECFMYDVGKGKSSGCSPCNALFRPCSFTNPEKMPMQMKRTALDTLHSVAEVSE RTFGGFTGKKPMRSLGHQGPIEEGEADGQGPKKGAAAARFPRAATKILKDWMIAHIDH PYPTEEEKEALGQQTGLSLGQISNWMANTRRRHKARPKRTSSPSLRPVTEPVNVPPGR TWESLNPFERWKHSPPENEPAPLLAIARNVETFDFPNDGDRSNASSYRKENSNDSTGS FSVFRAPSITSLDTVPTILSSGSIGSSTSATYSQSSRHSLGSFNSLKSKERRRRRRMP TRTPKHDAESDTRLFQCTFCTDRFKNKYDWTRHEKTLHLSLEKWICAPLGDVITCSSS GQRKCVFCDEINPSDAHLESHNHRACEEKGMEARTFYRKDHLRQHLRLMHGCKMTPSM EAWKAEAQVINSRCGFCAKTFEKWQDRCDHLAKEFRNGATMKNWKGCRGFDPHVAQYV TNAMPPYLIANESKSPFPFSASNSSSMKHPSMDLGQTDLEVLLPNGNDISPKTSSFTV NNSSRSGTTQQPSPYTTSPKSPHPYATCWEILTLRLGQFARESMERNGPGSVTDAMLQ SEARRILYDTDDAWEQTAADNPEWLNLFRKAHGMDLTQPKAIAGHYSSHEVLEDLGLT PNAQLDQSFDLNNFHCITKRFSDPVARARAFECTLAGSMAISKAGEMSVPITHMPGLT TSATTSGASNLPIPSFPDFGNSNVATDDLEGTGAGGFCIGSDGEFHATSAPLSKSYAA FMTPIKEMSCNAAGEAIEPSYGFPAFTTAEFDFDMATTTAGLGANLTATSGFGDFDVS AGTTMDNSQMLPWDDSDLTFNMDMDMDMDLGMPATSGA CC84DRAFT_65289 MMEERFVLGLYCLINTASSIIVVEAMEHHSLIHSQFQSLKLLGE IANDGFASVNEVSLRTVLAWDLSSRFRPRPQTASTTEIQAPVSYGVSASGQNRLQMRI LLRHAGSMNHSCIETTISCRSMRFIPRSGGMNPLALLLFENITNKGSMEVLPIGPTPL RTHAVLWRLTYKSILRRVCNSEKVLCPGLKLRNKRLDGASVPKDLL CC84DRAFT_1201147 MDDKLQYSTDTLLPGREADIEGQLHFSLNNAAAWGRAEDSIQLG HMKEEDGWPAYLSMPSNGPLPAPAGLDAGREVLHPEALAPPHKRSAISGLDTDDRTDT RSENATNCRIAPDINGELQEDTPLPSTEQANVELRDAKQVLAERAAERERRKRERATQ VLPPRKRARKALKASSSVDLDSVEQHHMPTEILSTNSLAAPWPALSPSHATNTSEPLL SLDELRVISNLMAAQSMSVDLTTTYTSARLNSMTESIWTALCKDALSEFSLKVHGAQL WTHIGEEVIAWPEEWLRVLASMEVLPTWWWVREGLVDLVVLEGAKVDDGEMDMVWNEH AERVAQRKGSAERG CC84DRAFT_1211656 MAPRPKRNSRKEEPKEEPFIHDGEEEEDGDADLEADGPPSIDPY AVLGLERDATADDVKKAYRKMALKHHPDKAPADQKEAANKAFQEIAFAYAVLSDDRRR NRYDVTGSTAETLEDDGEFDWLKFYREQFEDVVNQENIDKISSSYKDSAEERRDLLNV YTKYKGRLDAIYENVLLSDILDDDDRFRRILDEEIAKGTIESYEAYERENTHAARNKA KAAEKKRRDDFDKKEAKKHAKEEAAAKTAGKPKPKSKKKDGGGMGDLAALIAQRQKSR HGNFFDHLEAKYAPKGREGKRTSPMDEPPEEMFEAMAARKKQKTSTRSNKAKADADAD LAEEDLGGSEDEEEEEAPRKSRKAAKPRAKRGKAAA CC84DRAFT_1182828 MQEALQEARQSPPKPSNFCVGALLVDMDTGEVLSRGYTLEVEGN THAEQCCLIKLAQAYRLPEERVGEVLPPSTAIYTTMEPCNMRLSGSLPCVDRIIRTKT TDGKTAIKKVYLGVKEPEKFVGENQGRTKLEEHGIECIHVPGLEEEILKVATAGHEK CC84DRAFT_1182829 MPLLQSLLHATAFRTPLLRTLVPSVALAYGIQTAVAVPSIAAQT ERFYDLSGSLTYLSCTALSFFMPYLRAKSTGAFAGSLSEYLSAPAPGQGLWWWRHAML SAAVGIWATRLGSFLFKRITEDQGTDSRFDNIRTSPAKFYGAFFAQATWVSLCTLPVV LVNSLPRSAFALSRLANVTSHVPPVVSAKPYPVELLGLAVFVFGLTFEVIADRQKSQW SKEKKEKKHSEEFLTRGLWSKSRHPNYFGEITLWSGLAIAASGLLLRQPAQAGLGLSG ASGKMLVAGMCAASPAFVAFLLLKVSGIPLSENKYDKKYGDRKDYQKWKKETPMLIPR F CC84DRAFT_1159429 MAHQAHAIPWHTLTSNLVYLHRTPCNQGTTNLYLRKTKDPNQVK QIRHFVRGFARALSAYATIERTKYTPDPTPPDDLDEILISDAAVRKMAKTVLKYKTDD NWSFRGPSENTFTPPPSLPLDSILTPYERSAKSFVWNTMPGEGDMFPELRETCEQTKA LLMYGEMDTLFRLAAHADVWFSRMWCEEGWANSHGFGLSKLLDSTLQSYIVLNVISLR PELYDRASRSAYIAKEKAARRTTYAEADYDYRLTAAYQGMLLSCTGVPYGFCSDAHTF PHREFFGVKRGMYRHNYSDTPFERWQKTHLGTQRVEELVCSAFKGVHVPGKGDVAAVI NMLGSKGLPVELALQILATAEYEPVGRLPIRDDPFHAANAEELKKYISYCWKLLVRLE MLYKESVHGLTLDWEAEVSHALYRLFNMGGPSLFTNVFPEDHYYFSSVRPRILLAT CC84DRAFT_1254391 MDARGRNYSNGTGSTGRPSNESRRPPGAPGGPPPSERSSARAGA AMSRAERFEDERRRITASCFSKIDSEDGQLLESYITHIRIQEDAAYPQSPAPPDSDPK NKKQRVIVISVHKTKLVRIHKGRENANGSFSIGKSWEMYELSAVENYVHSNPQTPEGK QHKLWAKDTGFLVTIVKPYYWEAHTSKEKEFFIGSMVKVYTKFTDRFDRKQGKFPVLT GFSDTELDTLTEHRPYAATEEGRAAHDAWMAPYTNRDNEKPPPEDPGPYARWERARQP QARPGPPPRPQNVPLPGDARRMIPPQDREMNRNPSEERDPRRMPPLGERDMRRPPPPG DRRPPPPRGPPRPPTADGEPRQRRPGPPPISDRADPAIFSASPGDGRMLPVSPRFAPP GMGTPPPPGLQAGRGRRPSIDQNMRGRPSRENMALRPSASRERLQPTPGSIPPVPIPS PARLDPQTSRSELRPKTPDTTASASSIPPSLSPGRPSFADDSRSQRSGKSMERPSFDG TGSRPSPVEDLRPGANGYPPPPVRRDPSPRGLRPGTAQSTASSFQSRNEDSAAEEVGQ RTAPPERRRPPMERPAQLSKASYESGASGPNTEFHTPAQSPAPTERVPLEPPPRRRPQ EIPERPRPSPAEAPPATFGAPLQALLSNRLHSEPSPAQTLPPAQPPPPPTSPLPQIPK ALEQKEQSPVAESRVQPSPVDDIPIPEPLKNGAQAIEKEPEVAPEVKPISPTAEEAKE PTSDSTAKPVESKLGKVGTANAFRKIAKAAGAFNAGGFVPRAGGAAAKRLQPDEKKSD EPDGISAVFVPQRNVPKEEPKPKEEDAKPKAEADRSSKDRLSIQTEVVPEVKISAPLS PTPVTLKDSGPTLPERAASPSAEDEQPASKPQTEPEVRRKRRRSNQQMTNISRLGIDP NILDERGLEFETLLSEFGWGSSELSAKNIESLESDIKREIARVEAGSWLNHLEQKDDR VEAVEKLLDRAIAECDELEGLLTLYNVELSSLNDDIAFIEAQSQGLQVQTANQRLLQN ELKQLVDTISITEDQLTPLRREPIGKVNGLRAIESSLVLLYKALITIDPAFVDSSRAV GADSLNKITNSGFGNSELASMQALQEKKDRYLNEGAVFLDRLKKHMEITFGAAFLQTK ETLERIDTTSMPSTKANIAAHDAGRDTLWMLSPVTLFAKEIDRASWDTLIRMYQTQAS TAYQNEVRDNIMSWKRFARKPTGDEQELLFTAQEKEPESITGAARKLTVKRSQTLARG LRAASGDKEVKANKTQDGKLFAFDVFARVLEDIGPVLLTEQNFVTEFFHATSTDSVDF PEAVARASPENRRGPNLWVRKQYEADRAMAKRVAEVMEDIFSFWPTEIQNLVEWAVNA DPLQGVGILCAVDRKLVEIEESNQDFLTRNLQKVHERLTGLFSRFVDEQIRAIEDTKV KIKKRKGVISFMKTFPHFSVAIENMLPAADEHEHLEIRRMVDDAYQKINKAMFESLKV IAKESPAVMATQGQADPEDKEALNYHILLIENMNHYIEEVDARSDPVLIGWKVKAQEE WKEHMDLYVDAVIRRPLGKLLEFIESTETLLAQPGANAPAIAQRSSHSRSVFKKLVHS FDAKEIRKGIEALKKRVDKHFGDADDPTISRDLVFKVLKECEKKYDNVYDRAVHINQD VYSGEVEMDWTLNDVSTAFRR CC84DRAFT_1159431 MAAGKKVAKTSRDKKQPASNKVATGRVGKTKKAAAKAPGPLLNL VQSFLNEHGYTEAASKLQAEAPAAASAASPKDLPALTTLYEQWEKNAKDASGAMDVDD NSAAGSDDSSSASESDSSDDSSDSEDEKKAPRKEAAAKDSDSDSDSDSGSDSDSDSDS DSDSSSSSSSSDSESEDEKAKPVKKSKRAASVASSSASSSSDSDSDSDSDAEPANIPL PESDSSDSSDSSDSSDSSDSSDSSDSSDSDSDSSSDSEAEVKTTQTKKAKKAASISSS SSSSSSSSSDSDSSSSDESDVAPEKKAKITKKAAKKDDSSSSSDSSSSDSDSSDSDKE EKSDSSATVGRASPVPAAGNKRKQSDSDSAAVDGPAKKKNHVENRFQRIKPDTAVDPR FASNDYVSYDYADRAHADLVVTKGKGFTKEKNKKKRGSYRGGMIDTSGGKGIKFED CC84DRAFT_1159432 MASSAPQVRSIYRRLLRELPSPGSPTPSARSQSTPPAFRSSSHQ KLSAPSALQQRIRDTISKANSESQMAQAEQFIQYVQAQRTYCTLIERYNPGMGMSEEE RVRLSARRVGINLPVEFVKGQE CC84DRAFT_1159433 MLSSPARRQYICRGCIESILSCPKSTNFPALRAQTRYKSTDAPF RVKKHYGKLGEGDNAMAQQHGLPSTSKKATWKSGLKFSTDDGQDALRPRKRPPKSDIR SRDTVEMLPEIRRTEAGKRSHATASTKTPSFIRGRQLPKTRIEEAEFEFLKPSEPSAP PPPVKIWSPEKPLNHMSLHFGKLGDFNWYWETLPPTIAQKTQAQHFFTKGASPKFLQS VGFFRSFPESDVPEIAFVGRSNVGKSSLLNAIVNADTKALLARTSATPGFTKTMNLYG LGPQQGVHYKKQPNGHGKIVGKGGITIVDMPGYGEGSLIEWGTEIMKYLQGRKQLRRV FVLIDAMHGIKDKDRSLLASLRLGGISHQVILSKVDKIYLPEAKSIKRFDGKRLDKLR PKGTLQDLRQTMEKLREEIQPPIGAGAIGEILACSSEALVDERRLGIDAVRFAMLQAI NFPFRNDQSAVELAKKEGPRIRAMKTDAPTHVEKQIKVRTVETDKPEKPTPTMTAREM RAARRAGRARGPSAIEMLERLSGKVV CC84DRAFT_1201154 MRFNSFTLLLLGLLGLLAVSAPSRSNTGDNGVVKVIDIPHGVDV GLTAKNLDLGAADTHDLEKRRGRSGGSRATRRPKKTRTKKKKTKRPTKKKPTKKKPIK KPKSKRPTKPKKPTTTKPKKPTKSAKPQCKKPKPCKGKNCKRVEPAAGASCQLQTKEK ETVNYKTALAAAKRAGVRHLSIGQSYLLVHRDSRTPMTHKVLVMGKVKKNLQGQLDFE ATGIDLEWDTDVKNDLLAKCTQLYGARCEHHKIEPYKCEYSIAKHKHGSYKFAGSARP EFADPEVFKETAKDIIEKHKTYSYFYNNCKKHVGRVQNVVAMPKNEDPAYPDEWENF CC84DRAFT_1159436 MSVSLRWRRFWQSDKANAAEDLEQWMQRITQDEIRLLFLKCFIE GNNLWSPVVRSVELQYFQTAVSRLEVTPDGQIQMSSIICHLEQMHKPKSSVIRSAASL IEALITAHAHFPFSAPVKLTEESFCRSILLLTNRAQDLFEQSESGTIGGIESDYICKR PGERRLMFIHSALLIEPAGKSTPDAGITTQDDVLDVLCRVEYPAIVRGKDRVQRRPVS EFVPLAERLEPATECKREHEVPKSQVALLRDLITIIQPRWTKHPVELGYVEHDSLTSE EFVLWATKVQLLDTLDQLFSVFLRPPYAQGKAVIDH CC84DRAFT_1225948 MGMLQNMPAIKEVIESERAPDGVEPLTKDSSDEDMDARIARVGN TFCHAAGSIAMGNVVDTDFEGYWCEGSSHSGRKRVPSANHDSLPGHRLCAGRKAADII PTELSNAKEKS CC84DRAFT_1254397 MASRQLQKGGQPQYFYLNHPVRYIYLIGVVVAVNEINLRYTTLT LDDGSGDTLEVKIKRLPPELYNPVDSPSNTEVDNLDVLSGLGRFDVTVDGHTVDVGTV IKVKGTISEFRGLKQLELKRIWVVSTTDEEVKFWKALATFKKETLGKPWHLSSTEGEK LKKRLKFEQRKAREYERQRAVHEAKKIEQRKARAEYVAQKEAKYEMRRRKEEIIMNAG ALI CC84DRAFT_1192373 MRLRLVVSALPFVLICIAHAAPEPRPEAKADPEAFPEAQSYVNN APFSGAIYIVSPDGQPAQGSCPAVASLSCGDQGHPSWCCPGGCSCVQQQGGYVGCCPA GSNCAGPVTYSTVTVTAQAQQTVPVVVPQQFTTTAYCPTCYSPPPVAAGFCQTLTMHG PGLPVVTQGECGTILIVNESSSLKPVGFGLVGIFLTIQLVIVRMFRWI CC84DRAFT_1077504 MSTGIPNVTSNLNLQTKDLKTAPGVSLNENQSTILRSVLDLFAG KPSLPKLALWRDDATFADPITIAEGRDRFAAQWYGLQAAFSEIERLHHEVTDAGNPIL MDLKTRYVIKGIGKEQTITSVVAVHLDDHGKIKKVEDKWNGKLPEGSIANAFRHLNAV TVPKFVSVPKNAEEDAKKGN CC84DRAFT_1159439 MSFSADKLPILQRSGTFSNRRFSSTKDPTENELTSKIHRQFRDS HEGHKPHAGLDPTRASTGVVWTSERAYEHGYLENPDQWANLGQGAPEVDDEIKGCFER PKEVDISSHGREYGPTAGIKPLREAVAKLYNEHHRKWRKSQYTWENVCIVPGGRAGLI RIAAVLGNCYLGFFIPDYTAYNEMLSLFRNIAAIPIPLGEDDNYHMTPDLIATEIARG TSVILTSNPRNPTGQMITNPELAQIQNICRDRATLIMDEFYCGYNYTTNCDGTVISAA DNVEDVDEDDVLIIDGLTKRFRLPGWRVAWILGPKEFIQAIGSCGSYLDGGCNVPFQE AAVSMLEPPRVRNEMRALQMHFRTKRDFVIGRLQEMGFKFPFMPNSTFYIWLDLSDLP DSIADGLNFFQACLEEKVIVVPGIFFDLNPSKRRDLFDSPCHHFVRLSYGPRMDVLAK GMDSIERIVKNHKANPRPRHNVRPRGSAIA CC84DRAFT_1192376 MAPQKDTMFRSADMSLTQLYIANEIGREVVSALGELGIMDFRDL NAETTAFQRTFTQEIRRLDNVERQLRYFRGQMDKSSIPMRSIYDFDNPFTSPSASEID ELADKSHQLEDRISSLNESYETLKKREVELTEWRWVLREAGGFFDRARGQTEEIRHSL DDDDAPLLQDVEQNGQGDTGADRSFSVMNIGFVAGVIPRERIAAFERILWRTLRGNLY MNQSEIPEPIVNPETNEESNKNVFIIFAHGKEIIAKIRKISESMGADLYSVDENSELR RDQIREVNERLSDLASVLRNTKSTLDAELTAIGRNLAAWMVVVKKEKGTYETLNKFSY DHQRKTLIAEAWAPTSSLGLVKSTLQDVNDRAGLSVPTIVNQVKTSKTPPTYFKTNRF TLAFQTIIDAYGTIKYREVNPALPAIVTFPFMFAVMFGDAGHGIILLSAALAMIYYER KLQRSKLDELFSMIFYGRYIVLMMGIFSIYTGLLYCDVFSKELPWFKSMWEWETDGKT LGPRATRVEGHTYPFGLDWRWHETDNDLLFSNSYKMKLSILLGWCHMTFSLMWSLVNA RYFKTPIDIWGNFVPGMIFFQSIFGYLSFTIVYKWSVDWAARGSDPPSLLNMLIYMFL KPGDLGQDPLFPHQIIIQKLLVVMALSCVPILLFLKPFYLRWEHNKARALGYRGIGES TRVSALDDDDEEESRTLNGGRESFGDDEDGIAMITQDIGHGEEHEEFEFSEIMIHQVI HTIEFCLNCVSHTASYLRLWALSLAHQRLSIVLWEMTMKNAFAFTGIGGAIIMVVVFY MWFMLTVAVLCVMEGTSAMLHSLRLHWVEAMSKHFIGDGIAFEPFSFEKLLEEDPVE CC84DRAFT_1254401 MSVPFRDHLGGRGTESDARQYPILQPQSKQPAPLHADPPRDRYR ARPSVHHDGVEDDDAFRLEQSLKSIDERMLPHPHVDRQSKAARGQARLSFLPQSGILC ATAVSSSQNPDLYHPKTEPRDDVCDQDGCSMPPPRRGLSQFDYKPAQPPPESSSDVPL GVSSSPSFMAAKRHAGATQKFAQPTLQPRHYKQEDMFGTPYLQAEFPHPQHHASVAAE ASRPSACSSAPITQGIQQVPVSELPDRLRTIFPYPIFNAVQSKCFDAVYRTDENFVLS SPTGSGKTAVLELAICRAISKNSTGQYKIVYQAPTKALCSERQRDWQWKFGPLELDCV ELTGDSESADLRNIQSANIIITTPEKWDSITRKWKDHEKLMRLVNLFLIDEVHLLKEN RGATLEVVVSRMKSTGTDVRFVALSATVPNFLDVATWLGKSAAEPYEPATNEKFGEEF RPVKLRKHVCGYQSSANDFAFEKILDTKLLDVVKNFSGGKPIMVFCFTRKSAIATAKV LANWWITRHAKDRAWKPPTKPLSFRDRDLRECAASGVAFHHAGIDSKDRIKVEKAYLE GELSVICCTSTLAVGVNLPCHLVIIKNTVSFTQNGIQEYSDLEILQMLGRAGRPQFDD TAVAVIMTRQKKVRKYELMVTGQEILESTLHLGLIDHLNAEIGLGTIRDLYSARKWLA STFLYVRIRRNPAHYKLEGSRSGQSIDEQLDDICFRDITLLRQHNLMTGEDFFCSTDY GHAMAQYYVQFDSMQVFMGLPPKATISEILSAIAQASEFANIRFRSGEKSLYKSINSS PYIRFPIPVNLDLPAHKVSLLIQSILGGADISWDGENVKHRTQYNTEAVVIFKQINRL IRCIIACQIYAGDSVSINNALILERSLAARVWDDSPIQMKQIDTLGIVGCRKLAQAGI RSLEELECTEAHRIEHLLGRNPPFGLKILEKMKVFPKLRVSLQLQPPAITKTPEGVKV LIKTDIGFINERPPDRFAGKAVYVCLLAETSDGRKVHFARLSANKLGNGQSLTIPALL TSPNLSINCHIMCDGIAGTMRTASVTPKIAASMFPPPKPESTAPQEQERPGSNISRRR TETPSLSQKPADEFDDGIDDDELVKVSLNDLDFNHISNYANPTDVITRPNTAKNAAKT KGRAQEPSEPREQVDHEPRQLENGKWACNHPCKDKDACKHLCCKHGMDKPPKKAGPKR VAFQEDRTNHHEPGFVLAKETGKKTQTKLQLTASKRKSSAMVEELDMTRQEKRKKAEY ARTGPKDFRELNKLHKSIQKKNLPTSVSVAMHQKPSYCYGQGGTPDLSSHGMQSSFER PGSVLSSDYGDLGLDDFSEQSGVDRISNNTRGGMSMGITADQTDVDREMDPFGEEDSM LEDILLGMADFEDLQGPANKDEIGEEVSDPHKHVGYDMSYVEHRFSPPADSVSNSKVN VEQNVSDLSPIKDSIVPREKGQSLFLNDSIVPREKGQSLFLNDSSSSQTRNFETTYPI VESSKAEHCERESESYHENPTRVSKFFENNARSSDAEIKYPEKTEPEIFTKGEEEVTV NDEPILEPYQGLEPWLFHEFGDIVEIVD CC84DRAFT_1211671 MSNPNATFRGVPLKHLSLVTLVFQNSMLILIMHYSRIMPAVDGV RYHTSTSVFLNEVIKLSISATVALYDIAKNLPPGTPATTLFSTLFSNVFAGDSWKLAI PAAMYTLQNSLQYVAVSNLDAATFQVTYQLKILTTAIFSVIMLGRVLSVKKWAALGLL MAGVAIVQLPQYTPEPAVQESKAAEAKRWLHAIAGRSATYQGIGEDEGPIMNKSVGLM AVLIACALSGLAGVTFEKILKQSSSTKQASLWIRNCQLSFWSLFPAFFIGIVWVDGQN IATDGFFAGYNWIVWTAIGFQAAGGIIVALVINYADNIAKNFATSLSIIVSCVASAYF FDFEITLAFAVGTGVVLAATYLYTQPDAPKQTTIAPLEGTTTGDSEQAVPLMTRGRPS SDRESSDHMRPAEKPE CC84DRAFT_1159442 MSTLKASSLAPNAPSPTPSNASANGTKRKRPEEGSKVVYSQPLD TEERSHLYTQVTYAIEHLREQPDKWFTFKDIMNYLNIREDNISLRKHMKAFFHAANAG NKIEYNPDTKKYRFKPKYDIRNNAELRQYLQNQKSAQGLAVKDLKEGWLNVQDDLRIL EARKQILVKHNQKDQNAKTVWNNDSTLMYDVDPEFKEEWHSTTVPQTQDELRKALLAA GLKPASAPRLPTVTKPKEKKRKTVRRGGKMTNTHMASILKDFSHLRK CC84DRAFT_1254405 MKPSDSLRAIRPIQRHLTTPSYRLIPARPAQAQAPLFASATTPV SPIRFSSTASSQPKESSKSTVDPTEVSHFNALASSWWDPVGPSRLLHLMNPLRHTFIS RCRAASSSVSSNSNLRYLDIGCGGGIFAESAARLAHTASVTAIDPTPEVLKIAEAHKR RDPTLAAPGKLTYINTSIEDLPQPKTPQEGYDIVSLFEVLEHVNAPGPFLEHIMPHVK PGGWLVLSTISRTWTSWLVTNVMAEDVLGIVPKGTHDWAKYVNESELRDWFYGKPGWG ELKTMGVMYVPGFGWKEVTGSEGWGNYFFAVRRAE CC84DRAFT_1159443 MFKPTPCLQKTLRRLPLSPKQAGREYYKGNKTGTLGTIDKYGRF HPDYTKIRTFVYPAKGVEDFELTPFVSERTPKNVQVGRRKWATVPEPMTGEEYLERWK AEGGHDVVDAPRRSKAQEQQMPEVWEAPPQKSDKKFWQK CC84DRAFT_1159444 MPPRISRGSLQSTKPARGRPQSGPRNSGKAQRRAQNAFAIAGHD ASDRTKIRKNRLGEVEGATHRKRPRDEEEEEDEGEEEQDGKRGKRRRAGDDSFDEGSD SEGNTWTMGHVEDDDDSDIDSDEAFGESDEERFEGWTFRGSSSNQKGGKPKKVKPVKE MEDDDEDIDLDEGESADGEDEDDDDLGDDAIDLATALDQYEEEKREKQKKQKKQKKAS AFDDSDSDEAPSEVGDLAADGASDFSSDDDDDEEEADRIAQLKDLISSMAAEDERSAP TPRKVDIHENAAPSEFGVMRKVDLAGFKSKITDPERRKALKLLQDDQSTKRNDIARKL DAPLPKRQQDKLDRAAAAAKAKETLDRWTDTVKHNRRAEHLHFGPDQPEAGSRMGENR LLPTTTAAPATDLESTIQSILQQSGLSNGKEDEDKIQKWEELQTNKLPLEEVQKRRAQ LRLERELMFREEVRAKRIKKIKSKSYRRVHRRERDRLMEKEREQLKADGIDISEDERE HNDRRRAEERMGAKHRESKWAKGVKASGKGAWDDDARAGVTEMARRNEELRRRIEGKE VREEGDDASDFSSEDDEDLDDEDGTEAVKRQLGRLKQNPFSSDQSKLGSMAFMQKAEA ARRARNDEDVERLRKELAGEESNSDGDDDNAAKVGRRKFGPGTNMAPPAIQPRSEFEE REEPESDAENGTKAADEDFAVNGKSSSTSKSGNNTVNPYLVAKKTRKVEAGPDLVPMA YDTITTAQIQEETPKKSKKKSKSKQSSDESLKGVFADNPIISKPDDDGFRTVTYDEDD EDDAGWGDENTEFDSTGLQRNQRLTASAFAGDNVEADFASEKKQTIDEEDERIVENVL PGWGAWTGDGLTALEQKNRGQITRTKKPGIAPGKRKDAKLARVIINEKRVKANTKYMS AQLPFPFETKEQYERSLRLPKGPEWTTKKTFQQATKPRVMVKQGVIKPMHKPLM CC84DRAFT_1134345 MGQSSSLVRPTLRPSSSSRRRSTLSSTFRLTPTATPTTKAIPTD NIFVPIQADDVLGQIPIHIKHPLPRLGIEDSQDKPIQTNRFYANAFLGKQDQPIWTQP YFMWWGKGGSNPHQFPTWGMNIGHDESGDYEFGPGNPPKYYVAPRKQPVIIGARELDG ETVLSTDSHLPHSVNINLRRGTTANSPKVTFFIVQGMSFVTAGYANASPLIQTSGTGF QGEISAPILIGRSTKYRFKDCDGRDWLMYINPASDIEYDATKMTKIDANTIIFPQNFK GTIQVAKCPPGTDAEALYDKTYGTFVTEARIYATVNDTRGSYGFLYKKIGFGPLLMFL LPHHIQSLDAELKSSVTKLQLQTTTRGVAIAVWASADSLSFTETNLPTSMAFAPWTPI STTARTKFPPDFLLFLSSVAELDLRRVMSGPIPQDSYYYAGKYLSKFATLLWVIKEVL NNTEWVEQGLEKLKQEMARYIDNVAKFPLYYDDTWKGLVSKAGLDGDQGSDFGNTFYN DHHFHFGYFIHTAAVIGALDPGWLEQGVNKKFINMIVKDIAESEYAGRDFPFQRCFDW YAGHSWAKGLFESADGKDEESTSEDGFASYAIKMWGKVIGDTNMEKRGNLMLAVQARA FNSYFYMMSTNTNQPPQFVPHKVSGILFENKVDYTTYFGDAPHLVHGIHMLPLAPPSA YLRPRAFVKEEWDAFFALPPAASSAPQSPPKTPQPTSSRPVLSPKPSSATTVPKADPP TGGPYVDGGWRGVLFANLALIDAKTAYGFFRDGVGGVWDERWVDDGASRSWYLVWCAS LGGVGMK CC84DRAFT_65799 MPAPTNGSLGESTPLLGSRRENEPKWKSYSKSVGKMVWGTLCSN YVNVLLVFVPLGIIAGKLQWSPTAVFILNFIAIMPLAALLSFATEELSAKLGQTLGGL CNATFGNAVELIVSIVALRADQIRVVQASMLGSILSNMLLVLGCCFLAGGIRANEREF NATVASTMSSLMAVGSAALIIPATLYSAISEQSEHKIPEDDPNIQLLSRGTSIILLLL YILYIIFQLFTHHEMFADAEAQEGADEDGPKDGDTLGPIAAMVALILVTVVIAFCADY LVDSIDAIVETAHINKTFIGLILIPIVGNAAEHVTAVVVALKGKMDLAVNVAIGSSLQ ITLFVTPFLVILGWIMGRNMTLHFETFETVIFFLSVLVVNYLIQDGKSNYLEGCMCLG MYTIIALAFYVKPEDASGNLGSFFAKLF CC84DRAFT_65720 MRAATDSGRGKGAPSRQTGRPASAMTACIQRSQPSCQLRCEHCP FAKPRAKRAAHAVAAGDLAIAHARPHDAPILPARTSSGKLFELRACGALVREAKARCD SRKMHPGRCAHGAVVQHMALTSTWAQAPQRAAEHPSKTIDASARRNSNLRQNAKV CC84DRAFT_1159447 MDRDSIYSDMSLSASLRDFDPERSMHNSAYSAHSTMHSSRWSAP PPAEEDSEAESEGPWAPPAWQNHNNKWYRKSYLQESSPSKSRTASPYDQRFDREVTPS RIPLPESPRKGTPRTSPEPVEQRHFTPDTIASRLQSPEAEPEMTAGAQQHPEEQESPK RDGFIRVVFKSESLVNMGPLEERISSITRGMSKARVVSSLVFLVLAWLLMHPWGVETG SPGPNVAHLVSMVRRFEPLLYASENVIPRSRELSDASIAVEDLGESIRASNMSGSKII VTQLDDLSENLKTLSQQIQTFFVHVDGDMDGTISAIGWATRQLEGIQGSRAGVIDTVI GNVHGGLNKIGVLGSDDQPSAVGAVVTGLMGSTTQQKAKAALQRSFDFVLSTLEENLQ NELSRADSLFQMFDNVDLQFHNLHRTVAKEEDTLAAQKDEFLASMWRTTITNKLRLKK YERNLKLLKTLRSSALANKSELKNNIQIIRAVQDQLVVARKSLISPVIRGAQSDSYSI EKQLEDVVATHDFLQGIRDTQKHKFTKQLFAAPSRPAISITTGRDDDEEAEY CC84DRAFT_65750 MERSRDAFGVRCDGASRETRNTVKSSRRCKSVAARRPLVDARAS ISRGAPANPPGLGVNSETQKASGWASGWASCNATLAAASGPCVQARGGERDCPTRRQS QLDSSLTWKRTPRPQVFQSGPAVQLSSVSWLLLYCVCFSGRGNQSLLNFHKPCPKIQT SLAASLWNLCPDVTYQEPLPQFALSWMRISRGETVAVAASPRMT CC84DRAFT_1159448 MPPRLNVLSLARAIPYRPKGQAQWLSRPAARLAPTQTRTYSDAK DEDKVPAADRSKRIDAKPLGHVSEEASAMADITGGEGPDLSQGTPVQDIVRGDKKAEE KLPKVMKEQLKSNASSAPKGSRSYSTSTTPIGGGSGAFDMGLMSMTSPPAEAVAPGLK FEMPVLPLPKDGHLKHRYDPVVDQVTNLLMRHGMKSVAQRNMALILQQLRTASVPTIN PQRPLLPGAPPPSHLPLNPVLYLTLAIDSVSPLLRIRNMKGAAGGGVALPIPVPLGQR QRRRTAIQWILGAASKRKTNTSGKTGYAQRVASEIISVIEGRSSVWDRRNMVHKAGIS ARANIVLPRKR CC84DRAFT_1080408 MSLEAALDDERKEVLALLEANTRANSGRSGSPHVGGRAMSPGMS PVRSMLDVGGPHVADWSHLGKKKRNSSRGSNPSSPPPSARSFGGSSVDPESQYQFSML PTIEAHSMPKRVSQGGKASNTVKPRAMSSVFGDQSGKAVSNRDRDRHGSFNGPYQSKK ASSPGPGRSQSPGGRMLNTNSMNLMASPNKYVTDSGKVIDMSSAYRKLSDANLSRSGG SLSSLPNRKGSSSTKGQSLAPGGGVRLATDDFGDDEAAVESSDNDDSEDSDVDGWGGA KRRGRQRRRSDGSEGKGPKSLLAAAEEERKDVSSSRRVRSMLEPDVTITGPDGTPLAG EKMSNKKSGVHPHTNFDQGGSAVSTPYNSDTEADLSELKSAQLLSMNISPIQSSPEAH RCVRQIVRGNYGKFLRDAENGLRRQRVYLVATDISEEAAYALEWTIGTVLRDGDTLLA VYAVDEEVGVGGTDTPGGSVPMTAQQESDSLLKTLSNHQGFEAEGPGPSPLSNSVSAS ETDTNTMNKAEKDRYQAAVEVSDRCVKLLRKTRLQVRVVVEVFHCKSPKHMLTEVIDF LDPTLVILGSRGRNALKGVLLGSFSNYLVTKSSVPVMVARKRLRKHSKYKRKNLRLSN VISPSNNGRLVNAKID CC84DRAFT_1108090 MVAQHIPYDMSAYTVQSPHSLRAGHCEFLEVDELDFDTSSVHAH LVSPRVKQALFYPQQQQYPHGNHDEAGTSLLVDLHRLAPSVAKDFLPRLSIRDDATFR LINDAYPEVEEKSYIAISYVWYKISRDTPKKLISPVGDLPFGWVQTVEQVPLPTTKGM FQAVLNERRAGEGLWFDQVCINQEDEIEKALAIGAMDSIYKNARLVVAALDDVSVTLE ELQYLEQYYHKYVLSDLPLDQHPNLGLDPPVMQQYPWLRSFVERILGSMWFERAWCAH ELRMGRSHVFLMPCDMEYGENTAYTVIRLTGAFFVHMLVLASEVIISSATQQQIRSLL RIFSDSCPYYDQETLGLREPYRRPGSLSRSLVPTVSEIFNMKAGGNPRLPEYMRRLDA NRDKTSIVLNVTGIPLVLKPPSPLQRPAIEDECLRQLLLVGIAAKDPVTLCTTGAPLQ LHDGSISWLCKPTPLDQPSSYSAQPPPFPLTANTITQGSDGRAEYVQLDLIFLDLPHR TLPNPSFPAIVRRAREFIDLCIQCQVQSHTLWNLAQTPAHPRSPAMRNIFIQTLACMF ECGAAWLLDVVSQPSFSQSIAGLDPAALELLFNPQVVLHTYVNIPFFSALLYMLGTLI AHGVPWASSASERTHGPLIVSAPSPPSAHNPLPPASGKSLIFAPFAHSKTLLVAVPAA VKSPDYAALARGWILTPSNPYTGSGTGSPKAAVSWVLRGKGTVFGEGAFNLGLGESGS ESVRNHRVYGP CC84DRAFT_65933 MASLRDRQIASIQRILNLNAPKQPSDDDANHALPAANTPILSET GEPIWKVLVFDDFSRDVVSSVLRVNDLRAWGVTIYLSINTTRHMIPDVPVIYLVEPTA ANLQVITSDLSRSLYSPAYINFLSSVPRPLLEDFAQQTVASSTAEHIAQIYDQYLNFI VSEPDLFSLGMKGAYGTLNSANVSDQELDALVDRIVSGLFSVVVTMGTIPIIRSSKGG PAELVAAKLDRKLRDHILNSKTNLFSGDQKSSATSSRPVLVLVDRNVDLTAMLSHSWV YQSLIYDVLSMHLNKITMNVPVDSDHPERGTKKQSYDLTASDNFWLRNSSLPFPEVAE NVSTEWNKYQEDANEITKKTGTSSIDDLSGDTNSFAAHLKGAMAALPELKERKATITM HMEILEALMRGIKDRKLDEYFQLEEELSKQTKAQVLDLIKASDKGNEPLDKLRLFLQW YLTTETELTRTDLDSFTQALEVAGADTTSIKYVRTVRQLTRMTMISSAPTQPAQNTAS QLFGGFSGLSSRVTDKFKEAGLGANIGGLLEGVKNFLPANKDLTLTKITESLMDPANA SSSAIQKTENYLYFDPRSANARGTLPPASQARNQQGTRIGIEATFGQRRQAFSEAIVF SVGGGSMDEYGNLQEWAKRTSAGGAAGTGQKRRVIYGSTAILSATEFVTTDLAMLGKE TS CC84DRAFT_1080335 MAPTHVPGEQLPPQGQNLYTNSPYPNIYSVDQYDAQSWNGQLQH AALVPDNSQAQTQTWHHNTYPAQPYSQINSPYGNQGLTNRTASPYQYGQFGSHNAPAS YGHAANVDPSLSVNPNVMRQQPQSPYQPQPQGRPNIVTPQSLQHGQDPRAATSYQVPK STAETFVQRPVQTPFVQPIAVPDYEIPKAKTSGSFYVFDQAALIKATKSIALNKFVTL GTEPFHLPTNRTALPIYTPRQSVKDLKKVGAHSKKLAKKAPTKQLSAGRVLKREFSES DSYDDSSDDDSDYSDEEDEPSPLPATKPEEPQGAFRYDIIKVSWYPHRSPPSTEKIKT SVRQLWEIFNTIQNRWRSDSKLLKEAEDQKKTGELPVLKRRVAEQRDLLQSALRSSLE FSHPDVVSYLGQVKPFLYLCYLFLANRVKVLDYDGELTAAILEMLSRCSGTTTTEVLE ETKLSKALNVLKKKVNDNHKTLIQKIEDGAAAGSKKAKVGSPPEVDVTAEAKPAKRPA LQPAGRPSSEGPSKKLKPTEPTTNGDKKPSTATGASKVVPATQQKRPGDKPIPAPVRT QGIKITNKPSSLFASLNAAGKKPSGAAASTSAAKPVVKSTVSVLAAKKPAASATKPTF SFKDTMAELLKPKEEKSAAPSKTEKQLPPETPEEKAKRLRKESRRHLRVKFRPGDALV SIKYFHHDPEEETGHDENFVRDAGDIGGEGRMFKQHKEMDDEDDEEEKEIDYLPWKEP SPVDFSVVSEGERKRNYAPYGGGELQPTCPERDANIAHDNATLEIFYSRPADIPTSPR EPLLEQMQPSDTPVVTFGSPPDYVLSRLPESTTYAPTALSVPPIDMNNITNIVQQLAG QSNSNQANYLPAPAPAPATPVALAAPTATPDISSILSLLGQGQSQNPIQAPMVAPPAQ PAALPPMDMAQILAMAQQLTQQGGAAFPPPPPNWPGFPPMPQQQQPDMASMYSAQGSQ QPSQHGNSSGKRQRSDDGSEAHGRDKRGKHEKGPYKVIPCKFFEMGKCNKGAKCTFIH PQQ CC84DRAFT_65951 MAGIRKRQTGIARRRRLDDEDSRSVATEGAEDSQSDISVPSDVD EDADADNSDLSEVDSSASLTDGKAKRKANGARDAKPRADVTTRRVPSPPIARSDATFT ATKDTEIMLNGLAIADKAAEDEVLDFETGQAVGASAPAVAAPDRSETFAERKRREHEE YKKKRDSDPAFIPNRGAFFMHDQRSAPAQNGFRQFGGRGGRGGGMGGPFAPASMRKPG AEATDSPWQHDLHETVNEANAQNPPSQAQPAHSGGSVQQGLAPMQQYGRVQAQPAPKP PQTRNFSTTRHTHNALVRVFLPTMKEPILFQNVPIKQHTRLPNHRPPLRRDKPVRISL PPSAPRYIFPTVERSFIFIPRALRPNQQGFGRGRGRGFGSFGGGFSSRRTSAYGGSVY SPSVAMSRRSSMAREMGRDSLVSPAGSIMSRGGYDPSRPVVRLPPGGPKLPNAPPVIS PQSATPSGGFQQSYPLPQKPTFRENWTQGQIPMHQPRPQKTVSVAGIESPASMTFNPP QQQEQQPFHQQIPAHAHGGAPPTEPQPFYPHTRQPSFPTQTSTGTPLSNIPERAIHAP AFQPFQPGYQPQNFAVPQGYYYPQNAQPPYMPPGGMVPMFVPNAQQGGYVMPMAAPPA PAAPAPAPAPAPAPAPAVQPSQVAYESNGMTYYVDPTQLYTSPMEGYPQASYAVPGMG GMMTPGPPDAAYYYPQQMQPAPFYQPQ CC84DRAFT_1134372 MASSSAAAGLLARQLKQMQNDKDIPGISCGLVDNNVFEWEVMLM INDETKYYGGGFFRARLTFPTEYPLLPPKMRFETPLFHPNIYPNGDVCISILHPPEED KYGYESAAERWSPVQTPETILLSVISMLSSPNDESPANVEAASLWRENLPEFKKRVRK CVRDSLEFE CC84DRAFT_66006 MQLVLSDARRCIILKLAWTCSSGSSSLTVGLLANIYGHLSDADV PYCIPEGRRSRSIFRASFTSLRQLLPGCCVCFIPQHP CC84DRAFT_1159454 MGLSSGWLPRPPVAPLVLIAVWAFAVAHVGAQQPPKNAGPDPVE NFCMRWWSQSVVKNNTLYIDSGVQRFNDSGDVYLGINNYLLTIDMTRTWDWQVPSNES GGLTIDVERKNVTSPSTGTAVPNLIRGHLFHGPYNKSDTIYNFGGATYMNNQSFEGYR QPDSSQYPLWTYDRTLDSPWDKHTIQQVWQPNHGAAAEDTGRGFGFYLGGQIDMGTST LTLGQPFRDPTQNLYMPLDGMLVINLVDLDVRADNISTSSMKRNSPRVGGALEYIDAV GDSGILVALGGQIQPGLKFGEIANRTKGELIDFNTVDVFDLDSYFSDTSSNGTWYEQA TTGDIPAPRIDFCTVYVSAPDNSSHHIYLYSGFDPINNKAYDDVAVLSIPSFTWTTLF DNGGAPRIGHNCHRVGKRQMVTVGGNVTTLPCDWELKGVAFLELSTVKWGSVFYSNIT DTEYDVPNQLLSVTGGKASGGATASEPKQGWTEKGLGEVFRKSRYTIPSTWPTSNNSS GTRDSTSPKKNNVGAIAGGVVGGVAALALLAGLLFFRHRRRLQKESPSELHGNEIPRP SNDEEKDNYELKGVNSDEPVELPGPEAQELSAPREFVEADHDTATWASELPGTNTVAG GVHGKPIVRTPGDDLPEIPEYTPGLRRPSSAGRRRRSSSSSAGSKKAGDGPQVDGQQR VEEKEDYFSKPAIGPSAKVESPRSEDVFQTPHERVSPPEAGPVAQNDVGLSRAQVSPP EPSTKHTEVPMAPGTAL CC84DRAFT_1182851 MASTRQYSIPHTPRVISPSPTPSELNSREGYFGPVTRSAARKHK VTSPPPIDEDSGSDPEKRARARSRSPILEGRRRRMSGLTAKRQMNGNAKAKKADLALP NGTVNGHLSPAAANKNYWREMSRSPSPLGLIPIHQKWRSFIHRHEVPRKILHVSIGFI TLFFYCTGRQPSQIHPVLLAMLLPVAAADFIRHRYWQVNRLYIRFLGALMRESEVDGW NGVISYLLGAWIVLRFFPKDVGVMSVLLLSWCDTAASTFGRLWGHLTPRVRKGKSLAG SIAACVTGVVTAALFWGWLAPLYAEYNTGVNAFAFQGVLALPAQLREVLGSSAAQGSV TGYLALGAMSLWAGVVASASEAVDLFGWDDNLTIPALCGVGLWGFIKVFA CC84DRAFT_66032 MAPQTKTERSWSALTPPLSEWILDAISAMGFTKPTPVQHAAIPM FMGNKDVVVEAVTGSGKTLAFMIPMVERLLRLDAPLKKNHVGAIILTPTRELATQIHT VLLSLLKFHAPSAAVMKTDDEDSDMEDADAPPPPAFPSGTLKVVPQLLLGGTVTPAQD LSWFLKNSPNILIGTPGRLLELLSSPHVHCPQSSFDALVMDEADRLLDMGFKDDLQRI LSRLPKQRRTGLFSASVSEAVDQLIRVGLRNPVRIAVKVKSRAAPKEGEEGVIEDKRT PASLQMSYLVLPPSHKIPAIKKLLATLQPQPQKVIMYLATCYSVDYFQHVLPEVLKGH AIIPLHGKHPDKVRRKNFAKFVDSMAPSILLTTDVAARGLDIPAVDLVLQTDPPSDPK TFIHRCGRAGRAGRRGLALTFLNPGREEDYIEFLRIRQTPISPLTTPELTITSIDADA ASKKMRTVARSDRAIFDKAQRGFVSWVRAYSKHTASSIFRVADLDWEDLGHAWGLLRL PSMPELKKFEGDRTLGLDFELDALAYKDKVREKQRLEELAEGAKKKRFEKRDKEKDAW TLKKEHRATKEVRREKKEKKREHERVAKMDEEERKKEAELQKMIAQVRKKVVEEEEDA FEGFSD CC84DRAFT_66174 MDGRCNRDRAIEIEQFMVSAYRKGGEPFVARISELYSLMKLNNA HIYLANLQKVGFMADMMASDALDIAIRSTNRDFWLSGFRWLPTDVHKAKLGYQRALFF RLQGNQQSIPCAVNYIEHAPRLLPDDAAIAKTRATILAWSDSTL CC84DRAFT_66017 MDETTFENTMSDHGGSSTAATAQTSTVFSRNDDPWTLALRSETE HPLSLDELVDLNRTEPPSHDFPSTVGTLTTTVLSSQKESSITKPTSAGTSYIVDGYTG KGKGKIVKTYASSLSATHGSGSEAEAEQDNVLIGVGGQFESSTLKGAARARKRQQAHR ISRPKKVPLVIHYDGPAHVSSEEELAPVTKHSSRCSNKETKYLRTRKVKKSDAIFADE ERVSANDERNTARRLSRARLNIVIGLCVFIVLTFVLSVFAAHHTGKDRLACTKGIIFS ATVLISTCTVVAMTLARRALQEALLAGLLEFVIGFALVIEIREFMEHVP CC84DRAFT_66019 MRFVALCCQCTVLPRALRVLSRPRPSALAVERAGGCTRGTEGRR PGPRAWGWFAQLMMMNGRARAFFPRRDVDSWTIRSKDIKHRVCAVPVPLMLLVSAADG TP CC84DRAFT_1170882 MARTAPATVKHPLRPSLCSIGIPDGRDVLAVYIWWLNQQQLRAF VASHVPSEIASSVRSIHGEDEEWRETAPSSFERIAFDTRSTAFDLCQAHPFVKMCTPG GRTAITQQTRPSWKQFLSTVDDRVLESGSVPFRLLDSAIKWAFDAHWRNFKNFIHDVV NKHFAIELVVFFVVLPPLALLLYTVGVVVVAWEEVVVAAKLVRRWASEPRRHLLSEKT IAKRRQMKARRDEVKALPKMRPRALTLHSDGGSPVFEEELHTLGRSRVKQKTVDQLGT CDLWKIPFEVREAIFRYAVGGNHVHIVKRRQRWGSVYCPAKDPTDPVHRDFCTRRDKD GYHVMSAWPKDTRPLALLVSCRQIYSECIDFLYSQNTFAFDDLDLLPDFLGSLLPSRA RLVTSFHLAPVFARDWAGSNHRDYFITKQPPIASVQSLNRFLDVLEQHPTIRSLSITP KPRLDFIATCDNLDVARHILKFTNTLNLVKTTKPITLAWPEEPIPSVWYTSTHMSIPP GYWGREKYLPDEDSRKIKLREMPWQSPTVLMAFLIPFDVQCLHCASPTIIRRATRGFA EASFLPLSLSPSPPENATLSCVLMRYWTYHVFCGGWIEFQYHGEREEWSVSQGAQTIS KAEADRHLAGKEGLERRYPPLDNPHERLRGITVRDMIRQTSQDQWSSRRWGTSRVIDE PMRDAYYQNVGWGTPRAQVWKRGEPMMS CC84DRAFT_1134381 MEAPSGKSMRLVEERPTTKSSQASGTSTGDSLKDVEAQSLSCTK NSGSSSRSWVANPWRAHTRKIFLACGIVVIPMIAFTIAIIWVVFVNLINENHCPYPEL CPGRDILNASSKHYYYVDYPAARLMFISSWSSTVSLSFVSMLMTLFGYLIASQMLHTS STDQSTRLPSPYQTSILMRVLNADLFALWDLGTNKVKATFWKKAQSNDSDKARSPPLL RASILVFLFCLFGSILVQAADTYLHIVTESANFIQLWAQDGQQHHYSRGLAPWCLDRP RKGSGNNVNYWGCGITFDERSRSAVMSNMTSYNALAFDGPKTRDSIYNYTDSNGLQFA LVGPHVPPAENDWKATTIGVSTQCSAIPPLACTQGAPSENDFQESRQSFNCSMAKGAP LDVSGNITGYMHEIYFFNFHKYLSDESPFKANILGWNDSKIHPADISDDDDVFKNPWK SLSQATIEVDRPDYPEQLNDTSRLWPDPDNNYDYTMLLCDTTVWDIEYTAVAHEVTSL TATKSNGSVAGIASLPGLPALMFIPNQYDWALQIASASATSMDAFIDAYGLGLSKVRS YSLATQMSPRPALLTQTRTSKVITKVPVAALWLLVLANVLYSLLGLALATMALIYTNP SVHQVYTRLSVTGIVAQLFEREYAERAVETEMKLFRENVDKDAEVKRVGVRRTDTGGS LFAISEKNS CC84DRAFT_66691 MAQPTIEASTQQQPRPNLRPKVGSTGIFVLIVEDNHINRIVCLK VLERQGHTVATVGNGQEALDFLCKTSGNRQPNLVFMDTSMPVMDGYEATRRIRRDAGM FDERMRTVPIIGLTANALRGVREQILEAGMDDYIAAPIRPRDLQVAVMRWISPKGP CC84DRAFT_66693 MKSILVIAAAAVAVAQNIVTSGTHNALTKRNTTLPSSFKWTSTQ ALIYPKNDSRAIAGIKDPSIILVNGTYHVFASTAKVEDPSYSLVYFNFTSFDKAGSAP FTYLDQSGISTGYRAAPEVFYFEPQKLWYLIFQNGNAAYSTNPDINNPLGWSAPTDFY NGTPAIIENYLPGGYWVDMWVICDDAHCHLFSSDDNGRLFRSQTPLVDFPKGMSEPVI ALEEASKYDLFEASNVYSTGNSSYLLLVECIGVAGRYFRSWTSSDIAGPWSALAATED HPFMGEKNVVFEGAQWTRSISHGEVIRTDIDQHLRIDTHGIRFLYQGVDPKVSTDYNN LPWRLGLLTQVEDA CC84DRAFT_1225986 MSPHRILLTGANGYIATHILSQLLASPDNHFIRAVVRSQSKAED VQSIFPNASPSRLEFVVVPDMTAHGAFDEALKSELPFDIVMHTASPFKYDAAGSPSDF IDPAVKGTTEILAGIQRVAGSSVKRVILTASFAAVGAWGLRDEKNKVYTEEDWFPVTS ADVEARGSDKNFVYLASKTFAEQAAWEAQKADSVTWDLVAINPVIVYGPLLHKVKSVD NVNESMAIIWNKFLKEANPEGEIPPNGVPLYVDVRDVAEAHVLALDNPEAANQRFILA AALADSQKIADILRAVVPGANERVPRGTPGKSTFPADQWSADNSKVQRVLGLKFRSAE ETFGDSGRQLLELIKAV CC84DRAFT_1254426 MARASIMARPALAAAQSKKTEVAPQQMTILKSAMPQLIPFFFVN ETTMAFVLLPTLIYVMSKYILPQRVRLFAARLFISKL CC84DRAFT_1159461 MGKEKTHINVVVIGHVDSGKSTTTGHLIYKCGGIDSRTIEKFEK EAAELGKGSFKYAWVLDKLKAERERGITIDIALWKFETPKYYVTVIDAPGHRDFIKNM ITGTSQADCAILIIAAGTGEFEAGISKDGQTREHALLAYTLGVKQLIVAINKMDTTKW SEERFNEIIKETSSFIKKVGYNPKHVPFVPISGFNGDNMIDVSSNCPWYKGWEKETKT KATGKTLLEAIDAIDNPVRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVT FAPAGVTTEVKSVEMHHEQLTEGVPGDNVGFNVKNVSVKEIRRGNVAGDSKNDPPKGA ESFNAQVIVLNHPGQVGAGYAPVLDCHTAHIACKFAELLEKIDRRTGKSTESSPKFIK SGDAAIVKMIPSKPMCVEAFTEYPPLGRFAVRDMRQTVAVGVIKSVVKADKGAGKVTK AAQKASKK CC84DRAFT_1159462 MEIKEYGGRAVCLCGSREREDDTAGVGAYIELFADIAPSVEDGD FVIPPGRKGNVSSEHIHASTVAKEGKGNSVSAQFYEW CC84DRAFT_1079256 SMYTSLFPPAPKFTEERIIDLSGRVYMTISATSGTGLALAKILY GLHATVYIGVLLISLHNFQAARNTITASDPTSLGTLKPFLANLADLATVKPAVDCFRK ENHRLDVLFLNLDGTAESNDGDPTMRTDCLAPFLLT CC84DRAFT_1170888 MSADLFAEFGSSSNSSAPPNQQAKQPGSQGAPAFSFFDDFAAPP APSQATHQSAAPTTHPQQDDGDNDDWGDFEGSASSFEPPPLVKQDSFAFVASQATRAR APTLPPTLENDDFFPSSTPQPAQTTWQRAPVVKAKRSTDPSVLFDAEDDFGEDDFGDF EEPEASETQSNTAQIASVGIADLLGDLDLSQPAALSSKQQHAASHKASALTSLKGPAG GFGSVTPSQRSRATPTPPASKEEESWDTFDDWEASIPTKAPAKTSARPPQKSKDITVR PKSGPSPAPILSPTFDDPQAGELPPTNVPPPAVLLTLFPLLFADAQEKLFKPMAAQTL PMRNKVLAEPATITYLQGYMMLGSVAAHIIAGRKLRWKRDQHLSQGMRIGPASSRATS GMKLSSIDKSENMKEEREASDVVRVWKDQVGRLRHVVSAANQIRAGSLGAVPDIQETM PVKVLKQGEGGVPAKEPCMLCGLKRDERVGAVDQGVEDSFGEWWVDQVNMHRSADFGQ AVGTSGANIETA CC84DRAFT_67017 MVGQQRALRDGPGGSSDAYDSPSLRGWLIPSGASQRPACASGLV AGRRSHSNGSARCAQPMSQLQLRQRKVCRERYWRLGYGADAGRQWQSRSRGREGCCRV ARAGWRACFEARGQVKTEADRPCNAGE CC84DRAFT_1134396 MNLSADFTPPGHEEPLSSLPELTRFITAPEHASLPKNKSTSNLL AQRSPASLSVNTKRMSIDAGQLDRMARSPIVPEHEHGLGASGLRRIRQQGPGSRGVSA HRAASLNINPTPPASRHPSSSAPTAPSSPTLAFGEDLTRFPSESLHSFSFATQSDEFM HNRQNVLKRSIDFMQNKLGWAATNPGIAQAQAKISGDQEVQSMIELLTRANLIGSDAA GAHGLGTLGPMTGPAEMDGENLFEKSFVEIQRSQSPVSILSSARSPTLKSPMDIRNGD ATDENLDARSSSVSDQTSEASSQRMGASPPPPQRPQRAALKRTLTDVAPLSIQHQLND ALAQPYRLGDQDFTNQLMSPTVPTATPISSFPGPHSAGPAPHGQHGSRWAPAAQAIFT TEATAPWTITAANDLACLVFGVTRAEVRKLGILEVVREERRKWLEDKLRDPQVGSKAS RDPHNQSQPSPPAPTTTLKVGNGVTARLLSKPSSRQVAQNKNRRAQTDDGSGSSYAQK PTPKAVSHHESKPSRGVLLCGDVVPIQKRNGATGSASLWVKEKRGGLIWVLEEIAEDV VEINVDEVGCVVKGVGAMEAVFGSDRLRRGMDLSRLIPAIPRVQGVYTGALDYDKIAE LRNFTARTANSINIPVTVEALVSEPTFRVSSFPHIAGIVVLSASDLTIGSSNTVFTSA LFGQAKPDGLHITQLIPGFDKILNVMTDEDNVELVDGIVIPEHSFRRARALLAMREGR GDAATLFLKPSGLPALHRDGTDIMVDVQMRVVKSEKKPLFDEQVIEEENATPSQTPIA ELVFALWITYSRQLHAANQGVGPITPLVSRPGTPPHQPSPGQSTLINTEETEFEQMTT DTHVSLLTQQLQQANQSASSTEPRTTTPLEVAKEVDEPPQKKTINDFVVIEDMGQGAY GQVKLCRSKGHSSKKSVIKYVTKRRILVDTWTRDRRLGTVPLEIHVLDYLRRDGFKHP NIVEMTDFFEDDVNYYIEMVPHGLPGMDLFDYIELRVNMEEKECRKIFVQVADAVHHL HTKAKVVHRDIKDENVILDGEGNIKLIDFGSAAYIKSGPFDVFVGTIDYAAPEVLAGK AYRGKEQDVWALGILLYTIVYKENPFYSIDEIMDHDLRVPYIMSEENLDLIRLMLDRD VERRITISQVLEHPWCQMTDGAS CC84DRAFT_1211701 MPPMGEYDAAPPPYTSHSITAAAADDSILDRCEWSSAKNLELPT ATQLQYVPPRTRQQDRGPQDAEPLPYWDNSSPFVLNLIGEPALTREMVKTDWLHSLSL TAIMHRSVLKYHRSLCPPSTSTSPSTRTSSPQNPTTNIFSLK CC84DRAFT_1211702 MLAAGLVALASRTAAQVASVCPTTDVCFKLNIPENTASSGNGDI FFQLSAPATYNWVALGQGSSMSGANIFVVYTAGNGNVTISPRLGTGHSMPQFNSDAQV ALLEGSGVSNGKMVANVRCSSCNSWSGGSASFSGSNGNWIYATKQSGGAQDTTSQSAN IDEHDNQSTFQWSYANAKGGNSVNPLVNAGSSGSGNTSGGSGGATTSCVPRQAGAVGT GTATKGTTTGGSSRATSTKSVDQDDDSSYGRSTTSPSGWTRPTARPTGNSWEGNDKRA SLEERQTINYCDENDPSNTGFTPVASDGGDNSQKMLIAHGVLGALAFVIFFPAGAIAI RLASFTGVVWFHAAFQAFAYIVYIASFGLGVYIAGEEDYLSEAHPIIGIVVLVVLFFQ PILGLLHHVMYKKHHARTAWSHLHLWLGRVAITLGIINGGLGLQLANDAPRAGYIAYG VIAGVVWLVWVAAIALGEKRRTRAAKDAHLANAGHPAAGHYAPKENGT CC84DRAFT_1159466 MGESRQELVAWLNNLLQLNITKVEQCGTGAALCQVFDSIFYDVP MARVKFNANTEYAYLQNFKILQNTFAKHHVDKPIPVQQLVKCKMQDNLEFLQFAKRYW DQYFPGHEYDALARRNGQGGLASTGAPAARAAPAAAARRAPAASNSAAPRTRTPLGGG GAASAALREENNVLKETVAGLERERDFYFSKLRDIELLIQQAMEADPELEKDEGLLKQ IQNILYSTEEGFEIPAETEAGEEETF CC84DRAFT_1159467 MGNWSDLPEALKGSFDKFTELLQSDGQLKAFTTSNAIDKPATFG IKSSGSDNTLLIEVSNGSAKAKAGSSKDALFTLSALPEQWEQFFKDVPVAPYQSYWGM FGMNIKQKGIEVQGDETHFAHWTHVWRRVLELAHDAQCGPMKEDTQPEPTHDYLTGKY VFLEAPVWGKTKVFYEYSGEGKQPIVFLHTAGSDSRQYHGVMNDSRMRSRCTMYAFDL PGHGRSFPSQQYFPGAHTNTEDSYVGIIAAFVKTLGLRRPIICGASMAGQVCLAVAIR HKEVGAIGTIPLQGSEYLNMERQWHDRSPYVNQSLFNPEWVYGMMSPTAPLVNKQLIW HLYSAQAYGIFHGDLDFYFGGWDGRSRVANIDTNQCPVYMLTGEYDWSNTPEMSQKTA EKIPGAKHKAMPELGHFPATENPAKFVPHLIEAIEHIQKVRSRNLSTMRLGTE CC84DRAFT_1080922 MPTDAPEPAPAEFLESYQRRVAWGHLRDGVQDGELCTPCQLAVD EGDYRRIGTAAWLNNGFVNCEHLDEPLNYCSLCRHILRARERASEKGIVDNTPDNIEL DWMGANIFCHDKGTDLDGDDTVLRDFRVKKCQSSRSIQRDLVEIDRIQSWLNECESQH IEEGCNRHRDEAKNERNTLLLIDVQNDCLVQGHFSDRFFALSYVWGTSQQFLTLQSNY PGLLEKGSLLTQPITQTILDSMTLCIIQDDVINKATAITQMSSIYSCAVATIICFSGN SADAGLSGISPTTRNKSAVYIAPGLSVIKRPNLKLDSTETEYIYHTRAWTFQEQLLSN RSIIFLEEQVHFQCKRELLSEDSYVHSAADRTYLQTTLESVRLTSENKKKRNKLYSPI EDFQWYEEFVPEYTARKMGYPADIINAFTGVQTQLSKLFGWRFTAGLPIQLFDLALLW TPINSVVRRVTELPHPSWSWSGWIGCVHYKDVPAYLNWPGGLPLGDSFLRKSSNLEID TKSSAVLHFEGEVVTLDAFSLKQSEKQLINTRANLPVTPHIHFVYNQFGRCGIFYGLQ SIDNITDHEGSFRLLRLSEWQTMLQDGSYHGPCLSYLVENGYEHKEKLFDEIFEDTRW STLNVMCVQRSRGTWQRVAVGHVHTHAWRDAGPVREKLEIR CC84DRAFT_1226004 MDPLPPDPYLALGLAKDVDIETIKKTYRKLVLKCHPDKVTDPSL KLQKQEEFHKIQQAYELVGEEEARARYDAEVKLEALRKEKAARGASGSGPDIRTARYD ATGPARYDDHKSSRHGDDRYYEDRSRRYDTYEAYPPKQTTSRSSREKVSPQKTTRESS DRTRSDYKKHVATERERESDHSADEKARYEAERAEEDEARKAAADLRRKAEDRRSYED KYDRKQKLSAQENDAVRYIRMSAKGDAERPSPNVRDSRDYYDSRDSRSRRDRPEAVRR SSARPRDRHSPPGRDRKAPEIVDWEPEERKIPAFKHSSSSPAEIHVPRVTPHRSYTES SRDHRRSETSPTPMFRRSETMPSVPQASPTSRKTSTVPRPSGLRESVTPHDSGSSSPE AYPTIPPPASTKRYYYPTPGGGVSLAPEDMGLANGHRTVLREPVLREPERQRHRSPSP LTPLTRPPMGANRPSEASSARYTAATPSKSSVPPPPLGRSATTMNMGEDRGRSRPLYG EIGSDAARRENARRQTSFSPDKVSYSRKIGPEDVRWAPQSGSARDRDRDYQKPALGRH ATYVF CC84DRAFT_1254437 MTTTAPNLKTRKSRIVCISDTHNQTPKLPQGDVLIHAGDLTKQG SRTELEKTVRWLEAADFEAKIVIAGNHDMTLDAPFFEKHNAHPSGHSRWPDTRSSEDN KKLFTESGSITYLENEAATIYLNAKDGPHTCFKVYGSPYVPNNRDWGFAYKPGTGREL WDAIPSDADIVVTHTPPKGHCDKAIHDERTGCAELLQALHRVRPMMCVFGHIHEARGV ERVRWNLDSPRNGSLTRGVEVWEDPGTGKKQSMVNLSARGRRPLDNRSGLTRQIYCPK HLAQDKPLGGGLSGPPGVTQPSEIDSTSYLEGITGEIRRGAKFMLGGAIEHRQGVGSG GVRPEHEPDVEADERRETVMINAAFLGPHHLKTAFNKPIVVDVDLPVWATEDDAE CC84DRAFT_1134414 METSCHHMQRMPAPWTLRAESYLLFLKLSSLPEGLYDPLKSAWT DESLGSFTGGLGAIMIVRYTSTPVGPYDELMLIPGNFSVPRPSTGPPQIPKKALRIAR IYVSQRATMYNGRLNWNIPKHLARFEFSAPVTGEGASPPEKLTVKVFAPGTVEGDSTA PFFACRLTPWRWVPPVPVNMKYVPLKMVHVQPPIPEPAGHRRAVQAELDDGVEIDPYD VSAKNEVAVAAGTDRWCSFDIGGKVVRARGCWVEMLRSETRGEEEGMHFPQELKPWSV GGWMEDAILDIGKPLEWRL CC84DRAFT_67352 MMENVDSLVHTVSANEFALNDAIVSSVSGCETLCSYNWLKDGSG IVVPGGPPQWNPQPLPCTLPKDAGYSLEDAKAAKLPTYPYEPAFRALATMNPSTKFDD IDIVTRRNSLRKLLDFAAGKAIDPFRMDLHMVNDTLFISRKEASAAFMIHGAHNSGHG HNFETRFTKPGNGLNQSHSHHRVVRYLLGHLNCVVQFEVDAYYDDDDGRHSGPSQPQD PVEDAVASMANLSTRHARGSAFLAGAINVIHEGDLVDPAKLAELKLYGKNRRDPMPQI WFSRTPYLIEGRHVEGEVRSIRCTHVEAQFGTWEKDHQQALRKLVSLLDLLKSIVSGT ENRSAILLCTDKGAPLTVFAAKNLGAVLPNDMTEMFWTVKDGVI CC84DRAFT_1159472 MDSAKATAASAVAATPPPNGRKQHKPSSSLGSLYEVLADLDENQ LEYLLQEMNHTGHQNVKVSQAVSAFDSQSPPESLSAIRASMLPCAPGLQRQTSKSQQG KLRLQTAFQRAPSLKQRQQTDFRASLKPAQAAATETPRRGTPRSPPVQQPAAPNDSPI QPVITNLPPPSPEPEIHLQKRGSTTTNTAKARRPTTSSIRTISAYKRIPRPDFNLPPG ITVPDLLQLLEAEFLSSTSLDPGGSPISTSPASAFPGQLSLSPSISLSASPAPPGGRT LRRHSSRLDMALEAERNASGVEEIGLGMLEPRGSPSPASLRRASAASLRRSSAASLRG SNPGTPVEGFGFVRSETPPVVMEGIFDVLENR CC84DRAFT_1079526 MAPSLCAQCRTSRALILRPKDHSKLCRACFLTTFETEIHHTITS TGLFARGERIAIGASGGKDSTVLASVLKTLNERYDYGLDLILLSIDEGIKGYRDDSLE TVKRNAEQYGMQLCILGYDELYGWTMDQVVEQVGKRGNCTYCGVFRRQALDRGAARLG VKHVVTGHNADDVAETVLMNLLRGDLPRLSRTTSIVTSTPSLTADPAANTHIKRSKPL KYAYEKEIVLYAHHKNLDYFSTECIYSPEAFRGSARALIKNLERVRPSAILDVVRSGE DMAKLVPGSDEGCGGVCASSVPAGDEEEGGCGSAQGRSSGGEMATMEQALSSNDRAAD AGLEIEITTSKIAKSAAPVPLTVGKSRKVPKTPNNTRNQPKKQVMGQCKKCGYLSSQD ICKACVLLEGLNKNRPKNHIEVGYEPEKEVNGVTEAVKQLDVGAG CC84DRAFT_67358 MRVQRLALGLVAFTGFTIAAPAPLDLVVRDNFSTDNCPGYEASN VEKTDSTITADLTLAGDACNVYSPDIKDLRLVVEYQSKDRLHVKIFDKDEQVYQVQEE LVSRPQHGETPSDDALLEFELVEKPFSFKITRKENDEVLFDTSGRQLIFESQYVGLRT SLPKNPNIYGFGEHSDPFRLPNVDYHRTLYNAESPNLPTNVNLYGSHPVYFDHRGDKG THGVFMLNANGMKVDLNVTESGDQYLQYNAIGGIIDLYFLAGKQPAEVSKQYADTVGY SAMFPYWTFGFHQCKYGYWDVNMVAEVVGNYSTAGIPLEVMWTDIDYMDGRQDFTTDP ERFPIAKMRELVSTLHSRGQRYVLILDPAIHFKEGYKPYEQGHEMGVFYKNEDGSDYL GVQWAGTMAWPDWLHPNTSKWWAEQIATTFSADNGIDLDGIWVDMNEASNFCSDIDCN PLDDQGNPPKVEHDPRPNTGRPIPGFPEDFQPSRRSLTRREDKYKGLPGRDLFVAKYD PVNQRGNLSGYTIWTNNTNADGTKVYDTHNLHGHAMSRVTYDAMLARRPGLRPMVLTR STFAGSGRKATHWFGDNASIWEHYRLSIRQMLAWVSMHQMPMVGSDVCGFNADANEQM CARWALLGAFQPFYRNHAEIHAIQQEFYQWPLTIKAAKKAIDTRYKLIDYAYTALYYQ TTEGTPMINPLFFLYPEDENTFGIQDQWFYGDALLISPVTTDWAENVTFYMPKDTFYD YWTGQRVEGLGTNVTRTGVSWTDIPVHVRGGTIIPQRANSANTTAELRKENFVFIVAP DANGKAKGRLYIDEGEKIEQKQTTELDITWDNGKIKVEGKWGYPGLNGESIKIESFKV LGQEDVQIGDLGENSKFDSASGSVTVQGSWNLDADFEVHI CC84DRAFT_1108152 MGFDLLHSPTVVAGLIFTTFVVVAVFVRNEAQNRTNGFAPPVRY RALDPFMGLDYVVKLFGDISVMQRNRVQYGKTFMIKPLISPPSIVTIEPENIQRIFGS VDGDYSVFWRREPFVPFTGRGILTEDGDGWRLPRKLYRPAFAKTNIANFDFYSRMVDD FMEKIPGNGKTVDLHPLLLGAFMNNALNFTLGYDTMNPHPDAPLSRDQFESIWADGMQ GIAFRILTGRLISLFPMTKFKQACRQAHQFVEFHIQQALKTNSEKNKSQVGVELLLAQ TEDRTLVRNMLVQGIIGAQDTTSVLASNTIHLLARHPAIWKELREEVLRRGGDLFTFE ALRSNDIIQNILSESLRLRPVFPAVDRVAVRDTTLPTGGGKDGTSPIIVKSGWRVQPA FYALHREPSVYGPNVEEFDPHRWKTIKPSQWEFMAFGGGPRNCMGREKSLVEAAYLLA KLAGKYETLEARDDKPWTGLMTFTCANKHGCLVA CC84DRAFT_1068870 IRKTLAVSALSAFAAAQTSTTPKLDATCADVVIFMARGNNAPYH DDRTTPFIDATCSKLTAEGKSCDYIDIQFDVTLGGDYCAQITEGAANGIKQVTAFNQK CPCSHIIVNGYSEGANVAGDVLGGPGGCSFVSTGIDNTSSAGKAIAAALLWGDVRHTE NQPYNVLDGASKGPYPRAGADLANLNRYSSILRSYCAAGDPVCAGGKTVADHLNYFEL YTDDASSWVVAKVDAAAPLCGASSSSSSVAA CC84DRAFT_1108157 MHIAHLSTLIALALSVYGTPLSIRKPHHLPKRLIALEEHVVSPS LEAEVVAGGIVQRSPGTLEKLKDVGAGRIAAMDAGHLSMQVLAQQSASGLEDPEGCRE ANDAVRAAIRTNPKRFAGFAVLPIVTMLGLKGAMIWNHLKDQTYYDNARFDPVFAMAE KLDVPLYLHPAAPAADIAAKLFAGNYPAAVAGRLGTNSWGWHVDVGTHVLRLYSAGLF DRFPKLKLIIGHNGEGLPMFIDRIDSTGLRNDTTFDRVWSTNIWSTTSAFFTVRQFQQ LRQVSPVERIMYSVDYPFSTNTDGWAFVEKLAEAKVLSDDEMDMFAYKNAERLLKL CC84DRAFT_1078683 MPRRGANSSFGSDAITTTALPQKTQWPATFTDGLPLPKIVVFDL DYTLWPFWVDTHVTGPLKAQEGGLKVKDRYGEGYGFYADVGGILDALKQKGILIGAAS RTHAPDLGREMLKLLKIPSSTSSSSSRAIDYFDHLQIYPGNKTTHFERIHRDSGIEFE DMLFFDDESRNKNVEVLGVVMQLILDGVTINEIDAGVRSWRKRHNKVPN CC84DRAFT_67439 MRVLTALVSAVPLAGSTFALAIPDVGVANLASANEVSLDNYTCG YAYDERDLPGLGNYIRLPAWEVVTYNIKACFVSPECGCRFWNDEKAVIAGSRAEAPDI PEGDMKYAGCWHDGHNGHLDVKRFRGQWDRGQRNPNQETGSQACRWIFSRGSPVLGIA VRTGPATGDLGAATRKSYVPALSHQSWTSLEMLLSQLRSPQVKTPSTAAMPTLSPAST APAAPPCNPPDQNWRRSPKP CC84DRAFT_67468 MAAIRGLVLAGALIALSTAPSSYGAPTLLPLSSARSMLLARVLK WVLAGCLVLEINSLLNAWAENRWMLTNDKSSWQWDKEFAVVTGGSHGIGAAVVNQLTS HGVKVAVLDIQPLSEAVQNDGSLVSFYQCDLTSRDAVHEAAAALRSDHGSPSILINNA GIGNSSCILDLSPKLLQTLIGLNLVSHWYTVQEFLPDMIAKKKGHVMATSSMAGFVGL AGGGDYAATKAGLIAFHESLTQELKHRYKCPQIKTSIVYPYWTRTRLNATIQKRLGGM LKEPEDIAKIMVDHIIAAKSGQLVLGPKLAPLLRGTPIWLQELVRDSQAHIVTGDATS AVLKSS CC84DRAFT_1159478 MPPARPSIRHLCLGSTLTPFLYPSLSEACAHSFARPFLVGRPSR PVRCSSSTAESAGSHSESPDDQPSHLNPTPDNYALTPFADRCILTVESGAGGHGCVSF LREKYIEEGPANGGDGGSGGNVYIQAVRGETSLHKLARRRLLKAGRGKNGQGKVKGGE RGADVLITVPVGTIVREILRHDPIAEEIAEQERLERERGRPRWRNKKTEEKERQLEED LEQGSYRKDKWLVFPGMVPSELNRLSFPPLPPPRKSHLAALQPQAPIWLDLDKHMETP MLLAAGAMGGLGNPHFVLPNQYRPMMATRGDPGMKMSLQLELKILADLGLVGLPNAGK STLLRALSNSRARVGNWAFTTLQPNVGTVVLDDHKGRPKVIKRGRDGLLRDNFTIADV PGLIEDAHLDKGLGLGFLRHVERAAVLAFVIDLSAGDAVQALKLLWREVSEYETMRGK ELNAETERRMDQMVTYEPPTRRSTPSEPADMLNSPDFDTPLEPLEFIPTTPISAKPWF VIATKADLPGTQDNYQQLQHYLEAVNKKAEQHPSGRKNAWKKGIQAVPVSAIKAEGVE IIPQLVMDLLEE CC84DRAFT_67508 MSSRTSAFDLSKCARPNILALEPYRCARDDYKDDGTNILLDANE NAMGPGLALNKDGKLTNGTSNGSGPAVDIDLLGLNRYPDPHQLELKQDLCNLRNFKVH TSKTLTPASLFVGVGSDEAIDALLRAFCVPGKDKILVCPPTYGMYSVSAQVNDVALVK VPLQIPGFQLDVPAVKDALAKDQSIKLAYLCSPGNPTGAMLKKEDIKQILEHESWNGV VVVDEAYVDFAPDNASLAEWVTEWPNLVVMQTLSKGFGLAGIRLGAVFTDPAIARILN SLKAPYNISNPTSQLARAALDPKHLEVMYKNKDLIVKQRERMLAELPKIPGVGKFLGG EESNFLLYEMLDAPADQGGKPSNETALAVYEGLAEEKGVVVRFRGKEHGCLGCLRITV GSEQEVDRFLEEIKIVLQNIFKKSGKPGAKDEEKKEEEASAIVA CC84DRAFT_1211722 MNVAQDCDSNEDGWFEDNARTLFSRLTTAGHDVILSAPSLDRSG SGSIDIFPKPPPKYHGCEYKSCPSLSPAIGYNASNPRLNYVNSYPITNVRIGLQRIAS TPWSTTALADLVVSGVNVGYNFGWQVYGSGTDNVAMYAPRHGVPALAFPGHEGVMVG CC84DRAFT_1080938 MLDHNTRGRQAVILSSVFSAFATLTVLLRLYTRLFVIRYLGVED YFVSIALVCSIGLNICIGIPCGFAILLEGTHIATVILQPLIIFVKAFYASLIVYYLSL GFTKVSILLQYRRVFSTRKFQIACDVVLAVVVVYAFWTVLSSIFGCKPIQAFWTLKHP FKCLDQFTVWFFNGAMNILTDLSIIVLPMPVIRKLNLPRRQKQALIGIFAIGGFVCLV SILRLQSLVAISNSPDPTYNNPPAATWSSIETNVGIICSCLPCLRPLVTRYLPGVFSN TSSRSEQSGKSKEVRRGSRNTFSRRTGEASTNVSTVKSEDMFDMNQFDDRESIIRVVK EVHITVENRQTGAHVKEDV CC84DRAFT_1211724 MPEPESTTPQATANPVAAATQQLHPTLADRMKKYEASFDFTLPL AASTILRLDGHTFSRFTANFHKPFDQRIHNAMIATCVDLLKYFNQATVAYTQSDEITL VFPSGVQTFNERVQKLSSLAASYCSVRFNAHLAAYLAAQPEPKVKDAAYGALGTAHFD ARFFTVPSVEEALNCLLWRCRGDAMRNSVNAFARSLYDDRQLHKKTVVEVLNMLRNEK GVEYEDAVPRWAIEGSLVKWEQFEHEGVNLKTGEKVIAMRTRTKVKDRGVREFSEGNL KLVTHKFW CC84DRAFT_1079243 MNKLRSFILAFDVVFHSSSLMFVALALIAARIDGKEVSQYGQHL QQVLLLSPTIFPVIFAALMGRCFKYLGLNLAERGTTLGRLEQLIGSTSLFSALERQIS LRGFSLIGYILMILWLLSPLGGQSALRLMGEETNSVFSNGTIQYLNPDTVVGTAMGAA SDINSARSVFSPIFLASLLSSSKYQDTSMDLWGNVKMPRFASVESSTSDEWKTIKSDN NTNITYASLIGIPVAQLDKIGASNFSVKARQWDVDCDKNEMQGGKQADFGSMDVSTWK VAYTPVNSSCSKWPCSISFKSMANNDAENKDYFNYTVASCKLSYDAYETRISCKGRSC IPTAIRKVDSYIGVNYTRDTDAFFRNTPAFNTMITLPRIDNLGVGSVAFRGSTNAEKW MADPTNFVGLKTYVQLYELSPEVLSNRLTILWNSFFQSTYAMNTLGGNLDQTFDNTTQ STDSQLFNGTASTISTPMPSVWKLNWKWFTALLVSSIILQIAAYMGLFFKYYTCVPDI IGYASSMTLLNPYVPTPTGGTTLHGLERTHMLQDMKVMIGDVCANETIGAVAFAKADE GRVARLTRRRLYV CC84DRAFT_67514 MTGFLDLPLEIRNVVYDFLLREELEPHFRAVMAVSEVYVKERLP LRNYRGLLQTCRKTYCEFKQAIQHLAASKQLEYELDITFSHGRPYFALTWVWFPGLSS TINSLVVNVDLRIREPFYYEGHFQSPHDHELAHLIEDVSESFAEQLFDYIAILLKTLA NLLSYGDSRFNLLYTESLILNFRTPTTMVPGLEVPRAEQRRVNVDADEAEDLLETMQT TLKANAKAFQAFAASQCGLLSPLIQIGSLQFAVEGVVWGEGHNLILAHNDFQWLQY CC84DRAFT_1081112 METVEDPNLFRITSMDDPALSPVSTSQRLPVRRLRSGGRVNQVS KPLEDTFAAEDPPRVTKYDVGWRRIVRNFSPSWFAVTMGTGIVSLLFITIPFKARWLY WLSVIFFCLNTLLYFTFLIISVLRYTLYPEIWKVMVADRTNSLFLGCIPIGFATLVEA WIFLCVPYWGRWAVNFAWVCWMIDSIVAVAVTVSLAVILTSTPQQHQLDYITAVQLLP IAATIVASGTGAEVAKVLPDPRNALGTLIASYIMWGLSVPFAMSILVIYYQRLALHKL PPREIVVSSFLPMGPLGMGGYTIIYLGSVSRTVFPQVEFFDNLTIAGDIFYINGIFIA LIMWGFGLLWFCFALASCQKLRPFPFNMGWWGFTFPIGVFSVCTIEFGVQMPSLFFRV LGTMFSVAVIILWCIVMAGTVRGAIDGRLFYAPCLANLPKKEEDITKRNETEQEPGHT EAS CC84DRAFT_1211728 MKFTTSLALFSAVALTNALAIRQSNAQTFTGALGGIAATPIEDS GDAKRPFSVKGDTFVNLGAAVQRSCDQQFNACANLANGGSQSVAFADCTAQKNQCDAA GAA CC84DRAFT_1159485 MSNTIKVVARFRPQNKIEIASGGEPIVEFKGDDTCTVSSKEASG SFTFDRVFDMSSRQNDVFDYSIRPTVDDILNGYNGTVFAYGQTGAGKSYTMMGTGIED EVGKGIIPRIVEQIFTNILSSASDIEYTVRVSYMEIYMERIRDLLVPQNDNLPIHEEK NKGVYVKGLLEVYVSSVEEVYEVMRRGGDSRAVSATNMNAESSRSHSIFVITVAQKNV STGSTKSGQLFLVDLAGSEKVGKTGASGQTLEEAKKINKSLSALGMVINSLTDGKSSH VPYRDSKLTRILQESLGGNSRTTLIINCSPSSYNDSETVSTLRFGMRAKSIKNKAKVN AELSPAELKLMLKKAQGQITTFEGYISSLEGEVQLWRGGDAVPKERWVPALETGSGKK PPSTPRAQTPSRLDATKNSETPSRPDSRLDIERAGTPSIPLEKDEKDEFLRRENELQD QVAEKESLLEKANELLKAAREELSYFKERDSKSNKDTERMATELNELKMQIEKLNFEG KEGQITMDGLKDANAELTAELDDVKQQLLDARMNAKETTAAMDEKKKKKAEAMAQMMA GFDLGGEVFSDNERALGDIIKQLDSLKELSASGEAIAPDAIQELREKLVETQGIVRQA ELSLNNRNEQDDVHNKRREALEQRLANLQQSYEELCEKNLSEADKEEIKSRLAEAYES RQEGNIALLQEIKEDLARKSEKNAELTAEIESLQQRIKSGAIANGVANGINGKSVQQQ IAEFDNMKKNLMRDLQNRCERVVELEISLDETREQYNNVLRTSNNRAQQKKMMFLERN LEQLTVVQRQLVEQNSSLKKEVAIAERKLIARNERIQSLEQLLQDSQEKLTAANHRFE AQLSAVKERLEAAKQGSTRGLGSPTAGASFAFGGAVGSRVAKPIRGGGPVQDGPVLPV LSNLQAQDSGAQQSGKRTSWFFKG CC84DRAFT_67853 MLLPCSVTYCIARAGPTAKRGRGPVAGLVNPGTARRGHNRAKNI NRHTLYARPHPQRLSGSLDLCTFLSLRALVLVLTSTVVSQHCAILKRAAAESP CC84DRAFT_1159486 MSTAARRRLMRDFKRMQTDPPAGVSASPIADNVMTWNAVIIGPA DTPFEDGTFRLVMHFEEAYPNKPPGVKFISQMFHPNVYGTGELCLDILQNRWSPTYDV AAILTSIQSLLNDPNTSSPANVEASNLYKDNRREYTKRVRETVEKSWDD CC84DRAFT_1192417 MAPSLLLPTEESQPYSPTVVKQAPKLGVEGAVSLEVHTPAGSNL SFSSSSPSANGNGRATHGSSNGNGVSAGQRQEQHFITSQTLLEQSKIFASSNAGAHGT SKKPNILYIMADQMAAPLLKMNNPDSVIKTPNLDKLAETGVVFSSAYCNSPLCAPSRF TMVSGQLPSKIGGYDNASVLNPDVPTYAHYLRREGYETVLAGKMHFIGPDQLHGFEHR LTSDIYPGDLGWTVNWDKPEERQEWYHNMSSVMQAGPCVRSNQLDYDEDVMYKSSQWL YDWTRQDPTTRRPFALTVSLTHPHDPYTMTRDYWDRYEGVDIPLPKIHIDREDQDPHS QRLMKTVDLWDNPIPEEAVIRARRAYFGACSFVDDQIGKLVQILKNCYLDENTIIVFS GDHGDMLGERDLWYKMSWFENSARVPMIINYPAKFAPKRVTESVSTMDLLPTFVDLAN GDASAILPVDGKSLYNYLVSDGPGKDEVFGEYMGEGTVTPVYMIRRGPWKYTTSLVDP PQLFNLVEDPQELLNLAESPKHAHTFAAFEAEAKKKWDFAAIHQDVLAAQRRRQLCWS ALRIGRKEVWDYQPPGIEKDRYIRSHIPLDDLERRARFPVVDHLGREKSAAASHHGIA GAAGE CC84DRAFT_67936 MRKPRQLAMTLSEISALAKAITCCYDMSISRACTPFLGIKFSFH TCSRNGPGALQRTNHKTLRRSLDCSRNRNLLFRRLYCKRRAGHLSITRPLRQAPQCTS FKKTKDAVHCQPPAVSPAAQLCPHLKFLPMTRCEVTVNPFTTIVSAWAGLTQLQPSRA SRTTLI CC84DRAFT_1170915 MLYTVLPAIVQDRIPTLPSIRRALSDMRATSRPLNNPRTASDTS LPRSPPPGYTSRPTSAVLSQHSSNRSSIYNTRDDEDMFQEALSESMASPISTPPPFAV SETHTGIKWKYANQGVSLSAQAYAESQSPHLDDTSVILTRQMYIHSLTYLLRGLPTAL SPEEAISLQAALPPDLVINTPCDHDLPFANSSRDTSPPSDPSILHRIIATVVFETFVL LQFLLPYIKLFLGHAYRFERKHNVAQRLVNSGIMGADAVRRTGMQLTHTICQMNDGKV GVALSDLMFWCVRGVTGGLQQGIQEGFGLLGRDEERPRTPARPGSARGGSAKGRKL CC84DRAFT_1134465 MLTKLTIASAILSLASAHPVKNAILPRQSSGPIYPENGTLVDPP PVTKFTRPNPTYTDEQLLALKTAYTTAEKIGLLASYGSPNDYFKFDLTPNGSASNAAN GLGGQGYLAFVQNYPILIGTGVSVAIGYLNPCGLDSIHLHNRADELVTLVKGTSLKTG FVLEDGYDQPIYTEIGLYQTTIRPQGSLHWEFNDNCESAVFVAALSTEDPGVARTAQN FFINPQEVVDANLAYPKWLVDVNTAEYRTQLPTAFAQGAKACYDRCGIEYFTNQAGGG PNAPAPKEHVDLGLNDTSSS CC84DRAFT_1134469 MFSALRRCPASLARNISTTALSSRVLRPSLPRSSAAIRIAAPRV PAVATAAFHQSATWQQIAAQAAEEQPVEVEPVTRFADLATRGLVHPNIVNMLTRQMKL EDMTDVQTRTINEALSGVDVIAQAKTGTGKTLGFLIPTVQRILRSDPTLAERARGNKR ARPDDIRAIIISPTRELAEQIAVEAKKLLAGTSLIVQTAVGGTMKKAMLMKTQREGCH IMVGTPGRLNDILSDPYSGVKAPKLAALVMDEADRLLDQGFTAEIDQIKTHLPDPAEV ERQNLMFSATLSRDVVELVRRTMRPGFHFAKCVDENEAPTHERVPQKLVSVNGFENIT PALYELVLREYQNGKTADGRPFKAIVYFNSTAEVTLAASVFYKLSGGFKKDKPLHGMR SYEIHSKLSQAQRTRAADDFRFCQSGILLSSDVTARGMDFPNVTHVIQIGLPRDRESY IHRIGRTARAGKEGEGWLFVTPYDQHEYRKRLRGLDLQPDNTLQTAQVDMTAPSEMPA SAASLIQQTVEAHAKVYPDQLSDAFRGLFGSFQWYGDKQGLLTGANRLAEFGWGMEQP PPPPSSLFSGGGRRGGFGGGRSSGGFGGRGGDRGGFGSRPSGDRGGFGGRGGDRDGGF GGRSGGRDGGFGGRPGGFREGGDRPPRTGGFREGGDRPPRGGFGGRGSDRPPRRESNF F CC84DRAFT_1108202 MVDRGPASARSQNPRDPMDEADRTKEREFYRYYHVQRPQEHGRP NGTPVLAPLQTQSLPEPVPDQLIPSDDTALAAFAQLGALRLNCRRCLISFFDRRNCFI LAEATRTACLVTGRAEVPEDDLAFGTSIFPKDKSICYYTVKLPWGHPPPFDEYAHHPS LVVNDLTRDERFKNYPFVEGAPHSRFYAGVPIRSPNGHNIGTYCVLDDKPRNGLSQSE MRFLKDMAGTVMRHLETSRAAEDHKRGGVMVKSLGSFADGKSSIDDWWEETEATASPS ETTPMQRQRRPTVNAMSPTATTAQPGMLARNHSAESSVPSVNSPGQTSMSSIAPSSTV GTPASEILDPVKPEVLSKMASATGNGKPDAVASDTKAIFERAARMIAEAVEAEGAVFF DAKVSTFGGLVDDDFTSEQPPEPDKPCVVLGAARFKSSQNSSSPSSQIFMTESVLKHL LRNYTHGQIFNFDDDYSPTAQADAVVGDDVDLMISRKSDSVRSADDEQALREVFPRAR SLIIYPLWDAHRDRWFSSLIIWSSDPMRVFTNEQELSYLSAFSNSVMAEVARLDTRLA DSAKADFISSISHELRSPLHGILGMTDLLKDTSIDTQQQSHIQTIENCGKTLLETINH VLDYAKINNLTRGASKRRPKRRTKSAKHVITPSQGHTNDIMTIISDFDLSILIEEVLE TVFAGFNFSKNNFESLDQGPRKYEPPPVSVIVDVNKWDSYVFRTQPGAWRRIVMNLFG NALKYTPAGFIKVKLQVVPNSDRSDENMELKLTVTDSGIGMSEDYINNRLFHSFAQEN PLSQGTGLGLSIVKQLVEILGGDVEVRSEKDRGTKFIVSCPLKPSSLSPTVSALNPAQ EIPNVFKRTTGKVVQFMGFDDGDEVEVTSLKNKNASAIGEKALKEMCKDWFGLDVWDP VATNAPAPNLIMATEAGARRLRAQFSKTPNAVPLAPVIVLCRAAALAQSTTAITVPGL IFECIAQPCGPHKLAKAIVSCLDRQANRLVVESTETDNTSLSGISQLLLKENAAARSS TKPISSSLSLPRPHVKSAISAPEIRSVNQSPASPIHVPSNALNCLAVDDNPINLRLLR SFVEKLGHRHVLAKNGLEALVSYKASTLETAVLKLSRVDVILMDINMPEMDGLEATRQ IRAYERDNSLPPVTIIALTGLASSEAQQEAHASGVNLFLIKPVRLADLEVVLKGVVTS EEGAKVDDIGRGEKSEDSAEGLGPEVEVREEGSGHLSVGKPGGDVHERSKSSV CC84DRAFT_1108206 MGRLMHVATCALNQWALDFNGNKNRIVESIRIAKSKGAKLRVGP ELEISGYGCLDHFLEGEIYENSMAMLQQILEDKSLHDIIIDLGMPILHRGNRYNCRVV ILNSKILMIRPKMHLANDGNYREMRYFIPWKKPLKVEEFVLPDEIAAIQGARKVFIGD AVLSTNDACIGVESCEELFVPESPHINMGLNGVDIITNSSGSHHELRKLETRIALILE ATRKNGGVYLYSNQKGCDGDRLYYDGCAMVIVNGQIVNQGSQFSTTDVEVVTAIVDIE EVNSYRTSPSRGAQSQSAPEYKRIDVDFSLGQGETDLDLGVFPNKPQPLRIHAPEEEI ALGPACWLWDYLRRSKSAGFFIPLSGGIDSCSTATLVFSMCRIVYAAVQEGNEQVIAD VKRICGPYHHDDKWLPSSPKDLCNSILHTAYMGMASQSSTETRSRAERLAKDIGAYHT DLNIDLAFDAQRQIFTQATGFDPKFKVHGGTQTENLALQNIQARQRMVTAYMLAQLLP TVRQRDNGGGLLVLGSGNVDECLRGYLTKYDCSSADINPIGSISKTDLKRFVRWAAKS FDLPILDEFVDATPTAELEPITADYVQSDEADMGMTYAELSDFGRLRKEKKAGPYTMF CRLVHDWKDRASPREVADKVKRFHHFYAINRHKMTTMTPSYHAEAYSPDDNRFDLRPF LYPSFWESYSFKKIDERVALLEKRAQEGGKQ CC84DRAFT_1192422 MSPTLLWKLDTRILPLFILLVLCSFLDRTNVGNAKLYHLEADLR MTNAQYNQALTAFYPLYIAGEIPSNLVLKKVTPRIWIGCMASLWGLICMCIGFVHTFA QFVSLRAVLGLAEGGLFPGMVLYLSTVYTRSELALRIGVLYTATSLSSAFGGLLARAV AEIGDRGGLSPWRWIFVIEGLFTMCVGAAVFLILPNSIAAARFLQEDERAVALQRLEG VEHGTGNKEIEEKFAWSEVRRAIFSPQTWLSASAYFGLLTGIYSFGLFLPTILAGIGY TANSAQLWSAIPYSIAACTTLAVAFLSDRLQLRGTMMLCTMPVAIVGYAVIANIGASH PRVKYGMTFLMATGLYSSVPPVLTWLANNSAGHYKRATAAALQLGIANCGGILASFIY PNSEGPRYHRGHTVVLGLLVAGWFAILANVVYCAKVNRDKARGRYDRYGGYGDDREPA FKMVL CC84DRAFT_1211738 MEALVAVGLAGNVVQFVTCAGSLIAQVNYIRMHESPKSLPELVK LSKTLTGDAATLKTRLKASTATLKEEDQNLLDLATECEESGIRFLDYLKKWDKKSSGI LHNAKTALKFQWKLHQIEEFAGKIEKLRGSLTLATVLAFRTSVESNHEDILEHLKQLQ INAPPKTTVNDTHQLQYLIDVLSDVIEHHTVDRLNAIHKQISASLNSILAIRTQQITD EKKHGHEQDILSWLDFRQIHWRYDAVDDAYQDTYNWIFESANGTQEWDDLGSHLRQDV KDPYFIDGKAGSGKSTLMKYIVDNRKTREALKEWAGQDPLLILPFFFWNIGTTLQKSH IGLLRALLYTVLSKHPELVPAVFPKLFHNWKGSDAEIAPDYTELKRAFELMIQKSTYL KLAIMIDGIDEFEGDHRDMSLFLRSLGSPRVKLIISSRPLNSCLEALEGCPTLRLQDL TRRDMAAFVQGELATHHLMIRLLRQFPDRAPLLAVEVVEKAAGVFLWVKLVVRLLIEG LEDGDDLDELEARLSSLPPDLRELYRRMLEKMSTKNQRQASEVFQIVQTWNKKVNDQQ IPGLVLSYALKAPKECFDVPIAPMDCEAFDSEMYVLSKRIRSRCCGLLEVHHVKMRRL RREAKLTEDQSIDDPVTLDEANKTVVTYMHRTVAEFLDTKTTWEDICAFTRDRNYDPS VRLTSGCLSTIKMATHLRDVSLRWLLQLTTMFCGSATKLPIHVYARYLVEIDNKMCEL QQDLQGPDGDTDYNPKDKRNGGHSNYNPKDTAHWSVSWIGPELARLKYKYVSLETFAA ARGLYFFSIPSNKPKTDYSWFVNIWYAISSWRRTEFSEDYLPDRSQRKRTLEYLAKHM RKFEDTSLIHRLWHQVLETSTCLQEERKYLDAAELLYTFLKAHGSPRRLWELSREAYE LGDAEYDPQRICRGMFHACRKAGWEDDQNQDDAMRVLKELHLLVSRNPVPGKRILDDD DGGEFLSDTDASNFERASASSSSPQPYLALQPPLESSMRRTKHQMLPDSENLESSERT KRRRVNPAVEWHVPAHWNTSIDSNQCPLEEWEIQEADHALDMEE CC84DRAFT_1108213 MAGSTVPSKSSIEVPESVLKKQKANAKADESRAADLKKKREANK KKRSVIFERAQKYQQEYVKAERDIIEAKRKAKQDDSFFVPAEPKLAFVVRIKGINKID PKKRKTLQLLRLLQINNGIFVKLTKATTEMLKIVEPFVTYGYPNLKTVRELVYKRGYG KVNKQRLPLSDNEIIEKNLGEFGIVCIEDLIHEIYTVGPNFKQASNFLWPFKLSSPTG GFRTRKFKHFIEGGDLGNRELFINDLVRQMN CC84DRAFT_1134483 MGRGGRGGGRGRFRGKNKGRGGGGGGARDTRTESWNDIQRKNEL FESYYRMGGFLEEAEFEEMWKYLATDLPNSFRFTGTKSDALAVREIFKKRYIPAIQSM DFEGEPVQPPQAVAAFPDELVWDMKTHKKVIRRYAPFAEFQKFLVAETTSGNISRQEV VSMIPPHFLDVKPGMVVLDMCAAPGSKTAQLAEMIHGDEEERVAKAARNEDPNVDGEG DYSDDGRSTGLLIANDSDYKRAGMLTHQVKRLNFPNLIVTNHDAAIFPSVELPPLPSG KKQYLKYDRILADVPCSGDGTARKNPNVWSKWTPKDGLGLHNLQLRILFRGLQMLKKG GRLVYSTCSMNPVENEAVVAAAIEACGGISKVQLADASGYLPNLKRKPGLNKWKVYDT SAVPGGEKTAHLFNSWDAFVKAKQKYDTEEPERQFSSKISPGCFPPTETSEEERIPLE RCVRVYPHLQDTGGFFIAILEKVDDIKIAQISASDNTELLRKAMQDSEATTPTATTVE PSDAPEQPNSAASPSKRKLDDVDVEKTEVVKKVKTEEAPLQQPVTDSDTKAGAETSVK ASNGAVTKASGDEPSKSKGGQQSSKEYFEWVPADDPSIAQILDFFGISSRFPRDRFMV KNKEGLLLNKIYYTSELAKTVINQNKNRGMKFIHCGVVMFVSHKIKDAELMKAPWRLQ NEGIRILEPWAEQRIVKCTNKSTLYKLLIEMFPKMPKDGSHDIGEVGDQLSGMDIGCC FVRIEQSEGEDGFPFRMVLPLWRHPGSANLMVDKDDRKAMLLRLYNEKDPQIKNHVAE KAAAAAAEEQKLNELEAKEAEEEASKDPAEIEAKHAMEIEEEGSVKLDDAMELS CC84DRAFT_68051 MANPTIEWRRRVLLPLWAIRICLLLVIVIGFSVAMGSNYDDARR IIRYPAAVAFLFFTIVVLLLDVIQIILWTRDRLYPTYFAGLTIFQALFWGLVLLMDIV SIANSQQSSRAVSLVVVIFLLYLGLFIYALRGFLKQRRLSKQGHYTPANDAGAPAVAE IRYSESTFDRNDEQHHSPIYRGGDLGESEPLYAEDEGLVDRYVDRPKKFTTMV CC84DRAFT_1226065 MPLHQQTVQSRGIYHGLPVYDASVKGLTAIITGANGISGYYMLR ALAQDPERWSKIYCLSRRPPAIPDGLPSNAEHISLDFLKDKEEIAKVLKEKGVTADYV FFYSYVQVKPKEGGGLWSDAEEMCRVNLALLENFLSALPLANITPKRIMLQTGAKNYG VHLGPSATPQEEHHPRVTLEPNFYYPQEDFLWEYCRIHGVEWNVVMPSYIVGAVPDAA MNLVYPLGIYASVTAHLDDTLEFPSDLKAWEATHVGSSSMLNAYLEEWAVLTPQAKNE KLNAADSSPFAWGTFWEKMAGWYGVPCGRPSMEPKDYTAITTPHDPPPRGFGPPATHH VRFTLTSWARQPHVQKAWAEIIEQHGLKNAQKLEDMDVDRIFAFADGSLLGGSLDLSM NKSRKMGWHGFVDTSESIKEVLGEFVELGMLPPIPK CC84DRAFT_1067519 LFPSPLHIRKKPRRATFYRRIIHKSLYKLQDEAVYGPLDDIITE ITSDIWASPSEWNLDSTLRGLAEPLGNTVMPLPVSTVMPLPVSRIPSNQPLTIRKNRS SRSTASESSATYSIMAISRSSNGNEASDSSKVCANLTSPPTPWAQVDALSRPEPEPTS FNLTICPAQTPAQQALEEVQHAEEPKLAKRRSSRARLFPGGLTRLLRSSSDAKAAELP TCAEDCNSDGGNNSGLPSTDASNDASISCMIKQVARGLPSPLGNRHDMDVIEAHEAPR MPLTLKTTINVLSEIKVFGPEQSQEFWASIEIEGVLHNRRRLVDSNIDVVFVVDNGYY VSKDSLARALEAAAGGLHQLDRGDRVALYTTHCTHGSVASTVPDKLLPLRPVCSATEE IFRHLTLDIEKCGTQAWHPPRPTPPMSNVILAIAKSLDKELPKHERCHVIILSPVVDV LHTVSDTLPNMYVHQINSAVLPFIRNEEHRETCCRNVFVSNWTHYQSIPGRIKQIILQ ARSECPVENIKDIHIDLRPKSGCEVLEIDGPTTMSTLRSGQAFCFLVRLRVAPAQTQE LCESPEDPLLQHSLEATNLRQEIYATKKLDAKLAHLVSVQIFYKSNLNPPGTWSYTEA PLVAVAKLGRLAPPHDLGLDVFKRRVFHNLKSLDNEAARKQAENLAMGIPDDRDDLKQ VVHCMAKELHWHNAVLEYEALSRQKLPSCTGPI CC84DRAFT_1159497 MSLPVSSPALISFRRQQLLVEFSSLRHAQLDGVFVSITPGDPTL WVGVIFVRKGPYAPAVLRFQISFPPNYPSLPPLVTFSTDVFHPLLTPLTTYTYTTGSS DTDTVSATDEERLPPGGFSLRHGFPQWFGRARRSAASSRNVSGSGLGTPAQSYTPVQS DGRDGAPSPLDLTGLAAKPVSMVGVLDYIRSTFSEEQVLDSIAIEAAANPSAYHAWRA YRASAPQTQLSSPTSTTADSQGSSQGRATGGSTLVRNRRPGEWNWEGVWEERVRKAVR ASLSEPVLFGGAASEDIIRFRNSDEESAVRIQRQLEAVASRTVEL CC84DRAFT_1226079 MASDLPRTGATAGQWPKFSHVAREELAHSQERLVTSAIHTSQRL GMAGPPTRVWSEVTCHRRDVGARAAPLPRWRSSSVSRAGHGAVLGSAANARPAQPPAS VSWQAGRACPRTRAEQSTSVTISAAPVGGFWQQSHSEDRGPGVVVSSPEAAKNTGRLS FFDASPLPLCIVSISRGPASNPGPALQQPALRPHRRTAGSTRCQTAVMLTTLLVATVL RHAAEDLPGPAMTSRPQPRRQVQRRRTQPTRTCQLMLSWAASWSRGR CC84DRAFT_1108225 MARHGGVSTHSPDNTPFPYRQLAILALCRICEPIAFMSIFPYAY YMVKDFKITDDESKVSMYVGMITSAFAFMECMSGIFWGRLSDRIGRKKVLLGGLFGTG LSMLLFGFAQSLPVAMLARALGGALNGNIGVLQTTVAELVTVEKHQPRAYSIMPSVWC LGTIVGASLGGILARPALSLPAWFEGTIFETFPYLLPNLVCTAVVAFGLTVGFLFLEE THEDRKYDHDRGTELGQWLLRKVWGQPAYEPLSDKDASLDEMSAMLGDDDATAYRSTD SSPTLCSSRSSICEPPPFSLEKEVVRAPTVRDAFTMQTCLNIVCYGILAFHTISLEQL LPILMSRDESRGEDRNLPFYFTGGFHLSTQTNGLILSIQGVLQMFAQLIIFPWISKKL GSLRTFWLTIALYPFLYIMAPYLALLPKSMRIPGIVLLLVWKVTAQALSYPSLAIMLA NASPSKKVLGTLNGAAAASASVMRGFGPTVSGAVDSVGVQIGMSGLAWWTIAGVAMIG WAPAFAMQEKRKNTQYSSTSDDEEAGFGTSDTDSVLTLTLDGEAEERLPK CC84DRAFT_1159501 MTGLKRAHDESSHDGDAASPLEAAATAKPASPAQTASPASSFRN VSACNRCRLRKNRCDQRLPSCASCDKASQKCVGYDPITKREIPRSYVYYLETRVAYLE SLLQDNSIAYADAEQFNPSFQPANGSASRPPSAAGAPKHHNGAPTTHTGLTKEEQDRN DREKLTKLVSNIGMVSVQGASDPRFLGSTSGISFARVVFAAVKSSVSGSSSERGSQRG SKQLPTNVADGGTSMRDSFFGLHTKPTFQQAPFPERDLGARLVDLYFEHANPQIPILH RGEFMELFERVYASGGGQRTSRESYMLNIVFAIGAGIIMGSSDSGESPTSDGATSPTK SKPMSPPSNKKRRLAGQQHQPEEYHASAITHLENFLGSTPAAERPDGFGGGLEELQAV LLLAGFALLRPVAPGLWYIVGVAVRLGVDLGLHYEDGVGIDGSASEDQVVGKAEVKEE EDATAGATAGKIDAKERGRREWVRDLRRRLWWCVYSFDRLVSTCVGRPFGITDQVVTT EFPSILDDRYITKEGFLDPPEHLRGSSYKVVAYHYFRLRLLQSEILQVLQHRQAQQAR ANGTNQANQYMHTKLPSPFLANFSSFRSWRVDIDRRLLEWKDSAPTQQDAGVQFSPLF LELNYWQAIIMLYRQSLVVPTGLAGELGSANSEVGSPSVISLDEREDEELVFLKVAEA GQKVLKLYRQLHRVRLVNYTFLATHHLFMAGISFLYAVWHSPAVRSLLSLDDVDFTVL AATSVLGDLIEKCPPAEACRDAFDRMSKATIQMCMSTTGFGPQALRHHRGPATSPNTA YPPASDADTEMSGHQPTGYFQQARRPVPRFDMNLKELFSEDETDRRSFGRISNQLGSM RPPPPPQSVKQEHQQMQPFTADLKISPQMRSHHHQQQQQTYSYPHQNLPSPQHQQSYT PTSSTTTISPLMSHTPNPNPMTSPYQLSNQLPYNAFAQNITATYPDLGTFNDLDFLDS FPVQGASSGANVTDAAGPLQDLGFGMGFGDGTHDWSDGNGLDLFDGFFFGGGPGNG CC84DRAFT_1159504 MMYVQPPSSGRSVSPRLCSVSGDTPAPHSRKQSFAYPLLRCMST NGTLQIVITAALRTPITKGGKGGFKDTAAADLLHGAFKALIQRSGIDPALVEDIAVGA VLAPGGGATEFRAAALAAGFPVTTSVKSLNRQCSSGLQACVDIANAIKSGMIEVGIGA GAESMSQQYGPGAVTEFSELLENHKSAAECKVPMGVLSEKMAKAKGIARADQDKFAAA SFQKAIEAQKKGLFDEEIAPLTVKWTDPKTDEEKTITVSKDDGVREGITAESLGKIKP AFSKDGSIHAGNASQISDGAAAVLLMKRSTAQRLGQKIIGKFVQASVVGVPPLLMGIG PAAAIPVVLQKTGLNKDEVDIYEINEAFASQCLYCINDLGLDQAKINPKGGAIAFGHP LGVTGARQVSTLLTELRRTGKQIGVTSMCIGTGMGMAAVWVAE CC84DRAFT_1170930 MQSFVYLFFFAILRLCQAITSPDIYSFTQPNVATPGLGDASLSA TVPSKSRFGTGPDSGVNIFLPNYKSDPNWLPLVLSLKTVGLQVKVSEALNITTQTRTL LIYTSPSVSYSPTTADVTALTNFVTNGGSLIFMNQVPTALRALAGVSASTIDTTYKRS ILQLSNAADASASALRGFDFANYYDISMPIFENYTAKGLVNVGYTPTNGSIALGNWIV RNNGVDSPDTSATHTAFVRNQPSGAKGMVYSFGMDIGYLYIRALDESGGYSENYDGYY YPGYDIGTRVIKNIHTSAAHYVSLWTVPYNKGLAFTTTWDIDTYVSYPHGQGMAAAAM ERGAYGNLNLHTKYVTDAYERAYFQYGVPYIYQISGFPVAADGFATIDFGSHSVSHSP NAVDFPSGTGAERYIQGTSSGYYPYIHQCSSTTPDGTPTNGEDCEQGGTSGLAFWTEG GTASGEVRASGYLIRHVMNDIFGTNYNLTTYRPGNLAWNKYQANHCASNGFIGGSSCS GNSHLSHLPFQVTHNRESFQELPYFEFPLQWSDGDGNMSSADFPGSDFRKQVNDIKNM ARYGGHYNILLHPSDAVLDKIQIQRALHDAVRPFGVYFNQTGIANWWTVRDRTVVTIK TATTTSVTMNVHLEGRVEGLTLQVPKTYTLQSASGTLSACQQVSYDTFTNAVVLRNTA KGEYTLTFSVGTGASTASTCPDFTVQPMTQCVAWDVAVDDFLENYFYDNGVNLLLLKT LETGLGTNRVNGTLQLTSTSSVNSYYTEVSRFCFDATIYTHLFFDTVIPKGTTFSVQL VSYDSGCNNERASTTFLDIRDYAAADGKNQTVTIPLKDFAGENFNNVRGVRLVNIAPT QVPIYIDNIKIQKRCVTAPGEDKTPGLSIDSFQNVDRWITGINNIFGKTDDDGTMKFA KLSELGKMQLLPANANSYIYSRTAVNGNNLNAQGYTDVSLNVRGPAGGSFDVVVTSGT GNKNSTVNTATYATLSQNSFSNITIPLSKFAGLDNSSISQITLRNFNPISTSTAGNFT LRWISLLGNGTNTTTPSTCSTPPGLVVLNFCDLSEFTTQTSALGTPFSDDNTMSSYNQ TESGYINLAPRDSSSYFYSLLSASGTCATVNSTYNAVRLRLSGPQGATANVGLKHGGN SCNTNVVTDYVPVTFNTAVTEVILPFAQFSSTLNRAYLQAFTMSGFSVAGATYRIHSV SFVGNSNTKGCALCEGTEVDSCTFTSAVPRTNSLSGLVTDESSLASYTVDSDGSLSLG SKADAYWYSQFGANACYDSTQNNATGLQISVAAAAGTTFDLALRWKTDSQCTTVSSPA SVPITNYVTFAGNTTYKVAKIPFSAFPGINASRLDSVALAGFNPTNANVKVGCVSLVQ MAAATVPQTCACPTNAWLNYCSGTGVANRNANGGAQSDDGTMSTTPVLANGALALKPA ASGSYWYSLLSNLDVSSNSALTLNVSAKAGASFNIQLQSSGQRSSLSSSAYGAMTGSP VLLSIPLSDFTAKTSALNLKAITAVVLESFSDATSTYSLNCAYFGSSSSSSTTTAAVQ RRDLSDDVSSGNATLVAGPLALWQPSFVWHHTGNIHKHQHDNKTKNHAVFFTNSTTTR AKFV CC84DRAFT_69396 MSSSTPSSPARRKSGSVTGAPSPERRRSNRLASISNARTEPEGE VEAAHATDDASVDGDESESKLAADDDASPSDGSSRNADGVKPPPIPLSTRFNPWNFGE KPCTIRRVYLNGEKKQDDNEKKASSDDGKQEGEEKDVKKDEDNAEDDVDANGNDDENY EQAEALEIIKAGGWEDWRRDSQGGNYNKVIDPLPDFREQPPARSSNAQAVPPIYEDRG IKFKSGGRFINNADGTQIDQEKHLFLKLVDMRAKSKNDKEPKRQLVTWHNKNGAPNDW NDKYAIKKLNAAHQENIRSICRDFTWSQSEADFIAQQFKDKPKVSIRELAYHFNNYFI GDFYLSSPEEWDVVHTGRTIESIR CC84DRAFT_1182897 MHLLKYGENGDLAITSFDDNELPPYAILSHTWGADEEEVTFTDI VNNGGKDKPGYKKIRFCGEQASRDGLEYFWIDTCCINKENQAELSLAIDSMFRWYRNS ARCYVYLSDVSSQTLNTNPEPNRSRWFTRGWTLQELLAPSVVEFFSREWCKLGDKISL KSEIHEVTAIPYEALEGAPLSQFSVEERFRWRQNRHTKLKEDAAYSLSGIFDVDIAPI YGEGGEEAFRRLHDKIREQVECLRDLFATDPLKDKKRIEETKGGLLANSYRWVLDNTT FQQWQQDTHSRLLWVKGDPGKGKTMLLCGIINELHKAPNHVTVSHFFCQATDSRINSA TAALRGLLYMLLVQQPSLVSHVRKKHDYAGRSLFEDANAWVALTEIFVDVLRDPSLRP TYLVIDALDECVTDLPMLLDFVAKQSSVSSCVKWIVSSRNWPNIEAQLERAGHKVRLS LELNAESVAAAVAVFIQRKVDQLAQEKQYKAEVRDAVLQHLTTNANDTFLWVALVCQE LGRTANRHVLKKLAVFPPGLDDLYKRMMQQMSESDDADTCRCVLASTAVLYRPVTIHE LVALIEQPEDVSNDMREIIDLCGSFLTVREDTVYFVHQSAKDFLLVKASNEVFPNGME EIHRAIFSTSLAHLSSILHRDMYSLKALGSAVKNVKPPHPDPLAASHYPYRLTQLVQD ARRFVMYHKQAIESYPLQTYTSALLFSPTGSLIRQLFRHEEPRGIAIMPAMSDSWSAC LQTLEGHSGLIWDASSGACLQTLLDSRAVNSVDLVSILALSHFDKIITNRKQSVCQEV TISSDNIWVSDNVQKLWLPTEYRPVSSATSSRCIGVGTGSGKIWICSFE CC84DRAFT_1071446 PQVEVLKTPITLVTIEGLASLHTLIQRDAHALPDESSKHHLQRH VQKLANAAQVSFAKQVLLEDQNQFLSTLNKEAKLRRSTRSVILGKAKVMSYEDLKEAR KKRA CC84DRAFT_1254475 MSCAAPRGGVSLNHNPSSSGNVNSSGTQPSLFRPTRAVYPALPG QPDPDDLIRDIPFPEKAVSDFQSVPFAQPPQRDVPSIIVAHISNPQTSNAEPDWILLM NHFKEKRSLDGVGNLLDLYVFMTRLVLPNAIIQNRRLLHELYMEKRNLSPNIRFRYDY WHTHAPTYTPPSAQPLDLIQSRPSRPVLRSVPTPSGQNFLQWLSLPLNTPADKQPCPN QLLHRPCHLDAYLNEDEGFVRRIRPEALLQRTAKVLSLFWWIAVQNAWLKAYEGVGWA GIEGECYA CC84DRAFT_1182902 MAVVHDENELRQIEAELHMEILPGTEIMADVGEHHFVKGAHRTV LVPQPSDHPDDPLNWSTPWKIACIVSASMVTFTQGFGPLALAPAFPALMEAFECSLAD AVQFTGVCILVLGFSNFVWVPISSSFGRRPVYLLSQIINFGTSIWRAKANSYGSFMGA CVVNGLGAGPAETIMPAVIADIFFLHDRGKWNTLYWVVYMGSLMVGPIINGAMVETVG WRSFWWFNTGLLGLSILMVIFMFPETRYPREYVPAAGPAPSSPAASSTEKNGTGHNDE LDVEQEKIQHTPTANSVLPSNEEDLSREETAMRDPYLGKGKPSKGQWGLFQKNAHPLK SILLDLWIPWKLFAFPIVEFASFVVSWSCSSFLTLNLTQSQVFAAPPYNFKPLSIGFM NFAVLVGALIGLFTAGPLSDWVSARSTKRNNGIREPEMRLPAMIPYIIIMYIGNIIVS VGYDNHWPWEAIVIIGYTCAGIQVAALPGIVSTYAVDSYKPVAGSLFVSITVNKNVWG YGFSKFITPWSEEAGFVPPIMTNASLILLWCLFTGLFWWKGKTFRRWSRNSKVHKM CC84DRAFT_1108244 MDLARESGAPEGQEVARRAPRIHIDSERLPTDSMVTVPLSETDG VPAAEDEVVSPALQHPDITVQEEKRLSSRPSSAEIMEAFGRRGSRDRDSSPAVASPTI SLSDEHVPKTPTSAERSRSNSNGSDQSAQVDWAELEKKEEQEPQEEGQDEAMALLLAR LEQENNALMADSKATNIKVSRARSQSRPPSMHQLKKLVEEGAADMRASQLPSPPPMTE LEFWAALVRDYPQTVQRLPTLTLNKIRSGIPAPLRGVVWQSASGARAKMIEDQYDQLC GESSPYENIINKDLGRSFPGVDMFKDPDGEGQKMLGRVLKCFSLYDDKIGYCQGLGFL VGPLLMQMGDKEAFCVLVRLMEDYDLRACFLPDLSGLHLRIFQFQRLLQHHMPQLAAH LDELGVETAYASSWFLSFFATTCPLPMLFRVYDVLFAEGASETIMRVALALMRRNEKR LLALTEFEDVMQLLLSRAMWDPYGRNARSADDLVRDLVSFTEDVTREKLQGLEAIYKE EQDAVEKTKVQKSATSFLGRLWGGSNSTKSVALSPGLTAPSRPLSFLRRTPSTQSLAS TLKSVDGSESSTNTQSTALTDISRASEVPSIKVGHESRAMSVKTGHSSNDRDQRDLHY QIEQLLMSVSQLQRSNSELEAALQKQREERKEDHRIVRAVLDKMRSKPSSLTAPSDRA SRRRTTITAATLVPTVDEEPQELDEAAKTLDERFPTHQTHHRASSMFETKQVLRDNLA RTKEQLTSESLRAQAVTRELNDVQADLNISREALKETRHRLQDSYNQNQKLEKTIMEL RQNARKGSVPFSDATPDSTPSLSRSNTTDSMASTRGGLREFRLGRSDSTKSVKGPMTF AKRASSLATQQVLTTTENQAPADNEALLLELVNAKTAEAVAKQELEEMRNKFENMKKA MNVQTPPAAKSTPTTPAATPAPAPAPAATTGGGWGWGGWKRTPSTTNATIPK CC84DRAFT_1254478 MTQPTNRRTMSVARTDSIFVDTPAGTLERALSEKPDLELIHIPS HYLLPPTHPSPFEGTLEASLDALLDFGRAHPNSISIMNVLRQSVTTTTNWRDLLVPIL ELEEKTAMGNGRLLLEELLFLATRTLLPEQIAQNRACMHRMYAAKRTTSTRMILRYDM LREWAKRANNHFIVVESHPPLGNLEASVAAAEEEDKVHPGRRPALPNIWATLIAAPVQ GYHVYKVGQAMKHHVTGLDKWLMFEDEEVAGWNTDTLVQLVMQALLQWQWLRDNTERM ESMEVKGWGDLEGRADECEWVRDDKRAKA CC84DRAFT_1254479 MAKPTTVPGDLKDRMKNCYDAIAHTYNAKLGNVLDNIRLNYVNR LLSLLKETGRADATLLELGCGAGVPSTKTFLDNPTPSIHVTANDLSTVQLDLARQHLA DHVESGKVTLIPGDMLALDFPPRSFDAVTGFYSIIHLPRDEQVLLMQKIVGWLKPGGY FLANFADEEQESHVMEKWLGEEKGWTFWSGWGAEGSAKMVADAGLEVLVRETRESNVD ATFLWVVARKSV CC84DRAFT_1159510 MFSTARRRCARSLLAPSQPKIDSLPLFLLPAFQAPAAARGFAST SPCQSKIGSAPLSIPPGVTFKVIPPSSRGRGARSQAMSTVQIKGPLGELSMDVPAYVN INQDPALSGPTLTVADATDKKQKAMWGTTRAYLQNHILGVSEGHSAILRFNGVGYRST IEKTATTVEPEFPGQEFVNLKVGYSHPIELGIPKGMKASTPQPTRLLLEGPEKEVVMQ FAAKIRKWRVPEPYKGKGIFVNGETIKLKNKRTK CC84DRAFT_1170939 MDLLHRLTRTYTVILAVTTSMGAAQSSSPRASHGHAIDPELSLV MQANAQISQATELKETWAWLEHLSSKPACTQLATSKLTIECQILDNASEFAKSYPDQV LEDVHNEFALKLAICEFVGAQDHYSKAPPTCQAFLPSHEACVKRSWWSKAEVITDELC YPKATKTDLQQCLTTMQALPQTWTSYSNARYRAMHICHLTRQHIETERAIQVHKNLTL VVAKMHESVQPLGSKMQSMNDQLNTFSDDFRRSLEQSQQAADKFTTFANDAHKQYHDQ LMETNEDLRNLRSGLEASQHHIDEYYAALQSRLYENFETSIAKNNEVMSLQQVDFLVQ FSTGMDEFFQGKAMELSKQLKAHKEELQEYHTKNILALQQQHETTVKSLDILGTGLSS TSSEIDRLNDKISSLNSDLNGSIAKMEILNAGLGSLASVAQTAGRLLGSFETFVTYFS LGGIIVGLLLFIRSVSGVPFVDKAARKLITLTLTLLVFSASAYAIARRLPMSFDLDTL EMLHEA CC84DRAFT_69556 MKDLGSFSESFFTAISLLARVIAILPPFYSCTLPFPHRQAFAGL LSFQCFILNQHFWVSEPSSCSKGTHYFRHLSQFKAHVNDVFFSLHLKLLHVYKWRWED HLQMSLFKVAKQRSLCVFFVV CC84DRAFT_1159511 MSSPKRRIETDVMNMLMSDYEVTLVNDNRQEFYVRFKGPVETPF EGGLWKIHVELPDQYPYKSPSIGFVNRIFHPNIDELSGSVCLDVINQTWSPMYDMINI FEVFLPQLLRYPNPTDPLNGEAAALLMREPKSYDAKVKEYVQKYASKDTSEDSDKDND DDDEMSSVGSYESGDEDEAAGNMEDI CC84DRAFT_1159512 MALRRAGRPAALPSSMLSRTALPMVAVRWSSHSPLGTPPANARK PVTINTLQSLYRKNEPITMITAHDFPSAHVADHAGMDMILVGDSLAMVALGMEDTSEV LMEEMLLHCRSVARATKGAFTVGDLPMGTYEISPEQALASAIRMVKEGRVKSVKLEGG KEMAPTISKITSAGIPVLAHVGLTPQRQNALGGFRVQGKSTASALNLLEDAKAVQEAG AYAVVLEAVPAEVAALVTRKLSIPTIGIGAGNGCSGQVLVQTDMMGNFPPGRFLPKFV KQYGNVWAEAMRAMQEYKAEVKSRAYPAPEHTYPMSQEVFSEFERQIEDTGEEASAQP KQGKLKVPKAFDELPNIPS CC84DRAFT_1159513 MAASTMLTTLPVDAKEALATDGKVHVQKVRIHLVAIGSAPRMST MVFMMTASNRFEVVVRQIRNKLKLKPHESVFCYIGNVFSPALDESVENLWRCFKQGQK EELYVGYALSQAFG CC84DRAFT_1170943 MASRRVPLANLQNATNSPMRAAAIGGKRQRSHASEQRDLSYGQP PAKKLMVETDDADARRSGLVRRSAAPPTALTKKLEAAREHKVEQKVAPRAARPNTRGP EVTASNLETIRNWQRHYRKLFPQFVFWFESVPEDIKSKISRQAQILGSREAKFFSREV THVITARALGPEFDSSSSSTAAKGTVNPAQLESKKSVFDNALETQRTGGGDILHKAKD LGMKIWALDKLQRMLDTMFNTATGEDVTQYRNVVLAQQPTARRDLQKLLEQEKLTRPT DRDYTVAAQDMIPLRGFYIYIHDMDELTRPVMVREYTKVPKKEDGKWPQFRVSGNYKC PFIEDREAVRRQQMEEQDARHARRMANAAPRTRAVTAALDEKRALAENPNLACRNTIP AVPGKEDNTESTGAPKALQTTRDGVPPMFGSAQASLRAMPRFIGGEPVASGLHQSNIT SAIKSQMISSTAAAPGARAGNSKEVNQLKRKVLERNSGPSVNSANSSTVGDASLRAAL NQEHSQPTRAAKRKAQESLEHVREDTDEERKLRKAVAMRRKKPVEKELKPGYCENCRE KFNDFDEHVVSRKHRKFAVTTDNWMELDQLLAQLIRE CC84DRAFT_71191 MAHSTPRYDSYRPDGQTSRLNTDLRRGGAWTPGLSTASHAQTNS RTVRHDTPTIPQSIEVNEDDRPKVPQIYHREIDRLIGRTVRAYRLVINYQRDCSGGHR WMPEHIEMIHEAGKKIQTDREALESLRLGLVRGDDAAVVGQVRDAAHALRDYCEEIQE LVQVHERVPVFNGKKLEWCGQGRSVRMQFRDALGERRDMGGIQRVGGHVRHEPPVKQL DASPRTQPDRYDGSGRRGFFASDTWRPT CC84DRAFT_1170945 MSTDPPPSRGSSPYLRPRLSLSTVTSIFPRPRGNSKSPHPDRPS TGNSGGWLSPLEPSRSRSSSTSRSIRSISSKSPGKWIRHLKRSRSASINSAAGEDNLP LKREDFKEVEGWFDAFQKYNRLITNQVSGNSNFPAEELSKIQKTICEVEGGRFINDLP EALFDIALLWCPAGEMTRKSVTESSEPSWSWTAWNGAVNFPFDPSSCPDVRRRGEAPV FFKSKITSFVLGPESGCERYTIMNRAMSLKLDPISTQRPRIEYPTAGLQLLASEPPKV QSDTLRFTAQKVDASAFKMEQIYDEEKQPLLCTGLIDSQDRPCGVLMDYKKKIVAHQQ DGKLHYILLSVNRRVPSTFSKSSNNISHPSGIPIWKDGRFLKEEEIGDPEDYNNDGEW KMYNVMLIQEFEAKTLDDGSFQEAFARRVAVGRIHEDAWNEAMKTVPNRFSKIVLR CC84DRAFT_69937 MSGYEVEHNIPTKEDKPEQPARRPDLSTFFSTLELVDTSDPQAH HNVHALPQPENVAAAFRLLANAFDMMRGRPADERGDSTTGGDDLLASMIEQLRQHADD PPSELKGVPDSFLDELERVPNKSLKPSDTCPICVNPFLEDQYPLVVELPCHPDHRFDL DCIKPWLKLNSTCPLDRKELLKKKKPSPPPADDEEEDYDDMYA CC84DRAFT_1159516 MDYGSTTSTEATRKRPSSRLRACWECKKRKLQSACEKSESSTTL AIGPLDSFAPDGEDHACQLHIGERLGKLEQLFEKFVCRKAPNITLNIASSQDSSRTAV STQFDEKPFKLTGLPPLPKSDGQSLADGILGTRTWSSAPSIRTLVEKEDGQTSSDPVH RALVALLPSQHDADVIFESSNGWMIMGGMYRPSKDLFVDQDPESYALCMASIAKERAI IVARTLLHLAACLCALPPDFNTSRLRNIWNLEATMDNYVTTVTSLVTSSDELLLTLPG LETLLLLSVYHVNVANLRQGWLLVRRAMNLAHLMGFHRIVQARQIPQIESIESSVSVW CCLVDFDRILGLHLRLPFASDDYPIPEDDASHRVHRARLTSISRQVAELDHEVTSQSY VQALALDEKLESMMKEQPKDFWDVPNVPPTARTAESYDVLERLMVQMWHFELKIFIHL PFLLRAPQESRYEYSKVAALQASRNVVMRWFALRNAGITQACCRFAELSVFIAAMTLT LDILIDMATKEKSEVQKAKGSDFAMICRVISEMEKLGKASTRERMAMRSAVVLKKILS SLDPSKQTLGKARLTVPYFGTIELDYKKLPVRPAFDLDSGTAKKLSSNGTADHIPVFS FVANALWPTTNEYNNPEMDFDIILFDGLQDLDVDGNWVF CC84DRAFT_1078101 MQDGIGALESTHGHGPLLSPFEAIFTTYPPVLASLLAQISTATL LDLYHTSRHLRDFLKLYPLAWKTLSFRLPQPAVAMGSPGNETPDGRERQSRPYAFDAL LKQIIGPHGACLTSLDLCNTAVSGTALSMQVLGPRSNTLRHLSVRGCKNVSIKYHIVP FLEPFTMDQMPDSAVELALKSLYTYRCRHHRRRPYLPQSLTRRDSDSDPTHQLIEICH KLGIWTDTAWCPTPGGRCYRRKDYHAGRAGPQNGEVWVPYDRLWRSSNRIGPADGVGE KLGQSDGRLWEISETGQDGEPLGTDSGAVSKGEGKHVPAHMRQSHKTFVENLYCAQCN EEILERCESCSVRMHCMGCRKTLCASCAFNKPIPRKREKSRQFASTAFGSGSTVGTTL NQASTGSNVSLQTPNHPAQPQQDDRFWWAPGATRSPNLMNESSQEDEDSDSDDGGNLN QGLPVPPPNRDPPKLNMHWCCLEPIFSGGGGIAVLGTGLGGRGADKIRAAPLPRTKQF EDPDFSNQLRPVDYIRELKNNGLYEYVLGEDVDLLTYLKQPSLELQAQTCPRGLCQDC YRSFRWKVTCRTCKNPICKEHDFRGLKFRKCGYRDMVTEREHVRAHTEPPRLIIPEFN PTKNAQRLPTGPPSFSQSSSSLITELDMGDQTPMSQSQILVPHVPPSSVEMSAANSVA SHVSNFDPTSNAVPVPFVPVSSSRPRSLSTSGVRSRNLAFASNSARVGIPSSITNPLP LPCHPRHPVQWEGCGAYFCQYPRPVGDNRPQCPTLLKECTECAVLVCDQCNLANPTCT CTYCTVNFHCPTCSRKPDVRAKCRYEMETQAKLAEERRARERMEKERRGRTQADELAG AVFEFFKSLYTHDDVDEDDGPSITHQENVDIASQSTIVNTTSNQLSSPDSITVTTVFG VHEEPSNVTVISGPSTFPNHYSHASEEAETSDFDVMDELMHGSHVVTLNEADLGAFLA GGVNAPDIDSLLGIDTDSNMNDDGDDADIDTDEFDAESAVIDEFFDDGGLTPTMTTHA SNL CC84DRAFT_1192444 MSIPEYTEVLVIGGGPAGSYAASALAREGIDTVLLEGEKFPRYH VGESMLPSLRHFFRFVDLDSTFVAHGFYKKIGAAFVLNNKEPAYTDFIGPGGPEGYSW NILRSEADDLIFRHAGKSGAAIYDGVRVTDIGFADHEGPSTASEKTRDPGKPISATWT RKADGTSGTIDFDYLIDASGRTGIMSTKYLKNRQFNHQPGLRNVASWGYWTGAGRYGI GTPQEGVPYFEALSDGSGWAWFIPLHNGTTSVGVVIDQEVATRKKKEMGSPGSKQFYL EVLKSASRMLEPMIKDATLVSDIKAASDWSYSASSYASYNTRIVGDAGSFIDPFFSSG VHLAVSSGLSAAATICASIKGQCSEYEALEWHSKRVARSYTRFLLIVLSALKQMREPD RAVLSDPDEAGFARAFDHFQSIIQGIADVNAVGTQEEVRNAVDFSFTAFVSPLDLAKR DTVLRRVESLKAAGGEQDMEKLHSYLSQEELEILESLRAKKTALKADIINVDSFTSDT IDGRFINMVQGSLGLEAVE CC84DRAFT_1159519 MSKETKKKNFTLPFHKQVIACTSRQAKVMLGDPQSLFGKWGGVL FQALIIGSLFYDLPKTAAGVFPRGGVLFYTLLLNALLALAELTSTFESRPVHLKHKSF SFYRPSAYAIAQVLIDIPQVLVQVFIFDIVVYFMAGLQRTASQFFISLLFLWIITMTM YSFF CC84DRAFT_1159521 MESNRSSPVTWQNLTYTIPYERNERTLLQDVQGYLDVIRNLADA NCAGGRTVYFGELGHDNRTLLDYLERNGAKKCPPKENPAEYMLEAIGAGDPNYKGKDW GDDWASSSENERLTQEIQEIISNRRNAAKDEVRDDRRYAMPLTTQLATVIHRNFVQIW RDPPYVMGLTMLHIITGLFNGFKLWHLGNSQIDMQSRLFSTFLTLTISPPLIQQLQPR FIRMRSIYSSREGNAKIYSWPAFMWGTILSELPYRVVAGTIYWCCWYWTTWFTRDTYT SASVWLFIMVFEVYYLGFGQAIAAFAPNELLASLMVPLFFTFIAGFCGVVVPYAALPT FWRRWMYWLSPFHYLLEGFLALLVRGQPIVCDIAELAIFPPPPGQDCQTYAGGFAQQS GGYVQTQPNGDCGYCQYATGDAFAASFNVSEKNIW CC84DRAFT_1077854 MVSQSVNKTGLHPAGVQPSKDHTEIEEELHDRAHIDYDRVAIVA NPSVAALYEDALVYESGSAITSTGALSAYSGAKTGRSPSDKRIVEEDSSKNDVWWGPV NKPMTPDVWRINRERAIDYLNTRNRIYVVDGFAGWDERYRIRVRVVCARAYHALFMRN MLIRPSKEELEHFHPDYVIYNAGAFPANRYTAGMTSSTSVAINFAEKEMVILGTEYAG EMKKGIFTVLFFEMPVKHNVLTLHSSANEGQDGDVTVFFGLSGTGKTTLSADPKRALI GDDEHCWSDTGVFNIEGGCYAKTIGLSAEKEPDIFGAIRFGAILENVVFDPSSRVVDY DDDTLTENTRCAYPIEYIENTKIPCISNNHPKNIVLLTCDARGVLPPISKLSSEQTMY HFISGYTSKMAGTEQGVTEPQATFSSCFAQPFLALHPMRYAKMLAEKIEEHKANAWLL NTGWVGAGATTGGKRCPLKYTRAILDAIHSGELANVEYEVYDTFGLSVPKTCPNVPDE LLNPAKSWNGTADFKGEVEKLGKLFMENFKKYEDEATPEVLKAGPHVCCCPKH CC84DRAFT_1254494 MASSPPRAQEPALATTKRKFDTLLDRLQNASASTTSLANTLRES NGSTASFTIPATPEPASKRNRLSDIGMDRSRQVSGSERIQQLKSQLLTPRKEGLDKAS SPKGSPSGLRVVGQHQTSVKSTTPRKPANYQPYSQEQFLARLKTFADVKKWTNKPDAI DEVEWAKRGWSCDSWNTVACKGGCEKRLVVRLRPKRKDKDGKELDMSEDLTVEVEPAL VDKYQELIVDAHREDCLWKKRGCQDDIYHIPIPNRAQSTADLLARYQSFLPIVNDLPI LEDLIYPDPRIEDLLKRVPTSFFTLPGSEISASPPATPSEIVSFLFAVFGWKGVSETK ISMAVCSHCHQRVGLWLVNNDRLKEMSKKLGVPIETLRLNLVESHREHCPWKNPNTQH NPTDGPIANMAGWQTQEFMLLGTRRERKEREPTHTRNIESVDLGSTVSYPRGSTESVR PDKEDEDKDLQSKWKKLKAKLKRTASKKSLRSTKSVKSTKSAKSVAEKE CC84DRAFT_1159523 MAYEKELETALLAVQRATLLTKSVFTSHSKGTLTKSDASPVTIG DFGAQALIIASIKHVFPEDEVVGEEDADDLRADETLRDLVWDLVTAAKLEDSVAEDKI GGPIKSKDALLEALDSGKSAGGRKGRIWALDPIDGTKGFLRGGQYAVCLALMVDGVPT VGVLGCPNLPVDDKAPLDSSIGADADSGEGKGVLFSAVKGEGASSRPLSKAGLQEAQK ISMKDVTDISEATFCESVEAGHSSHGDQASIASKLGITKASVRMDSQAKYASIARGAG DLYLRLPVSKSYEEKIWDHAAGVVIVQEAGGEVTDAHGKPLDFGIGRTLKENKGVVAA PKSVFPKVIEVVKEVLGAKQ CC84DRAFT_71723 MPSKGVLCFSYLTVPGTSIEFTVPGKAVTKSDLQQYQTTHLEVE SSALPHFKFTGRFQFVVRRDGRDLTTQWVDVNSATGKLGDGTLKTMDQTPAVFVEDLV VCYGFYDAGPGVAGLPKQHLCYVTVTRNLENWMGDAIAQDQDMSSKKFSKMVLPAAHD IGMNSMAIPLSLLSRAGTGAIKEVLGRELPHVLSIFNKAGDAAVNRIAPDIIRALAIT QKDSLESILKIGARYFEFRPAQCHRQLQSIGGLEDTWYFQHGVIPGMKYVDFLSTLVK FLDEHKDEVVVVQNRWDGVPGECPRPSNDDLGNMLQDVLRGKELQAGNLDDMLGKSIR DLRNEKKRLIVLQNARQVSNYDDDANATLDGDSMVSKLNDMARDLPKGQQITLLQCQA TATNIRDVIIASVLDADVSTSPILATKPVCDAKILPLLRGECGKCLTREESVVVLLND FFDGATADVAIELCKERMR CC84DRAFT_1159524 MNGTDPKTNGHVLVPRQLPSPSLSPEPESVAPPTLHTAELLLDG LSDNKADGNGALSPTGPIHTSNSHDEAILSDTSERGRRVPPIRRPGDGRRKSSVSEQE KLLRLSPKKIQELTSEPASIPVRAATPIQEDALEEQASGEDRPSREATRGLGVQLGPV AETNGATRRKKSRSRSREPRAERELLVAKGQQRPALRERSITTPNVMRRRESSQKIHA QAHANDEEKRPAKHVPPPLNLDTRDNRQNLKAPAQERHRDVPSPMPSIIPLPPMSIPT FLSLELSADRPSPLYIYRPSTADFPYESSKIKYDRLVNFLLLPPKLEAILGFGALACL DAWMHTLTILPLRFLIAVGILMKWWGSVMIKEVKFVYKGLPRLWRRRRQVEASTPVLT GLAEGETASRSRGPSASASASTSPQPASATTTARDNGRIGMNFRFPETKDAPTPKRTR TRYRHRRTMSTPSALLPSHKADMLKGALVVVSCVVLMRFDASRMYHGIRGQSAIKLYV IYNVLEVCDRLLSALGQDVLECLFSRETLDRNSDGRSKILRPLWMFVLALVYNVAHAT ALFYQVITLNVAVNSYSNALLTLLMSNQFVEIKSTVFKKFEKENLFQVTCADIVERFQ LWLMLLIIAMRNIVEVGGLSIGAPSFSSVFTGGNTTASAPFSAASILPMSFTIFPKWI GQVLSPFLLVLGSEMAVDWLKHAYITKFNQTKPEVYDKFLDVLAKDYYSDAFIDQNLT RRLGLPVIPLSCLFVRAAVQTYHMFIATHMPAPFPSTSTSLTPNEPPTSSPATTAALA HIDQIFRRALGRSSFGTGSSNSSALPWYSSTWTLDDVIAGSTMLIVFLIVYLFFLAFK LLLGMFLLRVARNRYRGMKERERINTETGGKRIGGWGVVDVDEEKRRWIYNDDPDSLR RLRERDEKGRQQEEKERREGTTFGHVSRYAMVAKRIW CC84DRAFT_1134562 MRNLLVRALFATANSSSPSQFDYIPTTSGKVTGHIAHNTTDTVH EYLGIRYARAPVGDLRFAAPQPYIAPNSTINATSWFPHCPFNIPPVTKFNRFDPNGFE VYNTFTAHSPWQADQAEDCLALNVWTKAAPGEESGDRPVFVFFHGGRFQIPGPHSPFY VGKYFAGDQDVVVVTISYRLGMFGFSGAPGVEQNAALRDQRLAVEWVRDNIAGFGGDP SRIIIHGQSVGGFSTDAYLYAYPEDPIVAGAIAHSGTVFSFLPNTPEYSRSLYYNVSG TLGCGQASTPETTILACLRSKNVSEILNAARTVPALPSQALAQATFHPTVDNKTVWAD WLERSRAGKFARVPYLAGNADYEAGFYRVSGFAVNSTLSPAAWELFTERGFTCPAKYS TDLRKQFDVPTWRYRYMGDWPNLRLFEAYDGFPDSGAYHGSELTLLFNTTYGVTGSDS PPGQQAAARYIRQAWAAFGRDPKRGLTDFGWPEYGSSSGNLVRLSYNNTPGLDLTNPF LYDSACPPVEQNDPLPGRGGF CC84DRAFT_1070755 ESSDVEMKTEDNAGGSAPAPGEFRCMNDEFEVCRTGQTTVDLSR KVISDHFGRNKACTRLITDWPLFCRKHYQRATYNQKLWQSRKITLILRQFNIIEAQFS GTMYTVALKKSEEQRLNTFSRKLAAGKTELEAAALVAPAEKAKHFEAPVNVLREIEQL NYLGENKTKAEVEAAINTISDMLESGDTSQVPSIEFLPQLDASGNPYDTDDCGKATKK SSARVSKKGAVTKPSS CC84DRAFT_1134566 MVNVVFPLKKRTIQAYRKALMFIDGALNVAIESERQMLKQSQQK LGTEIVDFVLDELNTDPGAAHTNGTGIEPSGSDWIRRMLFPVESDGKVQTKLRKVPQR ALFDSQINYEQAHAVNSACINDYGCLPYLISGPPGTGKTKTIVETAMQLLNCHITDHI LICAPSESAADTLALRLKEYLSTTELLRLNGPWRADNEVAPALMQHTYMEADMFALPP FKQFMAYNVVVTSCRDAAILVEARLTNTDLWTVEKNFLEAFKCTNATPTSALHWGALL IDEAAQGTELDFLPALTAICPPPSYTTSHPQPLFILAGDEKQLGPQTSSHDPSVSQSL FARLFSRPIYKNHPLSRTNTKPSTGPPAMRKSMLPLLYPPFTNLTRNYRSHPAILSVP SNLFYADTLIPEAPTPSTPLQSSRLWRGAKWPVVFIPHAYVEELERDNGGWYNDGEAR IACDVAAHLITHDSVAQPDIAIISPFAAQVKRIRSLIRSPDFGFWDVNIGPLEAFQGL EKRVVIIATTRTRGKHFVERDVERGLGLIHQPRKMNVALTRAKEGLIVLGSASALAKD YYWKEFLAFCWRNGLVKDDSGWWESFFLCYEDRKNARVGVLEKALLAKGGRDGNGGAG RVLGGKSAGLEHEYDGGYEAWVDSLREAMNEEEDGLQAVENDESEVEEEDEHFSEKEK NKHDEKTVKMEDTA CC84DRAFT_1078202 MASFQKGANGPARGRKARSHTSGRNSSVEEKEYKRIQRIEQAPQ KLAAVRVPPVRNRNQYIYYDGKDAVHGVRREIEKRGELLYDIELVDGTHKRVTFDELL ALDNGAAAFHTFQQNDDGIASPGNADTDMRDSRLRRQVTKPKNDGFISVQGLDLSSDD EVSSRPPRQKRLSNLKGLDRSSGRSSRATRRSTTRDSSSSESDASNTKRRRRRKLVSK PTRRSGQSHAAARAYDEDEEGDDDEHDDSDDSSIDILQSHLASHKRKRGRPSKAPRPE KILRIGMRQSGRATRAQNNMEEANIDDIYRSDSDEPKAATVKIVNAKETFETLPRNNL FRSRHVEGCEVCSNGPNVAPLIYCQGCSLAYHKNCIGTRSTREHLVTKISDDVYVLQC RRCINVYKMKDRTAPDLAVCTDCRHAGPACKPFRHRKTTVQEQKDRDENDGLDPVTDV PSNLINNASNVMFRCTRCSRSWHYHHLPALTPYSSDLARDEDETADERFAEYSKSWMC KECNDTIERKVGGFVAWRPSDVETYHPGTPCEEVNEDEKQYLIKWDGESYFQATWFSG AWTWGVTAAAMRKAFFKREEGPKMRTEDAIPEEYLRIDIVLDIKFTSYVEVRSEEIDK ARIKEVDKALIKYKGLGYEDAVWEKVPTPEDGDRWLDFVTAYNDWVAGRYIRYPKQGP LKARLEKARNGTFAKLEKQKQPGCLVGGELMKYQLEGLNWLYYQWFMKKNGILADEMG LGKTIQVIAFMATLIEEHQCFPFLVVVPNSTCANWRREIKQWVPSLRVVTYFGSSAAR EMAKNHEMFPEGSKDLRAHIVVTSYETATDDSSRRVFKSVSWAGLIIDEGQRLKNDKS QLYGALTAIRAPFRLLLTGTPLQNNARELFNLLQFLDETINAAELEEKYADMTAENVR ELHEQIRPYILRRTKAQVLTFLPPLGQVIIPISMSVLQKNVYKSILARNPELLKALFT TGNLKSQERANLSNILMQLRKCLCHPFVYSREIEERTDIAAMSHRNLVEASAKLQLLE LLLPKLKERGHRVLIFSQFLDMLSIIEDFLDGMQMAYQRLDGTMGSLEKQKRIDQFNA PESSLFAFLLSTRAGGVGINLATADTVIILDPDFNPHQDLQAISRAHRIGQKKKVLCF QLMTRASVEEKIVQMGRKKMALDHVVVEQLGEEDIDDKDVESILKFGAAELFKDDTAD HDIRYDDASIDKLLDRSQIENTKTSGDDSAESQFSFARVWANDQGTLQENLDTADDEV APPDSGVWDKILKERRELAAAEALARAEALGRGKRTRMAVNYETEEARKAAEGSDNES LLGSAVKPDKVDKRLKKKKDQSEEDTDFQADTEDEHSEDQASANEEGQAEAADLGDDT ARRRSTSAPLNQSLYKQNSAGGSQISSKSTPKSSPKKSTPKSKSNSKAKDRGTFPAIT LSPGSVVKGKTGARRLSKPKPIRDEDKYGTVTVAKAPRPPPEVVDLTRGDTIRLGPLF QVPGLRPFQTNIRPPVKPFERVQMPIRSPQEAGYSVFPLITCPACHKQHPRGACELKV SGVEHCGLCGLAHYGIGRTCPHIKSETQVREMLEALKRSPEKKELVELAVKYLRGVKG TLVQGKKKEREKAMMQAGGMPPANRGPTNLDRPPAVVYDPGQAQHVQGPRPGFQAMNG APQSYPVSRPGPGSVASHGPGQAPQVNGSSGPLRGGTLQGRWGQQGLPQDAAPIDDQH VESALLGQDN CC84DRAFT_1108304 MLQSGPLRPTVLRIPRFTCLGTRSEGRNKSITAAIERGRSSGDR ELEFSSGRDRRGDRRRFQAEVATGPERRGSKNLFHGQKRLDIGSSRFAGAARGSYRDA TGSPRTTTSADAADHQERYEDSARRRGAARIESLPYTTAASEFIYGYSSVLAAIKANR RKLHKLYIHARGASHVGLTALVARAKKKVDIREVGDEYLQAFDKASSGRPHNGFILEA SPLPVPPLISLVSSNINLGQFEVALDSQSREDLEINGKQTEFTYNSAGWRHPLVLYAD GVLDEGNLGAIARSAYFLGVDAIVTPTRQSAPWSHIALKASAGAAEAIPIFKVNQGTD FLGRSARAGWRIYASDAVPPLELPALQGALSSIKPSPPSTEVIYTGARSTKRLPVEHC PVESHPTILMMGAEGTGLRTSLLNLAHYKVGIRHGRNVDEIGVDSLNVSVAASLLCYD MLQKPKPRAPGNMLF CC84DRAFT_71798 MDCPHVFGGLVEGRTPGVAGALSGHGKRESRCLSASVRDAPQPR FMCYLRFRDRSELPDELALSCWGHAGSKVATRRKAHVLTWLWLIAVASSRELRLCMHG CC84DRAFT_1134574 MTRRIVYSVGLWLTLASTTMTIASIYMPRWISFDPNNDGRKMSY GLHSRYTNIPNEPEYAQFPSREYCKTDESFCNMWRTVGFFISFDVAVELCTLVSFVVI ISGGVQRRAAGWHIVSTLLLFCAFVQCVGMSIVAYLFDHHDRFSVPGHYLDASWSLCT VSWVTLFLTSLGMVASALYLPPEGDYELLPEDNLEIVQDEQLYSRIGAWNDGYHRNSG EWYQQYQETGSIRSQSVRSEAR CC84DRAFT_71819 MMYEGSMDDMMVASACLARCGGWSCAQDDSRFDSLRRQKRVSVD LFSPPSSAQHDDLRERWRRSISHFWFQLGVYVILGVSAWVVPVLFCEDTPLPWWLGSA FALALRSYFTAFAFSFRILA CC84DRAFT_1254503 MPPKRKRQEEAEFGSLWMHAATNPPPRRKACRQCFEAKVRCDHT RPVCSRCTRRALHCDFSGAASEEPRSSIPPVSDLTTLDTASSVTPLTADPGTGFRCQT PLFTSAQPTATQQPSPRPPSLPTTTNRQDVQLICTVDAERVRDRWLAGWVPAPDQHPK NLSRGTAVFIHSVLRAYPQMLCKGDLPPIIHHLQVVDGKLPQPLANCVCVARMWEGLG EGVEGAKAAIEEGREMVREVTVAQMSKLAAQTKEQNRTQWDLLAAFQAYIVYATLLLG PDRTPVIPQELMLNMQDLAHTICQSGLLHPAELPSSSSASPRPSWSHWALAESKRRAL TAMYLLDDAVNVFAGVSCIRGDELAQLPAPCSEKLWRAENEGSWGRLWDVHAGEWEWG GLRLEELWGTGERGRVERWVRDMDALGMAVLGVTTAIGER CC84DRAFT_1211783 MRYSLFAASALASLGSASLVGRAEIEQIEANAAYRAVEVYKRAT NEAPNGYAPAEVDCPSDRPSIRKADTLSKNETDWLNSRRPKTVDPMRELLTRINIDGF DAGKYIDDNRDNTTNLPNIGIAFSGGGYRAMLNGAGALAAFDSRTPNATNGASQLGGL LQASTYIAGLSGGGWLVGSIYMNNFTSVQNIIDQNEPGDVWQLGNSILKGPETGGIQI LSTAEYYGNLVNTVTDKKDAVGGFDTSLTDYWARALSFQFVNASDGGPGYTWSSIQDD PDFKNADAPFPILIALERAPGEAVLSLNSTNIEFNPFEMGSFDPTLYGFAPLRYIGSN FSNGELPDNEKCVRGFDNGGFVMGTSSSLFNQVILQFQDDNAAPALIKSALKSILEAL GENEDDIADYTPNPFQGYNNRTNPSSNTNRLTLVDGGEDLQNIPFNPLIQPHREVDVI FAIDSSADTVKPTDSAQNWPNGTSLVATYERTNNATIQNNTAFPYIPDTNTFVNLGLN NRPTFFGCDSGNLTGRGVSPIIVYLPNAPYVFLSNTSTFAKLDYNNTERNAMIQNGYD VATMGNNTRAGYEDWTTCVGCAIISRSLNRTNTKMPDACSKCFDKYCWNGTRAENTPP PYWPEMILQEINVKSGVAKFVPNVVGLAVAAAVSGLLMM CC84DRAFT_71838 MSGYLWKHYFEDDVDSFRHVLESASHTLRTGAPKGPAGRQGGAL GIGLNSSPGSYGASPQLGAKGRRPAAGAGLTLTRSDINSRDGMGMTILHHVASATSEN AIGFAQALLEHPLVDLYAQDAENGWTPLHRAFYFGNIAIARLILNRDTQDMLGYGSTG FNQHARGLVKIKDKEGYGPLDLFSLTIKDRTLRPEVEATAEVDSDDEFAQGDSGDRDD GLRKRIIAPPTLLNGDEVYTFGSNKNVTLGFGDQDDRQFPERVVLRRPDHLLQRFYQE HRETEVQKFAAMNMGLQNSGILQPLAVTDLPTHIRNTPLVIQDVQMSKLHTAVLTTDP VSNLYVCGHGPGGRLGTGTETTRYKFTCIENGGLGKKKIAAVALGQNHTLAITVEGEI YSWGNNAYGQLGYSLPKPTVKDDDPISTIPRQIFGPLKRDVITGVAASRIHSVAHTSS SLYTFGKNEGQLGIVDSDARSLEMQITPRKIAASLFSSPISSASAIDGATICLLENRE VWVFANYGYARLNFPLDGFTNSFLKESWLTTKYETTPNKVKKITSGGDTICALSSSGE VFTVAVSRRSEGGQDSNTSTTNPKQIRDALSLPNRIWSARQGHMAARDVDVDQDGSII LTTEAGSVWRRTKRATIKSTAAIGAAEQKAKDYKFQRVPGLTRVTAVRASAFGAYAAI RKDCDVTRSQIMTDEPALWKDVAPLLPFYDLSNYEEDSNDENPLPRFWQRPSEAQSLR KRVLESKDLEKEVSEILERTLPSSENTYDIQLGTTLSDVRIPVHEFIMSSRSRVLRDA MASYRAQDDGKPHMSELLEIQEMDGRLTVVFHGLDFLTLFDLVLYSYTDTIVDFWNVT RYYPVMAHRYRTVRTELMKLALRLDLRQLEPAVRQMVTPRRSLNLDMAVAIKEPSFFD SGDMIVELEDGEMTLHSDILCQRCPFFEGLFRGRAGGQWLAGRRTEESQLVRIDLTHV DSHLFELVVRHLYTDAGEEIFNDVTSEDLNDLLALDELLDHIMDVMSVANELMLDRLS QICQKLIGRYVNARNVCSLLAAIAPSSVAEFKDAALEYVCLSMEAVMQNGSLDELDED LLSELDHIVRENQLAFLPFARSGRAEALLFEKYPELAERIERGKRAKVDAIVLSNKFS EADGFTSSSFRAQSLEELSMSPLRQRNRRRASREGKPEAESPVSTPALKAKSSVADLM FEMSDGEDDHEEDTALRQPQFTPAAGKQPVVDPVGSLDGSWSVGRTNDGLSSLGSAAH KHPKLGSQSLNFPQIASERTSGRPWGPAPLAPTKFDLKDIMAQTSVPPSNLTLGLSQQ EKERKASGSFQAKMSQKERKRVQQAHQLGQPPTPEKAQPTPPTVSPWQAMSQRKVSES PAVYPAPSPPQPPRTSSTPHLTMRQTVANRGAKAKQKEQDKGRQPSQSTPGCTSSSQK LTYVAADPLSDRGMSVSTTPIPTPQSVRHIPLPTHSPTSPSQHMTMHEILSLQQAEKI SIRDAAAKRSLQEIQQEQEFQQWWDQESRRVIQEEEQRKRAEERAAKPTNGRSRGRGG KPKSRGNDKKAGDGPSREKANKDVKLLPTTAEVSTPAAAPKQDGADRGKSRGRGGQRG GRGGGGRGGRSQGHLREASTPVTPAASSQ CC84DRAFT_71837 MVITTTTSTTHGPGRSRTTAEQLMISIDTFITLVIRTVTHWHRE LSSTICTDDVKDVAARSLWHLAMLACIGRPESYTSGRQAASRRVSRVFFTANPCLRWG RTCASIFICSVIARNGASSISWSSHMKTPVGTRCPSILYYSSFRVLKSLSPQKMCSWD SVPYLIGWRGTSASHLSHIRRNAAPRTPEHCDCRIPTYCPSSARLKL CC84DRAFT_71996 MYQHPRPSPRSASAANSLQTNPTRTNNQRDRDSMSERSSPHSDF APYSDRGTEDSGEMVGRADQVSEADHRHNQKVNQVIQNFFTKAALTIVSSRTILPQSF NKDGNLRQNKWFNVVLDESDLLTPHLQEWKMMDAVAGQHPSLCIEIYLDTQNLGHKQS LVVLDEQGKRWDVAEALNLPAESSSRPPSQMGKSTQLVLERWKIHIGDKHAVHPSDLS DPLPNVYKKAVVLFRSLYAYLRFIPAFKYYKSIAKQPANHPSLKLNFRIANGDFKSPR PDTLSIPLYPSTESVTETQVFAPSNSPVGPLCISVQYRTNCEFSVEDSESLLSSHFLG LDNTYLEHSNREVQPVPGSLPVNKLHPRDTPDLGQAYGSMSTFHQVVPPTGTSPMSAL RAVRDMPSSSPTESPPSKLPPNHRTAQGSRSSLRSNEVPSYQRRTSVSFQPFKAGSLS SSPAPASHMPASPGSSAGSRPSSSLARGAAPNPLTQPRNRTSLTALPQTALRQPSLPN ETAIASSASSSPKPAPIARYSSSFGHRRSRFSSGGGSKLEDDNLSSGRGSVASSQQRG SGDLNEGEGASSGSVKTDDENISDFLKLLEQKKDLKSFSKPDTATRDASMRKTTAQLS KYQRMREPYNTLSDSVSSSMMLHRSSSSSSRQLSSVPPMVAGTSFSTASSPGKPISPH TPHTPAIPSRLSANSIIEYEPRRSRSRPGHPSRGQDPVDVQERTEGDEGTNAIDIPTS PRPWNHPRRSSSAAQQQRAMEDEPDFFGLRSASVPVDESDRERDLDRVLAPDLTPSEL FAQTELLTSESHDPPAPGTRDELPRPVSASDLPAKRGTYSGSSRGRGSYSSHLSSGNL ATGATSSTERQSRYNFASRNVPNDDDEPLLFQMSEIGAGSRRSLEETRGGSGAGSGGR RGSPWLR CC84DRAFT_1159532 MPDNAESRDALTARQLPEMQLSTAESPLTAPRLPPDGLNAAQRA QHRFSVKGNAIITGGAGTLALEAATALLEHGVSGLALWDLNPDQSLPAITAIHKKFPH VKIITEAVDVRDEAKIASALADTVKLLGSVDILCCFAGVVGCTHAIDMAADEWRRTTD INLTGSFLCAQAFARQVKTQGTGGSVVFTASISAHHTNYPQPQAAYNASKTAILSLTK SLAAEWSGLGIRVNSLSPGYMDTILNEGDGLKRARDTWNSRNPFGRMGHPWELTGPLV MLVSEAGRYVNGTDIIVDGGAMVF CC84DRAFT_1159533 MAANAPETAPVLSTPDTHIFEDPTPTVDTSSLPRLSNEQVALTY EIERTVKEIKEGRWKRIALQFPDRMLVDAPRVYEGLAKGLKSVRARSADGVDGPGGNA KADGEVAEKMLAMGINAEKHEEEERLFILADTSYGACCVDEVAAEHVDADVVVHYGRS CLSPPSRLPVIYVFTERELDLDPVISTFKQTYPEKDTKIILMADIPYSHHVPTLHARL CSDGYSQLFATGIVHNPSSLLPNRTIPAEADAAKDAISDYALFHISDPPPSLLLTLSS RVASTHIYPTDTPAPSATLASTSLALRRRYALLTSLSSASVFGILINTLSVKNYMHIL AHVQAQIAAAGKKAYTFVVGKVNAAKVANFSEVGGWVVIGCWESSLIESKDFWRPLIT PFELGIALQSDDSRVWTGRWEADFQNVLDEEESRPKKDNKGVEASTGLDEEATNGGEG ADGEYDSEEESAPPEFDLRTGRYVSHSRPMRTTAPKTNHRSKSQIQDGKATPASSALM KRANGELTQIGGVVSPGAEFLREKRTWQGLGSDYRDEEENGVSGNAAKMEEGRSGIAR GYVVGDEERIH CC84DRAFT_72063 MKVVLTLLAASALAQGFAIDFEAPKGNVKRSVSTISTPAASTRA LPTPPTGGPAPATGAPTPPPAPVGKGNGAAPPAHGNGTAPPPPPPPCGAPPPPPGNGT APPPPPPAGSGAPPPPPPPGNGTAPPPPPPAGSGAPPPPPIDPVGLAANGTAPPPPPA ASGAPPPPPGNGTAPPPPPPPPACSAPPPDAKGKGKGKGKGKGTGTAAAAATPPPAAP SGVPPPPPANGTAPAPPPAGKGKGKGTATTAALAAATPPAPPAAKGTASAPPPPPAAS GTAAAPPAPPAAPSGAPPPPPANETAPDAKGKGKGKDNVAAQGVGKGKGNARRRVLRL F CC84DRAFT_1201252 MAPKDKQPREESIERTTEYEEFLDKLAEYHEKRGTILDREPKIG SRHIDLLRLYKRVNAEGGYDKVSDTKGNKLAWRRLAGEFLPGSANLTTQAFLVKTAYY KNLAAYEISTIHKREPPPKEILEDVSAKGGDLLTRTAENYFRPASRETERLRGDDESD DSEGDGESKTPRDNKMDVDDPGSLGRRSLRHAPPQRVLFQPEVSRRETRQSTGHVNSP QPNGVYGTSGAAMTIANYEPRSSAPSNMKPVNTPSNNPEYFNQLKRKYILNRKNRPVP LKGMMLPGTGFPGPNIYIRALHALRSKEPEEEAYALHHLVKISHERGDKYRFDQFPGL AEALIEKIIQAASLYFDVQWEVSYVEEEFDQPNVLNGLGGTKDLLRRIQSLEILDRRD DLLPDEDAKSLNMINEAALILRNMVMLQENAFLVSTIPLTKDLLVILLNLPSHPTTNE LQHYALEILEQTTKFWYMEPQSPLYQSLLAQVDCDDRGKIITSLRALGRIAMNLEATN KLTGAPTKILKSICDWLLVEDEELRIACLDFLYIFTGFADNVQILVDEIDVEVLVSQL VRLLQFGAQAFEERRNSPKTSKSTSHADDAPKLSSAIIEQLVTLDEPERSSQWLRTCY EEDPTGEITQIQLWTAYNAAFSDMMPNNASYKQLMPAKDFITNVSTTFVGAQAQVITT TSPPKYTIKGIRPRSVPVDPATKKPYLRCCWHPPSLLNGVSDSKHSSAKVECGEFASG VKAMWEHVVSSHLKVPRDDETGKWLLEQKPDIDMENGDAISTSKPPKYFCHWGGCTHF DAAGTESAYEAGQHIKTHLPDTGLLHAVHAKHNRTPSNSTPLTSSAQVRHENFQPQFS QPVGLGSLGMGARHNDKYGNGDRPVPTVQMPAQTPAPNFRYYNTVTDETSDAAGLPLS SILVLRNLARQLGKLPPPSSVHEIPDSPVHKRTFSESSPDHRRSTGGKKPRLSDAARE NRDREMLRDEQDEQEARSAGWVPRVFTPVKEQLGFVMAHNLTLRNYMGPLFRAIAEGE TKSVPSHA CC84DRAFT_1254511 MLTFDLAALHTQDVLTGAPAPKDGWTKLTNALVVVFDTFRSREE PSVIMKILQASQVLYDIPLQDLIQRGKPVVDRLHRQGIDVSSEAAQLPISGMASTPSL ALRYNVPEANKVRRIQIKFTSPQDFDAAYDHVRELGLWFTPTQKARSVSPVRSGPSCP PSQLSEITGRSGTAVPSSAANDAITGIRPSTASFFSSTSTASSRRPDSAAAVSPYDPT IRSPLSARPDTANSILVCELPPRRELPFERLDTADSTGKSSTRPGSRPLSGVMGPPTL PAAARAGTKRPNSRAGSSHSTELPPLRKPTYISKALTSQPAKLDVGPGSALNARDMVR PQSAIPQNDYTAMQHMMSSAPVLTPKTPRPASSYSTATMETLGILHNNNNNNDDSPLE TSAALGTPPGSDMNMLESSPTEHQGDSGQHTEELATYAQQSNESRLNDLNNFIFQHLQ DDNFLTLVDDMQMTWARIGTGLE CC84DRAFT_1201254 MPNTRSGNKTANFKAYFSKKAAPHQQHFPHWRKVVRRPDPHDDG GKKQMKFLPEMMRRRSTVQDSDDEGGESVEDEDVEEVQRKRAGKKRNSDVMCEMVKVE EDSEEDPVQQTPKRRRKDALVDTAQRSTKRRRAAAAVAQVPESEASDETEQEVAPKPR LRRQSTMTQIVDGRSPGPGSIEPDFKPFKRTPRTSWGGKGNKKDTKKDVKQRTLTQMV HTMTPLVLDSDEEVEGSETDEEVDAAYHSFIYGNEEEAGAERHAPAEFVEEGTLSIVE GSFQVAEDDSGEDEYQPTQFIEAPAKKSRRTPLRSSIRLRDTPASKSPASASPKTRFG LLSTPEKRGVFEIASSQSPPETLLSTQNTPQRSGRRPLKPRSVNVLRMAETPSKRGAD IPSKRKQVTFQDGPQEQIPPPALRKFASTIPDSEDELGDLSESDGQFDVDGVRQDTQA RPRDANGLISGADIGEETQAMLLDIDRACANPPRNTVQAGREKSEELGEPIDRYDAEA SQELGLQSPSHPASSSTNEKSSEVSELPPLPFSSPKPCELAAANEEPGLFTDNPTTPT TVHQLPSSPPIKDFRTQSPTMMFAEDETPEEADEPVTAVASQPSTPTKQLRSSPVEDF RTNSTIPMFDDDQPSEDLDDVPTATPAALRHPDIQVFRSPPAHLRPEPSHSSQAEQQL HSEYQTYSQYRRPAPFPSSMHVAHDSHYSYQATPRPLPKVHSTQFLPDMHFSHVSQAT TVGPTQISPKTTPQKPKVKIEHMFPRSATQTPKRHRQDRSATTTPKSLRAFGLPMSSP DASHPPPTLFIPSSFPSPARVTMDGWSSPVFEKYAEGESQWGVGSLDEFSIPAPPPEE WVEEDEDGEL CC84DRAFT_1159537 MARARGRLRKAPPASTPRRSSRRTSALRHAEDVPAVFQEMLSEA AAEEARPLKKRKTRHDVGTPAPAPGAGSPQTKPFEPLSKASSQAQSQKLPPPHSAPPV RSPSPSARDHNNHVRTPPSTRQTIIDSDESDDSDMEWEDAMADGGDFDAHDEPVINDI SITIGDQDDVGSKTKRQVRRRAITAVDKKKRLDIHKWHIMCLLYHVHRRNAWCNDRIV QATVRNVLSPKVLANLVPDPNLSQFQASKQFLAGIADLKILWSRRFTATAKGMYKPRW ADTDAEIRPSSDFDEFDDPMELEDFRTAASKLEGSQDVGAQLFCALIRGVGLEARLVC SLQCLPFASAAQPSTPQKPDMTKTIITLDPFNTQSPSPPRQKASASSRKPKSRLERAL GERHPALSAGVAPKQRKKYHTEYPVYWVEVFNNIHQKWVPVDPLSTFTINQPEKLEPP LNSAYNTLTYAIAFDDDYTAKDVTRRYTKAYNAKTRKFRVESTQHGENWWKQVMGFWK RKQGLDRDQVEDAALARREAAEGIPKAVQDFKDHPVYVLERHLRHNEVIYPLNQVGKV NVGSSLKPKMEPIYRRKDVHLVRSADKWYRLGRDVQDGEQPLKHAKPKKVRRPSIGPD MTMDDQEDDIGAGLYAEYQTSIYIPPPVVRGRVPRNAYGNLDLYVPSMCPPGGRHIRH KLASRAARIVGVDYAEAITGFKFQGRHGTAVVQGVVVAQEYAEAVEAVIDGLEYSVEM VEEQHRTAEAMRLWRRFFLGLKIVQRIKGQDYDGETPEVDVQNEVEQEDQRIKADAFA GGFFPEEGESTDPPVRYYEPPAQGEGYIGGFDGDFDRDEDKNASDGFIPDDVESHPKR LEREESQNFGSAILSQQQLLPRRQSSFLVLEDDDDYGGGFLRGSPPPKTSKSTHSPVH EDGRVQKDDPVDLGGGLLSDVHLEKTEVDMPYEQMERQPIEADVHTEPDKSEKTVLEA NEVRAVFEVGTLTKLAEPSLPTSSPSDAGSLPLEDPDDEDAEPDWLMDDT CC84DRAFT_72104 MAAGGCCSSGKCQASSAWDSVPRADQQQAAASPHPSSDSTIIPE NFASDKLEKMSCYGISRQSDNYEPEEQEEKRITKNRTMHLQSKRPTNMKHIVLNIEGL KCGCCGDSGISRALEQTPGVETYHVNVVLARAEFDLNVQKTTVGMVKRKLTAATGYTF KQYFQPDGQVLELAVDDPAEVYQ CC84DRAFT_1108331 MVRIHYNAKLIGARDVLQYYQQYTQEEIRLAPSAPHPSLAVGLR QTKSACLVFALAATFTVPVVFFAWDPVSHSNHIYAHLSLAFASIVQMIAVREFFPGAI RTLIHAHIFDMDSLIALSTSVAYVYSVISYTREVKGRPLETGSFFETSTLLVTLILLG RVVSEFARSRAANSVSFRSLQVDEALLVTDSSSPSNPVTDLIDARLLQYGDVFKVLPE SRIVTDGIVIQGGSEVDESMITGEALPVAKGRESTVHAGTVNGSGHLIVALEKLPHEN SVSKIATLVENTELTKPKAQAIADRVASWFVPSIIFIALLVFTIWILVDNYHYHRSTS SAAIKAFTYAIATFVVSCPCAIGLAVPMVVLIASGVAARSGIIFRDPQKLETTRSVTD IVFDKTGTLTDGVLTVERTAFHDATEKEVRSLILGLLDGIKHPVSIAAWKWARARDLM NTLPTQMTSIQSIPGEGIQGMTVNGNHIVRAGNPLWLGIDCPADKRFPHEHNHTLLCL TVDGIPKAELFLKSNIRPTAKATIKNLHDRGIRVHMITGDHDRAAAGVAGDLSIPAHL VKARLKPAEKQAYVDALQADGKTVMFVGDGTNDAVALKTSAIGVHLNRGSDVARSAAD IVLMNPNLLDVCVLLDISRAAYRRIVLNFVWSFVYNSVAVLLAAGAFRVWRIEPKYAG FGELVSVLPVVGVAFSMTWRGYGRKYRDLKGDLVKLSLRDPGI CC84DRAFT_1254515 MRGNYDNNRSRPFGHRSGSSSARPDPGRGNIMPGSRTRDIRRRR EDRRHLGGHRGPQGPRALVNRIRAEGASRIGGRGHGRGHNHHHAQGAAGHGSRGQARA LPLSDPFTGRDGAGMGRFGSQAADQNVPTILTNTTAQGNGLGSNNNVALLDTPQQFQV ASGVGAQEPDFPFDPLFDEPRESPVPQTRTPANVTARTLEARNATANNQPKPVRVVKN PLSRISNRNLRNVFAGIKSRPPKNYGAPMLRVAKATHTLQGHELTCASPDHSAFDGYD AGGDN CC84DRAFT_1182934 MASLTSALALIAPVQAGLRFPCSTLTVQRLDPVVQPGSNPSAHV HHIVGGNAFNASMTGDVGARATCTTCQMAEDFSNYWTAQLYFKHPTNGSYKRVPVVPV QPLLGGSNGASGGLTVYYTQFDLSKDNLKQQKITAFQPGFRMTVGIPTETNKPHVGLR YQCLSGNNRGAEMPDFPTKPCSGGIFTTHHFPACWDGKNLDSPDHQSHMYNTIRSDGF TNAPACPSSHPIRVPQVTYETTWDTTQFNSLWKSGDPNPFVWSFEGTSGYGTHADYMF GWKGDALQRAMDKSECFYDGCGSIKKQAMTEANKCTVKDQVGEKVGETEWLEKLPGM CC84DRAFT_1159540 MPGDRSLHKTDPVKPFNLSSPAGKDIWRKPPSHNVIDAPTYPSP LPQYDLKSFQRARLTFSLPPGKDLRQYDQAGLLLSFTRDDAPLGSGKDKWLKTGIEWY YGKPYLSTVGCDNWADWSIAPLQEFAGNESRPTATLEVRRERDQLGKSLWVYQIVENE KGEEVERRPLREVNWIFALDEEGWKVGVGGAVARPNKEGGEEELTAEFEKGLVVELLD YEKKVSE CC84DRAFT_1134605 MALRQAVGRPLGHAVRSASCSRPAVRTFAATALRAKEVAADSPD AANLRYAPRDPTPSKLKAAIVNPTDRYKEKGEALHKYGQYLMSCLPKYVQQFSVWKDE LTIYIPPAGVLPVFTFLKNHTAAEYTQISDITAVDYPTKDQRFEVVYNMLSIRHNSRL RVKTYADEATPVPSLCDLYDGANWYEREVYDLFGVFFVGHPDLRRIMTDYGFDGHPLR KDFPMTGYTEIRYDEEKKRIVVEPLEMTQAFRNFRGGSTAWEPVGPGDNKTPENFKLP TPKPEPPKEGEQKK CC84DRAFT_1159542 MSRIQQDQFVDDDEEECCPLCVEEFDLSDRNFRPCPCGYQICQF CYNNIKTNMNGLCPACRRVYDDSTIEFKTITPEEMAKHKQQIAQKAKKNAQQRQKEAQ KAEADSLSRKHLAGLRVVQKNLVYVTGLTPTIREDRLLDTLRGPDYFGQYGKIIKIVV SKARENAQHQQSVGVYVTFARKEDAAACIAAVDSSQNGERTLRAQYGTTKYCSAYLRG EQCNNRNCMFLHEPGEDNDSFTRQDLSMMNSIQTQQPAQSSTSRSAPPAHPGPAVAAA STPMHRQDSNEVGVSEDAPGLPATANWGSKAAQERRASRSTNASNPSPMTVNAVPAPL IVKPTKVEEPPKKKTKEKEKSAQASKPNTPQPQQQAQSQSQPEPVPRPQKPRIPGLDG LLKAVTSDDFKFVFSSAVLSEEEFKAIIEFPQLLDPNGGAKRRAMREKEKELAAQREA EAQAQAPASQQAQQQHQAPTESDEHETTAGGSLQLGGEPEETHQPGASHQNQHVIAPP GQQTLGGNLFGQNNSLAEDFSNLGLNTTRQLTQQQQQQLLLSNFKSGPQSASLLSQFQ NSQPQQAHAPTGNAPGHTRHTSRFSFANDSASASASVQPVANQKLMNQQSSMMPKNAN HFPQISQHQSLSGQYYTSGVQGPPPGLKATPTPPISGGGMFGQGNGFGSSGLGYGGNA SGRNNSDALYQDLLRSRNLDSGSRVGDAGKRESMFPSFLHQQHPTTSTPGPAPGLFAL PYGPSPGAYQETGSQKSKKKGKKHRHANTSSSGGGVVDVPDPSILQARLHQGGGMVGQ GLYGQGQGGFSSLNTYNNYGGAAGRW CC84DRAFT_1182939 MAIGYEILSPEEEASVNVDALVSDTVAEHQGRSTPLLSHILNQT GRATPTIPPGFTAPMLTRNVALDQPSRPASRNAALVAPAVPVIPVTPGRLATPIGKKA KQEPGGITPSATKPMAGVMSTPSPVPTTPVRASRNGSPSKAKSQTIETPKKPSEDPTH ASPARMTPRNKASSKKAATASDTSPQKDTKSLASTAIPSSKRQPPGKLDISAATKVPE NEAPSAASSTKQEAPAKPIRSISTTAPMSVPASPAAASTGSPIKKSIGAKTLRVVATP KTESPPHMPPLSSLPQVPTVEKLRSRQASIASINQPGTPASELISDTASFTSTSISRA SSPPLVGGKVGSAPVRKKTKSQAKKDRQERARQAEEERAMEEQTPEPEVVQAPIVGRK KKTKKPATNFKNNAASTKSQSEPTKMSSKEEEELEELYQANIAAKKAAADADAAAAAA AAAAAAAAAAAAAIKPSAPSPRVEPVAEFEPDLAKDKRELTAQSILSDLQRTGELVAS ALEFFKPLSSSLAHASKATPMSGGPVGPPDLKIHFTEADLEALTKKLPVHLRGHDGTS DSRTLITPQGKFFWGLTQELEEKALELEEYIEELRGAARFHPKKYNAHNTGFQGQPNL PALATALKEAGAKLSKSTGQDMPRLDSPSAYQGGPSQRSQLPPVQANEDLLPPNQAQQ PQTPADAGVYLNQFVLPNTDNPPPNAPRPEMAAVGGLPGAGTANISVNANKLAKAAKA VAEGGAVGQELEGIGAITADLLGGVFVQNLEALVGADLGFSSFSGTSDIGIDGSGLDV QGLVSAFEAGVGPGAGRRRGGRSVLSVEEAEQAMLAAKKDHEALEKKLSALIKRNRKM LSAGKA CC84DRAFT_1159544 MLGDAKLNSLDTRLEQFKLSNATTQQELQTLLKEHTQLLEDYKV LKAHKIAEQPQANGGSSASTPFNEKPRYPYALVLIDGNGYIFNDELVKDKEEGGMRAA RMLTDVVEKLLREHPQARDSRLVVRIYADVTNLSKQLAKTKLIGLEKRSIMPFAAGFS RAMAHFDFVDALDEEGTRFKIRETFKVAAEDTACCHILFAACHDTNYLPQLVPYNGLH KKITLVQGAGFETDFYQLGLTIAQFPTIFRWSELAQAPPTIKGSSMARTTSTKAPPPA PPAARPSALDRDDWRHTSVNADGISYNSNGVIVSIPGFTADSSPAKQGHKKTKPCKYF QKGFCRWGNKCSFIHAPEHVVPNPQRSSSPTTTSGADRENVSSYLPSTVPPGFVALNK DGHRLDTYIRPPTPEEWLIYNARFHKQKPCNAHHLSGTCNNFNCPFDHHPLEVETQHC LEYVLKSSPCPRRGTCRDRDCFHGHVCQKDGCVGYHKGCKLKADAHNADPKLASMVPA TTGDSVHEDTIGVVVGGGGVYEAAW CC84DRAFT_1159547 MSLPIIDISPFLDASSAPEIRQQVAQSINNACTEFGFFYLTGHC IPQAKLEEVISLARQFFALPNEQKNKIKRHDAGGPEGGDGARGYQGMGENVTQGKKDM HEAIDLYREWDHAPKVEGNGGRGTYSTLQGPNLWPDEPKELKSVYLSYIDQLQSVGTA LVRAMGQALDLPPPDPNSSGTPKTEDSEVFVRNTDHSFWVMRLIGYPKLSTPVSPDAP LSSLSCGEHTDYGCVTLLLTDPTPGALQVLLKDGTTWLNADPIPGAFVVNIGDMIERW TNGLWKSTRHRVIHRGEGYRVSVPFFFEPNFEAVVRPLERCVERSGMEAMHGGSTYGE HLLGKVFGNFY CC84DRAFT_1159548 MSLQPPSLASRPKGGAAKTTKAVILVGGPSRGTRFRPLSMELPK PLFPVAGHPIIEHCFRAIANVPEVKEVFIVGYYEETVFQPFINEISNSFPQLTVKYLR EYQALGTAGGLYHFRDVILKGKPDRFFVLNADVCSSFPLNDMLELFEAKDAEAVMLGT RVASESATNFGCIVSDSHTKRVLHYVEKPEGQISNLINCGVYLFATECIFPAIRSAIK RRTERPRLLSYPSSENLESSYYQGQEDDDEDKENTVVRLEQDVLSDIADSRQFFVLET KDFWRQIKTAGSAVPANALYLTKAFQMGSEELAKPSANILPPVYIHPSAKVDPTAKIG PNVSIGARSIIGAGVRVKETIVLDDSEIKHDACVMYSIVGWHSKIGAWARVEGTPTPI TSHSTSIVKNGVKVQSITILGKECGVGDEVRVQNCVCLPNKELKRDVSNEVIM CC84DRAFT_1254523 MNAQSATVLFRRQLLAGGRRGAGAAGREQYRCFSRTTRQSQQPQ TPPKTTQNRDGTTHFGFETVAEALKEQKVRGVFSSVASSYDTMNDLMSMGIHRLWKDH FVRNLNPGRNPLTSAPYEQKGWNILDIAGGTGDIAFRMLDHASTINHDAHTKVTVADI NPDMLAEGRKRALETPYARSPRLQFIEANAEKLDMIPDSSIDLYTVAFGIRNFTHKEE AIREAYRVLKPGGVFAVLEFSKVNNGLFDAVYKRWSFSAIPLIGQLVAGDRDSYQYLV ESIERFPSQTEFRDMIKDAGFLVPGQGWEDLTGGIAAIHKGVKPA CC84DRAFT_1134625 MSLRSVPRRLGARALRRPSICHNASQAAPRVMPKWQTCRNVAST PTAEKVLFPGALNSEFTNSLEFLRPSQNKSISTFRILDQYGQVLDKERGVDTTDEEAL ELYKHMVCLSIMDLIMFEAQRQGRLSFYMVSTGEEGISVGSASALKPKDTVFCQYREA GVFMQRGFTLDQFMAQLFSNADDFGHGRNMPVHYGSRELNIRTVSSTLATQLPHAAGA AYALKLQNQQNPDIEPRVAVTYFGEGAASEGDFHAALNIAATRQAPCIFICRNNGYAI STPTSDQYRGDGIASRGAGYGMDTIRVDGNDIFAVRTATKEARRLALTDGGRPVLIEM MAYRVGHHSTSDDSYAYRQKVEVEDWKRRDNPITRLRKWLESRDLWNEDKEKETRSQL RKEVLAAFDRGEKKKKPKIRHAFDDVWEEITAEQRAHVEELKDILTRYPKEYDISQYE GGLDSLDELLEKKK CC84DRAFT_1254525 MKAPIQLTLLALAGTSLSKPVSSPEPICRDIKLTVTASADNIDL PPFPNDTSPTAFGRYAQSFNVSNLDTKRVGGTFNIAATYCEPSRKIKGREHIIQFLLH GLGYTKEYWNGLNFPNVTYPGQYSWTHHANSQGYATLAIDNLGDGESDHPDPISMVQL PLQEAIILEIFKSLRNKAISCIPTKYSKIIMVTHSYGALIGRAVATDHPHPATGADAY IQTASSSELKGINAAVLNWAPRAASVVDPARFSHLPPAYLQVAPRAIRETVYGYPGEY DAEVLAWDESLPKPFSIGQILPPKPNTVSSFRGPVIYITGTHDAIVCDRAGNTTLLTT ECAAGRDANPGLTAEKFPKARKFVARVVKATGHNVNLHYSAHESFVAAHQLLTKMGF CC84DRAFT_1226217 MSLLPEEWQWVAVDSKGSSKDYKMRQTVRKNAMKSFRRNERLER SKNYMESRAKGKTTSKPRSLQPHPNVAILGSPSQTANSCREPVHNLVSSQPTTVLFDR EAQRLWSHFINHIAVQLQPAGTPKECNAITTCLVPQALQHTGFTTSMLFHSGAHLDAL LNRSWTRTTLFYRGETIRHIKEHLSSGGSESNDSLLAMVAFLAAEGNVNGDVNSDHLH TRAVQTMVQIRGGLSAMSLTQAPLAMSLSM CC84DRAFT_1211805 MPANRVERKKKTKTPEDVPDVGDPDRKRVLNVLAQRRYRERRKA KIAALEAQAKGTVSVPNASETTPDSVNGIQNTSVHHSVAQSSMTQAIPTGSGLSMDET EGIEEIIRDAMPQEESAFDLAGGFTQEVFDFDAQLLEDISFGLPTSSHASRSRGTTPA LTSSSSPSSSSSIGPLSSDASFLEVPVLATIRAFTTIANMLDIMNKVWDPGFTHTLPP IAPPGLPPNLHPTTAQMTIPHHPFLDALPWPSVREKLICMFSLPSMFRPPIAQDDVEE GVSKPIMRLITDLDDHQDGVRIHGNLVGWEASSELAEEAWEIGEVFYKNWWWCLDGRI IAITNKRRRERGMGPLIKIPKKNRAPFRPVDTLLSPQKPSFKAGRSKPAQEASLSDEE NSYLPQRDEDATESSIEPSELSHLGNSSSLFDEQRTPPASSPVYRPTRTTHISKTPRS MARDREPPGGQPRAPAPPSAHAPVAKPLHERLSANGPIDLSTPPKAAPEFGKASTMQS PLKNFAQRTQSSHVFNQPVPGHSRSEHHRDHKPIAIHRPNVPSQPTKRAPPKVSSRYS GASTDDSDIFEIQPSQFQPRPSYSAAPVPQPMNTQHRTIHAPPRPVYSSVGHANGFQP VNRNQGMFSLESRKTVILDDLAPKRTGSDDEDDFDPDTAIRAERGEFGAPDLHAYVDS NQANENIKALLEGALDEENIPRTRGRKKKKQAEGDAAMSLADRLAALDVKESEADKAA EDEEEDEDDGTVDGMKVKLLPHQVEGVAWMIEKETGAHNKRAKLPKGGILADDMGLGK TVQSIAVILSNPRPEKGVEPENKKNRILPAVGKGTLIVAPLALIKQWEAEINDKVSKS PGLKVLVHHGPNRTKSLEKLKTYDVVITTYQVLASEHASCGEGPNGLKKGCFGVHWYR VMLDEAHTIKNRNAKMTKACYEVQSHYRWCLTGTPMQNNLDELQSLIRFLRIQPYCDM PNWKDAITGPMKSGRGNLAMRRLQIFLKAFMKRRTKDVLKKEGALNFGGKQKEGEEKK GGFQIVGRNIETVIGEFTAKERSFYDRLNDRAESRLKEMMGGEKRDYIGALVLLLRLR QACNHPSLTKSNMKEDKDALTTGQKSSPQTGLQTPRKASNDDDADDLADLLGGLTVET KRCDVCQSRLHSGNTAPGAIRCNECETDLNASVKKSKKHKKSRKHKHKDQKKEKHRQL DSEDEDAPKLPNASRQRRVVVDSDDEEEAGEWLVGEDEQGPTNLGKAGGTDDEDAEGG GDTLASVDSHASGSGDEDHDTVGSFIVHDTDSEEEGPVARKGKLSKPAKLVSIDSDNE LPSETDSDSSSEADSEEDSDTEESDIEYNASDLTPSTKIRQLLTILEKETPDHKVIVF SQFTSMLDLIQPFLRRGGYNFTRYDGKMRNDLREASLAKLRNDKRCRVLICSLKCGSL GLNLTAASRVVIMEPFWNPFVEEQAIDRVHRLNQTVDVTVYRLSIHNSVEERILALQE AKRKLAQAAIEGGKAVGKLSMQDILALFKRDAEYDHRNVDDGNDDIFARTRVLDERGD SQDVGESTAARRKKGSLGFKKPEHSVYGRR CC84DRAFT_1170982 MSQPITKSFPIDPLIGSKPTSKQDPKPIPSSSALAINVLALGRI GLGLASFVAPTVTLSLFKIALPANMTLIPRMFGGREFVIGEWTWMVKDEDKNAAEGGR RELKRAMRLNTVVDALDLAAVGYGLSGGDGNGGPAARGTACRGSINVRGDGLVGIERL VANGNVLR CC84DRAFT_1211807 MASNDPGLAPLNAPAEDAIKGAVDVPGDETLVAPDQFDPRYETT RKEIWAYYAYYVGDNGLTLFNFGPTAFQNLMYQASGDSEILHFAGRDRTINSIVLLCN GISFAIQIVVFLILGAFADFGTWRPNILIVLSIIAFGVGFGWLGVHTAEDWHIGVGLY IVGLIAYQTTVTFWTAAFPGLARNTRDLREKAEDFAQGRISRAEYDFADSMKRNELSN TAFYTQSVFEIAILAVIVGIMFGLKVNDSKENNNWGLSVLIAFATGVWILCAIPWFLW EKRRPGQDPGRSNIIFAGFKQLGYAVSQIWQLRQSLAYLVGYFSLSDSLNTTVTVIGT LQNEIVAYNSLQLTYLLIVGIAAQAVGIGAFWQIQKRYGFSTKTMFMVVACAIVVLDG WGMIGIWTQAFGFHKSWEVWVYQAFYGLFVCPWYSYSQTMISEVTPRGREFLFFSLFS IVGKTSAFIGPLVSSAIIDDTGNNSSPFYFLFALSLVSCVFLYFFVDVEKSRIEQQHF LEKAESLTSRRSDIERSHEESR CC84DRAFT_1211808 MKSFGLTLSLATAVIAAPLTERASTWSGWKGVKYFFVFGDSYTQ TGFDPKSTQPSTTNPFGNPPWPGWTSSNGPNWVGFLTATYNKTKIQTYNLAYGGATVD SALVAPYTPTVLSLKNQVQDQFLPIYGSHPSSVPWKDSNSLFAFWIGINDVGNSWWLS NATALYDQIFTVYDGLLESIYATGARNFLFLSVPPVNLAPLTLANGDYAIENEGKMIE TWNAKLKNLTTSFSNRHNNTPKIFIHDTHTLFTNVINDPKTYEQTAGLKNTTGYCTAY ENGTPTWYTSDPSCLYPVNEYLWLNSLHPTFPIHNATAASVVKTLGGSGKVI CC84DRAFT_1159558 MKLLLAFLSLALGILAESYQTGNATFYNPALPGWHSDPSCIRRD DTFFCVTSTFIAFPGLPVYASKDLRNWRLISHVWNRESQLPGVSWNTTQQQQGMYAAT IREHKGEIYVVCEYLGLSQGIIGVLFKTKDPFNDAAWSDPVTFSPKKIDPDLFWDDDG TLYVATQGIILQKLDMETGELSQPPISLWNGTGGVWPEGPHIYKKDGYYYLLIAEGGT AEDHSITMARSRKLTGPYEAYAGNPVLTARGTQRYFQTVGHGDLFTDKAGNWWGMCLA TRSGPAYEIYPMGREAVLFPVTWEEGEWPVMQTIEGRMSGWPTMPPPNRRIPGDGPFV ADPDSYDFEAGSSIPKNLIHWRVPAEGAFKISKKGLQVTPSRANLTGTPFGNVETSGQ RGLSFIARRQTDTLFTYSVDLVSKPKNANEEAGVSVFLTQVNHLDLGVVQLPPTKPSE EPQLAFRFRVTSDNVPIAVPEPHIVPVPAAWKQSNLRLQISTSNNVTYEFSAMKAGHP ESAIKMGTASAQLVSGGNGTFVGSLVGAYATCNGAGKGVDCPRGEPAVFSRWRYTGKG QQISINEVV CC84DRAFT_84639 MAGMEQLEVHSKSYLVRWVNVSAGHTISWSIQPHKKSINFGLYK HPGAATGNTTTVTAVSTFEPPPTPGLEVPNPSGRGRGQSTSRNDRTVVFEKLDKIGLK QVHWVGKCEAEKVSMGTWDVPDHEGGMYGLVFDNTFSKTVSKMVTFVLMTYPTNAPPK SGHHMHYAQAMGGQSSTSLGSSPALGPTAAQSSESLPHPPLADRPKSSYAVAPSASSS FLTGVLQKKRRKRNQGYARRFFSLDFTSSTLSYYRNDHSSALRGAIPLSLAAIGANEE TREISVDSGAEIWHLRTNNTKDFDTWRDALDRASRHAGIASPTLQIPDSSSKSGSHIL NAAEENEWARVETLVGRIAGTRDAVRRLAQDTDPKYNQAPASAPVPVRQPQDSLSPSP SEESSDFFLDDKSFDKKAFWKRKASSSGGHSPSSLFRRSVSAQTGVAAPSAVPPVPPL PPLPPNGSLSVPKRNNRLSVANSTHEDGLHDHCMELLHDLDTVVKEFSALISESRQRR TPAPLSAISSRRSIDSQESADEFFDATDGGTAHSQLLTIRRDSSGARSHDDVSDGGSE SSSDNEGGGFFDNRASLEVQPSIFPKKPKSLTPLPSDPVPRRRDVPISKTTPPSLIAF LRKNVGKDLSTIAMPVTSNEPTSALQRLAEQLEYTELLDTATQVPAETGERLVYMAAF AISAFSNARVKERAVRKPFNPMLGETFELVREDKGFRFVAEKVVHRPVRMACHAESTN WTFVQAPTPVQKFWGKSFEINTDGKARVFLHDAGEHYSWTIASSFLRNVIAGEKYIEP TGTMTVLCETTGAKAVCTFKAGGMFAGRSEEVTVQTFDNSGNTLTAGAQGKWTSDLVL TPTGKTVWKVGALVDKPEKHYGFTTWAASLNEISPLEKDHLPPTDSRLRPDQRAAENQ DMDSAEGLKARLEERQRARRRVMEEHGEEWTPKWFVKGGPEVESALGGEEVWRLKSGK EGYWECRERGDWEGVTDVFQL CC84DRAFT_1254532 MSDDFDDELLALAGGDEEIDIEEGEASSVAASSPNSLGSGDMDE SDSDRDDEPPARDSGVLYPLEGQFIDLRDKQRIMSMSQLEREEILGQRAEEISRTNFQ AELQKRAAMNNKKRKADSEEPEDSRKSSRQVKPKRNAALDAYTAAREQKGQQRQRADD SRNDRRRSDSLGRDGGSDVDAEGEEDVDWDNERKPAVREDLPVTLRDVESIRIGRGFF SKVCFWPGFDEAMNGAFGRIGIGQDKGRTVYRMAQIKGFQAGKPYVFDGKDGQRIATD QYVICKHGSTQKEYPFQYLSNQRFTDADFDAYRHALSEAGTKLPTQSYVTRKIDEIKA FDNRFWTDDDINARIQKSNKYAHLLHRDRGEEAAPRIPTQSEAAANRLAELNRKNREA ERERIRVLQLEERKEKMKARKRAEDDARRKKAEEEKRKAEEERKNLLSVDDLFDGGSS RASSVAKEETPKPEGEAKKKEKSERKGLPTFRKPKMDDDIIASMDIGLDIEI CC84DRAFT_1159561 MASALLATRPLARAAARSTQHPVAQFIVPCCIARPRRRIHSSPA HAVQSSPTKRSVAAAIPQDHADHSDLPTPHNTAAGTPIKLLSQEQHDFLDSALRVNQA GELAAVLIYAAQTPPLLRAHPHLKGLMKHMHDQEVGHFRYFNSILAKHRVRPTAMYPV WHAAATVLGWGTAVIGKEAAMACTEAVETEIGTHYNEQVRVLLDWADKLEARGETLGD ELTVLIDELRRIRDEELEHLDHAVENDSKEAKPYELLTNVIRGGCRTAIWISERV CC84DRAFT_1226231 MTSVHAPRAANALSKLAESESDSDNDEALVRRTNGRLLSRLQQA VTEDSSEGEQGNSDEDTYERTKRRLAAAKSAADSSGEEEEDNGQGAYERMKQLLLAST GAERRLETEPTQDAQKPVAAAAASSSEDADDAPVRGARVRKLQKRREPSESPRVERIR AERLAKRAEEKQRRTKNARQQGEQEPESNSDGEGSRRLTQLAKPTRKAGKKALEAMAR DQQRIVRNMQLTHQAKTKKRYGTKDLFAKFGFNQGVEDAESAALPVSENSSVPATSDA EAAPSRDTPPTSPPSFEDASEKDDTLGKPHLTGAADPMEEGAPPAPTKVDKGKGRAPE FQHLPPNQIMQLAQPLIVQNALIEPKEFGSEIMVDLDDSDDEPEVTKPKSRFPIFDNL PVRKDRESSSLLHLRHLAQLSSPGKRGPKGKKTMNMVQLQGSLFQKARQQAQTERQEK IEMLRAKGIIVETEEEKEKRQMEIEDLVAQFEKEKEKDLKLAKREREIAKKNGEIGDG LASSDEEEDEDYVASGEEDEVAENLGDADEVELELSGSEDEEADDEHELDEDEDEDDE GMQEAPEANALIDTMAGEDEDEESANDAPEDGEDDDMLGAEPEEIVRKAPAERTRKVV VDDEDDEESTAQFNGSPTQVATQDETMAAFGFGATAPAIGLTQAFAGTMAILESGSQA DRSLTQEPEQDSIDFLRSLPDSQPSFSQRNDIFVPNSQSALFQQDDTQDGSAPPINLG ISQLLEETAIYSNTQASEVPEPTQDAGFLISRSPAGLMPSTADTVMVPVPESPIAQRK GKLSRRRKVATVVLSDVDDENVFSASDAEADAGQPATEDAFSFLKNAAKQQKKIDPFN KKTSAAREHLDEQAYESDDEYKGIGGASDEDSGEEDADLAEMIDTSDVKVDERKIAAL FADKARKDHDASVAKIYKDLQTGAFRKRGVGDAFDMSDSEDEMEMRRRKKQREFDQMF RALKQDEAVGKMAENPKRKAFFATIMDHSDDSDLEFLDDPEEPAANSASQSDEEKQDK QETQEVSIPDSQTTHAPPNSLKRKSPAADSQEKENRPPPHLRRTAVADAMTRRPISAA DIKDSVAELLDDPRDVVPDSQYTSLSDSEDDSSTTPMVRAERVVTNRLSRTPSLATET STKSNMAFQAPSTAGAGGFRVPSLIRRATSNLSVASERSTSSAGRSSEGGVRMGGTGR SNIHAQAREAERRAVLDKVEGKRKEALKKKVGLARGKRSVLSRLGGGFE CC84DRAFT_1159563 MHDKDAWGCGFCACLLTTWEERCEHIAMHFEEKKSKWNFTNVIL GLLKQPEVAESWTRLMTQRHGDVQDYPRFTWESKKCNRLRYKLETKWDTRVFDVDKVV QETYDLAEVEPADMAESAAETTPEVQEAPESSEPSETVSCKLEMEFGNDQRLHSSHGI TSDNMMDLDPVDTPHAMHHDLQQAQWPVTSDMTQNTMAADPAMGAFGGFNPSHMAHMS TDFSQPVNQGFQPHWSNAGFVSTPDLVAFQQQNSYMNYNAPKEVVQVPTSQYANFGQY PRQSVPPNFMHHTSTPSASRRYIPKLINIASRPSSSHMSDQPPPPPPKDEHTNRFSRM IMRRRPSNISQHTIVSSQREIGWNDELNWG CC84DRAFT_1077170 MALSSTYQLAVIISSFLLPVITYLYFTQRPKKSAAGPLPPPTEI TDIHIHPIKSCHYITVKSARLLPTGLDLDRHWMWVSYPKLEFQTIRQNSKMTLIRPSY NAETDTLTVVAPAPNFIDQKLHFSIPAHPSQEWLEKHTQLVDANIWGKETSAHAFSTD LTGPFNEFFGKEVRLVYKSPFFDAPRKLPSNGAESILGRPASTCFPDLMPILVGCESS IDELNSRIRANDDITIDIHRFRPNIIVKGNKPWDEDRWKTLRISPKPGSKSATQSFIL DVTQRCARCQVPNVDPESAEKHKRQPWETLMKYRRVDEGITYKPCFGMLCVPQGEGGM LEVGMKMEVTEVTDKHRYIPGF CC84DRAFT_1080841 MRSSVIIATWLSFRGVQTHALGPFEKNIAARADHLNIATTALKP LLSQNASVILPTDNDWDALQIRGTSPRIHPKFNAIVEPATEADVQKTVQFASKFGIPF LAVSGTHGWTKTLNNLPYGIQINMRKLNSVTVDPSGNTATIGGGTLQWEANKVLYAKG KQAVLTLCECTSIAGPMLAGGHSMLQARHGYTLDNLVSARVILANGTAVTASSSSNSD LFWALRGAGQSFGIVTSLQLNVYDIPSTWTISTFIYRSDKLEAVLDAVNKLDGDAMRS QNLVINGVGTRIPPMDPQNPMIIYTLSYQGPEAEALPYFSKFKAIGPITVKPSTNVNN MELYKITQNSVDSAACARNKNSMGSGVSLPSWNTTAARAAFNIFAKMTADRRFSRSVF LLENYGMQGVRAVDPSLTSLSLEERQYPAVANPTIWWNGTAAVGQADAEAYGEQIRQA LFAGLPKGAKKHTYVNYAVGTENFNQMYGYDTARVQRLKTLKKAYDPENRFGFYNPVP LA CC84DRAFT_1159564 MHVSVLALPSFFFLVLAQGPNDPGFYNTVAEIYSGPGCDAASFV WADPIFGRGGTCQLLDRNDNTPDIISYKVTTQYPGCSGTFSWLKER CC84DRAFT_1079929 MLEGLVSNLLNRFLGMYVQNFDPKQLNVGIWSGDVKLRNLELRR EALDQLHLPLNVIEGHLGSLTLSIPWSNLRGKPLKVNIEDVYLLAAPKEDADYDAEEE ERREHAVKMEKLDSAELLKERSTEGMSAEEQQKNQSFTASLVTAIVDNVQVTVKNIHI RYEDSIADPGHPFALGLTLADFSAISTDENWKPTFIQGTASSTHKLATLGSLAIYWDT DAKLIGTGKGNQSKDEQEIDHDDFIEKVRSMIVRGENPELGDHQFILKPISGRMGLEM DKTGKLDKPKMKARLLFDELGFIIDEDQYRDALMLVDLFHYFIRHQEYKKFQPKSSPK EDPAGWLRFAGQAVLDKIHERNRQWSWSYFKERRDDRIRYIELFKKKKREEKLTAEES EDLDKLERKLKYEDLRFWRSLARNQLRKENVGVKKPPPKQGWGSWIWGAKQEENHDEN TQMTDEQRQELYSAIDWDEKNAITESVDMPREYVKMEVNMSLRTGSFTLKREPHGNSN EILRLLFDSFSTQFLQRTDSMFVKVALEGMRLYDGTTEGSLFPQLITIKDAPPVSDDK RIEELNDDETSKDGDDEEEEEQEDPFFQLAFEKNPLDGSADTALTMKLKGMEFVYNPK FVVEVVKFFKPPERHMESIGALMETAGATVEGIRQQTRAGLEFALTEHKTINAQLDLQ APLIIIPDSVTKKSSNCLIVDAGHISVTSELVDKSTLHDIQSKQKQKYTEEDFKRLEA LMYDKFLLKLHSTQVLIGPSIDETRAQLEEGATSKSMHIVDKINMDFKIELCIVPKAT DLTKFRIGGNLPILHASISDTKYKNLMRLIDVAIPKFENDQPSKQVAEGAKGPSNIKP TSEAKASADPLGRPRSKSFQFAAQEHELVMEEEDAQSTKDEKFEDAREAKSKHDINIH QRNFEFNFTVDKLQGSLYRSDPEGKKADQLLVELVAEHFDLSFYQHPFDMVAEVSLKS LAVEDHVEQDPLPEFRNIISSEDVSSKEQKNLFSLKFVKVNKESPEFQSKYEGIAMNL DVAVSTINLIVTRKTLLTLLDFVMITFTNPGPPQNQQAQIADKAGEQQEVAQTPQQDQ DKIRIRAELKRIAVILNNDGIRLATLSLNSGEVGIFLHGKTMRIGGQLGNLTLIDDVN QGVPEESPLRQLVTIEGKNLADFSYETYDSELDSYPGYDASVALKTGSIKINFLTEPF RKIMEFAVKFGKMQAIFNAARQAAANQATQIQQRATKFHFDIIISTPIVVFPRMVISD KPERDTLIANLGEIYAKNKFDPLDDSEGSDTANKITAGIRNIKLTSKLHYEDDRFEEL ELIDKVDLDFNVTIVDHKPGQQRPDLEIDGGMSDINLKITPEQMKFALELSRSIPAAF AADGDSLEEDLHQELPDSTTGPARRLTDKELPSQEPSQDLVASQGPELQTDDDKWTKL DFVFKVGTIGLELIKSQDSEPVGDVEAASLSKFSLNETNVKLRMISDGAMEAELVVQS FTIRDSRTQGTNKFRKIMSLINNDVKQQFMASVSISGGQEKNLIALLTIDSPRIILAL DYLFALQAFVNAGMATDDPLVIGDEADADDAESDSDIMSPDGSRSPIKPGDDTKQTDN AMNISFRVNLVDAQVVLIANPAISNSEAIVLGTKQVLVSKQQAMTLQVDKVGMFLCRM DRFETSRMRILDDFSIQTALDMRNQGKNSSLMSINVDIEPLVLRLSLRDILLAMQIVN RASAMSGANDENKKLAEESPQKIKGGTPSKKAKSTVGKAASSKPQARSLAATKRSSQH DAPPQPPRPGQGSAVLKREELNVNIEGIRVVLIGDVHEMPFLDWRVKKFGVDVRDWSG AMTADTSVDTLLNVYNFSKSAWEPLIEPWQLGFHMSRDQNPDRLGLELYSRKAMELTE NSEGYATKLEDGSEEPWRFEDAMSTRESLSTEEATGVLGIRLEGSGFDPIQRIPVHRE GEFLYNLKPKQDRVQHRILVDVKLGADNVKNITFRSPLLVENNTQIPIELGIYSPEEG HLLKIEKVAPGDARPAPVGAAFMHSIVVRPDQGFGYAWSNERLYWKELLKRPTRTITC RGEQDEQSPPFYFQLHASFDKKDPLTGVYPYMRLRLAAPVEVQNLLPFDFKYRIYDSN TKKDWTNFLRKGGVSPVHVVELSHLLLLSVDLQDTPFKASKFGIINSTDRESFRREKS LELKDSSDLSLHLNMHFYNYPDGGGSFKVTIYSPYIILNRTGLELDVRAKQFMGQARA AAGQGIFADDQDDSSKPLPLMFSFPTQDKKNRALLKVGDAQWSKPQSLDAIGSNYAVA LPSTKARSEMHLGVSVGEGEGKFNLTKVVTVAPRFIIKNKMKEEVNIREPGSSEWTSV KDGELLPLRWLRSGGSPQISLCYPGVNNQWSSPFNISNVGNVHVKLSKAGQRQKLVRV DILMEGATIFIHVSVETKHWPFSFKNMSDQEFLYWQANPNLDEDEDDRGTGFRPIRYR LPPRSIMPYAWDFPAGKNKEIVLSANGRERNVKLAEIGNLIPFKLPPAQGQRQKIIDL NVVATGPTQTLELSNYKPSKSLYKQKSGTGSSSTAGGFEVKDMDTDVTFKAQLRLAGI GISLVNRHLKELVYVTLRDIELKYSDSPLYQMVNLHVKWIQIDNQLYGGIFPIIFYPS VVPKTGKEMEAHPIFQTSITRVKDDSYGVLYIKYFTILLQQMTLEIDEDFIFAMLDFA KIPGASWSEEKEGKLWDESLDIPEPQQEQSGQDVYFELLHLQPMQIDLSFVRTERINA EDTMTSSNPFMFAVNVLTMSIGNVNDAPVRYNALMLENVRVSTDALVANIKNHYVQES LRQVHVVIGSADFLGNPVGLFTNVSSGVADIFYEPYQGLVKSDRPEELGIGIAKGASS FVKKSVFGFSDSMAKFTGSMSKGLSAATLDKEFQDQRRMSRSRNRPKHALYGITAGGN AFASSLASGLGGLARHPIQGAEKEGALGFVKGVGKGLLGVPTKAAIGAFDLASNMAEG VRNTTTVFDQEGLDRVRLTRFIGTDGIVRPYSQRESLGQFWLKTLDNGKYFNEDYIAH LELQGKDMLVMLTYNGILMVKAKALRTEWDVPLKDIQTISKERTGMSITLKGGTNGPY VPVADEGSRNWFYRQVAVAVNAYNDRWNAKG CC84DRAFT_1159565 MGWLWTSSSSKAEPQQPTPTSMPIRSEPAPSTPPANSDDAAFNE AFPHLAPEITSSNPEPSSKSAPSTAVTHDPSLPTTMSCRAAFDSAFYCSSLGGHFNDI YRYGHLRSCSEHWADWRFCMSLSGASSEGRANAIRERYREKEEKLKKEPNSEDVWRRR GPGEMIEKPFRFAEEESLRVEKA CC84DRAFT_1226245 MAPAVGIDLGTTYSCVGIFRDDRIEIIANDQGNRTTPSFVAFTD TERLIGDSAKNQVAMNPANTVFDAKRLIGRKFADAEVQADMKHFPFTIIEKAGKPVIE VEFKGEKKNFTPEEISSMVLTKMRETAESYLGGTVNNAVVTVPAYFNDSQRQATKDAG LIAGLNVLRIINEPTAAAIAYGLDKKTEGERNVLIFDLGGGTFDVSLLTIEEGIFEVK STAGDTHLGGEDFDNRLVNHFVNEFKRKHKKDLTSNARALRRLRTACERAKRTLSSSA QTSIEIDSLFEGIDFYTSITRARFEELCQDLFRSTMEPVERVLRDAKCDKSSVHEIVL VGGSTRIPKIQKMVSDFFNGKEPNKSINPDEAVAYGAAVQAAILSGDTSSKSTNEILL LDVTPLSVGIETAGGVMTPLIKRNTTIPTKKSEVFSTFSDNQPGVLIQVYEGERARTK DNNLLGKFELTGIPPAPRGVPQIEVTFDMDANGIMNVSALEKGTGKTNKIVITNDKGR LSKDEIERMLAEAEKYKAEDEAEAARISAKNALESYAYSLRNTLSDSKVDEKLEAGDK EKLKAEIDKVVQWLDDSQQATKEEYESQQKDLEAVANPIMMKFYGAGGEGGMPGGMPG GAGGFPGGAPGGGAGHSDDGPTVEEVD CC84DRAFT_1159567 MLFWNFRSGSPANQALLLASSSLCGPLRGCAGLRLGQSDLWLRC RRAIAGRIHLQPAHRAQFRAGARNFSVSPARRDDERFKGSPACRIWAAKRAPRP CC84DRAFT_1077397 MLRTGASRLALRTFPAPAVRAAPIFRIASPAAKWATQFSSVASK RPQLSQLAQVKPARAVILRRALSEDRKQAEAKYAKEEIKPTPELVSSTSSIHPFVGEV GGEPAHQEVDMSAGIKSDLNTIRETFNLSEVPRQAYYIGLAGVLPYLGTSLSTVVCAY EINHAVAGYGYLMSANTATALLHILEPLQVGYGAVILSFLGAIHWGLEFAGYGGRHGY SRYAIGVVAPAVAWPTVLMPVEYALITQFCAFTLLYYVDTRATYRGWTPPWYAIYRFV LTFIVGTSIVVSLIGRGEVSDTVGRMPGAVDRMKALGGPDAQDAMSREEEGIRAQQEL AGEGSKSKGEEDSEDDSEVSD CC84DRAFT_1078014 MEKASAHGAEPQPVPKSKSDYFDDAPINDEEEKLLDETKLKQFF IGSIDQGTTSTRFIIFDGTGQPVAQHQIEFTQIYPHSGWHEHDPQEILDTVELCIEQA IQAFIHKGYDIADIKAVGLTNQRETTLVWDSTTGEPLHNAIAWPDTRTKGLVRELKAR EGADEIKNKTGLPLSTYPSSVKLVWLLDHVEEVRKAYDEGRLAFGTIDTWILYHLNGK DKGIHVTDTTNASRTMFMNLHTVEYDDELLGFFKIDRNKIKLPKIVPSSCPESYGSIA EGPLKGIRIAGCLGDQSAALVGQQGFTPGSAKNTYGTGCFLLYNVGEKPVISKHGLLA TVAYDFGRGRKPVYALEGSVAVAGSGVKFLMSNLGFITHSHKISDLAASVEDNGGCVF VTAFSGLFAPYWIDDAKGTIFGITQHTERGHIARATLEATCFQTKAILDAMEKDSGHK LTELSVDGGMSNSNLCMQTQANIIGIPVDRPAMRETTSLGAAIAAGFAVDLWKEFSEL KEINQKDRMIFEPNISKEDSAKMFKKWGRAVEMCRGWLDADEAKEDF CC84DRAFT_1078660 MCDYTQVHYKCSHLRYTVRAWCTKYQETQRRCQPNVVAVEYRLD ENCGSFARLPHQNCLLADE CC84DRAFT_91151 MFRRRFGLTFTFCIMHLALSYIWHALPVDAIIYSLRCGGFRGPL SLVHAAARRMVPAALGTTSSLGCSQRTTTEWR CC84DRAFT_75285 MSDIPTPDNAFYVLVTGANSGLGLGIGARLIDEFLQTRPQDEAL VLIITTRDQRKGDATIKALQEQLRKACHKAEKKLPGVSQVLQQRVFLRQERLDLLSLV SVQTLGKRLRDTVPKLDVVICNAGIGGWTGIDWPLAFKTILTSWKTSTTWPTFKLSAV GWTTKPQLPPAADGSKREEPPLGEVFCANFFGHYLLGHYLAPLLAKRSQSEHAPGRLV WTSSLEAYEHSFNVEDIQCITQTEAYESSKRLTDLMAVTSVLPSTQTHVTKYLDYEQP SEKTIRPRIYLSHPGITHTPIMPLFFVLDYAWRLVCYISRWLGSQWHVVTADKGAVAA VWLALAKQSTLDTMEQREGVGKWGSAIDVWGNERVERTEIEGWGWGGKIGEAPRKFGR SPYAKALTPQSKEKFVHDGEQCWAELERMRVDWERRLDEAGVGVRMD CC84DRAFT_72453 MASLRPEQVCPRIPCPPVPLRDPSSTPHRRILCRRVVGGRAAFF GWETVVEALLPPLLLQEHGMRAKRFTFTKTVFPPDHLCAHLSLRPPPKHDMEPKDDSS EPLSSDFPPHFAHASATDTRTTAHYCS CC84DRAFT_1254546 MSTPNRPPGRASLPHTPVATPPSRIRTDSFAEPKSELLRHALEA KRAAHVTPTPTPTESRCAQPQTLKNVASDPWLDNAKDEEDTTKATPVRRPRRPSDGAL PRMHTQRELQTENESLRKVQMDLKLRLQALGDQHNKLQDEADEYKERIKELEPYVAQV ADLRDVNNKLSLRMQAMDNEMADLREENQEITKISEETVAEMGKREEALEEAAGLILG LETEKAAMVEEIAQLKAQAEKAQIDARQDMEAPLNANERSDDPTHVHSIDDSRPSTSY NDSDYYSMPASPHAKPSQESMVFVSDRAKKFINMKKETQRSTKDLSRRLSNASLRSQK KKTEPMPQVPQIPEAYRQQPVAPEPHRTPRRVGSLRTTLSPALAYDPYSLLPQSGSAP QTPTGSLRVQVQNGLSLDTSRHARPHSQRSSTASSPLASKSKQSRGPEVPVAPARHSS RTAHTSYSVEQLRTQYKPEDQTDAGTETTWEVPSSVASDDRTTELLADYRSPWYNQIS AWGTNNRADAPGAGARSGQRGGSYTEANFLFNPAEDEDAFVEKARSLGWRR CC84DRAFT_1182961 MITSGFTNAPVSQFLVFGVVIGALVASLTDTRYYIHIQVVPHIW KYGQFWRFLTWQICFTNSTEVLFAAVSLYNLRVIERLWGSRKFASFLLATLPYTTLLP PLILTFLLRPLTFGRLNFLPAGPTPIIFALLANYYAAIPYTYRYKIAPYSSTPPATSP SSPNAAQTATSIWAKSITFTSKATTYLPPLQLALSQFPGSLLGAAVGWAIGTAYRRDV LPYASSWRVPGWMVGEEKKRGFEGLRARLDAEREREGAGGGLATGILAGETQGGQARQ RRTLGDMVAEQFRGRG CC84DRAFT_1211827 MKLSATLLLTLTTSVLAAPVAQSSYSEYGSYSNVPAPAGGYGSY GDYKGVAAPPVENPPAPAAGYGDYSNVPAPAGGYGSYGTYKRGAEKQEEVKV CC84DRAFT_91438 MSAQTAMWDFLSKKNRGFKPLADKDGDDDADRESLLSLAAQPLV RRGPSWRLFALCLVATSCLSVILGAWIGNTARRDADAFSIRHTSQYSPIVDNIDIHFT TLRFNGSLLSSNPFRSDAGPEVDAAWKSLGADYSAARIPLDLAAKSGLAPDQVQIKQK YGGGYPAHVEGMHHLHCLNLLRKSLAWNFAYYQAQGLGPFSNDKEILKYHVTHCLDIL RQQLMCTVDVGVLGQVWYQPEGKSPTPFVDFNTVHMCRNFEAVRAWAEENQLPAAEDT PVDFLEPPKEGGRVWAEVP CC84DRAFT_1159576 MPLSIISLLSFFLAAQPVFAQDASSSEDLASPTPISRSRVTSSS ISTASVSVATPSGPQTVVLDYGSVLVTSIVGGSVVGGPRNDSTAAPSSASASPSSQST SSGSLVAITGTNGNSNATATAGSRPRPSNTRPCNGHAELCNRKLSNVTMVVAHNSPFV VPHNAASNQVYPVLNQLEDGIRGLQFETHYPNATAGLSLCHTSCDLLDAGTLESYLTT VRGWLDTHPYEVIAIIMGNDNRVPPATYIEPFQNAGMLQYLYTPPKPNATLEDWPTLA QMILLNKRVVVMLDYLANQTEVPWLLDEFSYQWETPFSPTDPAFPCTAQRPSNQDDEV SRNKMYMANHNLNIDVELLGQSILIPAYTLLDEINAVSGNGSLGRNVDNCTAMWGRPP NWLLVDYYNFGNFNGSVFQAAADANGVSYNRNDCCGSAVASAAADTDIHKLAVSVFAA ILSGCLLMF CC84DRAFT_1201287 MPSTMADEQTPSLVGQLLRAVGGWTTPSGKGLFMFRMMTFGASC AGICGVSAAFLSSVFYGPIGSIAFAIGSSIGWIAAAIYGWRTSVAHSLIAYDQYPKLM LIHMTRSFRLMGLERVKLDSPEDVANFRYRLVNDLRYKSMLVGAYETAAPLIDLQSLI TYKRVARVECSLCLSAPLTLAISRRSAR CC84DRAFT_1211830 MGRHRGPKFSFPIPGRKSSSKVDKSGDDLTKIPSFPSVASLPSA SERSLRYDECSSISSKAQRLLGTAPPPFRAMSGQSSVPPSPGYMSITVSDTVSEYDDR AASIAGEHGGYRVPIRPALSNRASSNLIPPNIAYDDETNYSTASRHLHPTASNSTLRS HYDAKSSPLAISQQTSDSAVRDMALRKGYPQISSHDREHVPSPLAQEMEDGSRRDSRR GKPARLDLSKLFPKPKGQGGHEFGATLLSPNKLVNSPTAMSTVSDYFPRPMTREPTPT PRTPAKLTKPQPKQQIPAPSAPKLTSPVRTQQRDVYDNAKINVRRPPRGVQHWFDALS DDSDGVDEDAGDTTAPTLQPANHPRQYPASSLGTVKTKESAFSKNNLQNSSVLSFSSS EDEGEHTRPSRRKVSVRDSIDIGEDSGEIVIGQAQAFEVRPNFHSRRPSAGKLSLMST STSTATIEMMYTPEPPITPVYRNSSSSRRASHTRQPSVIPEVEDQRPQTARLRSQSPS ARSIRTSKSEPKSHEEMRKFMAVTPEEEALLEALRKKRLAMAQQKQGYETTSKHEETR QQTPPERHHQKGSQSSAFYSRESISNSPIRIVETKKAGRKPHAPEPLSLLAPPRGRMI ATQDLNVGTSILRDSSSCDPRSERHRAGSARPTSRPMLSPPEFSPLDLFPSPTRTTSA SSPTTTDHPSPLPSPLTPRLRHGEDDVDVKVASSEPSWNGDSDDVAVIETGIIDPPPG SIKPEQTQGQHQRRRTASSGAEVPFSLPTKMPSTEFRNLTQVTENLSQLQNPSDPPLD PLPKLPKKSSFRNSSLTLTTSGLPKSRHSSIVSNRTASPNRSAYPDRRSSRQVSRAGS MASMNSMASIKRDSVAVGTASTRCSVSEDVLAAWGSLGGLREYDNARW CC84DRAFT_1226287 MPSAGGGTVVRARKRTQTQGKPHPATSTKAKATSLAPGYNDINV EGTRSNSSPDPRYSSQTETAVTAQQSSDVVHTFLFSAASTILWMRDLLPDEYFRTAFY ASINKHCSYHDFTQGSDEGAVAQGNRSRPKGYHLRVLKRNVSTRGDQIIKWLETGVFE AIQRGYLAQLQICIFADELQPTEVLEMYCFHLVYPGWTQYGSNVVDLVIQDSQTRKTV TLKDAREALNTVVRNMVSLNGTMPHLPERCYMSLYLVWNERRPSGYQPPGFHSSNDLN ISFPISEGWKMDTSICGQVRACFRTVDLSIAYTKGTTFDDEGEAIIMPHFTYGQRFSR LEPFTVQTPDQQDRDEFLNDDAQKLPTTRPNSVVENDPVTSMTAVQTRHLSTSLLDGE KHGLRHKESDAAANTQDKADLSRLKGLQLLEPRQTHDTQLVPRSPTIPSQPTQSSPKE HRKSGHTRARTSQGFRSSESVTISCECGSKETSNVVRCHGCNGWQHAQCYAYDGLNDM RMPTERMCYTCLLGDASNSQFTEVKTLTRTRQVIHCIRVRGMTSSIQITNILGCPRSD LAELLESLKQNNLVVVKNSRKKFDQVSLVSTEAAKQKLANIYLNPPKNLKDLVADAAA DERAIQSNPRRKRKDHGSPDRNSQPKTPRLSRPYSNLAFDSNALYTPPNSESLAGQRS H CC84DRAFT_1159580 MSRPTLCTALSGLTLLDWRLCCPPRESSEPSGSRGKDTKTSTDK DAVRHAAPRPCIVPEQYRDRSLGDMRQSMTRKEAARVNEIAQ CC84DRAFT_1134693 MASSDRNAEAASVTTVQKQEMEPYTMAHLSIAPATTTTVVTTTT TTTTQFPPLLFKPPRSLSERDPKEYPLAHAHAPESLRKFCFDVGGEQACFQEAKDVTD TIAEHKTLHGDIASSNGKLQTVETFTGQPAFATPSRIARSSIMAAPHIDVRSVSGRRK RSPSPPSIAEAAEIASLHRRSKKPRTSIQDYKSRNTAKLSSNLTPEENEESAPATPDT DAGASSSSSSKVPRPALNARRAALRSSSQNIRRRTASPSWHLQESFAGHQDDKNIVSD DRTADALALPAAVVDGPGIAATPPIADTDLEPIGPFPSNDIPYAQAGRPAPLDILAAQ DASLPSPSLSPITAAANLAQQHSLGNAYLADGGEDDSNDVSSLDISETTLGESDTASL QLLSPRSRRIELPAASHVSANPQLLTPTLMDVPTMLDSFDALPEKMKTYVMYQFLRRC TKPTLHFVADVVNPALKCDFIALLPTELSLHIINFLDVKSMCNAAQVSRKWRELVDTN ELAWKSLLDRDGYSLPENELERAIAEGWGWQYPVSRESYERDLRSDSTPSQGPESTDL LALGSPDTEGAVTRSSTSRSKRKTSTMSKHSSIKKARTQKKGPSSSRQHVAFSLLSDN AQQQGPFECAIRAKTALPDPGVGLRSLSKMHLYKSLYQRHHLIRQSWMDVETQPKHIA FRAHQRHVVTCLQFDTDKILTGSDDTNINVYDTKSGALRNRLEGHEGGVWALQYEGNT LVSGSTDRSVRVWDIEKGKCTQVFQGHTSTVRCLVILKPTKIGETHDGQPIIMPKEEL IITGSRDSSLRVWKLPKPGDRSIIQTGPPANDSDNPYFIRALTGHHHSVRAIAAHGDT LVSGSYDCTVRVWKISTGEVLHRLQGHSQKVYSVVLDTERNRCISGSMDNMVKVWSLE TGACLFTLEGHTSLVGLLDLSHERLVSAAADSTLRIWDPENGQCKSRLCAHTGAITCF QHDGQKVISGSDKTLKMWNVKTGEFVKDLLTDLSGVWQVKFNERRCVAAVHRNSMTYI EVLDFGASRDGVPLEQRGRRIVVNAKGQETEDIDDLIDVDLV CC84DRAFT_1159582 MPAYFFHLAIELYTSRSSPETSYTPPPNTDIFTSELPAHSSSSW SALGPRQKSYSSAAQPIASSSDAPAQPAPRRYSHRTPPSSEHHDSPVPLVKPPTRPAH RRTKSFAHKDWRFDAISILSIDMKPADVGDDAARPRAKSLKHPAASAGGLATKGKFFP SSPKDTDVGWGVVHLYRDGHETPGLYDEGAAAEFNEDDCTTLCILAVPSYMTPSDLLG FVGEQTREHVSHFRLIRTARANKYMVLMKFWEAKKAREWRKEWNGKAFNSMEPEYCHV VFIKSITFQSDAANRDPSSYPDLTNDPFTPAATEQPTAPLPAASNVSSPVEAPSLTSS LTAKPIAPPTPSLVELPTCPVCLERMDETTGLLTILCQHVFHCACLEKWRGSGCPVCR YTQNDAFTSHSRVIDGDAPDNECSVCGSTQNLWICLICGNIGCGRYDSAHAFAHYEAT NHTYAMAVVSQHVWDYAGDGYVHRLIQNKADGKLVDLPASAHAGGLKNADMTGYANDT VPREKLDNMGMEYAYLLTSQLESQRAYFEEQVERAVDKAAKASSTADEAARNMAALTA DFARLRTQHDDAVASIAALEKDAARNAAKAASASDLARKLTKQYREEQTINESLMTRI QHLEKKAEEAENRVREVEAQKADLEEQNRDLSFFISGQEKLKEMQGNEAMGLEEGEVQ SGYVEVGKKEEKGRRKGKGRKP CC84DRAFT_91990 MGQMLPVSWISDSLIGVWVLPAFVSPHLFSSLSMLHVGLPCRGY NDTTFTTVTDTCMSCRSCMVEPPATLLVGLATNIGGLRKFCLLFGFFVKGRCCLLYSH GETHL CC84DRAFT_92090 MTMAALAEHRRNKSSSMLKSIVLPGHKRAPSDGTALKKEPLAVQ PYAAQGLIHGAPLLPPDHPHSQQRAANRSQHMPVDPPVSPRKSQDTREGRPKGLHKKT LSSVSLRTLGRDKESKSREPSRTRQEDMSKSHKKSKSRTNLRSLFGKDKHVDTAKETR DKENTTPPGTSAALEPLHTPIWAEFSSQPMQDVAKTTTKVPLNDQRRSVEEEIALYTP SNYSPSKGRNFFDNGQPSLQKKPQGKERPRSFMGTGSTASLLETLTRKKSDDRVPLGD TKGNGGRVKESPSKSVAPRPPMKRATTDTSGGSRETITQETASPKKTTNAEKRQSRVM AAVAALNGKSKQADKQGTPATSPTKLDPKVVDAEFEEVLESRQIPTHQRAAMRTLKLE VKADFVRTHKLDTPQVSRTASANSTTAGTQSGKTSLSRSTKSRPGSADEEPPQDTSGG TSKRERPRSRTFGFGRGDSPTKKQKGEKDPKSVPIPKSPSVRSLVSVSSQRSVSKGSK TVAPEEFINYLKKTPKPQDVEVGKLHKLRLLLRNETVEWVHQFIVEGGMTELVGLLHR IMEVEWREDHEDTLLHELLRCLKGLCTADTALKQFAGISSTLFPALLGMLFDEEHKGP SEFTTRELIIQIIFAHISMASESELHSRATELMDYLKDPVKEKQASTVPFVLQMRQPR PYQVWCKEVTNVTKEVFWIFIHHLNVVPIPPLSDEPPKTYAKTHFPGQRAIVPAAPYV GGVEWDATNYLATHLDLLNGILASIPTREARNIFRSELKVSGFEKVMGHLRTCNPKYY GAVHDAIKVWIGAALEDNWPVRDVRMGPPDGKPGVGSPVKQSPKKKAEKAPQIQVPKV EIGGGLGLGFEKDIAIGGNHKIDDDWI CC84DRAFT_92088 MRFLPVLAKILTNASAAAVLQGRQEQCRTDAPGGACVAAVQSRA QNAALTDCQSFFTRSLVFDPVFVTAPGSRIYVTTTLQFECPTPFAVPGLQRDEKQARQ APVTVITGNIPPYARASGACASAEYSSVCRSCLATSVYPSNGVFADITSITGYTLTKI GGVTIITEREVGSCTGGSKTATVTSPTTSTPSIGSLSFTSISTSMLLSSSSTSSSTSS ESLSSTPLPTSASSTPTESSSSTTSSPTSSSTSLSLNSSSSFTETSFFTISSTFSSTT SSTSSNTSSETSSSIFSSASTDSSSSTSSTSTDPSSSREASSSPSPTSTTSLSITSAT TTISTDTMCTTTSYTTSSFSSCTSVTSPASSSESSSPTTSEKPSVTSPCSSSSETAPT VSSTTSGETSSSSSFTSSSSETSTPSSSSSSSTTSSETTSSSSSVPPAASQHLALVLP AVERLRRVVARRHPLAQAQEPPSAEAAIAAV CC84DRAFT_1077754 MASRNPSTRLIQAFFAWKSVLFIVAAFSPGPGYDTSALIASNPT SSRHVDFHSWPVIDRVSLNFFRWDALYFVKSAQRGYHYEQEWAFSWAYSLLLKTLVKL VSGDESISLQNYVWAGIIVSNACHLVSVVVLHRLSSLVLDRRHSGRVPFVAAMLHIVS PAGMFLSSPYAESLFAALNFTGMLLYAQARITDRPGRKQTVREDALILGAGVLFMLAT WIRSNGLLSGILFLFDVVPFVSRLLDRELSLNDIRKLVITCSSGALLGLGSIGPQYVA YQQYCVSQAGPSLRPWCSKTIPSIYTWVQRYYWNVGFLRYWTFSNLPLFLMAGPMLWL LLQTGIDYLRNSSQQPLGPSKTQPRGEGKDINEASTGLPQLALPQLILAITAATNFHV QVINRLSSGYPVWYIATSGWMIARESVAQKTKVFEGSKWICRGLIIYALVQGSLFANF LPPA CC84DRAFT_1192499 MAPKAKKAAPAPFPQGKAGTKKTAKNPLLEKRPKNFGIGQDIQP QRNLSRMVRWPAYVRLQRQKKILNLRLKVPPSIAQFQHVLDRNTATQAFKLLNKYRPE TKAEKKERLTKEATAVSEGKKKEDVSKKPYTAKFGLNHVVGLIENKKASLVLIANDVD PIELVVFLPALCRKMGVPYAIVKGKARLGTVVHQKTAAVLALTEVRSEDKSELSKLSS AIQDGYLEKHKDTIRHWGGGIMGAKANARMDKRRKALESAIKI CC84DRAFT_1108439 MPLTILTDSEVRTLLLGLKKQDILDLQQSLADALHYYSNSTDQD HNGCSSSYQPLRTSLKRSDGQTTLFMPASSNDGLGVKIVTLSEPDSAKGSLSSNGSTS SARGSLASFDSLSLSAASTSSSTTAVSVPSDSLTSKDSTTPKGLLTLLDRSGGPRALI NAEEITAFRTALASTMLFKKRANVHDVVVFGAGKQAYWHIRLALLLRGPEIHHLNVIN RSFERATNLIQSLFKGPDGAGTDLSRPKVQIITPAAQEYERLLKATIRASSVIFCTTP SLVPLFPAGHLTNPEGRKKGRYVAAIGSYKPHMLELHPDILKQNVAPDHNRHHHKHAL QGGAVIVDSVEACLKEAGEVIQAGLTGNEVVEIGELIMLRRDADRKRQECVTRKSMEG MDDTGVEIGECEQRKKKRKGKKEKEEDAGDRGLIEWLQKGNVIYKSVGLGLMDVVVGS DLVALADSRGIGTQIDNF CC84DRAFT_92396 MAVSERISQLMGALETASGVDSSRATSPGGDWRQSNGDTNGTDE EKQNRSRPRTFPYFEYLPYKAENPSERIENLNTCLKHLYIAVSAGDFAPGAVHWTREL RGWIQLKFEIPRDTRIKMVNLYYELALAPGLDYLVAERFASMFMTLTKRKHYLRPGKD LTLDWRPLFRELKTFVLPSESGGSSPPSIKRNIRTLTKLCTFAQYYFDPQEIPAILEE VLPFFSTSYSEGAFVVIGLLNLLMPTSVPPEDREDLQPQEYLPLYFHLWSLVNRSKLA DAHFMDVMSRMARELLPASHVPFSQYGLFTSEQCSLVFTSILRLLEIPVGQATSPYST TVDLGAGLAVMLDRDQRKHPSTHHIARIIVMSFSPACMEHEDSVFSKLEGLIQAIETF YHPSNSGNWTRSLAQLVFYLTDFFLLRWNREHNGEMNVPEDRKLNDALKRRFVLCLRE VTFMGIFSKSERAVLYSQSALQGLAYLEPTLILPGALQRIYPAMQGLVEVHRTISSIK ALQMLSKIMARTKGFRCHVTTLLGLAIPGIDPNDLDKTVQTLSYIQGVCYTVPFHDLT QEKKGVNGTTSASGTATPMEGVDVGTGLAVEWITQQVERLENAKGFAEIDYNQELSDH EEQMILRSSTTGLAEFLLSFLGRVFTLLENLPDAARVRSGSPEENVANYLPAAFTPLL AALSPELFDIALDKVANFITNHVIHQARDAISFICNSFVKVNPEKSLKRLLPHLFAGI RTEIEDNGAGSTRTTGAEVLPRDRGLVWYLCILGMCVVHVGDAVMEWKDELFELAEYM QKNVRGAATIHVSNFIHHLLLNITCTYTNDYSIYEDDELENGLTANSWGRQVDVKQLN IKWHKPSAEEIDFAVKLFESQVTTSINTLTALISAEPPVKREGTGKDWSDELSRNITL LRLVISGISVLFNIRHDQPHEAGDDEDEIDAMETEGIEDDAGLGEAEDEDVKPTFQYE TGYPLERGDKNYELLHDLRHKVGLTLHEVHCFLNEKQEDDVICFNQLYNAYRSWFIDV GIERSAHILERVTRLLESDEVAFKFQGLRKEYPRPLLVRRAYVYHLQRLRHNASTRPK TDLDKTLLLDLAQSSISLYTDIRRTAQTASESAIKCVLGAKPLIIPPLLDALVKAIGE NDYPRIKGAMFTLLFGSLAKTISRDWRFTPTLIKAFIEVTGADKPSVQKLAANATFQV MDMGKAMERMVILDKAVVEAIAFVIDPLEDNTVQQKVVKRRDFIQKKRARIEGKKANL SKELIDMTKTSHWKKVSRTAAIIVNLTMRFDTIASDEMITLVGQGAIDQHPSLRGLYA GALVGLFGVIQTRALADHSYEKYLLMEEEVPDKISVDTKADDPTWTEEYLSMFTKADA KYYVDADYPGWLVWTKTMPAFLPNPPEMAYDEVETHVRKKLAAILDRSWFSNYFAFMK QEPRDSGADRFRMSSAMLLTHAFDLVFAGLTSTTFEDIKDLTQAVYGDGSDKHQHRAT SEIMGALLTCAPDLQPEQRQETWEYVFPIIRGIFEDGLNPDNSGYWTTFLHVVLQAKD PRRGWPLVDWLSSFRLDMDSNAAFKESSKIYLLQQCIADAGWHFRLEKPILENFMQHL DHPYKGVREAMGQTIAAIYRTRYYESYKNVGALIKAQKEASSIGVRPYEPTPEFSKMI TEVFERLEVWRGERPAGQQTPSPYTNGGKTVLLWLDSTLSSYECTQLVQFFPNVFTEQ LLHMMDIKEDPELQSLAYHVFRHLPNIPHRQGEDAEFIAALIRIGRTASSWHQRLRIL INIQVIYFRRLFLMSEQQQLAMFECVSAMLQDAQLEVRMGAATTLSGMIRCSPVALRD RMVVKLKEQFTNTLTKNPLPRRKLPGTPTAEQSKLVLTRHAAVLGLGALIQAFPYTSP PPAWYTDVLASLARKAAADPGMVGKSVKSILADFKKTRQDTWHVDVKAFEQEQLEDLE GVLWKSYFA CC84DRAFT_1182972 MTSYYDVDAILTDAQKVPCTFELSVPALGFLEGNMSGDVKQGSK VELPLWLGEMLAISQSPSLVSLDHPSALSPRVLNALKADARTVDLRALAPHFYNLGAR VLELFEEEEMIEVLSDAFKSRAAVIADQAHNPRGALGEGADFLRGLDENERQLFRASH DSAKAVRTWMNDLKK CC84DRAFT_1159592 MSSGPPAVVQQLAERLSEGFEALSGEYQLLLAQQRQLESKLSWA KQQYLDLLKRSSPDTLAQDHLVFLQDLEHVALDLQRPPISWIDQIAQNDDAERKNHAV LIKQAESAVADLRTPIVDSGVKIWSGPSADRRPTPLRIQTNADMSSIEKDFTTSGTPS KLGCPFAANSARQSPLATPRSSISRMSQRGRRSKRPSFNDPIRAEICGIDDHASASAS VEGSAGVCPIRFLDQHDPQDVAKYFEKHKHELPRSHEVCINRFQSNTNSIQELDRKYG DLVSMIQGLGQKHQAWLPEEPEEVGEGPTAQEDEAKTDVKVEKWATAVTASLQNSIPD EDQDELEVPAMAPDETRSPRFDRPLKEIRVGESPSRPWGIHVPAKYTDAESSSSVGSA ATASPQLPLDTTRPIGETPPKKPGGCPFGHMVKGDGSKVQEKGTAAEAMQPAMTDAPV SNLGHPMNPKLAVPHVHEPESKHESNPKPNGTPSPTTPTVIPQMVFNGPVFLGYPPEQ LAILLQNSNLGAVMR CC84DRAFT_1171012 MASIHKHGISRWIAYSPPVAWTVRQLRELLIGALRQGPIPQHVA FVMDGNRRFAREHHIETVEGHNMGFEALARILEVCYKAGVKVVTIYAFSIENFKRSKH EVDALMELAKLKLVQLAEHGALLDRYGASIRILGNRELVKPDVIEAIDRAVSITAHNK RAILNVCFPYTSRDEITTAIKSTVEQYSTPLDSLQTPSKRTFSESHITQQIRKQMLEE DTDGNSAPQESRSTSPSSLKDAKSVEGGRSSSATTAINSSDKDESYTTAPSYPDPETI TAETLDEHMLTAGAPPLDLLIRTSGVERLSDFMLWQCHENTSIVFLKCLWPEFDLWQF LPVLVEWQWQKKKSQEQQKSRALSKAE CC84DRAFT_1211843 MPATFLTLPLELRELIYEDVFSAITIRHGFRTSSSNRTALLQTC KKVHQEAWRHLPLNVRFHFRGTETMLETLLSVDQAVITRLRHVRIKSFPFPLYNSGRP DYYPMYNFCNALTLLPGLHLEQLIVEDCFHGFGLVETWRDVVTYFDIESLIKCDAWKE LIYITPNTDFLASGYDHRKKRVAQPDNWDAVLKEKDGEDSGAEVQMWITPDNGEARPW AAQPGNVVIEDISLATPDQDLRGEVRIIACRGRRAPYIQMGLSQNRTWKELKAKEGGF TQDGWKPYYNDMADAIGWIYGGWGRRMQLANAALNY CC84DRAFT_92217 MPSLSSSRYQRIFLGLTIFLGFVFLLVSIGKIVSPSVFAGDGSS DGTTNQTLGFEKIFVVNAPWRTDRKDAMSLAAAHSKIQFEWVDGVLGETIQEKAYPPG HHRTLSSGSLGSWRAHMDAMREIVVRNLTTALILEDDADWDIRIRAQLLSFHCAARKL SLLTHEESNQQNPPAFENNSQNPIELAKRSTVPITSTDNHNAPKEPYSRDWDVLWLGH CGTDLPSPSPVTPNRVMILNDFTVPSPKHLRLRESSPPDIIATLYPPYTRVYHRASNN THCTLAYAVTQRGARKILFELGVRDLSKGFDFALSDYCAGMVEGNGNGLDRKLECVTV QPPLFSHYWDERGRSDIMGLGVGGRPEVGSRYVIRSVRANLEGLAEGSETLFEQWGD CC84DRAFT_1080021 MPKLTCTQLQLSPVFYASILGLAEYSSAQQFPPPASYDTVLKSP INPSITIAYKKPEPGTCTTAFSTQKQYSGYVNIPPFTLAPYQQAYQINTFFWFFEARS NSDTAPLTIWLNGGPGSSSMIGLFQEMGPCEIVQLEDGSYGTQPNLWGWDRSSNLLFI DQPTQVGFSYDQPVNVSQELVSGLVVEPPMSVPKELPSWSLLNATLASGRMNHVENST IIAARSVWHFLQGFLSAFPQYNPGQRPNQTTIEPAGINLFAESYGGQYGPVFADFFED QNDRRRAGLISANSTLEIKLDSLGIINGLVDIAIQTPAMASFVYNNTYGLQGMSQTQY LNILSDFRKPDGCLERASKCHARVTAGNCEGELFDQETINLCALASDSCWRVQSVALQ LSDKNPYDIRAKSPSSFPSYAHVEYLNQANVLRSIGAKVNFTDSTDMVNAVFHQTGDD VRGTQIKKLVDLLARGVRVALIYGDADIICNWVGGEAASLAVAHESPYSSAFPAAGYA DIVTNDSYVGGHVRQSGNLSFSRIFDAGHMVPSYQAETAFVVFSRIISGDDIGMGRGV DLSTFSTQGPAESLHKNNVPPQPENTCWIRAIDETCSEYEKTQIRRGMGVVEHGKWFP QAPDRALSRADAFNTKAGGLENIVREDRPTTTSRIAWTGVFTAIRTPTPTSGASRVMF RRQPKHRRQLSPGPAPFGLTRIGTAVSNAKNGLIGGIAAVGGLLLL CC84DRAFT_1078471 MEPIPEAQSPRPSADKPQRRVSEHPNEPIFVKEETPTSFVQRNA RSPRPEPGEEHPKTPEPSSEPVLSRQPSSQTEKPESPRPEPEQEKPRTPTPEPEIKTS TPVQRTASLQSEDKTLEAASPTEPAPTSEPDTSPNNKPSLLKRIRSGIHHDNEAFKAK AHTLF CC84DRAFT_1159598 MHLDMLAADHLHSPPRLPKDAANGTCPALQMPLSVLGSLLRKQS LSPFGPRADRTEAAEATTPAAAAPQTHPPLATASGTQTSPAPSRRASTSSGTSTEKTK KHKRPKTIYKLAQPPHPRGKLHRSKVVLQLHQVVASRRPKPAFEVIPYTIFGPLSTRC KIRTYHGRSLTTADLVILKAEEYHHNEDERSDEERFGSREVVGVICPAKDDKGGVTKT AVLMENGQSWEVARTPNGGYEFVHVDDHGLTLRSRWVAKPPHLRRQSSMSNASQASPT EDRKFTFSTISQNSRRHPIIATMTRDRIEVSDSYMMPSATSPSTPSAPSSALPTPLAT PSSIDMNSFLDNDRLPIETDEALKAFIIASGVWVAFQENWSPAYSILKGGVPPPLATS NTFRTTPNRTVSMTYVDSPRSASPCSMDESKRIIPRIIRTHTQFLHSKTSEPGSPVSS PIKTRPRRANSTGNTEIFRNSSLRKRFGLALEDQPVLETEEERHIKRSMELLRVKELA LQPPPTFSSDNGALSPIPSIEPPMSPTDPEPSPTLPDARTRKTRSAYDPVPTAGMWDS GVVEGKGTLRTRPTSLVVVNDKKMKAQRKEQRSKSRDKNKEKKEKKEKDGRRKSEGLR GLFAGIFHKEKHVA CC84DRAFT_1159601 MPSLNQHSQESAQIGDSVDVSPVARLIRWFTANGGELSADVEIG FDASSGYHCRATRNLSSPVVAKCPLSLTLSHLNLDHTQSLVPHVESPLAKCIGRIPNN VLTYLLLVEQRLRTGGSVLKWQPYVACLPEPSAMTTPLWFGPEDMQCLAGTNLARETA VKLDRLTEEWNQANEVMESLDINTNVFSFDWFRWAATIISSRAFISTHIIPDKDTFPI LFPVVDILNHSPTAKVEWDFHPFQDFTLKILNHGDVRPGDEVYNNYAPKQNDELLLGY GFCVADNPVEQFAIKMRLPPQIEEAARSMKMFEPVNVPFGMDTSFLAVDPNEEPEYLR PKGHPFGRYENRLPFFRAIPPRIVHMFFIQALMNLQIPPGNIQADSVPSRVVLETLLL TYEAIDNRSQTLPLAPKRQASFSNDKQKYATIYRDGQAKILHSIRAELKAVLDALRFH DGVPTRPVIISSTEALTRLSSDFPSRAEHLQSGLASQYGLDFSTWARYSAEIATLETG QQPAELSVWKLVLCLFLISYEHTEAAASPGRQAYSDWIEYLVEQTPLPTTATVDAEAL EGFIRGWEQNRDQVERAYTWADEVVDKYAFPVVEEVEGEEVQRICMYLQLGPGDAGNG DWMFKGL CC84DRAFT_1201301 MPGFLPPPSLKCFVHVRDLTDAGGGMSKGISVMLESSTSRPVTR KRVDPWLRKNYTTQEVASMRQLASHPNIVQLIEYMPANPWSGHGRAVPRALRGFPGPR YPATHATRAARVLRGAACCGTRGVRVAPRLGLLSAAALMHFGVRSADEQSAGNWASIY HRDLHSANVFLSPKGPRKIVGIPAS CC84DRAFT_94602 MALPKGRKGGMVVIGQRPGGPACAEGKGGEGEVKRTALTEESLA GSGILIRAPRAAARDARLSGALGGMLVRVGCAVELQARANGRAGPWLFRLVRASGCSG QAVNWLSGASMRGERCAAGRPKEACFKTPACRFAAGQLFTTPVRYFRNGACQPISRLR GRLCFKARRESF CC84DRAFT_1134730 MPYSPNLAAENKAALDHFIQLPVSQDMISYLASKAAQVIRCEPS HLNKNLPPTPPASPPQHAANPYGEPALPSLEEFITSLVERSHVQVPTLMSSLVYLSRL KSRLPPVAKGMRCTVHRIFLASLILAAKNLNDSSPKNKHWARYSAVRGYENFGFSITE VNLMEKQLLFLLDWDLRINPDDLYYHLEPFLAPVRIWQARQAEKARAAEREQEIARQQ YTFTPEHQRSRSDFSMHSASSYSSYSHMRAYQTPSSRAASRTPSLSPPTRSSSVSESS DSPMSFTEEHAEAIVRRYDADQGATLVHINAPVTHSKLQHSLPLYDDKATKKAKTSGG FFNRMFAGAYTGRQAAY CC84DRAFT_1073278 DGRTAPFSHWMLHNTFYGLVITTTLSTTLLAADRYKWRATGMVY SLANRYPASVAFTVQLLAAFFGVIHVAVICKLINYALRLRLKKASVSLDVLRTWVDMT IPRIDWDLPLRFFFPVMCMVLLSLVPAALWAGSFAPLVSSTRTWMQLEVPAYGNVSFI KEYPMEIGKAGPSVRNSKGLFTYSVGQQLIAPLLSAAAGSSSNDSAKLVHAKIDNTQF SYTGRSYGVGASVGLRDIAITQPATNAAGYKYAEEGYLTTVTCIYNQTSNFTLSGPVN EWIYSAAGNLPDSVEGPEYSNYIGHNAKAIVAMGVAYSELSPRRYVAITAGDSYAHLN NTQCEFDFTPTMFDVTVDLANLNIRVKPSYEVPDFNPSRNLTRTVVRQFELLSNDLTN LYDSLLGQALNSSIAAYAMTTGGTWPPLTQEQRTLGGLTNAMTAMADDMLVAYGAAQL MVRNKYRYSPRGATVSLYALQFGQPAYIYAIFALNTAIVLAVLVERYRTHVWKDLTRF NYLDPRDLIVAASRGGAQLAIAADNMVGQDGTKIPKHVWLLSDPDEGNGRLVVRLRAD EDGHAAIEVDGERSE CC84DRAFT_1171022 MEDREYPRQMSLVPYVPAESREIVLRHGAAVVVYDQLSRQLSLR DASHSADMENPSCPYCHRPYREDLPTDSDDGDEHEHGQYQDAQAGFVNPGYFQMLRRS QPSSAEVSRPSSPHKQLAPAPISGVGGQLHAPEGAEFVGSTPATQKQHNISKHAFSPN YFKTFFVEERELGRGGKGVVLLVRHVLDKVDLGVFACKRVPVGDDHEWLEKVLIEVQL LQNLSHQNLVSYRHVWLEDYKINTFGPSVPCAFILQQYCNGGDLHSYILGGMGKTMST EQLKERLRRRSKGQMEDPQNLNGPRRMHFEEIISFFKDITSGLNHLHANGYIHRDLKP SNCLLHNTGRKVRVLVSDFGEVQAANVTRKSTGATGTISYCAPEVLRHVMPQGPLGNF TTKSDIFSLGMIVYFMCFARLPYQEADGIDEDNEDLDKLRAEISEWVGFDDERRVRTD LPEKLYRSLKHLLALKPDERPTTEEILRALKTPSLLDEFSGFAGPSSLDDVGSRISRA DTPTPAPSPAHRKRSSVQYARPGRSKLSHNASVTGHTSPSPPPPEIENERATTPPDSA GSVILRPRKADLPPPLSTHRHRSPSSGLSPRLMLPPPPPRNLHTRFSTLLHNPTFVSI AKISLFIAKAFSLFSPCQPYATDPWVVYPLLCFASLDFLLLGFGFRFRGQYRGLGGLA GSLLLFLLHAAVVGMLMKWNRLCLRRVAWAEQEIGGYRDVDGIMKLPWEPEHHASGDP HELFIFTSSSLSAHKMYLINFLLAYLGFLATYTAAIPSRPDPADDRGDFCATAYGYLL PEGVNIYKNTCGSISSNPSATVTNAQNVNCVTCWFFAGDNCGGDVVWYGLVAPKEKIY FNPARSYVCRK CC84DRAFT_1079664 MTNSTMTGQKRRKKREKYVMQTVWEVLPEQVVSSISTMTMQISQ RHALNENFYGNFLAYFTTAGEAGDIQNRQTWLHRLPDFAADGSNGALELAVRATASTF SFAKTRHPPLMQDALKLYGRSLNQHVHILKTKKKVTLHTVSTSVMLSIFEAMNATTAS AYRQHISGAAELVRLAGPGECCNGVLCQLFFHIRVQMAFVYLTTKQEDKYSICSEEVL RESLSYFYKFPVFQRLINHITRLTALYLQLEDNDNRRTPDLMDLEEYMQIKSDVDALW FEYELSAEEQGQSLFWVTPSGSTEYRDAYTALCVAYFASARALFSILAPRLAASYLDF TDHYQQMIDIAEYLGGLKIGCAFMRMATPLYLVAMHANNKEQREIAIGIFEEWRVVGL GGMSALALESIYRRQGLDKGEIAIRVR CC84DRAFT_1159608 MVDRTNRPSALKATDAAGPEAQIDIVGSGASSKVIATLPSGESV EVLLFGATVTSWKSNGGKTENLWLSEKAALDGSKAVRGGVPVVFPVFGPPPKSGHPTS SLPQHGFARTSRWEFLGKSAAEDALGSDSVKLDFGLYSSGLTDEARKAWPLDFGLVYS VTLSKDSLQTVINVRNEGEQSFEFQFLLHTYFKIQDISKVAVNGLLGVTYVDKVLNAT EHKQSDNSIKITGEVDRVYASIPQDTTSIVEDGKPRFDVVRDNLQDTVVWNPWIEKAK SMGDFSPDDDYKHMVCVEVGAVQGWQKLDKGEVFEGGQTIRSLL CC84DRAFT_1254571 MVFSGSKLESLSVRPPTPPRDIHEADPDAEEVLDFLKDPFGTKE PVAKLLAAKTLLNTPQTSPSSETGIPSSSTRSSSRKKKVNFEAQLAAANGNVVSSQSF IPLHSSPLRPLPQTRVSKPLKSILKPSDAASTPPPADQGTPIQNQSFAEMLDSVMKQL ASQTRSNRFDGYHSLQRTMQAYDKLPDPQALVDKMGLITQFIKRDMYAPGISGTGLDT QLLQQALKFLMALIRIQEVRPAMSDEFCNFVIDRIIQVAADPNMPKVAINTHLAVLMQ QNFRPRTMTPMRVERILDVMDTIEERVSGLSVLAYRVRIFRKLIQQRPEVMSKNTERW FKHTVKALLSTHKDINQSALDTIISSAKAFGNDRQVTMSVLLVMNKVRSDGETAIHGM AKELQKLLGSDNAAMAPQIWSAVTSLLPGCLDKGQFSAIAEWLKVFEMLFRSTREDVK IQANVALGFLVYAVQLKENTPQVWSKMLVRISQSQLEHQRGKKTERDAATAAYFALLY HAFGPTATHKQLDRYWLDFVADFWRPLVRPSSTGHSSLKHAFAACRVASALFKGNRKP CDPQRTLDLRPQAMLQLQDLPTLDPKWVRKSVPSILEFVEVLLDVTPWTTDDCKDEPA KTMWLSLLNSLNTASSQEVMVSNDSKDAMAHLVNSLRRIWDGHAAQLALSQQKEDSWA DKYCFLLETVVMKLGAVRFSDKCLARNEQNDFEAAATPSHRSRLHGPRTSPLLYFVDL LINQSEGKLSDSLRLRALKVLVKPCFDAQNTRLSKLELVRDCSTLVDASTRTPLSDSF WSIACTLLTACLQANTSDGKEQGSRQLGKEYNVVVEAISLGFAYLSREPAGELLLSSL TDTVRREAGEGAVILAVVEKMSENVLKRTGMEAKVTCLPFLSVILRNLPTSIARRIVE QGRQNLWPSTSTSGRSADFDPYNHLYDAIVSIGSAAYEHLNADDANITGSYIEALATS VRQCPPSLLAVYLRKTQEAIRWWIEDPERKLQSRTAWIKVLQTSVLHLWQEVCAAMHK LPRNNGQILIHLEPLITSGFVSRRRGIVESSIAAWNATFGREQALRYPSRLEETLRRL GSTTELSLPGLEVREGDDVIAPSIYDSDSNTDASEPKKRTPRVKVAPFKITKSSRKSR SKSRSPMVPSTSTKKVSSGRTPKGRLRHENSQLQFEPIISSPSNPNDQESQILTERQR EVYERQMRSSNVYVDMRSMSPRPAQIARARSPLEFHSDAMSVDSLPAVTSRTPLRNVR ALGEMEVFVGSSPTPQARTRSHEVVSDRTSMATPTAVRTAQSAHDHEELGSSPPRFEK ETVHQTRGSQLRDEVESFEANHGTGDRYGGSSMSFDDGMTIDEADFLTGTPDGDPDKA VEEHTSEIDASDIPSSTLDLQLTAQLDAEIQAQEYAAVTKTPSQQLPSKDESTVLDLP VTESGQSNTDTTSTSRVGDSFSSLAADSETSQVRSLRRSGRTPSTSSPQSVNAKKRKS SSGRGPGRPKKNKTEESPSNGVATTPQVQAISSNGSAASPTPTGRTIIVPDTTRAQSN RRSASLLGRVENRPEDVVVEDTPAPKRARRSIDKDVSEARQSTPTTSHQSRTMRLGHV RVTPRHSDAPSAVRDSSAAAGDVDMQHITHETSASVETPLDVGEQNAHVALQLPDATR AASQVHESQAQLASHSAATPSRSFAERVILTPRSIIDKLKAFKDALFGAPQLALNRQE HREVDDLMFDIRRAVHAAGARGEETSQ CC84DRAFT_1254572 MLSRQESKPRGGKAKLEDVTNILHELTTDLTAKKLASKRRKTLL EQLKVHGRAVEDADPIFTKDGIHTLCQYAFEDTDVPTSQEALRCIANALLLEPKMRQV VVDLGYAPKAADRLKQENVDDEFLCSRILFLMTYDTNVDFDNLVQHNELAENINNAMT RHAKRYSKHARRHSQMHTSPIDLMALSETLKLMFNITHFYPDLAEHFSKSIPHIFKIL TRRKIPSPPLQAPVNYLVNSLLNLDLEDKKGQQLKFLGMNAVFPTFDQKCNAEFLIDI LDNAIVEYSERELDETVAPVLTLIRRIYEIAPESVQKHIEWLLLPTDKERSRPLGQSD TLSARLLRLSTSAMTPSLRSSISAMMFELSGKDASKFVQNVGYGFASGFLLSNNIQMP ESAIEASATADPDKAIPVNPITGQRLDAEDPSFQEPMTEEEKEREAERLFVLFERLKA TGVVNVKNPVEEAFRSGRIQELPDDAD CC84DRAFT_1226361 WPWRSYISRRILSQKHPFVRSIIECHRLLSADPSTLRSGEHHLR PGVPTLACAGLLVPFHNVMLADSLLQVPRRIDNVLSDHSDQRNAGFYCLSTPTVRSME VQAPACAEARLVDHIRRRYLCHRLLSNLPRLESVPLYRNPFTKLRHHLGSSRIVGFRD RGGTLALPCASASALSVYFPCFRRAHSEERSFG CC84DRAFT_94965 MVGVPGRSKGCSTCRYRKKGCDQLRPICGNCIRGGLECGGYERT IILVHADDSGKGTYKPVVKKAQNRSQQPGPMCGPVFVAPQSKGLNRTSFELRFYENFW DLFLPPRSGPVDPCTTSFVSSGTQWADYTLNCVPRSEVTRCALLALSTSKIGRDTEDK LLTRQGLELYGKALSLFAKELQTPGKAKPFEVLNCCRILALYEQLNDISAAARNWKGH IQGLLSLVHQHPPEAFSHTGAHEIFLECRHNGVTSALANRKATFLSKPEWISGPWKSR HKDVVDTAFDILVKIPGVLEEWDLISTRTSTGEALRRARVFRDQCSKVDHELSMWYSS FISVLAHAHPVEAEALLNGTDRPAQQTMIPDILAKVGLHHLHAMMIYWTTCMILHATI DLVDDFFPMATSFGSEAPRLRGFQIIKYLICLAHSAKYFLGSEMGLLGPLIISYTGTG LIRTLYAHQACFPEADPKDLEELRHVMKSIGSLRGFNTWQMWKHGMDELNNDKKAIID RYNHDHVDCKNGHLT CC84DRAFT_1226364 MEYAAPPADGADRRWKPQDVTAHNQRAHAFAQTRAMIRLETESL FVTSSRGFGRELPPTGLARPPLKSRRYTIDASLATRAFQYERDYTANNYDRLPEDLTY PTQFIAKTNVSAREIDERVQLGIQLTLPDPLRYRELHRWGRVLPQFNEDRYPGDDGER RFMNDTYIQFSDGPLRNEDFITITSMTYGSWYRDDVMDAALALCSIYYGAEDNEIMVV GSGTSQAFKFAAMTHGELDMTELRAYAPIFQGKKWILMPLNDGIGETSTGQFHGTHWS LLAINRPSKSAHYIDGYGYSMEKMAKCYACALQKMLDDEEYDFYIEWNSPDQWSNNST PFIDWGPCGPYVVKIVKIMMAHIRNHQNVGREADITLHLNSSFRSQFDFDSYSERRAL EYTIASFEADQVARERATLYANTVLGLPRPLDQAEVGNPTWSNHDAPLFTQETSQCLT LRKLGNYRELAHRQRQHRRHTPVYTSSSSGSSGGISVNSEASGTHRRFACNGAQSGQF ANDVEMQERPVSTAVNDDGCGVRIEEFFDNDNDYCSLNLAMPDQSRRSPSLDTPSSSI CC84DRAFT_1159611 MAQQGGTYRISTGNDAHKSTRSSLRASIYENNNFGDGQDSVAEG KAESQSSNGSPQSIDVARQSNISVSPHDNNRDSRQWEVLPHPQAEEYTEEPLGMAGGI SSQFDFQFGWGSWKFSLFNWDLNVNRAKDRKDRKD CC84DRAFT_1201311 MTPSILFVLVAAASTTLAEFVPIHGCEWVPSSTAIKDFVFLSDA SNTSAISWNAPTVSASCKTANATWHESEGHEYSVPCSPPENGMIYDVLRVSGDGKTAS IRFRTFAQCAADIFAFHYEAVFPLDCTEDSEGSTSCVAKGNVTADCTAEQYLPPMGYP PPCYRCGRSS CC84DRAFT_1159612 MRFPMITHTCLLAYISTSLAETIPSDHILWGEGGRPTTLDKRQQ ASATPTSTRVADSACTNGPFTRSCWSDGFSAATDFDAKWPTTGKMVTYNWELQESTCN PDGSDTRPCVKINGQFPGPTLYADWGDTIQVTLKNSVPNNGTGIHWHGIRQLGSCPQD GVPGITECPLTPGDTRTYTFKATQFGTTWYHSHFSAQYGDGAFGAIVINGPASSNYDY DLGPYILTDWYYKTSWQQGLLAHNNLQAGGPPPNANTVLINGTNVKGSTGSYAKTQNL IKDKKYRLRLINTSADNTLRVSLDNHKFTVITADLVPVKPWVTDSVLISVGQRYDVIF TANQAAATYWFRASAVAACVSSNDNPDALAIFSYKDAPNQNATPSSSAGSLPRDCNEP DNTNLVPWVTNTVDQTAFMNQVQTLDVDISQTVTTNGQNIVVWSINLTAINVEWQDPT LEYVATKNTSYPSAYNLIELPKEGIWTYWIIQEPADTRVPIPHPIHLHGHDFYVLGRG QGVFDPSSFPSTLTWQNPTRRDVALLPGGGWLAIAFPTDNPGAWLMHCHIAWHVSDGL AVQFLEAKDQAPLGDSAWQNGCSKWTEYQKTMKYPKTDSGLKMMMRDSYF CC84DRAFT_1080961 MTRILRLATALGFATLQSRQDSETGPALCHSYGMDFQSGGTYFQ NSLSSDNLTFVEQFEGCNQDVAYNIIVDPQGDQNLCTDTNLAPDDTDMLSTCPLRKNQ LVSGTWSIIVISDNGEGVPLAAQRDFVLSVGPQLTSTTTPTITATRVLNPIVNQTITE TTTDTTYLSPSTVTIPSATITPTTTVTPRKVTSYSTKALLTLRVPAYTFEVTKVTATK TASCKLPTRPAHFDRRAVIVPTVGPVAHIIASIGLFRREEDRGRFLQERAERLALVER APDPQPLLVTETNTDKWTTATVTSTGTPIVATITSEVTSLATLTPPPITVVSGECTET RVTVTAPTPTLLKTRYIIATVGIPTKTVHYVYTIHTTSTPSAVAEACTSAGGILH CC84DRAFT_1078291 CAVEESIYRYHPSLPANAAFAALFLIATIVHFVLGLRWKTPWVM WCTVLSCTHEVAGYIARVLLYINPWNFGAFITQILCITQAPVFYCAAIYVMLGQRHVH TGHQLLRLRPRTLPCQVFRVNISSLRNRLSAASSGDDNNGVRIAMAGLIFQVVTLVAF SALFADLIFRLLSYEHAKKLTRRDGLFFVFLILAILLTLVGCTFRAYELKEGYKGELI THEDLFIALEGV CC84DRAFT_1159614 MSCYTYVWQARYDVYRDVWAVAVLKSYRCVRLIVHEAIIKSIFA HEVVDYQDHLQASAAVLRDTVNGICYSDPYLLGYTDKDLQNPQRNHYTPSNSRPNPGG YFLVWPLFLAGMTRTSSRTQRTWISGILEHIGLKMGLKLVMSMSMAMVLAKCDRTQSD GETWLNGDFSAWMQTF CC84DRAFT_1134758 MKPRIHSLQGLARISRPLPTPSIIASRYITPKYYRDVQLRSFAF SALSRLEEKHHYDYSSPNSRPAIQLNHNVTKEEQDDYDKRLSKELKDKQIRSPWTREG SDKPPVAQQREASAMTKGKLLTTPSRMLKLILPLTTKDMNTDRKDVEPLALLVHPQQP LSYLERLIQAELPKLQDKDGNERIPNVYFRAEDSMQDAMEAAEAEKTPVSKDGETLDE QEGEEDFEKVDEIRIGGKTEKTGKLNSAVDRKTPDEAAELRGGHGKGGVESYSGLGQE APSDEKADRKFVRWSSSTEIGDFIRDAARGQEFAVEIEGAPKDIRVGVPSFNDRTFYL RMRLRKISKGIASLASLKKECDHLAHKGAQRVAQGGFVVILGWWFLVYHLTFETELGW DVMEPVTYLVGLSTLIGGYMWFLYHNREVSYRSAMNFTISRRQGKLYQQRGFDLRKWE TLIEEGNALRKEIKAVADEYDVEWDELQEEKHDNVAEALRNERKKQKGKDKDKDDDEK DAKARGKSDD CC84DRAFT_1182991 MHSQTFLLSALASIASSAHIAARATTNTNLQTAFPASSGTTNLA AARTLAAGESLDGGMKQWDRSPSTCNEQAEGGDADAVFVLKDGAVLSNVIIGPNNGEG VHCLGTCTLNNVWWTDVCEDAATFKQSSGTSYVNGGGARKADDKVLQHNGGGTVAVKN FWAQDVGKVYRSCGNCGTQYARKSTFDNIKMSGGSVVAGVNGNLGDTTTIKNSCVLDG ATHVCWLYKGVTSGEPSKTASVADGKVCATSAIKTSGC CC84DRAFT_98336 MPAAIEVEAVPAHDLANGKNGELVDHTPAHPAFDSIPDVIKAFA NDEFVIVLDSPSRENEGDLIIAASALTPAKASFMIRYSSGYICAPLPVSRAAALHLPQ MVTENADPNQTAYAVSIDANHPDATTGISATDRARTCNMLADPNAKATHFRRPGHILP LQAREGGVRVRRGHTEAAVDLCRLAGKGDVGVICELITDGERVEGKTELAGGGMMRRD ECLRFGKEWGIKVCTIEDLVAFIEENEGKLAVEGRDY CC84DRAFT_96892 MGWVHNFNDSVAKSAVGRWFRLDGSGHPKARAGSRFFTEIRAGM ATFFAMAYIIAVNSSITSDSGGTCVCPADQLESLCVDYEPYLLCVQEINRDLVTATAA ISALTSFCMGLFANMPIGLAPGMGLNAYFTYTVVGFHGSGMVPYEVALTAVFMEGFVF VGLTLFGIRQWLARAIPASIKLATGVGIGLYLTIIGLSYSAGIGLITGAKSTPLELAG CVHTPGQLDTDGLACLSSYKMRNPTMWIGIFCGGFVTVLLMMYRVKGAIIAGILLVSI ISWPRGTAVTYFPHDTLGDSSFDFFKQVVAFRPIKHILGAQKWNISGHGGQFALAFIS FLYVDILDCTGTLYSMARFAGLIDERTQDFEGSSIAYTVDALGISIGSLFGTPPVTAF IESGAGISEGGKTGLTAMMTGFCFFISIFFAPIFASIPPWATGCTLIIVGCLMASAAK DINWRYMGDAIPAFLTITIMPFTYSIAYGMIAGIFSYTIINGIVWIVEKASGGRLVPE YKEEKDAWTYKIPGGILPPWLNRLRRGKKDFWRSDDESEGVPGAGVVSERKSETSDVP VDHEKGPKVA CC84DRAFT_98167 MRAGVLVVLVFLSREGHCSDIVLVALEQVGGGCRHTMRSPQHSK GERKPETGVRPILPLVLSLVLALRPYLSMVAMAQCAQVPGRAIANSISSGSSKRAGMV PETHCPHKDAMDKSGAQDAATHTEIAQSRGERPLTCRLRVSLTQQAVPRCSREVDMSS RGPVASDNSVLTCDQSTQHRTARCDDCRAHRASQPQWLFRTIGCCSQVVQPQLACSWS VGAHVRLYLARTSHCDVYLDFQWLLIFANLINLTPRISRFCCGL CC84DRAFT_1159621 MNFLCNLATSYLADWTKWRSLTAIATASPSLFYAVLYTGIGYRD PCYQKYGQMV CC84DRAFT_95286 MRRLSHSAKAAGRWVTSSQMILDNRICVTPDRALQNGTCCSRTY SPSADLDAGDNRLHVRSGSPIFVLTWDVACICSYVWILDKAISWCLDMISLLIRNRWH PFSRPWPEMLIKISVAPQV CC84DRAFT_96715 MSFAQERSSPLPPPPPAPPSPRVGSYPHPTQAQQTSPLRRIAVQ PPIITTQLAPPPGQYPHGHTPASATSLNVSFSPYAPTPSSYAASPVVPPSPMAMRNQG YNPQQWARSGGTVGGQYVPHTPVATSRPQDVTGMEASMPSPPPPYSPGQNPSRPVNAN PGSSPHMSAAAFAASHPPPPPPPSDYTSSPVSRPTSGFFHSRPGSIVAPQSATSVVSL SQFPPPPPATGSKRSASREKLTSKFSLSKFRDRGSGSPGPSNIESLRISTSEALQRPP ASPGLPPPRPSHQVLSNVFPEHRWSPDTQSPVRAPGARRAASTGVLASESRTPIDETP TLPQGPWGNGAPLPPPPPGPPPPGSRSQSLGRGMDSTVNRQTVHLPAPPTRRPGQSSL PTIPPTPVGWQDEPARPRSRSPAAQGLLIDTSSHALQPSEQPPQPIESESGSIISGPT NSTSNSSSTLYRDPSGTQVSRGIRERRSESRAARERAEPNNNPWAQDMEAAKPADLVL GSPEGGLKRRDAVTKHTPRSGGLRSPRSSHSLGEPGSSNSTPRLSVQTGPAPTPPFSP GTEPFDHSHMGKQPVSFPPKALPTPPMRHYGDDVDAGLADSSGARNRSTSNASHNSGA KVDLSLSSTPRPGGGDVFVEAAIDRHRRFIEREMAAQSDQERLELFAEYTVNESRLRR DRYSAAFDAMAGDIVDLTRDLWRSYTTSGRRSVTPSAQSASIAPSGRRSQASTSGESP DTRQPISIPTTAASPASSVQNFTPHTEPASPSSATSQRARDASWNYKPVLSPIPSMAM STVPDEEDSRGRSASRWWEASNDGASSGIGSRRLERSKRESKYMGLPKEARESLQWIG EGDNSPANRGESSSRQPHGSNEYPPEKAGLLEGTLGKQAVGFYPNSAPTTPDPRKLDV SRLVTLPPPYPRHHPAVNNSHPDLTSIRNNLRNLSDLQEVKSTKEAFKTKISAREEQE NASLNDRRSQLRYNIQENIRNGVMSYGDAANAEKDFETREHQRAQDLVQKTFDIFQNE VANPLHAIFCERITKASASLEHLKGRLNNAASELNPNQTQEEGDEQPELLEMLTLLKW LFEAREQLHKEMFELENERNDLYKDIIVLPYAQAGNEQKVGEATAFFRRDAQDRKVTW EKEILKRYEEFMNVVEANVTRGVEAQLSAFWDIAPGLLTIVQKIPQRLSGFEILIPPS EYEENPAFYDFPLQYLYTLLAHAGRSAYQFIESQTNLLCLLHEVKTGVMSAGSRLLET QRIMEGEDLERVDQEMKAIKEDEERRLTDDLKEKVGLVESQWDEALGAGLADCKSRVE SFLAQQGGWEDCLKD CC84DRAFT_1079168 MSAEAANSASPVQDGAQEAANGTSVNTNVAAANDAVATPASATT AHPNSASLYVGELDPAVTEAMLFELFSSIGQVASIRVCRDAVTRRSLGYAYVNYNSSE DGEKALEELNYTVIKGKPCRIMWSQRDPALRKTGQGNVFIKNLDHAIDNKALHDTFAA FGNILSCKVAQDEMGNSKGYGFVHYETAEAANNAIKHVNGMLLNEKKVFVGHHIPKKE RMSKFEEMKANFTNVYVKNVDLEVTDEQFRDLFEKHGDITSASLARDDQGKSRGFGFV NFVKHEAASAAVDALNDTEFHGQKLYVGRAQKKHEREEELRKQYEAARLEKQSKYQGV NLYIKNLDDDVDDDKLRDMFSPFGTITSAKVMRDTLPAEGSEAPTEENKEEKKEDEEE KKESSGDEESKEKNENEKVTIKGEKKILGKSKGFGFVCFSNPDEATKAVSDMNQKMIG GKPLYVALAQRKDVRKNQLEATIQARNQLRMQQQQQQQFGGMQPMFMAPGQQPMMFPP GRGQPFPGAIPGQQGGRGAGFPGAIPGQQGGRGGPNVPQMPPMYMPPGMAPGAFPAPY MNPQYIQLAQAAQQAAMGGGRGAGGRGPMAPIPGMPGQMPAIRGGNAPFPQGGAGRGG PGGRPGQPPAGFPQGGRAPGVDLAALNAAPAGQQKQMLGEALYPKIHEMQPELAGKIT GMLLEMDNSELINLTSDEGALKAKVDEAMNVYDEYVKNKEGEGEKEAPKEEAKEDKA CC84DRAFT_1079770 MSGKENQNGGAVGEAINTDIITLTRFLTEEQAKHKEATGDFTLL CHALQFAFKSISYYIRRSTLINLTGLAGNTNSTGDDQKKLDVIGNDLFISAMRSCGRV RLLVSEEEEECITFDEFPSARYAVACDPIDGSSNLDAGVSVGTIFSVYKLDEGAKGTK EDLLLAGTEMVASGFTMYGASAQLVITMKGGNVNGFTLDNNFGEFILTHPNMRIPKKR AIYSVNEGNSLYWEEPVKEYINGLKYPAEEGGKPYSARYIGSMVADAYRTLLYGGIFA YPADKKSPKGKLRILYECAPMAMVFENAGGLAVNSKMQRMMEVVPEHIHDRSGIFMGS EQEVQRVIDVHKKHQK CC84DRAFT_1211865 MLRTSLRCTRQLSSCAPRASFYTASASPSRSAITASRRPLALSQ QRRRYAVSVEDTNKGVDPNDSFLQGNTANYVDEMYMQWKRDPTSVHYSWQVYFRNMES GDMPVSQAFQPPPTIMNTPSMHGGLGPDLSGGKPGLGMTVGEGSDVMNHLKVQLLVRA YQARGHHKARIDPLGIRSEALAFGYAKPRELELSHYNFSEKDLDQEFELGPGILPRFK TETRKKMNLREIIDACERLYCGSYGVEYIHIPDREQCDWLRERIEIPQPYKYSVDEKR RILDRLIWGTNFEAFLATKYPNDKRFGLEGGESLIPGMKALIDRSVDYGVKDIVIGMP HRGRLNVLSNVVRKPNESIFSEFAGSTEPSDEGSGDVKYHLGMNFERPTPSGKRVQLS LVANPSHLEAEDPVVLGKTRAILHYNNDEKDARTAMGVLLHGDAAFAGQGVVYETMGF HQLPSYHTGGTIHLIVNNQIGFTTDPRFSRSTPYCSDIAKAIDAPVFHVNGDDVEAFN FVCQLAADFRAEFKKDVVIDMVCYRKQGHNETDQPFFTQPLMYKKISEQPPTLDIYTK KLLDEKTFTKEDIDEHKAWVWGMLEESFNRSKDYQPNSKEWLTSAWNGFKSPKELATE VLPHLPTAVEEAQLKHVAEKIGGAPEDFNLHRNLKRILAGRTKTVTDGKNIDMATAEA LAFGTLCMEGHHVRVSGQDVERGTFSQRHAVLHDQETEKTYTPLQNLSDDQATFTISN SSLSEYGVLGFEYGYSLSSPNALVMWEAQFGDFANTAQVIIDQFIASGEVKWLQRSGL VLSLPHGYDGQGPEHSSGRMERYLLLVNEDPRIFPSPEKLERQHQDCNMQIAYLTKPS NMFHILRRQMNRQFRKPLILFFSKSLLRHPLSRSSIEEFTGDSHFEWIVRDPAHESGA IGSPEEIKRVILCTGQVYAALVKEREARGLTDVAITRIEQLNPFPWQQLKENLDSYPN AQNIIWCQEEPLNAGAWSFTQPRIETLLNQTEHHNRRHVMYAGRNPSASVATGLKASH KKEEQDLLEQAFTVKQDKLKGE CC84DRAFT_1159627 MAEAEEDFSKLPLPDRFVHKNWKVRKEGYEAATKEFDLAASESD PVVRQFVSDASIWKGVVGDSNVAAQQEGLKALCSFLQISGAAGCTRTRQITIATIAEK GLPSTRPAAKQSALEALMLYVETDKPDPVIEELLPLLSHKQPKVIAATLDALSQIYHA FGCKTVEPKPVLKILPKVYGHADKNVRAKAQELTVEFYRWLKDAMKPLFWGELKPVQQ QDLDKLFEKVKDEPPPKQERLLRSQQAAKEAAAAAPGGGEDEEEEEEEAAIDLEPEYE AVNVFAKIPADYNERMASSKWKDRKEALDDLHKILEVPRIADGPFDDLVRTFAKSMKD ANIMVVITAANCVELLAKGLKKGFSKYRSTIMNPMMERLKEKKQTVADALGNALDAVF ASSSLSDCLEEVVEFLKHKNPQVKLESTRFLVRSLKNIREAPSQPEVKTIAEAATKLL TESQAVQREAGAEVLGTLWKIMGDRMMNAHLDGLDDIRKAKIKEFHDAAEVKAKFKPK AAPPPPKPAAAPVGKKPLGKRPAGVKKPAPKAAAPPPPPVEEPTAPLAPQPTSKPGAS KLGAPKAGASRLGGLKKPGAPGAASPRRPVVSPPPEEEAALPPAQPKFGLGAGRGLAG RPIGKPQAEAAAAPVAAPAPTGISLVEKAELDELRAEVERLRRQNEDLRSDRTKLTSQ VHELQNQNAQLIEDHTRDVLSIKAKETQLVRARADCEASEQTIYNQRRENERLKRELQ RQTRAASPPPADISEQIYTDSTGGGVGRLGDSGYGSQGLYGRRDRFAANGPISPGGDG KENFERPGSGLSGKLSPLRADTDARSGKSSLSSRGGGRISPADPVSSRIGGGGATGAE SWKRAAEVTQNLKQRIEMMKAKQGIGRPTQ CC84DRAFT_1159628 MSAILTDRQAEELNKAIVAYLGVIGASKTAEAFREEASIPASFD DATRKKYEGLLEKKWTSVVRLQKKVLELEQRNTSLQGELDSTTPTSLLRKNQDPTSWL PRAPARHTLQSHRSPITCVAFHPIFSSLASGSEDTTIKIWDWEMGELERTVKGHTKGV LDVDFGGPRGGTLLASCSSDLTIKLWDPSDEYKNIRTLPGHDHSVSAIRFIPSGAAGS PSSGNLLVSASRDKTLRIWDVTTGYCVKTIRGHVDWVRDVAPSFDGRWLLSAGTDQTA RLWDASSGEAKCTFLGHEHVVECVVIAPPVSYANLTALAGLKKAPPLSSSAEFIATGS RDKTIKIWDGRGTLIKTLIGHDNWVRGLVFHPGGQYLLSVSDDKTIRCWDLKQEGRCV KTVSDAHSHFVSCIRWAPNVVKDVPTNGDAANGAAVNGVNKKKEEDAAKAGIRCVIAT GSVDLNVRIFAS CC84DRAFT_1134787 MAEAPAQYKKKDGKITVSADGRKVSWKSNGGDLSVVIDIAEVTN LQQTPATSAKASTKIVVQRPDQTENHTFTFSSAAAREDQQSITGLLRKCIEESKAKEA AASVVIATPAAATPAPDNGGASASMTIAQTLVNAPQDDDSYNNTKLLEDIPLQRSLLN SNPALRQRFDQALRDKPESISIIQFSNQFWATRVHLLRSHAVENTQGTGTYNVLSVVK PFMDKDGKEKLNISKEQIVLIFSQYPLIRKVYNENVPPLTEPDFWSRFFHSRLLKKLK GEKIKDDIDPTDPKLDKYLNFNESANDGPQFLIDSVPRFLDVEGNEQNHSQRLGNRPH ANMRPSSNEKEPILRILNRMSEKLMASVPPSDGRGRHGPVGLDEETYNELRLHDLQRA SDDNRVLLKLQDQDKFFSAGQGVHTSSSAAMYTKRTPAQVLSSIQQEFRSINGSRAQN GGINLRSVAGVEDESSSEEESDAKKKKPKVGSRSSRTGATSQILKAIRQRQRHGDDHV SPQATVSSEQARQLSVPEQVLDNLAITHNTTVEFLHYFWAVYYSGDAERANEVAKLVE TLDKSLDRIKAIADTAEAERISRVQRRVQENDEYTARTGRKRKFDANSIKGGSKAVYG LVEPLVRAINAARQQYRIALQEQLAQAQKVGAS CC84DRAFT_98857 MGKTPFAKSSPKTALIARLGLYGPNGDSIYRLLYNEAEQGRDRL SGDYNSLTKLSMKEGARAPYKWDDLSETARHREILNIVRTASVYTRPYYQLGRWMTTE EENWVAEWFLWHSFRFRDNRNSQAAGQHSTGGHPTASSDPIQGGELPG CC84DRAFT_98877 MEMGDPQIVPCDDKEALSKNGSLSRRLYWPIILKSRPPLWIWMY ARCPEVAESFTVPYRASLTKCAKVADDDSCDHSSGADIYRTGRLSIFKLHMLFEPTDS NKPWLLVLESLAWDQLTMRAAARDPKPLLERIAPIETVRRNRNCRASEGTRRKFPDTT WVIDSTEGQSSGSSCGGFARHCSRQGHLHARWRRRTDLGMGVFSEAVVLHFSSVPAVP WLGRFVPKRNPMCE CC84DRAFT_98854 MSPPRPQSRRLHGRTNDQRQTRGLCQARDLVDGGNPTLPPGQDP FAYYKAFRNTDASSTSSSQGADQHGGGATRSQPGANAQSALGCAEQQQNRSRPVPHSV AVRLATQSNGVPLPTIVEQFSISTLNSHGSLCSVGRFPSIRAVEDASHGHTRSLDDGA LRRIQEEDRDEQTVSSDANINIQPKVKWGTRSKSYHTKATAAGSVSLTAQKSPIPQTP ELNLNVEPKSLKGFIRGVLTKVRGNTRDRSRSSSGTNVLMTDQWSDVSPSAQTDKTTA MIPDSQEPLPFVSATTSLLQPTPSSPHHVSEPQVISASSPKSKATTLPNGFTSQSHGS TSTSETETAPVGLHLPLIPDLSRFQFGNEVLPSVCSVAPPPRDAVLDHVRHAATTVLG RSRNKLATRYTPEGAFILCNDEGSLDDFVRNASRNASFCSTMSTSYSGTVLGIDLDMQ HDFPHPTRRSVTSVWFSPKEPARTQETPKPTLQLEGAKPLRPCSITSSALTSLLPIAA VDGIVQQNLAVPQLTFYPPPRNLTQAQSTSPTPTPTWTSRSHSESNFSGTTTPKTSYY NGATSPSAQSALSAAVASPSARPALVPLTTLPHSIAPLPEHLRHHHNYHRVDKSSIGS ACDFETMLPSQIAMINDSQVLGCGGMVQPANLDPHSGVLQHPPKRSRIGQSMSCLRVR DRNRLAINTWSSLASNSSRERRGRTPAKKIRKKGKILQTRRVPHIDTVPDKDVIGPAA GHALRVCFCQPYDGVDRQTTGVGCGGAAASQLGEDLNESCASPTGLHESTPNARIVAK ANSKGKGRARRDSALGVGAWGRQSGDGSDIRWDASLP CC84DRAFT_1171044 MWSLLGWLMPPSAALSPYICARCARAKLAAQASLPIRRIALASV RQYAESAAQQKEDGQDDRFTNDEKHHQYGGNSGPQEKGGGAMTRRLRDMSNEALETGG RSAQKTVSEAGFSEDLKRQLEERIASASFRAEHRNAFTEMELPSTASRHTRDLATAGA WTGTESIHDASLRMLNDAHKPLKGPTGGRAGSIVPLPRTVDTGRRSNAGQGVRLANAR DRSGLYASLKDSDIDEQERQKRFQEMKDRFSPHARAVVPGTIQGLTALANERIENAIA RGQFKNLPNRGKEVERDYNASSPFINTTEYFLNKMIQRQDIVPPWIEAQQELTAVASK FRTRLRAEWKRHAARMIASKGGSLQEQIKKAEAYAKAELIANPQRKKKEILNAIEDDG HVSQISLSGELKLPSPGSPDAAVFEEIVAEKVTLDQEAQKQATPEGIQASQTVDVPDA APAPSTPPATHPFRDPAWENIEHAYHKLAIEDLNSKTRSYNLQAPELARKPYFSLERE LKACYADVAPQLAAAIRERAVKPIRKTEGFSQSLAGGGGVMHSLVGQKVKVRDERAEK QYGFRQLWKDVFGAKEA CC84DRAFT_1226428 MHLRFSIAHVLELFWCAALATPLDSFSERGVAWDWSSTTNKVRG VNIGGWLVLEPFITPSIFWQYSSNDWTVGDEWSLCKKIGKTECRKALRAHWDSFVKLE DFRKIKKAGFNAVRIPVGYWSFLEIEWEYVSGAAPYLDKAIGWARKTGLKVIIDLHGA PKSQNGFDHSGHAIEWPRWGDGDSIPNTHKVLKILEEKYAKKSMNDVVIAIQPLNEPF LVKLDDNMVKQFYRDSYYNLRLISNDMPMMIHDGFERPSWMNNFLTPDDNNAHNVIID HHEYQIFNSFEVAKSTDEHRQAVCRAADYWADSDKWIVVGEWSGAMTDCAPHLNGFKE GNRHEGTYKDDWWVGSCWGPILSGEVQHWEQWWKDDVRKYIETQLDVFENKTKGWIFW NFKTEGSAGDWDLFQLLDGGVFPQPITDRKFGKYCLNF CC84DRAFT_98920 MYSSLILALAPAAALAAPILEQRNAIPGKYIVKFKNNPNTLSTM ASMKVALSKEPEYDYSFGSFNGFAGELSATELAKLQASDSVEYIVPDAEVHTQDIQYE ANAPWGLGRISHVEKGNTTYAYDSSGGEGTCSYVIDTGIFTSHPEFEGRAEWLENFTG DGRDSDGAGHGTHCAGTIGSLTYGVAKKTKLYAVKVLDSSGSGTYAGVIAGINYVTND HPTRDCPKGSVANMSLGGGKNQAVNDAVAAAVASGVFFAIAAGNNNGNAANYSPASEP TAFTVAASDINDAKASFSNYGALVDIWGPGVSILSTWNDGKTNTISGTSMATPHIAGL AAYLLGADVATVDTVGQAIVDLATKNALTGVPSGTINAVAFNGVTA CC84DRAFT_99094 MRLDFITLAALPLVLGAPVVTPRSGQVIPGRYIVKFKDSDFVTS AINSVLALLPAAPAHTYTLSTFKGFAGELSDELVNVIAALPNVEYIEKDAIVKITQWE EDVALDKRALTTQSGAPWGLGRISHVAKGSTSYVYDTTAGADTCSYVIDTGIYTAHSD FGGRATFLANYAGDGSNTDGNGHGTHVAGTIGSNTYGIAKNTKLYAVKVLDASGSGTN SGVIAGINFVASDVKTRSCPKGAVANMSLGGSKSTAVNTAAAGVVSAGVFLAVAAGNE AQAAANTSPASEPTVFTVGATDSSDAFATFSNYGSSVDGNAPGVSVLSTWNNGGTNTI SGTSMASPHVAGLGAYLLSLEGKVTPAALTTRIQSLSNKNKITSIKSGTVNYLVYNGN GA CC84DRAFT_1201329 MLFSYLTGVALVGLVSAQTTLEVSHDGNCGNDVTCRGSMFGGCC SASGKCGSTLDYCGSGCQLKFGHCERTVGEPSPDGSCGGDTGFTCKDSGFGDCCSQYH WCGSSKDHCGTGCEAGFGDCSSFSENSKSNQIASSTTRLASRTYTTSKSCPELNGTTY QTQCGAEFRLECDLDRINGDLQWLGNGFYTDTLEECAAACAQWPKCKGVNWVDYHTTG PCYLKTSNTKARANKGVHAAVMLKDCTKSGPSTTPALDKPPFGKPTSTKSIEPAKPTN LTKPTKLSSRSYTTASGFTTISINSAITTITPMPSAADSGYNATTAISSSRAIPSAST CSSSFARRQKEGEEECTPCDGQSGSLPYCGANATTDNYKFTPKTCRTVYYEFDITNGT VAPDGIERIAFLVNGQMPGPTIEANWGDTVVVKVNNKLTVNGTSVHFHGIRQWNTVES DGVPSITQCPIAPGDSFTYRFIATNFGTSWYHSHYAIQAWMGVFGPMVIHGPTSKDYD VDAGTIFLNDWTHNTVDSLYDLAQDSVNGGPRIMDNGLINGMNTWGVQGSANQTGQRF ELPTKLDPGKTYLFRIINGAMQSSYKWYIDGHQLEVIGMDFTSVVPYKTDVLSINIGQ RYMVLVKADQPAGNYWMRADNQNACDETTQADDIKAIVRYSGSNSTDVPTTTKYNYTG ECVDEPLASLVPMARINPTSSDVEFIEDVTLDTVDNLFKWYLSGTTFMSHYEDPTLVR ILANGTAPTYAGDLVLSLPELGKWVYIIVESTIPLPHPIHLHGHDFFVLAAGPGTYSK STPLNFNNPPRRDTAMMPAAGFLVLGFLTDNPGTWLMHCHIGWHTSEGFALQIVEGLD LIEGTVKDKCGLYDTCAKWDAWVDGVGFYQHDSGV CC84DRAFT_1066602 TLDKLPVELLEMVTNNLGRPKDIVNLALTCGRLRQFVQLYGWEA FLKGRFGLLRPSGDAKHTVHGLTTLYRNWDRKAFTARFLEPGAKVTSLNSREKTRWRG PQGQTMGYQPSVDSYEEMGSAWVDRKEVLAWSAGANIVIRVKETGHKGARVCQEMGIN ADDPHEPGMFGHPNAWYTYKIPESFEGRDDITALKLLRPHQKSGDSEYAVFGSASGRL SLLSADLEGGRATEQLYDTGRRTVGSISISAASETLIAATLGDTSIALYPAHYDQPSQ DPIEALSEVRLIEQGMRVGRLWSTNFISESNVAIGLGPTLEPIQIYAITSSGLTAEPI RKINLNSSHSMESGHSARPYNTSVYPIIPIPTESQGGSTEGVFLSGGYDGLVRLHDLR SPHDFETIFWDVTNDSAIYSLACQGLERFVAGSSMHSMLKIFDLRFPGSHAYHSIPLP TPTPSKSKSQDYTYSAVIDRFQDAATKPVTGGWNVFLSPRNNTPGAAPRHPYRPGPRT EDSPVYSLSIPSSTSPNIYAGLEGAVQNLHFVSVLDTHPDPLLSYAKDHLPEWQDHNV KQIYNPHNDVLNLGMYEQGSEEGLG CC84DRAFT_1078254 MSVSTSGDNKRAFGLMSAVAANLDRLRSSIDALRQLHDRWPDGS GTIINLIAQLSSLKANLGEMQDWMNYAINEMHPQLLNDLNMLMTSCSLLMRNLDGLTA QMRQPDHDKADWALKLKFRVGSRTMTRLRGVAKRQTDAVSLLLAACKTHTTAQRKILL HKSRQIRKEDASSLNTLVRTSRVNGQAIKALTQMSRMIQWFRLLFYIKLLGKAPEEPP TEEDYLTAAAAMRSDAIDRQLESDELNLRRETKLVLVGQPNSGKELIMRQMKVIYAEG YPIAERYQYRVAVRSTVRLLIHSIIDLLKDTGVPLNEDLTQDFAVLLHEIETSDMSHL TPAAVTATRNIWNSSTFSTLYIKNFEIDFPQYAPYFAESIVRIASDDYVPSEADIIRL NQSAGGIKELRFDWDELGVHLFNISGFIPDQFRKRWFHQLENATALIYSVDVSTYDRP YLGQSTESQLLDDFATFESYANSPKFAGASIILLLNNFTRFREKLPHSPLETFFPDYN PPIASPGLPRSATSAQYILRRFKAVNRARLSIYSFWVDLDMSDNTHLYAALKKTLLHI QQRKARSEVWSEGSGGGGGRDSARGHRREWSGTVTSAKSGKSSTVKSKGSDVGLVSQF SNHSR CC84DRAFT_1071143 MNCPSRTDPITPDGYNQSPNALNADATTRADLNRVANARTRQDH RIDAADGTAGELERSVQHVDEEGLGGRKVGGGKRGVGVGVGVGETSAAEKAAVGEGAG GALGGRGLEKRRGVNAWTRRAGKKGLAVLRKYAKFVGPGFMVAVAYIDPGNYATDVAA GASFRFKLLFIVLMSNLFAIFLQSLCIKLGSVTGMNLAENCKAHLPPWLNYVLYFFAE SAIIATDIAEVIGTAIALNILIKVPLVAGCAISIVDVLIILFFYRPSGSMRALRTFEI GVMCLVLGVVICFCFELSKIKAPVGDVFRGYVPSSTLIQSQALYQACGILGATVMPHS LYLGSGIVQPRLREFDDAAAAAAATATPHTFNSTTPDDTASLTSASSDTQTKYRPSLA AINACMSYSIVELAISLFTFALFVNSAILIVAGASLYGSDAADDADLFSIHALLSSSI APVAGTLFALALLLSGTSAGIVCTIAGQMVSEGQLNWSVKPWMRRLITRSISITPSII IAGAVGREGLGKALEGSQVALSVILPFVSAPLIWFTCRSQYMRVAVRADGHGAEGEGE WVNMRNGWVTAGLAVVIWGVIVVMNVALLVLVGRGV CC84DRAFT_1134816 MSLVGNFTSNGDREATHNGVASAGAVHPTERIGSDTSDDSTIAE ETRHNTHNNTLGADVKDSTFTTTQNSEAVSEADSAERRNSAVHALARRYTQQSTASTT RQNPFNATAGSPLDPNGEHFNARAWAKAMLHTQLEDPNAPPPRTAGVAFRNLNVHGFG SDTDYQKSVGNVWLEGPGFARKLMGNKGRKIEILQSLDGLVEAGEMLVVLGPPGSGCS TFLKTVAGETHGFFVDQSSDINYQGIAAKQMAKDFRGEAIYTAEVDVHFPMLTVGETL YFAAKARAPRHIPGGATTDQFAEHMRDVIMAMFGISHTINTRVGNDFIRGVSGGERKR VSIAEASLSKAPLQCWDNSTRGLDSANAIEFCKTLRMETEINGATACVAIYQAPQAAY DVFDKALVLYEGRQIYFGPTTSAKQFFVNMGFDCPDRQTDADFLTSMTSPLERVVRPG FEDRVPRTPDEFAQRWKDSPERAELMLQIEAYNQKFPVGGEQLEKFKESRKAQQAKGQ RIKSPYTLSYMQQVKLCLWRGFRRLMADPSITLTQLIANSIMALIIASVFYNLPSTTS SFYSRAALLFFAILMNAFGSALEILTLYAQRPIVEKHSRYALYHPSAEAFASMLTDMP YKVLNAITFNLVLYFMTNLRRTPGNFFFFVLISFILTLVMSMFFRSIASLSRSLVQAL APAAILILGLVMYTGFAIPPNYVLGWSIWIRYLNPVAYAFEALMINEFHNRQFACVNY VPMGPGYENISGQERVCSTVGSKAGVPYVDGDDYINSAFNYYAKNKWRNFGILWVFLF GLMFVYLAATEFITSKKSKGEVLVFRRGHRAIKKSKSTDDLEAAPAGRNVAVQNQSDE LAMIERQTAIFQWQNVCYDIKIKKEPRRILDHVDGWVKPGTLTALMGVSGAGKTTLLD CLATRTTMGVITGEMLVDGKPRDDSFQRKTGYAQQQDLHLSTSTVREALTFSAILRQP AHVPRQEKVDYVEEVIKLLEMQEYADAIVGVPGEGLNVEQRKRLTIGVELAAKPALLL FLDEPTSGLDSQTSWAILDLLDKLKKNGQAILCTIHQPSAMLFARFDRLLFLKKGGQT VYFGEVGANSKILIDYFVRQGGPPCPPAANPAEWMLEVIGAAPGSSTDIDWHQAWRNS AEYAAVQEHLAELKYERGQATALERTVSAQKREDKAAYREFAAPFGQQLREVTVRVFQ QYWRTPSYIYSKTALCVLSGLFIGFSLFKTPNTQQGLQNQMFGIFMLMTIFGQLVQQI MPHFVTQRALYEVRERPSKAYSWKAFMIANIVVELPWNTLMAALIFFCWYYPIGLYQN AVESDSVTLRGAQMFLFLWMFLLFTSTFAHMVIAGVEMAEAGGNIANLCFSLCLVFCG VLATPEQLPGFWIFMYRVSPFSYMVSGMLSVGVANSKVICAPNELLNFEPPQGQSCGE YMSTYQQVFGGYAQDPNATSGCQYCSVGDTNVFLASVHAEYSKVWRNFGILWAYVLFN IAGALFFYWLARVPKKAKKEKEELSPQQAEAEERALERVRTNRTARTTEEAEEQQQQQ QQQQIGGTAHDIKEEKA CC84DRAFT_1108551 MAGPLGSTTPFATPAYLQSFRPASSMSASRFDEGYSEDTRSQTG SDMVMRTDSRLGEGGMEQDLQYALPDWVMQMPENERSEFAYAMLRSLRTSSIAGIVEK LNPLLHLDPVLYLPPEITFQIFSYLTPETLLRASTLSRTWRGRVMDSPLWKLLFRLEG WNSNFPQVRAYEEVERHRRVEKKEKERKTRPRAAVDSSEDMETDKPSSKKRSREKPLF GDGSTDSEIKNGLEPLSLDGASRYPWAEQHGVVEADASSSSQDQMEGVSYNGTSPVAS PIGQTSRRQKLLTETSEETTISNPQNVLDPPLRPALMLSNPEPKINWQYLYKQKRRLE ENWDSGRFVNFQLPHPSHASEAHTECVYTIQYSGKYLVSGSRDKTVRIWDLDTQRLML PPLVGHKASVLCLQFDERPSQDLVVSGGSDCNVIMWQFSTGRMIKKLEKAHGESVLNL RFDDTYLVTCSKDKTIKVWNRKEMLPTDDAYPLSTTRSGAKFPSYIINMQQQTESQHL YFKPLQPYTLMMTLEGHGAAVNAIQILDGQIVSASGDRSVKVWDVRTGACSRTFAGHS KGIACVQFDGRRIVSGSSDETVRIFDRATGAEVACLQGHSNLVRTVQAQFGDLPGNEE ELEAEARAIDNTFFEAQRQGLVSGQMTREQRRARNAGSRDPKAIFAYGAKLPPGGGGS KWARIVSGSYDETVIIWKKSADGAWEKSKVLYQSEAVRAAGGRPRQTAGHAHHVHAAQ NLHQNQQQQQQNNAHGQQANAQALTQQAQAQAQAQAANTLAPQAQAIHVAAMHTAAAA AAAQNAPVNPNTTNTPPANQAQPTPAAPQAGAAPNHHHHGAHHHHHNHAFQRPAAQGT SRVFKLQFDARRIVCCSQDPTIVGWDFANGDRDIILASQFFGESI CC84DRAFT_1183010 MEVAFHSGLARAHSSQSANPAAHARIASSSGPSLMSHQSYQSQH SSYSHSTHRDTQSTAATSTSTLFTTPPTFPGTPVNGGPVEAADNVLNKRADKESSLFH SGLQLQLRLRAIPGFERWISEEENKADDDADPVTLLWRTFRRGFPLMDIYNALGPKVR LAVDTSKMTESTTKKFEKMAAYKFLQACIGELKFPPEECFMIGDLYGDDTTGFVKVVK VVNRVLDILVQMGIIQNTEAADGAGDPTRKKTRREHIINELVSSERTYVQHLEMLQMF KRLVEEKGSVTGDAIHDIFLNLNALLDFQRRFLIRVEQTNAQPPHEQNWGNLFVLYKD AFKVYEPYVANQKKSEQVAVRDYEKLVETGGSGEMQQMVQTKATLPSFLIKPFQRLTK YPLLLKDLRANGELEEHLRDDISNGIDATEAVLERINAAIAREDRMEAVEELKTLVED WKGHRIEGFGDLLLYGQYTVLKGDGMNGKQDEREYKIYLFEMILLCCKELSANKAKKM NKALTTKTGKPRLQLKGRIFMQNVTETISLQKPGSYTCQIFWKGDPGIENFIIRFNTE DTMKKWATQVDTQRRVWKDHARNSASTTHSKPSDTQFTYMHDQNLVNPYAEDDDDEDE DIDTVVPGYPPTSSSASIRSRSTTGESANDATVPPPRFPMGYPQAPLTLRTQQLANFN QDGSYFSPVDTPMSTYSNARTSSSSAGTFPFPRQTPPSGYHEENNRYTAPARGHVTRE TSMGPGMLPGRTVSRPSLPPTSNSASMSAGRMRAASSPDIHNALRPGRQPNGQQPSVP EMPPFPTHYAYNAAIVNRSQNNSPNSMPPRAATGSPAIQRDRLVQQRTAEQLANTHPD LPLHYGGPARRDPSSVPSARTMTPHSSFERSQGTLTPASMDSRTMSPPLSQASTLNEN HSGIPTQLKVKVHCPAAGSTMTLVVSTNISFQSLKDRIDAKLQRSTSVSLGSGQVKLK YLDDDTFVTISTDDDLQEAFETWKEQQRDLNPGGQQLGEIELFCQ CC84DRAFT_1201335 MSNEFVEGDIKTLVRSTVSSDKRYRRWPEGLKCELQELIPKKAE GMRVLHHQCMCYCSLLACRFCWAVCQLDILKRLKPNGPTFKAALSNLPKTLYETCERV LLAIPEDGWLSVQQVFNWLMYHNDLFGTNIALGTLVQAVQQITLDPFPQYINLWYDFE SLRECCGCLIMVGQEETRSEGYQRNTVAFAHYTVKEYLESPPIRQKKVGFFALGQERL RDKFAEIALRQALAITDYWKASNFDHSDPGCRFQAVLWRFFCAAAQPLARVYLFGSEF DGAQ CC84DRAFT_1183011 MPEHLKVPEQSMGDDCTSSQGAHQISKPVGQSDVASEVLSAAGI DLSTDDSHLPCLTIRMWTIGIFFTLLGCGLNTLYTLRFPSISLTQSAVQFLAFPIGKA WELAMPDWTLPILRWRLNPGRFNKKENILIYIMANLSFLTRLSADVLTEQRIFFGYKT GWGFEMLITLATILFGFSIAGICKTVVVDPPAMVYPGVFANTALNDVLHGGKQRQEDS KPLWCSSRYSFFVVAFAASFCWYWFPDFIFPALGYFTFVCWAAPKNNVVNQLFGMKNG LGLLPVTFDWSQIAYIGSPLVVPTWAISNVLAALVIWVYIVSPALYYTNTWNSAYLPI QSNSVFDNTGRTYNVSRIINKQDEYQLDPVKYANYSPIYLPVTYALNQFGLAFATVLS LFVWIALEKRDQVFHGLRSGWRSIRMTLEHSPMQIERGQPLACPPAPTWWYWITALIS ITLAIFSCEYWKVQLPWYGVLLAFAISTVFFIPLCIIYGTTNLRINIDIFCRIIAGYI WEGRVLANVWFFNLGYISGIKALQFSQDFKLGYYCGIPPRDLFTVQLTALCIATLSQV GVLNWALTNIKDVCTANAPNGFTCPFSRTHFNTSTIWGAVGPRRFFSPDATYHSLLYF FVLGLALPVLVYLLKRRYPASFWKHVHVPLLLGGLNYLPPASGTNYGSWAAVGLVVGV YVKRRHPAWWKQHNFVLSAALDSSVAIAAVIIFFAVFWTSAADKLAWWGTEVYKETCD WKACPYKTVAKGQTFGH CC84DRAFT_1159647 MRFHLLPAPIATLTLSFLASSPCINAQFTFPPPLNGSISDYTSG KATATMNFSAGDSMFGGWSTPGSLMSFLVYRCTGSTEAGSTIKPLNSDFNSTVGHLAP DKTWEQMPLYSSAADMFGNGFNPGRNPIWFHGDFFANNKTTGDLCWFELYPGSDTYGV SKDGTPERVATVNGDGDWYFATEPFTVHPARPNNLTVTWKSSGPKPDLMKNHTEAYWA DFYREFPNLKQSSGSGPGGESGAYSAILQGSSWMGLLAMVLAIAL CC84DRAFT_1079355 MGSYKHKKKPSNRNNSWVMLAEGSGYTPLPGETTLYQSPPRTTL SLQSPSRNTPAEAYSLQCKSGVIYLTNRRIIYLPVSPTPTFTSFAVPILNVSDSRVTA PWFGANKWEAAITPVYNGGIPASHSALELVMEFKEGGAFDFATTFERLRERLRHAVDV AASVGSAGDGVEGDGRGGGALAGVNLSGVHLEDLPAYEERGASVRVPDNLPSPPLGRG SVGTQGTGSTANRSSPMGSPRQEGFQEPPSEPPPGYEEVQRGGVADELERRLRGSV CC84DRAFT_112399 MSLSAPYTNAMRIGQGFNTYTQEIRLENAVVVGKSNPVKKVSRD LPLPSSGAIPLSPPVTPDASVTAHTLSEVPAVVINGVQQPESHEIPRAATPSPPPTPD LGSALDVVTAPTGELPFEIPAGPAPKTSQSVTYSTRAIENVSDIMDALNISTSMSIKY GTIHGNGNASFVNENKVLDSELNYVVSVMVNNDAQSPIEDMEFQDIPGLPLNRFTEVY GDSFISGFTEGGEFNAVISIKLNDKSKYRSVKQAVDVQLAVGPPTLEIGASEAIAKEH SEALKNTEISISVNWVGGGEIKKPTVPWTIQSVVAVANAFPSMVARSSARTQAILTRY TSLRSFQTWKWTRLIEERRIWDSDPRNQKGGENNKSGKELYEEPVIVLNYVPCAIYTA ELFDALMIYKKLWKKIGEMLQNPSQYKMKKVPRTLRRVPSRAETSRPF CC84DRAFT_101181 MTALADPIDRIVAGNRVFAQKTSEANPNAFLELTKGQWPEILWV GCADSRIPETTVCDCMPGDIFVHRNIANCVHPDDVSAASVVEYAVTHLRVKKVVVCGH TKCGGAIASLSDADLGTTLNTWLHPVRELRRKHKAELEKLPDNDARAVRVAELNVLQA IDVLKQHPAVKKAASERNLTLHGMIYDLGKGQLRVLEVTGGKKGNGLWSPN CC84DRAFT_1077050 FGKLFVVNLPQNADRRDTMVLASSFSRMNVDWVNGLPDDQVSYA PKLGQLKQNWRAHINAVRTTVELGLFSAIVLEDDLDWDIRLREQMHAIALSTRTLTQP LAWTNSTKPIYADPSFPDPLLSNKVTEIPFVGRPATIQPSISAYGDNWDVLWLGHCGM QMPTQRTPDFSQGRVVLTPDPFVAVKDTINHDSDSYPNQTRLFHHTYEPMCSLAYAVS QRGARRILYEAVERNVTKGFDVMLREMCDAAPDSPAKLTCITTQPSLFSRWRDGRSEH VRWSVRMNMGKYVRGDEREWFDQYPEKSA CC84DRAFT_1159653 MSKKVLFLLADYGHDPTETAVPYNTFSTAGYTITIATQHGTIPA CDTRMLTGWTQTFLGADKDTIAQYNAMASGAAWQHPRAWIDTKFTLEDFDLVFLPGGH DKGIRQLLDCPRAQALLVSYFPLTKRSERGSGRPKFCAAVCHGVQMLAHSRTGEGLSV LRDVETTSLPDFFEASVHRATKLFLGDYYKTYGAGTDSVAAVVKASLADEGKQFKNSI DVTRPFVVEDKTYRYFSGRWPGDAKVLAEKVVKAMNMTSN CC84DRAFT_1159656 MPIRITILIKKLDTVSTEDFHSYWSTKHPSIFLSVPTAQKLLLR YSQYHISRPLTTALRKGAHMPCLEPEFDGAAEFLVESLEDFGAIFADPIYESVVVPDE ESFLKRGESMVFVGEEEMKWVDGKAAEGVVLK CC84DRAFT_112061 MLGDVHNDTFIVVSDPTIANALDSQGSLQKARSHAARAAHARKR IARTAEYNARKPIEATLAYRQDQHGNTRELVTRLQLQTAPSPLGIMCADRRDPFNSVA AHLSHIEYFLLDHYAQVVVPTLNIQCRSLKNLGESAERMLREWVHLALTDAVVLQGVL LAACRHLIGRGYQAWKFEELAAGYKVRCVRDVIDAIESKDMDMDVTFAKIFTLANDEI WLGNLDMFRRHAAGAIKMVVLHGGPERLGLNGYLNSLFKIVLDKDHALRGDPRACTGF DRINRGVCLSAN CC84DRAFT_1159658 MKEPSVREFVITSSLVSATLPVPGNTTRVERDTWNEMVLEMAWA PPPYEAERGGIVYAASKVATEKAFWDFVEKRKPHFTANAILPPMILGEPLHKSHAEVK AAYIRQLMTGDTAFLETMPATVAVDVKDLALLHIAAILDPSIRNVRFNTWAHFCNYND MLPIMRAQLPERRFIDDMPGLTKLSLTTDTEQQVELLKKWGTNGGWVNLKQTVEENLM PLAEWGY CC84DRAFT_112000 MFPKNIMDHFWDTLINAAGVFTPGLPNYAPQQSATFVPLPKVYT LEWTEPASLGNGKTDFPPSDKEAIDICDPQVNPALHKSALDIVRLSNDYFFKSGCRSN LENAYPKHERVMELALKFNEDFEVHKYPLPPDLRILWATDRDYHPSDKAVPWPNRLWI VACETFIYVNDAEKRMLRTRTEAEQQKRYAASFSDVVDYNEFLVDMFMTYLAHLEGDL ANCIDEQPSNAEFDALFNKRVNKNSITLYDLHRTFPDVRDIQDFLRRVEYFAVFDPSP QASFGSSVVPEGAYFRKPIPSTSQIVKALHSPMTLPQIFESLAKLYPEYAHGVGSQEA VLHRLIEFASPDVTTRKFIRKTTALPNEAEIWEALENGPLSINRLAACFPNRITSIEE FTTTVRNSEVAYHEDPLVYGDCTARVFLQFFSKAGPVTASS CC84DRAFT_1211889 MLLHALLLSALAALVSADCYSHDRIKAQDSKYYIGPELVSCGNG TDNCCLAEQKCGSNLLCVDGSGGVSRQYCDNAAWIGCSDMCAGNDAAGVSIHDCGDNI YCCGVNTEDACCTDERAFYVDPDDGGVTQTTVAAKTKAPRWFTVDSSSLLASMSSASS SLASSTPSSTPASTSAPAATTASSASSATPTPTETAKGSSGISGGAGAGIGIGAAAGI ALVAALMWFLLRKKKQNASRGAVSGTTDYPIGKSGPQPEYYAHNVELDNGNLVSQELD GGNSRHELATHAPKTYPGL CC84DRAFT_1211890 MGGQKGEDVYNQSASFWDSYIKGRPSIPDSFFSTIFAYHASHSA SFSHAHEVGAGVGVHSPRLAARFANVLVSDIIESNIQIAKARLQSLGCYTFKASSLED TIDLPPASMDLVFASTMMHFTDVDKAVRAVHHQLKPGGTFAAGLYGTYALHEPEAQRV WQKLVQAICNNIIQRYGLDNRAKTILANEAAGLDSVGLPEEYFHPAQRIDYNFPQPST LKDMILPPQYGLHPVSRIGRRDEVVRGVWDKGWFSSLGIDGLKGMADTWPHDEGDGEI VELWEELTGVVGEGEVEGAWMVSLLLATKK CC84DRAFT_1211891 MPNLTAPSLTATICTLEHRAWAALCDSGNALLPLLSASPVFIFP GDRILTATSSPSLHEILQDPGFQPWTKYTLSHDEVMPLGESGALVYYRVEAIREELPF RAICSSAWVLEDGQWKMASHQQTLI CC84DRAFT_1171067 MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNV KSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTL TGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKEST LHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGK QLEDGRTLSDYNIQKESTLHLVLRLRGGQ CC84DRAFT_1211893 MAEKMEYVNLGKSGLKVSKVILGAMSYGDPKWQEWVLNEEQSLP LLEHAYKVGINTWDTADIYSHGASERIIAKALKKYNIPRSKVVLLSKCYFGVNEEDPT LGIASVSTNDGAFVNQVGLSRKHIFDAVEKSVERLGTYIDVLQIHRLDRDTPREEIMK ALNDVVEKGWVRYIGASSMAAWEFQTLQNIADRNGWHKFISMQNYYNLIYREEEREMI PYCQDTGVGLIPWSPIARGALTRPWTDRSSKRSTTDAFLKNLVHDREDAVDKEIIGRV EKIAKKNNVSMACVATAWTIHKGVQPIIGLSSKERIDEAVKNSKFKLSEEDVKVLEEA YVPKTRQGF CC84DRAFT_1068698 RTSPHRAIAAHAPNTGIDEQHVYVLTLALSPSIAVPLDQMRDEY FPRHLNRTPAHITLFHALPHSQLDAIDADLTRLTSRTRPYHISTGTPFRLKRGVAVLL GTGEECSQALREELRSKWAAFLSQQDSRSRGWQPHWTVQNKVEEEKKVVSAYNTLRRI LYEETHYGKALGFDLW CC84DRAFT_100977 MKAIILVGGFGTRLRPLTLTYPKPLVEFANKPMIQHQIEALADA GVTDIVLAVNYRPEIMAEALKTYEKEYNVKIEFSVETEPLGTAGPLKLAERILGKDDT PFFVLNADVTCEYPFKQLAAFHKQHGEEGTIVVTKVEEPSKYGVVVHKPNHASRIDRF VEKPVEFVGNRINAGIYILNPSVLQRIELRPTSIEQETFPALVKDGQLHSFDLEGFWM DVGQPKDFLSGTCLYLSSLTRLGSKLLTPVTESYVHGGNVLIDPSAKIGKNCRIGPNV TIGPNVVVGDGVRLQRCVILKNSRIKDHAWIKSTIVGWNSSVGKWARLENVTVLGDDV SIGDEIYVNGGSVLPHKSIKANIDTPSIIM CC84DRAFT_101156 MESNLDNLDLYAFDQPFDPNRPSFNYNLSHQTWPLPPAQMPQQH DGTHQQQQHQHDQRRVNTVATTQAHSPNGLPHTSGAIAPHQLQTTGLTFPSAMATNPM LAEWINLSASTGFAQQAFGTDLSSLGGDYGAFGAGPLQTSPVDFPINTSQALMTSMSM NAPSAFGMMATTSMDMTQQMAPFGMADFAQDFSNISNGQEFSVSSAVSASAGSGSPTE QWLEVRSLSSSDNGWNTVDFGHRNSYDFSSDPTALIINPAQTLHIRTNSDSSNQSDAP PSAHSFSSYDEIHYPMHSPQSEAENQLDLTHSPHSHSHSSSCHHGLPNLDQEYSNYIS PNQSVSPPMPRAEPVDIKQSASSSSSPTSSAVSSPPTRRRKSPVTTPIGSKPAKTIAK KTPPAHTTKKDASGEKRVGRRRGPLRPEQRQQAHEIRKLRACLRCKFLKKTCDKGDPC GGCQPSHARLWQVPCTRIDIKDIAYFMKDWKADYERHVSLGFSIGNIKGFSPQERPIY ITHGYGHYLPIMAREVYVRDEKCFGVDWFESLNGLHFEINTAKLSAGMEGISRSMLSD YLDRHIDGGFEPFIDEYFEGTYFVTEILKTAYRFYCREKLPVIRKALKLVVAYNLTLH VTMVEGLGGEEVNIGKVEDNQSKFHGKTVAPVMINFQVKCALADMWRELQKDVLEELS ALYSSVYSGDKLKNWPTIFMLAAIILAVWELIQFDCNYRVPDQPAVQKFCNDMESTPV GVIVGLFSAISQKLPAFSEWDTRKHHNLLNSNPAVCDAMTEVRAHVTKYDTYLRSRSE CKFDRNDFDSLSNKFLSKLVIRAN CC84DRAFT_1171072 MTVAIPSIFERIVNVVRPILTIFAMPYAIAGKPHAPAVPAGSIT NWSHAGSQQAAALDGPVKYSILEFVRMCALLTFCGTLLIIVVGTLAYEWKLKFTKTIM DRLAHLEAAYELVKTYAAAQKAELVQLRLENEHLTDALELLDNRATTQKIQAVQLQLD NEQLKGLVRTLTLTNEDQQACSRRAEEKAAAVKTQNVGLADKIMALRRQVFQLEQEKR QQKVLLQRQIDIKTLVKENTNAIRALDKRVNSKGTDPSGSDSGQSCECFTPFSQSRNI SSTTRSIAHARQLQHVIRSILVGDCSIESTLSTFFSLPSRSRSCSPTSGDTNTSRVSV DELLEKNLEARIYTIKDFVYALDLAREQFRSQSNTSPCVSEGSRRFDSATCLGMPTEI GCC CC84DRAFT_1079663 MGSTAKQFEKLTPPKRFITTHRDDGKAVFEERFGEETEMVTMPD GIAFGLDFTSKGIPINMAQDKDLDVYSNYLKNPPGLTISNGNVLRHVDIPPSMECTMH RTVSLDYGIVLEGQIDLLLDSGEQRTMKVGDVAIQRGTMHQWINRDTEKYCRMLFVLV DSEPLVIAGKKLGEELDAMPGVKPSE CC84DRAFT_1159665 MASSRPEPSDALPPSVRLEEVLDDRLRIQELPDSDHEYEDLSPQ EEAHMAYLESVRIPIVDSFKTDSSEVQDETLEAVLPFLEGNPNDFPLNEHGIPHLQKE KHIKFLKKALGDYPAPFAMMDASRPWLVYWSLQGLSSLGYDISEYRERVIHTFSLAQY PAGGYGGNYGHLPHLAATYAAVLSIIMVSTPSHPATYDSINRKNLWHFLGQLKQPDGG FNMTTGGEEDIRGAYCALIVLSLLQLPLELPDDAPARKHGLTSFTDRLGEWIGKCQAF DGGISAAPGNEAHGAYAFCGLGALAILGPPKQTLHKYLDMSRLIHWLSARQCAPEGGY NGRTNKLVDGCYSHWVGGCWAIVEGAAPASQHQSEGLWNRDALARYVLSAAQFVKGGL VDKPGKRPDAYHTCYNLAGLSAAQHRYMHDTETVEKGGLDAAFHWMANGTFAGSKIWT PADEVAYIHPIFVIPFSAASESRKYFADKGGF CC84DRAFT_112492 MSPIVRVTLFKIPDSAVVQQAVQKYSTLAQDAQKDSKPYIQLSA GHALHSDPRSKGFTFLARTVFLSREDMAYYDEKCEAHGAIKTLLKGKVEDGPPVVCVM DG CC84DRAFT_112452 MRRRQVRASERALASCNLAAGIFGSKICCSGYPSCSDFVDLGAE GLIHVKRGRSSKLLPRRACSPPFPPSTRANNRKQRSAVTRLSPCRQRTMAPCILCPSI GMLRGLQDAIHRDAARAYLLLSPAAVRAAPRPRQWTRPSSLYRYRTAPFTAGLGLGCH CNLLLSASATRQGPGCAPRRRLHPADRWRLRFLASRHRRCVRCWRCQCSAMHARPPAP ALTTQSRAPDC CC84DRAFT_1077752 MFYIMYLTGLCQRRHWPDPLFQTYRTRLGYGCTVRVNNREYSTD VEYETDELAKNAAATRAYMICRNFSVNDGMYPGQRPGQGGVVQGLPVAIGTGRRGTVS STEFDSSSSSGGNSPRNSDYGLEDSAGRRSSKSSTSSISNCMCGRGTVRAYERCTYCL RAAGYRC CC84DRAFT_1171077 MSKQVIINNSLVHIHVIRTAVNLEALFLHSGSRDASNYRLIPAL SRLSTEGRLGQEQSTPALSPPPQGSAPAGPPPVLPYNPYAKACYDCNHPAGTVHVITH ECLEGDALRCVIKPVRDDVPLELNDYVRAGDLLKYLEATSPPKDGLPPPPPPPASSTA GQLSGSPAVLTITATPSGGSTTITTMYTLPPHPSLLVPGSSQCFVGPPAGSSSSSSSE APVTTITSKTTVGSTVLTIPTTIPVDSSSVVVPISSTTVPIISYTTTTVPNLFSSSPS TPSYLSHSSYTTYITTTSSCPVCAVTTKTIVSPVPAAVSSYIVVTATSLSGPPITTTI AVPAASTPKSTSTAGQSTQALDTATSATPIPPMSTVLRPPGGWPFELSSSRGNNNLRP TVSGGPPQGSVVPAPGTTSSSSAPAGLPTEFVLGGPGGIFRPPASSSRAAAGPAIATD AVTRLIAAAPRVGGRVADTQYKRSEAREPSSTAAEADWVGYDDSWDIKKGLKIRGASR HHPDSAIKLTPHIARIVLGDGQTLNPNAKLFRRLRAQKLILGPEDVELDRRAARSGQE GEPSKEQPVAVNMGDDLVGGCTERR CC84DRAFT_1134879 MHDINRMIEEREGIPWFRQRLIYGGRQQPDDRTLKDLNIKHGAT IWLRQKGTMQIFVKTVNGKTITVTCHPFDLIAEVKLDVERKEGIPRDQQRLIWAGKQL EDDHSLESYGILEESTLNQMLRLRGC CC84DRAFT_112811 MKYTSAVTIAVATLLHSVNANFDLYRVGLGGTGVSGNGEGWQAY EAEANCDNKLDWYWVDSEDVSGGKYGVRCEGDGCGRSQDDDDKSLDVIEMNFNRDEHH WTYYKDRDGALVDLSDNQVGRCYPFPGPNLNCGLTVGRVDGYRKIRCEIDVTADDING GRPSKRRAARDFTA CC84DRAFT_1171080 MAYQGPGGNGYGDPHAPHPYEARHDEEEVGRSLLHSNPTGAHQG PFDDHHGVYGHDQRPQSTYSLTETYASDAPQTQYPSQSQTGSDVYSVDNYGAEPHRAT SPYARSETSSTEAWRQRQQPGGGVGLKRGMTRKVKLVQGSVLSADYPVPSAIQNAIQA KYRNDLESGSEEFTHMRYTAATCDPNDFTLKNGYNLRPAMYNRHTELLIAITYYNEDK VLTARTLHGVMQNIRDIVNLKKSEFWNKGGPAWQKIVVCLVFDGIDPCDKGTLDLLAT VGIYQDGVMKRDIDGKETTAHIFEYTTQLSVTPNQQLIRPHDDSASTLPPVQMMFCLK QKNSKKINSHRWLFTAFGRILNPEVCILLDAGTKPGPKSLLALWEAFYNDKDLGGACG EIHAMLGKGWKNLLNPLIAAQNFEYKISNILDKPLESSFGYVSVLPGAFSAYRYRAIM GRPLEQYFHGDHTLAKILGKKGIEGMNIFKKNMFLAEDRILCFELVAKAGSKWHLTYV KSSKGETDVPEGAAEFIGQRRRWLNGSFAAGIYSLMHFGRMYKSGHNIIRMFFFHIQM LYNVFQTILSWFSLASFWLTTSIIMSLVGTSEPKANPPKVAWPFGDSVTPTFNTVVQY VYLAFLGLQFVLALGNRPKGSRWSYMTSFVVFGLIQFYIVVLSIYLVVIAFTNPKSRG IELDSAKSFFDSFTDKAGVGIIILALASTFGLYYVASFLYMDPWHMFTSFPQYLLIMS SYVNILNVYAFSNWHDVSWGTKGSDKADALPSAKTEKAADGKHTVIEEPDLPQADIDS QFEATVKRALAPYVPPVESNEKTLEDSYKSFRTHLTTAWIGMNALLAVAVQQDNMSNF GFTSSANDRTATFFNFLLIATAILALVRFLGCLWFLGRSGLFFCVRRR CC84DRAFT_113356 MHEWTLWSPPAVTRHTSLAHCITPSPFACLVGSMANTACGRRSA NCGVARGGALAGSRLKLEGALASYSACRPYAWSSERAAQHRVLTLLSLSLAPAHRPGG KNYRRQRRSPALPPNMLRYCLHSTRRTSSCRCRRCRDPAFWCSNGAGDVTVKLFQGST APLSSSIAVGQR CC84DRAFT_113303 MATPATPSRILPKSNFHNANAATNTGVSIAPDAESKGASLAYQR NNDFEHPDHVIPPPDYTGFENLVIVCCHGIFHPDASSPDFPLYSPHDENNWYLADFQK SNPETGKPGEQETFLAHALAGIDALIGELSPDVPNRTLLVMSGGSTKPSLTPLSEARS YYHAALAHELAEGNRGGGRTYQLFSKGRILLEEHAADSFQNLLFSILLFRQTTGRYPK QIRVITHAFKARRFLDLHAPSIRWPSERIQVQGIDPVMSGAEREQTIRGEEKHGFAPW LQDQLGTGDFLASKRRNRGWDEQVAEQLAEGLEVSAKEVVKGTVPEHLPWESTVV CC84DRAFT_1159676 MSRDALSFPSSSAKPIEHYLPESTGLARPLYPHVTLTYATSLDA NLSLSPGVQTALSGTQSKAMTHFLRSKHAAILIGAGTAIADDPSLNCRIEGVGGYGGP GLEGQPRPVVLDPKGRWKVNAESKVVKLAKEGRGLGPWVIGAQDTPIEESKHRALTDA GGSYITLPTDSSGRFDWANILNILGTKGITSVMVEGGGSVINELLSPRAIGLVDSVIV TIAPVWLGKNGVQVCPDERKNEGGVKMSVGKLKDVRWLPLGEDVVLCGRPAWQ CC84DRAFT_1183027 MMKIWSMKKQQKDAEVAAAASGKKKFSAADLRVIKDLDSLSLSD TMKLKRDHMDNYKNFILAIDPDEGMYKGGHFEFAFEIKPTFPYDAPKVRCMQKIYHPN IDLEGNVCLNILREDWKPVLNLNAIFVGVQYLFLEPNASDPLNKEAAEDLKHNREGFK RNVRAAMGGGTVKGESFDRVLK CC84DRAFT_1159677 MASRPVARASRQIARQLAAPVQKRTFVSALNAARAGVAAAPKAA VTTQFQQTRGVKTVDFAGTKETVYERADWPKEKLLEYFKNDTLALIGYGSQGHGQGLN LRDNGLNVIVGVRKNGASWKEAEQDGWVPGKNLFDIDEAIGKGTIIMNLLSDAAQSET WPAIKPLLTKEKTLYFSHGFSPVFKDLTKVEVPTDMDVILVAPKGSGRTVRSLFREGR GINSSIAVFQDVTGKAQEKAIALGVAVGSGYLYETTFEKEVYSDLYGERGCLMGGIHG MFLAQYEVLRERGHSPSEAFNETVEEATQSLYPLIGANGMDYMYAACSTTARRGAIDW SKRFKDALKPVFDDLYTSVADGSETKRSLEYNSQPDYREKYKKELEEIDNLEIWRAGK AVRSLRPENAK CC84DRAFT_112854 MADKKPFVTHLYTADPSAHVFNGKLYVYPSHDRETDIKNNDNGD QYDMNDYHVFSMEEVGGPVTDHGVVLKVEDVPWVSRQLWAPDAASKNGKYYLYFPARD KDDIFRIGVAVGDKPEGPFKAEPEHIKGTFSVDPAVFVDDDGQAYLYFGGLWGGQLQC YANGDFDKSQLGANEPSKGSALHAKVAKLSDDMLSLAGDVSDVVIQDPTTKAPIAAED HARRFFEAAWLHKYNGKYYFSYSTGDTHLLAYAIGDTPLGPFTYGGTILEPVIGWTTH HSIAEFKGKWYLFYHDSSLSGGENHLRSAKIREIVYDGDGKIHLAEPQPQIKPPAARL EEEGS CC84DRAFT_1201367 MQATASRNWPQRAKLPITVSTRPPPERCILSQATGQPLPINPLY ASALRNSLRQLSLRPPEVRVPRPIRGRTKKISKAKSRGSHQDKARRYCPSPLRREVLA EEVEVKSSSIPVPKPVGLPAPSPKILKRLIKLNLFRTKEDSAPIYTPGNMPRMFLASS GRTQIQFQPRIYHCQFARLQRAAIPERGRLLRRSLALNQKQQLHVTRRALRQTSSIVL EELGQKRDAIDTAWCKRCKHCLKHERKRILKAAKQELKRTLKAIDDSRKEEEQQFDHL YRIAKQRSQGEDGEHGSVEGGDGTVKKVLGLHSGSRRINGFSKRVLVLRGADLFKEAS CKRGHEAEDVED CC84DRAFT_1134910 MSASSRPDAARRTSTMNKRTSILPKHTALFIDPSALVAQHAGFT GTQPITVGPNAVLHPHAKVSSALAPVVIGENVVLWERAKIGVGMGDSMDESKRNSVAS MASRTSVRDSSRGEGTVLGRNVTVEATAVVEAAEVGEGSVIEVGAYVGKGCVIGKFCT IVAHCVLPANTHIPDYMVVHSATEYRQNRTLLLRPEVLEMRTNFHTTQISTFKKLVPN QVAKWAS CC84DRAFT_1081072 MRCSVLALASVALAARPFLNEPDTGIEGVLGSTANGTLPPLEQM VGLPDFDWAARRAMSPRNYTYYRNGAAGEWSYRNNLEVFQRFRLRPRVLVDITKIDSS LPTTILGHNFSAPFFIAPCARGGYAHPDAELNFVKGAASGDILYMASLFSTKTTEEIY AAKANSSQVLFQQVYLTDNITETQELFQKIEKLGSKAIVLTVDSAADGNRHRAKRYGV GSADSSYSYLTWDFYRQLSNMTSLPIIPKGIQTVDDARLAVKNGAKAIYLSNHGGRQL DTTPSSLEVALEIYEEEPEIFKQVEVYADGGIRYGADALKLLALGVRAVGLGRPFMLS NVYGEEGVKKVIDLMKHEILIDAANLGVADLKAIGPQYVNWKSVNQWFS CC84DRAFT_1254629 MTEPSYQANAAGLSEEDQNINNTAEDISHAASGHKANLSNPNTS QASKEKSKEALKELGGEQAFYGKQGKGE CC84DRAFT_1159681 MGAAGTNDPSQSDSAPPTADARHLITVKDTPSSGLGVFATSPIP RATRILSTPLLLSLNGGENPAEILAAVNKLSAADRAAYLALHPFAPPVRKDLVKRHIG KRWEALEQWERDAIGVYDANSFEVGVYDLPSRINHSCIPNVHYEYNPAIERGTFHAVR DIAEGEELFISYINGGSRLKSWRQPKLDMWGFVCQCAACTPDAEGKKREARRKQMFEL DQKLARQSVYGNEMTASQALKAATQLAGLQVAEGIANRELRTSYHDAARYCLELGNAK LALLWAEKEYAHEKVCVGDDHPICQAVAARVDLLKDIGAGKAELTKELMECFH CC84DRAFT_1108648 MMYFTIGLVSLLTATTTASPLFPAESSLVERRQGPGSYYAITGA TGGIHPRLEVRDLEKAGGEPWNLFLLAMTEFQAIDQHIIDSWYQIAGIHGMPFYAWDG VNGNGSVGYCPHNHLLFGTWHRPYLALFEQNLQKVAQSIASRFPTASRTKYQDAATKI RIPYWDWAKALPTDQPVVPTSMTNEKVAVTFPNGTAAQIDNPLYDYNFHPLDNKEING TASTAQGCDGSGKAGSLENLHNAIHNANFPGHMSPSGATAFDPMFWMHHANVDRQLAL HQAIFPGTYIVSCVANTPTYTISIGDQLDASSPLTPFHKNAAGDFWTSNSARSITDLG YTYPELANSPTNATIVASIKAQYSGPSDVLVTTSKARRVLQRETTPALKELYLAEINL PSYGLDNGIGGAAPYNVLLFLGEVPSDVQDWQTADSFVGLASTLGAPGLQVDQTTTHT IDLSLALEKAVKSGATTEDDALEYLTQNLHYKLGLGNFEINKDQIKGLKVALISTDVE VAQSEDTFDRWVGGFKEHGLIEG CC84DRAFT_1192562 MPVKRVAVIGLGPGGAITIDALVKEKAFDVIRVFERREAPGGCW IEDQHAHPNLDPSTFDLLASRKADLALEIPESLPARTPKLSRPRYAESSVYPYLETNV DAIPMSFSQEPIPTERSQLSISRHGKETPFRHHSIVRNYIAGLVDRNGYENLVSYNTA VELAEKDGKEWRLVLRRESSSQEDEWWEERFDAVIVASGHYNVPYIPKIKGLADLEKA RPGSVKHSKMFRGRDAYRGKKVVVVGASVSAADIAYDLAQVAQQPVYAVIEGHKANGY FGDVAFKHPAIATKPSISHVSTADGQRTVHFIDGTSVADVDHIIFGTGYSWSLSFLPK VEVRNNRVPGLYQHVVYQQDHTLLFVGAVGAGLTFKVFEWQGVLAARILSGRAKLPPL EEQQQWEKERIAKRGDGGLFLLIFPDFEEYFETLRKLAGEPKEGEPGRKLPAFDRSWE ETFMRGHELRKKWWREENDKALLSPVESTNGWLPSAGQSSLLLKADVSHITSYINCGT CTGWLAGHVTLTTGNAAGPFSGCTTCAR CC84DRAFT_1134925 MNLASALTDGFAKQIGVNQNTINLGNQLMFLGIVVLEIPANMVL QRVGPRKWMSAQVFLFGLVATLQVFVKNRTGFLVSRAFLGLCESGYIPGGIYTLSTWY TKSELAKRVAIFFFGMFGGNAISPLLASGILKLGGRGGLKGWQWLFLLEGCFTMCVSL VLLFLLPGSPDQPRPLLSGGFIRFSESDQHALQRRLEEDNEELKPGAQGMRIPPSLIW KTLKNYRRWPHFVSTFAVFSTWSSLTTYTPSIIMALGFTRIEANALACVGGFLALGIV FFFGWLSDKTNKRGHAVILAQACYLIILIIARSVHPHVGKWSRWGLWTTINAFAIGYH PVHNSWVQLNCKDPRERSISIAMWVMSAISGLMAGTQYFRADDVPFYGKGLRTMIIMV GVGMVAAIAQEVVYWDYNRKARRRWEESGGEKPWFYVP CC84DRAFT_113846 MSSTTQLNFNIATPSDAAQIAQLVQSAFRHQDIAWTGPDTELNR TFTMTPEQVLTTINNPNAVFLMATTDDGNLVGCMATFKKTEELARLAMLAVNPTLQAG GVGGRILSHTEEYAIKTWGVKKLGLNALHTRELLLKWYEKRGYVRTGETSPFPVQALR GLGIEKDLYFVEMEKVMGADA CC84DRAFT_1078617 MSFLINAFSQPSRAGNAWFCAGAVASYPDIEDNTRVGEQRLCQG QYKTGCRIFHVPREDSSKAAEVAIDEWKDANAGDAKDQVMVFKYKGKFVAVNHECPHS SYPLSNGTPFDIEDFGITLSSGLTCPKHDWSFDMHTGMSDRGSYKLQVWEVQLRSRTG EYGTKEEVWVRRKQRIG CC84DRAFT_1108663 MSPPSATFEPHQDGGEKPSNAAPLEPGITQTEASVQKTKIPGPP KFDDPYKERAYLKGRLAAAFRIFGKFGFDEGVAGHITLRDPVDPATFWVNPFGVSFNL MKSSDLIHVNEEGEVIGGGECRLLNTAAYMIHSAIHQARPDVIAACHSHSIYGRSFCT LGRKLDTITQDSCAFHNDHVLYTSYKGVVLAKEEGENIAKKLGPHKAALLQNHGLLTV GHSIEEAVFWFVSMEKCCHAQLLADAAAAGRGGQTEKIAEEDAVFTSKTVGTHIAGWF SAKPLFDVIHKETGGDYLE CC84DRAFT_1108668 MSPRYSSVAVIGAGPSGISAIKALSEENAFQNIRLFERRERVGG TWLYDEDPEPFSPSPNQPQYEPPAELPSSASPLPENLTARTGIYPALDSNVGAEVMSF TYKPFPIDNSAASIHRLGQKNPTKPWQTVAGYLEEIAEPYTHLISLNTHVESARKLGA KWVVTLRKTGHDLKGEKKDFWWQESFDAIIAATGHYSVPQIPNISGLSETSKALPHYF EHSKAYRLPDHYVNKKVVVVGGNVSASDTVTELHNIVSGPLYVSQRGRNEALDGAWNL PNVLLKPQIKHISPSSTSGVLVRFADGTEVDNVDKVHFATGYRLSYPFLYPNPVAPSG RLTGFYQHVFNIADPSLAVVGQVKAAISFRVYEYQAVAVARYFAGRGGGLPPPTEQDD WAVKRLQYKGPTSLFHEIKPDFGEYFEFLRTVAGKEGGELPEWQDEWALKGFAVLQLK AEWWKKLKEDED CC84DRAFT_1134936 MSISVAPVTFEHHRPAFGIAETRPRISYRFEGTAVDWEQSQVDI EITHDGTPKVYNVKTSESVLISWPGTELKSKDTATVRARAHGLEGQPSSDWSQPSTVE TGLLTTDDWQGAFPIAADRATETNATKRPVHFRKDFSVNTTIAKARLYITALGLYVAE INGKRVGDHVLAPGWQSYSYRHVYDTYDVTDLLQQGDNTIGATIGEGWYAGRIGFNTQ IPRNLWGDTLGLLCLLSVTENSGKETTVKSDLSWSASTGPIVSSEIYDGEVYNSAAEL KGWSTPKFDDSGWSAVKQLPMPAGSLVPSDGAPIKRVEQVTPVSILKSKSGKTVIDFG QNLVGWLKVTVSGPSGTNITFHHAEVMNDGEIDTVPLRSAKQRDTLILSGNATQTWEP SFTYHGFRYVQVDGWPSATPLDGTSVVAQVVHSDMERTGYFESSHALLNRFHENVIWS LRGNFLGVPTDCPQRDERLGWTGDAHAFMPTANYLYDASGFWRGWLKDAWSEQKRGDY MVPPNFADKQMLEEQYDAAQAWIDTGIPRNDVGLWDRSTFQFADWLDPKAPAEDAGAA TTDKHLVSDAYLIEMTRLLSNLSKVLGKDDIATQYASQREDLIKEFHKAWTEPYPVIA NVTQTALALGIAFDIWTPNTAARKVAAETLREVIKNNTYLVGTGFAGTQQLGNALTSI NATADFYKMLQQTQVPSWLYAVTMNGTTTWERWDSMLPDGSINTGTMTSFNHYSFGSV ADWIHKKIGGIAPGSPGWKTVVVAPEPGGDITSASAHVLSPYGAVNSSWRVEADGFHL TVTVPPNSKAEVVLPGSGESATMGSGTKTFFVEAYTIPE CC84DRAFT_1211922 MHEEDCKKSLLDGMERCDSDSTETHGETASMGCLDYSLDLSGVT QDDNSPWDERTKYSPPESAERANGDGANQVLCYTEGQPGMALTEDQTNEAIVAYCNNE VVLAGIEKYGENIFDYLPKGQTRFYNDDRYKCV CC84DRAFT_1159686 MTEAIKRLSIAEEDHGSAPSDVQGEGEAPQDERYKWYRGVFAQA TIVGCCAFAAPGLWNAMQSVGAGGAQTPYLVMAGNAVLFSLMTFACLSGSVVINHIGL RHTLALGTTGYVLYSAALYQNNRYGTEWFIYLGSAACGVTAGLFWAAEGAIMLSYPPP ESRGRYLAYWLTYRNSGAILGGIINLAFNYSGRRLGKLNWKTYIVFVVLQCLGPAVAM LLSTPEQVRRRNGTRVKLLPRIPAKIELRETLKLFQRKEMLLLIPYFLYVTWSLPYIG SYMSLYFSVRSRALASLVTALAQVLATAIMGTFLDYKRLSINTRARYGFLGMMVLSGG VWTWALVIQHKYGKHKPALDWKDSAFGEGWALYVFQQVEFALTYNYGYWLISFLARDA VDVVRYSSVARAVEAAGQCVASGISSTQARPIISAGIIFAWWGIALPLGYLVTRQVGI VHVGAERVVTQVREATGDEDAESQKV CC84DRAFT_1159687 MASPFAHFDKITLSSQQSTAKLGYPSSHPFPLALSVKQDWNPTL EEATSHLSSLSQSGSLFSLLHENGGAVLLRGLPIRTPDDYSRIAHSFGFAPHEEVGRP PIRTVLAPNVKTANEGPPELPIWPHNEYGWSTHNPSWLTFCCLEVPESGGATPIISSI GLAHRLEQEAPAFFARLLEKGVKYVYRYGREQVESNTGASVFAAYGQHVEDEDSEEVI REKIEKEVRRHSEMFEWHEDGSLSVEHVVPIIRKHLPTGLTTWFGNLTSAYGRARHHG ATQPPYRGDDGSYHPPPIYGDGTVIATEDLELALNIAEEMQVDLLWEKGDVVLLDNYA VMHSRRPWVGSRVVLAALWDDIGEKRIIDFEEGRHILESRRHQEKASTP CC84DRAFT_1171100 MGCPTPQQEAVYKGRFLVNDTRNGAEYASEAGRKTSNAERHASS GHLVCTIRAACACLRKAPTVMSSSYFDAQPAQAPPPSALLDIPEGSGVANAGFLSPQV SRILEDLELDEKSSSEDPDDHASLDGDSNSDKDTSHDRKSRRDQTEQGHCIRKSQTSP LPRGSKAHNKSSSHRPGFNSHKNPHLARFHSLRSMLFSSQIEDNIQRDKEIKMQAEAE AKWKAEHDLRKGLNRPKTPESQNPPGEGLAKRMTSGLKRMASKNSPPPMARIAEDNVS TASDDEEDEVNHSNEEINHSDIEDLVRWVSRRDPPSDGEARRIQGDATDKISKTDSGH ESLGNSDVEDLVRWVSRKDETQPKSSEETGSERRTVLAADTVQHTHSYDSDASTESDS ETAARSAEHRDSINEDDVDDLVRWVSRREGPNAGPVRQKKEGSSTGTPTGSEIQDSNT EELVRWVTKQDDTSGESDNASNPSLTDIVEHAEPTATVPRRGTGSDGKRDCSHER CC84DRAFT_113889 MSTTKNILITGGAGFLGPMLAARLLKEGSYNITLTDLQAPPQPA GVPSTSNLSLVAADLTSPADISRLISLQTAWHAVFIFHGIMSVGCEENPALSTKVNLN ATQALLAAISSLSQKPRVVYASTQAVYGPPYTATGPITDDTPATPVGVYGTHKLIMEA HINDMNRRGLLDAFAVRLPTVTVRPGAPSRSAAAFLSGILREPLAGLECVIPIQDRSA RQVICSPRVMIENFVRVMNAPSDAMPSHIRAIYMPGISVTLGEMYEAFEEVCGKDKLK LLRTERDEEAERLLNSWPQTAEFGNAKRLGLVFDESCAQIYREYADSVKA CC84DRAFT_1159688 MEKNLAERPSVGGRPAGNASIRKPLACGLILLGAASFLSHNYVS NLVTRPWPSNAPISSEPRCPQASPLVPSRSTKELDDAWEYLNSDAFFNKSIEHLSGAV KIPTQSYDDMGEVGIDPRWDIFHSFAYYLEETFPAVYKTLEVEKVNTHGLLFTWKGTD AALKPTVLMAHQDVVPVPDSTVNQWTHPPFSGHWDGKFVWGRGSSDCKNQLLGILEAI DTLVNAGFQPKRTLVLSFGFDEEISGMQGADNLAKVLIKRYGHGGAAVIVDEGAANLE GWGANWAIPGVGEKGYVDVIVTVRMPGGHSSIPPAHNGIGVAAELVSLIEANPYEPLL HESNPYLSLLYCGAEHAPEFPKTLKHLLKKRAHRTCSKKDQKDELALEAAKAGLDIKY LFTTSQAVDLINGGVKTNALPERTTFTINHRINIGSSVDAVLFHVAQLFTPVAKKHNL TLNAFNNVTETPSSITLTTRPEHLEPAPVTPTGPGTPFSILSGTTRALYGEDLFVAPG VMTGNTDTRYYWGLSEHIFRYSMGWDREQEGLGRIHTVDERIGGKAHRDVSKWVFGFV RNMDEADL CC84DRAFT_113925 MAGLVLDRLGWAYIGLDIAWMVALVCGMGFLYYHRELPCIRIRR LPILFLGIIPLHLYGFVCVLGYVLGALVPCQAMFWVMSIYLPFGIAVFQTANSQFLHV ASRQKQFAHLSLQSDHAPLKQEEAERLSNSRWRRILRGVDRADKIERMMVYIGIGLAV QLVVTLIVFFGSKKFHPSYGLWDWETRPSAPELVGMECSKGWEWWLSIVWQFFWAWIY APYMLFKSRGINDVHGWRLQTICCCIVGLPASPMWLAGLYAPGMTSVNMYFIPPMWFS VCILFMELVTIGFPIFEILKTHKLRQDTLDAITAWEKRQELVSPTSSNFSSDCSTKQG TADSSKSFTIKEVHLASRKSIDSQRSDLYTMASLENALRTNAVPLLQFAALRDFSGEN ISFLTHLADWRRTWLHLTVSTAQHRRQQFIAAVAIYAHFVCPSISEFPINISHANMAA LRDLFEEAASRIFCKRSIASNYSPTPFEEASPDSSSTVDLRSGISLDVLGRANLNSVS KMTHPGYEDVLTAYPIPEAFKETVFDAAEKEIKYLVLTNTWPKFVNDAYASSQVGSGK LKERQEQNWVKKTILCAR CC84DRAFT_1077377 MGNDYFLVAGVPILLTGFALLQSVIYQLYWTEESLTDNTTAWSR HTDTSRRLVAAIELIWVAIYCVKFCYLAQFKFYKPPYAYVDAALTRHYWAVVGLCSVG FLFTLVQPIVLCTTRGKCRYIDGLDTRSWEIAVTVIDIVTDVLVMSIPMLLVHMANHV RPYTIANFVFKSLSIFSVVVAATRLALQYDSTVGRIRYVSVTFLLVIEATIALIMVSI SGYRVVFLDFLSEWERRKTTHSTRLTVRQGRHRTATAAGGGGGEADNAKPSQPRAGSL SDLPILSTT CC84DRAFT_1068612 EQKFATVIVGLTMHMFLVHETLLTHYSKFFRAALQRGFAETEPK TVTLKVVEAPLFEIFVHWLYHRRLRSAACNDDKELVAHYENSRNRTSIVDVLVKLYVF GDVYQLTSFRKVTLRTLFHHFHSSKFFPLYSTFNLEFAKLSTKDPLCRLIVDWPC CC84DRAFT_1226559 MSDADSFTEVARRASRYDGDAKAARTDGHHADPAKVQKGADAAL NLIGGAGIVRQEIDVETNKRLLRKIDLHVMPLICIVYFLQYIDKTAISYASVTGIIES THLYGNQFNWVASIFFFGQLAFEFPTIRLIQLFPLARYVSVNVTIWGALLASLAACKS YTSLLVCRFLLGAAEAVVVPAWVIFTSQWYTKNEQAFRVGIWFSTCGAAQMFGGYFAY GISKHVGSDPSAALRGWQIIFLFLGLLTACVGIAFWFVFPDSPEHARFLTQEEKTAHI ERIRGNEQGIGSRTFKWNQFLEALTDPMTWLYAFWIFAANIPNSIATSFGNILVKGMG YTSQQSLLLVTPLGAYEIVALVGLTWIAMKREQRLYACIAGHVPAIVGAVLMATTSKA PALVGYYLSGGIPIGWTTILGLQASNVAGSTKKITVSVIGTIAYTVGNIISPHTFQAR DAPRYLPAKISIVILYFLITCDLILMRWVFVRRNRQRDEEREAKGDAWKVEDNHEFLD LTDLENREFRYAV CC84DRAFT_1051009 LAVFGATGHQGGAIVSHFLSLPNPPYTIRALTRSPTSQKARRLA DAGVDVVHADLDHVDSLKRSMQGAEAVFLVTDFFSCPDPGAEHEVAQAKRVLDILAAS RTLKHLVYSSLPSISAASSGRYRSVVHFDGKASVVDWLQQTHEELWGKTTVLWVGTYM QLWMQFPHVFAPRKVVNGGGEEIWQWNTVFYPHTKLPLVDVKDVGVAARTILEGGEEC MGQTLSLVAPELVTAEEQLRVWGEVVGKDVVFRHVSEEE CC84DRAFT_1078835 MSSFQRIALPRNPVYKANGTKSYLHAMRKYGFHPTKPGPYFQAK QFQPQGKFGRVGGRMRSHYVLAKRHHHPSSSQGATGGATQPTSGSDGDVGEVPAEDIQ NDSLYLCEVGIGSPVQKLYLDFDTGSSDLWVWSTELPSNILSQANKDNQQVAFDSSKS STFKKLSGETWKISYGDSSSASGDVGTDTVDLGGLKVEGQAVELAKELSQQFAQGNGS GLLGLAFSSINTVSPKPQKTPVDNIIAQKGAGEQVFTAYLGSWRDSDEADKGESFYTF GYIDSATLTAAGATESSINYADVDNSQGFWQIASASATINGKTLSRTSNTSIMDTGTT LCLVDDSLVEEVYAAIPGAKYDQSNQGYIFPSSTSADDLPTITLAIGDAQVEFQKEDL GFADAGNGMLYGSIQSRGSMDMDIYGDAVLKAMYAVFDMNNGSPRFGFVQRKEETQNT TVPPQ CC84DRAFT_1108689 MFQAPAKQTATDTISTLCGRLASATLLEDRRAAILGLRSFAKEY PASVASGSLRELIAALNRDAEDVDTIKVVLETLLMLFNPNEQSPEASEEIALWLADEF TQRQDNITILLGLIDTDDFYSRLYSLQLIRAISDARPERTQECILTGVGKVVATLDDH RDAVRTEGLVLLNDLSRTSEELQKLIVFENAFDKIFNLIQADGSLTQGTIVVQDCLSL LANLVRFNTSNQTAFREMGHVARLAALLPGAKKPKKARPGMEEEDDWVSPQADKNLWG LLAILRMFLVRGSISTLPNQNAFVKHGILQQVVNMAFGPASATPIKIESLNVCADMIR ANARLQEGFAQLQVRPIVEPVTNGATSPNEPPTVYIIDALLDLALTPASNDIFDVRFA ACECIKAYIFNHLQIKAHFLRRAIGGHLDGADETANALTTLLSGPQSSQVIDPYRTWF AAVLVFHLIYDDREAKNELMQVVEGDAESGEEVVTCIQTLTGNLIASLQLGEDERIPI AYLMLLAGWLFEDAAAVNDFLGEASSLQSLIQTIQKPGQDLTVVRGLCASLLGIIYEF STKDSPVPRRDLQSLLTSKLGREKYLNAIAELRHHPDVRDFEVIPQASGGALPNVFFD ETFVDFLKDNFSRLSRAIDRDPVKEILQSHDGIDRDLVDSLRGQIDEKQHAIEQLQAD KLTLEQKVNQENAERRKIEDNAQQQLHNIKRINEELHRNHENDTRKAEREHKQASLEL ENKYNLQIVALNNKVQQATKETQIAVAKTKREYEDKLRQENRVRGEIEERLGALERSL QEAGRAHQEAMEANSALEKRHRDEISSLEQRHRDEVGAVTLEKGTLETQIQENEAQIK KLKSEKDALQSTFDKSISSSKDLQSTVDKLKSEIQELKTKVQDQTWKVKDAEEKMRKA DAAVKEKDEKLKKAEAAAKEKEEARAAAQTELDDLFVVLGDLEEKRARDKKRLKELGE EVSEADDDDDDEEDEEDEDEDEE CC84DRAFT_113980 MPKIEAKTAHKSVLLKGVDMPTKMLNENDETNVRRQQNGRGGFR GGRGGGRGDYHSHNNQRSGGDNYNNNNRGGYGNDRGGRGGYNNNRGGYNSVGRGGYNS FQPPAGYPPPPFPIPGMNGLPPPPPGWVPPPPPGLEAWGGNGRPPVQHPVGQQHWAPP PQHAPQGSSLPNYRPANEILGHNGGGRGGGGYNNGGQQRGGRGGGGRGGYGGGGHNNG GGQQGNGYNNHRRF CC84DRAFT_1159693 MDFSNSALPAHYGLNFTPTFHSRIPANISHTASTLPQPFVVVVT GAGKGLGYHISLEYARAGCSALAISSRTESDLDKLEGEIASISKKNVAAGGGREIEVL KVVADVQSDADVARLEQEVRAKWGRVDVVIANAGVISAYITPSSPEESNLPRGIVQDG DWARVLDINLNGVWRVSKAFVPLLAQTKDGPQTIIASCSMAAHSVVSDLTPIAYNVSK AAVMRLMECVANDHRKEGVQAFALHPGAVVTPQTKNHAGNNWTGILADDEGLAGAFCV WLSKQKRAWLSGRFVSCNWDVEELEKKKDEIVKGDLLKYRMVV CC84DRAFT_1201386 MISRALALAPFVASAAGQLGLGGLFGGGATPTASTGGGGGLIGS IADPILNPLADGWTFRGCYGDVNTDELGGVLRSAPLGQVMDATVCETICNTVDILLPA NYAVIYNTDFCLCPSTITRTLDQNNCFTPCGGLLLGSSGESCGAPGKAVVWGRGSGTN LLPVASSTPIDGFVPPVNSGVGPPLESGVGAPVRSGFGPPVISGFVPPVNSGVGPPLE SGVGAPVNSGVGPPVNSGVAPPLESGVGPPVNSGIALPVESGVVPPGGATTPSDGTLP GLPGAGTTPIEPVITPPVGGGTLPGLPGGGTLPGLPGGGTLPGLPGGGTLPGLPGGGT LPGLPGGTIPELSGAAPAPSGAAGGGTGGLIGNTLDPILDPLAGGWTFQGCYSDVNVD GLGSLLQTAPLGQTMDATVCQTICNTANILLPVNYAVIYDTDFCLCPATVTQTLVQND CFTPCGGLLLGSQGQQCGAPGKAVVYHRGSDQLLKTIVK CC84DRAFT_1159694 MAGSIVTKPEFVQGASGIKLHINFVRNTIWVTGARKRDASHLDA DTLDRLRAHLVKETGLSAARIANYSKEELEAAISLAGMPFSPPSHKKLKLGHNNGIIL KSALAARKQRIVDPKLAADALLILGATKASSDVYDSDADSLDGDAPSSTTTKPELFRN IQWGSAASDYSNDADFPTEPSFDQFVPGRWERLADGTLKDQKHKLLVRLTSKDGRKMI FKNPPPKDWNDQKAITALNKRVSQQIRRNTEVRFRLEVEPYVREERAWICDHLLQGKP ANGWKAFVEAFNAQFVGKVLVGCEQPRPERTHSSLTKEIERFGKEFYGKGKVPEIQSK CGRERASRRSQRRSRHV CC84DRAFT_1108699 MAVKRPSRASLKRFTAFFRLLFYANPTWVDVFLVVVGAIAATAA GVPFPLVGIIFGQLIDDLNTATCDGSDTGSTGDLQSSINSKVLILFYLSVATFACIYT HCVCWSIASQRLGQRIRDHYLKSILGQDIEFFDSLQAGEVSSRLNGDIQAIETGTSEK VGVFLTCVTFCITAYVIAFIKDAELAGMLISLIPAFLLMTLVGGYFTQKYSGRVSDAF GKASAIASEALSHVGLVHALGAQDRLEEKYRGYLGEAKKEGTSKATASAVQAGTLYFI AFAANALAYWQGSRKIASSIESGGDSGASAGAIYTVIFILVDGAIVLSQVAPLLPIFG GAVSAFERLRKDIEHQPAIAGTSDAGQTPEDIYGSVEFRNISFTYPSRPEHPVLNNIS FQCEARKLTAIVGLSGSGKSTIAALLTRFYDPHNGAVTLDGIDLKDLNVKALRGNISL VPQEPSLLDRSILENIALGLVNSTLHAHLHKTLLSGALKQVAEDTRNGQQLSKCVEAA GPEVSEIFRLVEDAAGLADVMGFIDRLDYGLATSVGSSGSLISGGQKQRIALARALVR NPKILLLDEATAALDSASEKRIQASIERASEGRTVIAIAHRLSTIRAASKIVVMKKGE ILEQGTHDELIERNGSYADMVRLQSVKPTDSAGSSKSSVMEEDADAIEQPKEAKAAEK GGPDEQLDTEQAKEEDAAIVSHTLLRTMGPLLRPYTLWLILAFFAATIVGGTYTSSGA VFGNTLGAFSPCHTPDYIRSKGLLFSGLYFMIACVEFFAYFGSWFFFGLVAERLLYKV RSLSLHSLLQQPLQWHESSNRSPNKLLGYITDDGNSLAGLSGSIIGTLFSVCVNFLAA IIAAHIVAWRIAIVCLAIVPILLGAGFMQLRALTRYAEKHAGAFSDSVGVTVEAVTNI RTVAALSLEEEILSTYRRSLQGPRREMVVQCFKTNFWLAIANSIGSALYAFAYWWGSK NILEGRYSQAQFFFINVAMLVSAQLWGQLFTLAPEIARAKSAVSRVAGLIELKSENAQ TPSGRTTPDEIDFDEKKDIEAFADSAVPLSAEGGATVEFRDVTFAYPARPNAPVLQSC SLSIRPGKFYALVGPSGAGKSTILALLERFYTPASGQVLLNGLDISRHRNTSFRDDIA YVPQDNVMFQGSIKFNLSLGARPGHSPTDEEIQEACKLANIHETIISLPQGYDTDCGA NGNQLSGGQRQRLSIARALVRKPKLLLLDESTSALDAESEKALEVGLERAVKGQGVTV IAIAHRLRTIAKADVIFLVETGKVVDQGRHEELVERSESYRVNALHQMLA CC84DRAFT_1159696 MTSTEQHSRPFNPISSSPQEMSTMVYDLLCIGFGPAQLATAIAN HESRKPANLLFVERKANFSWHSPQLARTRMESPFIYDLVTTRNPRSAFSYTNYLFVRD RLVEFTNSDRLNPLRLEFEDYLGWAAGHFEDSIRYGSEVVGVAPEKGDGPVRRWNVAI RDANGQSQVVQARSIVGPSPSGKHDHKPLSLTNVDFLAGQRIISVEDYKLKRDTLRGK NEPRLNVAVVGSGEQTIEILADLLTCPRLGNITVVTENESLAPLRILEGEQEPPQPRL CSIWAKPSCEQKSTVTGSSELVQDIYARAYEKQVASKGEYTLRVVVGSRDAGGACSNA KVIIAEQPQRSTFANNGLFHGLDALVLGCRQKGDSLEEVQFKRGAVAESCKVWMLSAH SEGGRSLAKDIALRAGEVVNALATTEQGRERESMMIAARM CC84DRAFT_1254652 MAQHSAETLVLKLPHPYLTSYDITNKAAAGQLKRFQISLSAEQS KTNGAPPPVTLHHDSLSFSDIAFLEGTSIPAKGDNSSWARARRAPYTTIAWSGDRPSV AQLWLTAYALISQHPFVENIRVLFSGNDAISLATELYATGLFHPHPRGSSTSSPHDGD LLLRSTFWQGAASPFGARPVWAPLLDAHNKPIAAEYPPFPFQTAPSTVFPALPRHTMH PVRRPKPAPGSVIYSRWIPHLQEHFTMIALDYTNDEHLRLFNIWQNDPRVAAGWNETG TLDQHREYLRKLHEDPHVVTMFAAFDDVLFAYFEVYWAMEDHMGAHFLASPYDRGRHS LVGDVRFRGPYRVSAWWSGLMHYMFLDEPRTWNLVGEPAQTSSTVLAYDFVHGFHVEK LIDLPHKRSALMMVNREKFFALSPFVWDGEKKVRPSLDALAKL CC84DRAFT_1078863 MAELFEQARHDALQRLNQTLLQIDPYILPPYPNISLVSGPQDPP LLQITVSQLLAKQAGSFANRECVIIPWTGARWTYRGLWEQSNLLARALLRYGIRPRDR VGIMSGNCEKYVAVFFACARVGAICVTLNNTYTATEAEYALKHTKCRLLFTSEDVGRF DNRPFLQRLKSEDVMEELPDLKTVCLIRGQDEDFVKYEEFIGEALSIPEHILDIFDGV ISPYDIANLQFTSGSTGNPKAAMLTHHNLVNNSRFIGDRMQLTPDDTLCCPPPLFHCF GLTLGLLATITHGGRFVSPAESFDPEATMHAISDERCTALHGVPAMMEAIVNLPKPEG WSSELRTGIVAGSPVPKWLMERMVNELGMIEFTSSYGLTEASPTVFNAHITDSLHTRL TTVGTVMPHARVKIVDRDNRIVPIGVRGELCVAGYQVCRGYWENSAKSAEVLVRDDQG ELWLHTGDEAVLDVDGYCTITGRFKDIIIRGGENIYPLEIEERLVSHPSIARAIVVGI SHPKYVEVPVAFLQLEDGTQRPGLEEVKKWVRKVLGRHKAPTKVFWLGEDCDAEVPLT GSGKVKKFVLQGVAEGLVKEGK CC84DRAFT_1080080 MFADQAKFHSSTKADVRNVNEVEITISPQELEINALLDAYFASW IIFLHRYQRDALDQFSWTTSVSSGVHSLSAGGIYFPSLHNVADLLSAARDLRPKFKFT DLATLPIFAFQDGVNDEASWKFGVELRLRLGTQHIQVSSSRAGLQQWQASSQLRSFAH IVEIVIQHREYALARLLDPSDNELDSIWSWTTPLAETINKCMHDIISEKSRLQPTKTA VEAWDGNFTYQEVDQYSTELAQHLQLLNNSEDQIIPVLFEKSRWTMVAVLAVMKSGSC FALLDPAQPEGRLSTIVHQTKAKLLVSSKAQAMLAARVAAGATVVPISRSKFEKIYRP YLEQLPKTSLPPVSPAATMYIQFTSGSTGLPKGCMLSHSNFTSGAIPRAHTVGYKETS RVLDFASYAFDVSIDSMLCTLASGGTLCTPSDERRMNDLSGAMRDMRVNWAGMTPSVV RTLDPDIIPSLATLAVGGEGISISDAASWREKTTVVNCYGPSECTVGATYNNTVGEKS YISMGKGTGCSVWVVDPTDHNKLVPPGVVGELLIEGPIVGYGYLNNPDKTKEVFIENP KFLTSGSPKTTGRRGRMYRTGDLVRYDPDGNGEVIFVGRGDQQVKLRGQRIELAEIEF NMLKHLPGNTRVAAEVIKPGGTGEPTLIAFVTEPKESDQGHLDSDVFATFSTTFQKSL QGMTAQLSKDLPVYMVPSAYIPLWTMPLLVSWKTDRKRLREIGSSVTRQDLRKFSAAI SVRSEPKSGMAIRLEKLWARVLGGEADFNASDNFFSMGGDSLRAMKLVAAAREVCIAL SVPDIMLNPTLAAMATKAKTMSAKQASEVSAFSLIPKGWSREIAQTEAAQACNTGTDS IEDIYPCTPLQEGLMALSAKFVDAYVAQRVVELSTRAAERLIEAIEMVVQSSPVLRTR VVNVSNHGLFQVVLKDGQLRREHGTYLAEYLEQDREEHMDLGTALFRYGVVSQPGSDM AQVILTCHHAIYDGWSMPLIFERVNRAYKGLQIPRPVGFNHFIKYLTGLNPSASQQYW RHLLDGASPHQFPSLPHKGYITRANSLLEHYVNVPAGANSKYTVATIIRGAWALVSSL YLGHDDIVFGETLTGRSAPVTGIEEIEGPMITTVPIRTKVDHKTQIWKYLDAVHTQTV QQMPHEHFGLQNIRRLSRDAREACELRTGLVLHPKENNVPEATANIEDAPANGFLPSD DAEAAREALKFNTYALMLVCTLDENGFLIMASFDSKCITSPVMDRVLRLLDRVVTGFM KEPEQQLGSVVTLEAEELEDALASRVEDKAQEEKPRAPSNGNSNNQTLTTPDISTPNG AKLQALLSRILAIPETEISPSDSFFDLGGDSISAMKLVSESRAQGLKLSVADVFQSRS LSELAQITSNEKKEKLIQILSRVLEIPKEEISSSDSFFELGGDSISAMRLVGESRAKA ISITVPQVFQSRSISELASLAEQLTCSNLEEVTLAPFSALGDDADSYCPDHIQPLLEN PDWKIVDVYPTRPLQKVAVDGTVQLPRYSLRYELIKFDASVDEAKLARSCQEVVIRNE VLRTVFVELGNRCLGVVLDSLEAKFQEISVPEDTDIDSSIRTWISMDTEAPKPYGSSF VSFTLFKPAHGYPTLAFRISHAQYDEMCLPILFAQLSALYSDSTVPETLPFTHHVNHV VQQSIPSSIPYWRELLKSSHLSVFRPNIPLTTHASTSIYREFDISSRPPQITIGTLPT AAWAIVLARRLNTRDVVFGEVVTGRNLGVAAADRVVGPSWQYAPFRATFAPQATYREV LHTVQEQHMTSSPHEGMALPEIVEQCTDWDPAEATWFDSVVHQAPRYWVENLNFGRDV KAEFETVYPHAEPLKEWKVQAFVMDGGKRLGIEIVTFEAWREVGEEVVGEIGKVLGCL VGDGAGRVFDGDA CC84DRAFT_1254655 MMQLFRPPGADPLATRADTWPYIHNICGYLALDKNSLYRNYIQL FENGALDEATLSSMIRDSHIFDDNFDAELLHDDLIIMILLNKADDEFQATAAPEPASH SMAPFQYYLERGHGVAPVLPSQFQHDKIGTRLNNEIKPSSELSSPPPSPPEATPAKRI RKTVGGWEERMLTQEAESFLTKGKRSIRGRKSSRGVDYHEQSD CC84DRAFT_1201392 MASVIPPVVLITGANQGLGFAIAEVFSQSQHPYTILVGARDQAR GDEAVRKLLRTKTNDKTAISTIHIDVASSESITGALSKISTDYDRLDILVNNAGIFGA LGKMWNSTTRPDWRAIFEVNVFGAIELVETAFPLLLKSSSPRVIVVTSNMGSISKVAD GHVPCGSVGAYYSSSKAAINAMVANWSQTQKEIKFWATCPGLVATEFGGDFTKQNGRD PKEAADIVRKCAEDEKNDVVGRMIWDQDGKSGVYEW CC84DRAFT_1159701 MRLSTAALTLFCAFTTAVPLASKHNIYLATCTRPRSCLLIICDD PDPITAAAFYASGASTAARPTDITTISDPAAAWEGVTRSGRLTTGKLTSAIDKGAKAV AKGEIAGSAKIGAEEYVCFKDGASKFTVQGWEDWSAQKLSCTADYWCASTS CC84DRAFT_1108721 MSSLSMPTISPALREAANLMAEELEHFKESFTEDIILNLNKAYD QHEARDVILTRLTILLKRVKAYESHGVVDDEFNMWTLQIEQAQDDKSITSEKLISIEK ALHHKLERIPNRLAMSEYHITLMDNALDNLEARSKLELVYDNGSLDGEFEVVEEELDR VCTAFEEHAFAQKDTDVKAIEDYLTCLFDDAVGNEQLQMLRQQMTDYGEVLLLGEEKL DTALVEWCIKDLLNNGLLSAERQSRLHGYLDSETAIRELTATLNAKSIRHWNWCNDER GLPVSAQKNANGMFCITVEEKLIDMLFLHSLAMRWGMELKDALRRLTSIRVEHAPPAL EEIQKREYYLEGCGPPQPSTCTARHPQMPPVCSMYPPLTPPPPPVPNRHRRHDRTPPR YNLRNIRAHLPPHPPYVPSACLDDERCMLYMRDLFLYRLPDPELSSAHRRRIDVEKQG ALLQMLTLDIKAREAFDGSVYGLRANFRSFASSMSHKSVLAILGYMGVSQDWLNFFTR LLGAPLNLCTIFRDTQARIQTRTCGLQIARGFETFFGEAVLVCLDLAVHHRTTSDHAT PYLLRQRDECYFVGKKQQCEEARREIETFAQVMDLDVGIEDLFAPASVNVSIHNDRVV AYARRVKMQLAACPTVFAWVRTWNRTIGTYTPHLFGPLTNVFGKAHLDAVKKAYNIMY EIIFDDGNLTRHVTNLLSPLPPGDSSFSQEAWIHLPVVYGGLGVKSPYAYVKSAGSNI TMDADAHWKKYLEDETRYYEKAKELFYQLTDKQRERKLKDIFDGDKGRIETVFGIEWK RTTTSFPTLKELTANRERLHLVSPAPPMPFATHYGPYSYPYGSPPQSTVVPPNALSTY QLLASDAPPSDWSVSRRTRDEMDRIQEKLMLNHEALTGIQDKWALGLYGEECFERFGG LEIWDREWVPMELLDKLRRGKT CC84DRAFT_1108724 MLKTSWICRSCLARLARPAFGGQVRLQSSAAAPATVPPALLTRA RGIALEHKHLTEKLASGFDTRAAKRVGECGPIVDALGAWEQAHESVAELTSLIQDPSS DAELRELAADDLGATREQLQTASQSLITSLVPVHPFAHLPCLLEIRPGAGGSEAAIFA GDLLRMYQGFCNRNGFRATLLKYENTNGTQEAGVPLSEAILEIDQENAYGVFRCEAGV HRVQRVPATEKSGRTHTSAASVHVLPSLQENSAASEDFDNPESDYYIDVKEVRLEVMR ASGAGGQHVNKTESAVRLTHIPTNTVVSMQDSRSQIKNKEKAWALLRARIAQVRREKR EEEMVAMRRSVVGVAKMGRGDKIRTYNYGQQRVTDHRSGTTVLNLDDVVAGGQALEDI MESVRTWLMEQDVKALVAEESETKK CC84DRAFT_1201396 MGTNVYPHPEPQFVNFPSRRSVIHSTKGIVSCTQPLASAAGIEV LRKGGNAADAAVAVAAAINVMEPGSTGIGGDMFCLFYDAKTKKVSAMNGSGRSGKDVT LDKIRGALKIKDGETGQIPMSSVHAVTVPGAAAGWVDCVERFGSKKVTLEDVLAPAIE LADKGYPISELSATFWANSEKSIKQASPNFAEILKKDASAQDGCRAPKAGEIMRNPTI ANTFKLLAKHGKKGFYEGEVAEQLVKVVSDLGGFLTLDDLKHHAEAGSEPVDPISLKY TGQGVGSNTDGGVELWEHPPNGQGIVALMALGIIQELEKQGKIPTWSYKDHNSTAYLH AVIEALRIAFADAHWFVTDPNVVKVPSAELISSSYLAERAKQFDPKSVISAPVHGSPA HLQSDTVYFCCSDSEGNAISFINSNYGGFGTCIVPKGCGFTLQNRGANFSLAADHPNV LAPRKRPYHTIIPGLVTYAKDSSLHSVFGVMGGFMQPQGHVQVLLNQEVFKLNPQDAL DSPRICIGAGMPGDDGKMTDATVYIEEGIDAKVVEELKALGHNVQKLSGYGRGMFGRG QIIRQHFDDGVMVWSGGSDLRGDGAAVPQ CC84DRAFT_1159705 MDQSPSQSPLTQPDSEEPETSRRERMLHEFPRAHVTNLGGGTIG PVYLTCAQDGKVLFAEARLYHAPFTHMVIDSPALLSMFKSPTQRVDIEALGHPWPRFR LEPREKFNFDVARLTREEYVRSGNKLEYTVKGSPYVSTMEVTATEPAARKRSQPIVSN EVQGTRHSERTSGRKKRISSDTYQKQVSLPVTSPASPSPGASGVYTVATKLDETQAEA VIGKMAVLKGKIFGMLSADDRSTYEWVLTTGLKRQGKEGAKFRTIVADKLRELMFSQG ERSKELQTLHNQYADLQRQLEKRWPYHLPHIEKLIV CC84DRAFT_1211948 MTTPAANSHARKRAMPPTSPSGKPVPSSPALLAQANPVPGSPTS ELQTPRERRSISNRFWEKNGSRAKDGTSGVDLASDSSDPDDDSEAARIKKHDHLHTKV KRLLALETTGAIRLKTLESNKTVREYNKLLDAFAHKPKTKRKRAQCTETLRLFLEDHD QIIDLYNQYAILDHGLHKDRILPEFMRDDDRSASAKKIEQAQASNVRRAPAKHALNKE DDSDEEGVVLQTVKSGEGFDEPSVFNTRGLTVPSIFNRKTSATVEKDQRRFLLHRRDG PLTRDAEGDQHVLDERTAGDQEETPTRPPTKKRRLG CC84DRAFT_1134997 MGSIADLEQQALSQFDRLVEAGELLWTEVKARHVKSTPFNFQFR VASSLAKKPQSATRHQKKVSNAFDDDNPDFSLGLVGPSHKLILNKFCVVRPQFVIHTV DFEPQQTPLSVADLSALWHVLGNLQSEHFAIFNCGVDAGASVGHKHMQVLPHPGREDF EMFPDSVELGEDIYIHPDVPFQHAVQKLPQEADAYALVKIYRSLRNRLALGNQAPHNV VLTKRWLMVIPRRVGRIADGEMAANSAVMVGIVWMTKENEYELWTRDDPMKFLPEFGV PR CC84DRAFT_114446 MLMQRLDVYVMSWATFGCFFRLLDSGKITNTYVSWTKEGLNFDG NQCNLLTTFSHVGTWSAISRLNFSLLGSALQSTSLTVKLLLVISTFCFEGVPNVKTVF ALRFILGMLESPFAVGVLTLMGSWYTPRELFKRFAIFYSAS CC84DRAFT_114439 MGLFVFGTVFWSSPLLKLRWLGENMPKPFKKIRFFEGPSSILLD FDVILTRLVRRDSCGIRCGQALLLGELIAITSQLAFETWAGAKETWLRIRCCLSSMSL RRSGTSFPVVMGSVDSLRDQEWLPLTLHLPK CC84DRAFT_1159709 MTFHYSAEEGSIRVDDNHILRARLQRADGEWQDAEIDLNNHIGN DNGSFFWDGENFADSAQDVHFTIEGDGEVPVLRATLLNEDGEGIERDLNLSERIVNND GNFLFN CC84DRAFT_1075619 LALSTLTSAQYDVESKSFRLVLSSKDTQVNGQTLSACHTGAAIE SLCLSGTPSTSKPDPIAAATFRFNTSSNIETPADGNVPGILTYKLNASPPIPSSLEFF YDPTTNYALPLLFPGQDANTQTLAFDKKNLLNVQGYVNYKTSPPTAGNTTAYYRWYSC STYFSGYQYVNLVWALGDAKPETPGCVKVDVKRVFI CC84DRAFT_1159711 MCFATLAALSLFTTWYYMYHYFRVSYQAWAMWRSRYDVSQDTMH WGLWLSETSLFREFWETIVVGNARYWWSHQIFFFASGLGLSLEERGMIPARRLEMHAN VSGIRRGIKYTWAFMLLGQIVSISFATNLYLLTLLLTPPLPPPSSSAGIYRRQWFGPW LINLLAIVFTIWSAYQLADEHYWYHQTEFMPMLLTPHVALLAMPLLRAVVPQKYLSES NVEFTGTLYKFLWAANNFGGGLLFTRVTAVAYKFSGPRGIWQQMWEHPAVSSVAFDVI LCWVTWSAWWATQTHTTSAMPAIGEDEKEGESAAAGSGRADALPETGSAMRRR CC84DRAFT_114668 MADIPSRPSEQDLVDHSKVLSPHLDPSYTADFDGVGPSSATNKP HDPDDEVESSLRLPGGDMHRDLYHIQAKSKRAKLHQRAATFSHPAEFAPSEADNDEFV PVSEQLVPGGFRRAYLAKQARSVSYIAAPVTRNFISFLELYGNFAGEDLEESDDESAI EEEEENAPADERRPLLGRRKSSKRIRAQGDASNMKSFFTLLKAFVGTGIMFLPKAFKN GGMLFSSITLLTVAAVTMLCFQLLLQCRARYGGGYGELGQAVGGPRLRNIILSSIMLS QICFVCAGLIFTADNLSSFLTAVTPKNNVPLSTNALIGIQVLALIPMSYIRNISKLGP LAILADLFIGMGLVYIYWYDISSISKMGGFHPSIELFNPRDFTLTVGSAIFTFEGIGL ILPIQSSMKHPENFNKLLGIVMLIITVIFTSVGVLCYGTFGENVSVEVITNFPQTSKL VNAIQFLYAVAVMVGEPVQLFPAIRILEQKIFGQRSGKKDALTKWKKNVFRTCIVLFA GLVAVVGASNLDKFVALIGSVACVPLVYIYPAYLHLHGVAESNLAKAGDIVMMVVGLV AMVYTASITILRWSET CC84DRAFT_114891 MAPYADKSAFLANGLKPYHPSPPHTPPASPPATEATSGPLFGPV AADTPPTPPPASPTTDLPFNIVVTDTPADPASCSAAPSEATCPICLDPLSLTLSAVRI RACTHAYHEACLSLWVDRRNTCPACRSELFTAPPRPRQRAQPGSITAADLPPRRPRAE ARRPASRRWTSAVKRPRAGHWGENGDWVEVRADMPGPLDDTPPARRAGVTRMSLHPGA SEGAGRQGGRFIPGRRRGPRGSGRDVSPEEVEDGTGSAGWTGQSSRATLW CC84DRAFT_114656 MQFFTSALAVAATFSVADAAIKGFNSGSTFSTGANKQQADFAYE MKAAQQLPGTNGAWTSVRLYTMIQGGTTDSPISAIPAAIETKSTLLLGLWASETSDAF QNELNALKNAISQYGTAFTDLVTGISVGSEDLYRTSTGEVGATPEQVVDFISKTRAVI KGTSLEGKPVGHVDTWDAFVNGANSAVVSACDFLGMDAYPYYQASTVGGNGIGNANAT FWKAYTDTVGAAQGKPVWITETGWPIVGDTIGEAVPGAEDARTFWEEAVCHALATDVN MYYFQLQTSQGVQVNPDWGIKGAGDIVNEAVRFQVTC CC84DRAFT_115086 MNGTNGFNHGSPQPLAQRTCSQCKSSKKKCDKVLPKCGRCLRLS IDCLYPDLEKTHESETGNEANDSRFDEVFERLRRIEAQVFSPQSAAQNGTDSQRSLIE LAVSHGVESPNPTNWTLEPGTLKPQYMSLILWQSILATLDEHKATIHGIMRVYITQTD QWLPMVSNRKWQKELALFGTLLSSDRFVLLALAMHLVVSPPADHPPAASLAESPWYRK CKYLFQYYVGFKEPNIEMVQAGMLIALFEFNQDIQDRALTTLGTCARLAYLLDFDEVM AKHAARNLGISTCPQKSCQKPNACPFISTTAPHAIVPAPTKGHPSPTSPP CC84DRAFT_1226612 MNNEAKTRRKEKSNIVGRSRVMTWEDIEIARAKRAEQEAAIEAK GKQKRGRKPKRSATTIEEPLGTTAESAEASRVVTAQDIMDSANGQRKYKRVRHEPEEA TARVASKTVRLPQKRLRELQKCRRSDDGLQWRGLRRFLIKPSGTHVLI CC84DRAFT_1108750 MRLLQRLSSGTYELTYFDHDDPPPYAILSHTWREGQEVSYQELI AGAGRDKKGFEKICFCGERAEADRLQYFWVDTCCIDKTNISELDTAINYMFRWYQRSS KCYVYLSDVSVGDHDPAAFPITWADAFRRSRWFTRGWTLQELIAPGSVEFFSREGKRL GSKITLEQQIHDITRIPIDALRGQNLAEFSVKERMSWVASCMTTVKEDRAYCLLGIFG VFLPVIRGEGEEHAMRRLRKEIREQQQELMTEQTSSGSHNTQRFQTHLSLAIPFRRDP DFVDRGTLLDKLKEQCSAPASRLALVGVGGVGKSQLAIEHCYRTHETSPGMWVLWAHA SSTARLEQSFHDIADRVKIEGRRDPQVNIFKLVHDWMCDTNERWLLVLDNVDDASFLL DAQATTSKITAKPLYEYLPYCTHGCVIITTRNKEAALQLVEQRDIIALNPMSALQAQT LLTKKLGVQAASSNAAELTELAIVLEHMPLALVQAAAYISQRAPLCSVAQYLDQFRKS ERKRTSLLRYDKDHMRRDREAKNSIITTWQISFEYIQQTRPSAADLLALMSFFDRQGI PKNVLQAQAKHKENKHNRKDFDDDDVDTDFEDNVSEDDEFFEDVAALRNFYFISISTN GTTFEMHALVQLSMRTWLAANGRLERYKDQFINNLCEAFPTGEYENWIACQALFAHAK AATGHKPEGASSLLQWATLLYRAAWYAERKGSAGEAEVLATQSLKARKKVLGRDHEDT IWGRAMVASAYKIGGKWDAAEELEVQVMETRKMKLGATKLGADHPSTLTSMNNLAFTW KSLGRTVKAIYLMQQCVQRREQVLGASHPHYLSSLLVLEQWEGEQADMELFNRFKDMR L CC84DRAFT_1159716 MSLRYNKVLIIGATSGIGLAYAEKVIEDGKQAIIVGRRKENLDE FVSKHGSDKAFPIVFDITDLSSIPKFADDVTEAHPDLDCVIINSGIQRGFNFAKPETV DLGVLQLEFTTNYISYIHLTKAFLPFLQKQDKETALAFMSSGLALVPLISRPNYSATK AALHHFVLSLREQLKDGPGQIKVVEIFPPAVQTELHDEKHQPDIKNGRQMGMPLKEFT EDSWAKLVKGDEQVPVGFVEKVFENFEGKRQEVFAFMTKQVKEGGH CC84DRAFT_1159718 MINRSLRAIKTELEFLVDSNVISQSQYDTMINQLPASANAARAT PAAPAAQLSSLSINAPEPEKKSTNGVGYYSNNVSNAPPPAYPTPPAAPVGPPALCHAT AMYQYNAQDAGDLALMPNDKIVVTEYMNNEWWKGRNERTGQEGIFPASYVRREEKAVV PAAVQPSNYGNMPLDVSNGSNGAVAQSTPAEPSKFEQGGKKFGKKLGNAAVFGAGATI GSKIVNGIF CC84DRAFT_1159719 MTLGCLASHYNGNAAEVSTQSGAAALLGALVHSLVAWAFLRIDN YKGLAWAQFYIVLFTLAPTLACLFPAREPESTEHDASKHDRQIPRLMHRKSTKAIQSL PGKFDVQRNFGLDIIGDCLLIAGLFLVFAYMAVWPIAFPLLLSSRPLYEFPDFAAYWL MGTFGAGALTAAFFARPWPRRALGVVNTFTAAAIFAGSLLIITAWVVNFWVWGIIGVL YGLCLGPLLALHRKVFDLICKYWTNMRLFPDGLGIVAFGGISVVGLLIENLGNGSVAL TVSGVVMLLGGCCMAVGRWLKYPTKYVVI CC84DRAFT_1192594 MASHDGYAIDPKKNHPSAIDHVAEIVEAQQPSSALDEKDAEIVK EAQDQEVKQELPFSKARAIALVITVTAASFLNTLGVQSSVIILPTIGKALNIPDSRQQ WIVSAYSLTFSCFLLLWGRLADVYGKKIIFVWGSIWVTLTSLLVPVIPNEIGFDIFRG LQGLGAAAMVPTAIGILGTTFPPGKAKNYAFSCYGGGAPLGGVFGNIFGGVLGQYLEW KWVFWIFGILTAIVTVAAIFVIPPPPVKREPQLSKMVDWIGGTIVTIGLIILLFALSE GNVVGWSTPWVGTLIGVSILLLVAFGFWQHYLETKTDRRPLMKISIFKNTRFAAANMI MLMFFTSFNNFLIYATYWFQDYQGLSIIQTTLRFVPMGVTGVLVAIVTSQLLSRLPGS LILSFGTICISLASLLFALPLPTTTTYWAYGFPAMILSVFGADTLFPTLTLFVAKSLP AEDQALGGGLVNMVGQFGRAFGLAIATALQTGVMAKERGVDVDQIGRGEVGGGKGDAA LKTGIRSVAWFDFGMGLCALGIVVVVFRGAGRVGGKH CC84DRAFT_115358 MRGMNGPPSRFLPSRHSALDLARPKMTEGSKTSALSCMVGLPCR PRVTPFQVAETGICMHITAMSIVAINPCTNVYWSSHYFEVTRQESSTARLDVLLVFKD SSASVSWGGSVPADQNRHQKTSLDGCMCDIMVSTITNNVPDAGSEYY CC84DRAFT_1192595 MEADWDELAIVQLPPHGPHQPATPVATFAFDTLQELLWTGNNYG RITSFFGATLERYTSYRGHAPAEGTVGAVKQLLFTDKGVLSVSEHSVHYATRRGLTQW HLTNADFTELRCMNFTSKGTREILVAGCQEKMFKVDVEKGTIVDTLVADAQYTIMKRA GGYICAATNNGGIHILDSGSLSVVKIFDGHTGSISDMDAKGDFLATCGWSPRQQFGFM LDPFTHVFSLKTLKQLPPIPFHTGAAFVRMHPRMSTTAIIASQAGQMQVIDLMNPDSA NLRQLNMYDAYLTGLEMAPSSEAFVLSDSNAHLHLWGSPSKVHFPEYSNPTEFADAVV PPPAMDWSLETPLNTVGMPYYKEHLLSGWPSHMLFEVGAPPPKIDPAILSSMTRTDMG FFAKNPKTKRRYQVEDTRQSDRGPESLTAPKFLSEKARAAQSLGEMEAKTVETMETLT DMHLDDVTRKDVPAMYGNVEIKYSRFGVDDFDFAYYNQTPYSGLETHITNSYANPLLQ LLRFTPLVRNLALRHTASPCLYESCLLCELGFLIDMLEKAAGLNCQASNFLKTFSGLS NAVSLNLLEEFAPNVALTSMIQAFNRFILDKISEEFRQMLGGAGGSSLMDQVLETQAR ASMRCAQCSNETIRGGRTFVNELVYPAKHVMKNARGPRPTFSQILKSSVERQDQMKGW CTKCNRYQQMVQRKTIQSIPGVLMLNAAIQSHEAKLLWSIPNWLPQEIGIIVDQGQFY CFEGQDLKLHLQRGVFDILVYELVGVVADINSGEHQKPHLVATINTTPSNREATEATE DKWHLFNDFLVRPIPKEEALRFEPSWKLPSVLTYQLKTARGKIDDGWKDILDTSILYR WWSANPAPPSTSFKLLDPASETPRPSFPVAIDAEFIRLQSEEIEMKADGTRETIRPDR KGLARVSVCRGEGENAGLPFIDDYIAVSEPVVDYLTAWSGISPGDLNRETSPHALVSL KHAYKKLWILLNAGCTFIGHSLANDFRTINIHVPRAQVVDTVDLFFKPQLHRKLNLKF LAWCVLREDIQGGMHDSVEDAVTALKLWRKYEEFVDAGVLEGMLNDIYSTGREVGFRA PGGAQATYGHGKKGSESAGVSGGAISGEEVAGGLTTPKKQGAFGRVGFRSPMRGPGS CC84DRAFT_1135031 MNCSVRILRPVARSRWRGASLRRPLSVSAAELRFGQPLHETHPH LLKPGEITPGITAQEYYDRRARLAKALPKGSIAVLAASDVKYRSGAVFYKFHQDPDFL YLTGFNEPDALAIIEKIDDDEHNFHLYVRPKDPKLEQWEGSRSGIQAAEDVFNADTTG DINNLPKILPEVLKRAEQVYTDLPNNRINRNLLSRYLSGAEPSRTGGIVTVFRESEKK IKPLRAYLNELRVIKSEAELKNMRKAGQHSGRAITDAMRQTWTAEKDLDAFLDYWFKQ DGCDGPAYVPVVAGGINANTIHYVTNDMPLKPDELVLVDAGAEYGGYITDISRTWPVS GKFNPAQKDLYQVLLNVQRSCVSLCTVSSQLTLDRLHRIASRTLADGLTDLGFDMSND AIEKLFPHHVGHYVGLDVHDSPGLTRGKAFEKGMCVTVEPGIYVPDDERWPAWARGIG MRIEDSVCVDDDSPYILTTEAVKEIDDIEALKGTESRLN CC84DRAFT_1171136 MASSASRPGVVPQQDLESFQEHLNKSTRILALLGAGLSASSGLP TFRGAGGLWRTHDATQLATPEAFSADPGLVWQFYSYRRHMALKAKPNPAHYALAELSR KKESFLTLSQNVDGLSPRANHPEESLKLLHGSLFDVKCSDFFCNYLEKNNYTDPIVPA LAIPTSEEDPTTTSALASRELDIADENVPIPELSYKHLPKCPKCKRGLLRPGVVWFGE MLPEKVLDDIEEWIQKKDPIDLIMVIGTSAKVYPAAGFVDRARAKGARVAIINMDKND VPASGLAKGDWFFQGDAAQILPEILSSLIGELKETPDAAAGADNAVIEAAA CC84DRAFT_1159722 MSSTLRRSVPHMTRALTSSLPPASPPASSPGASPPQPQSQRTTS LFPSLSPSPPRPQPQTQIQTQTPPPPYSSNSALSSTLTLPSGLLLGYATYGAPTSPHP PIFFFHGSPSSRLEGSEWASAALATSTRLVAIDRWGHGLSSPRPGTRVLDWPGDVRAL AEHLRLLGSNEDGRCQGFYVVGASGGGPYALACAHSLPPSELLGTGIVGGVAPPGAGT RGMALDRRFTVLVNRWVPVGAMEKVLDLAAGNAARGPDAAWALHAEHLMPTLSREEQE YYTDPRHEKEKAALLACYREAFRQGGRGPAEDAKRVLGNWGFELREVKGKVKMWNGGA DVNVPVRTTRWMAERIEGAELKVLEGATHFGVPVRHAEEILRELVGVGMKGKGE CC84DRAFT_1159723 MAGTSRNDFQSAGQFYLDPNQQDLLLAALASNTQNHNDIFATGL DAKQTMNSLPNGQQFNFPVDNIDQSFFTSPQQSTPASAFNNIGSIEESPFGDYLDGDT NFDFDNADNSDLMIGALPGDSPTREGEASEKRKSPGDDGEDAEGGGKRREGEAKEAKK PGRKPLTSEPTTKRKAQNRAAQRAFRERKEKHLKDLETKVAELEKASDSANHENGLLR AQVQRLQMELREYRKRLSLNSSGVSNRSPPIMGGFSSMLNNGGSTNGSFQFEFPRFGG LPGAHILDNGPLSKNKTSSVSSVAGGRQDGTVRSVSPKTSNGDAATPDNNGASPTTTT NSRTGSLNGMFGLNNGSNATDVSNPSTRVFQFNSNSSTHSDSPSASSTSAIGQNSSCD TSPEPSHNSPKNLDTIADGYVCHGNSEGEVTFCEKLNMACGNPRNPIPRAKSQSDARP PAGATQSPATAPNAVGFDFFANQNGGNFDPTLFGDYRESQSAIVGDGDFTNGFFNDAF PLADYGNSPFHFGDTPAVQKSNPLEEIERIQDGDDEVVPGDDPAQLLNCHKIWDKLSS RSDFKDGTIDIDNLCSELRAKARCSESGVVVDHKDVEAALKRLPKEQRA CC84DRAFT_116138 MAQDLQKLKNDIWYAKQALEKQEASHAEWAAQYDQVQKILEADP NNEDVAAMVAEIKEAMSQEEAKLGPLREQLKALEAQLPKGNAAPERKYDPEQHPLLKK TLEKAEPAKAAVYNTGDIIEARFFDGLWYKAKIMTILGSSSAPKYKINYVEYNEDATV DRDAIRPIQNKRKRELEPTTTSAPAAAPAPVTSTPHVISGPASVNPKTQQAKADTPGD DAEPKKRKIPNKKQLEQKVNNWKNWNSKGVGKKISQKDSMFRTGTNVNSRVGFTGSGS GMTETSKRVRYDNKAAADADREQD CC84DRAFT_1159728 MASHDPKNALDHLILFLPADPDTNLPKIPSFISDNFTLTPGGVH ADGLTSNTLILLADGCYLELISFLPSAPSDKVSAHWWSHYATSPGWADWCLTNDLTPE ANHASIEASHKTPVHGGRQRPDGVDVKWAVTFPQGERGLTPFFCHDTTPREIRVPLSD EKMKHPSGVLGVQSLTVMVDSTASLDATRATYKTLLTDLAHADTSHSCFGTDRFVSIP ELPSGAKVKLVLPRDEEDGAKVRPGGFWYGDVVLAALAREGKPKGMKERLDGGGDDLR GLWVQYV CC84DRAFT_1192601 MKKRSRACEECHRLKIKCDLSTSPAGTACERCSRNNLECVPSTP RLQRDRISELEAQVEELKRTLREQSNSSTPSQSPASQLEDHNAYILSFLDVRIPLGRQ QDLLHLFPQQAGAVWPVIRVITDLNYIRERSPILLLSILVYTVTHNVQGTQLEVHDEL VRHTVHILGEEVIGRGQRSLELVQALLVAAFWNKSTRKGEQGSCYQLIQLATDMAIDI ARRTWLACFVALASSASSLRRPITVPWNAHHEECVSALESIGEPSDIFLCQLVRIQQL IQDIHDQLFLSQIAAFVDSSEFATYAAMSTLKNRVDAWAAQIPPGLASSQTLKVWYHV AMIFVFEPVLHTPTNKAAFVAPFIPGRIPINDFPKPTNITIPLKLALEGLVSNCHGVI DTVTEMDPSVLVNISTFSFTPLVLYSLYVLVTVMVSANDPANTYGQYVARESFRIEAC GLKLRHLSASMKALDPTFSCYTTRMMDATNWLEQWYNDYTAILRRYEANLAS CC84DRAFT_1254683 MRTSIWSYALMAAGSCLAQGDLAGLLSSQEDLSTLLELVSLVPG LADTLAAASNITIVAPTNAAFDAVPRNVPEGEAIEQKNDSIAIGALLANHVFKGVYPS DIITDVPTFAQTLLNSSYIIPRQPFSNFTGGQYNGLVKNGDDVCILSGEQTISTVTQA DIKLGDGITIHKIDTVLSFGPPLQLYTYRAGYLAMNAALEAADLGIDIGLTGADEKGL NISDFTIFIPKNDALSSIGSVLETADKNTLQQVLKYHIIPNNVIFSPSLGNVTIPSLQ GDNLTFTVLPDGSAWVNNAKITFANAILYNGIAHEIDAVLNPGDFDRASLKPSAPASE RIAFPSAAAVPISELPFSSIAFQGDQETYTKTPALLQTMIAVPTSTSGAATTGAATGT QSTPTPTGAAEFPGAANSLFPHAVMALPVAIGAAAALL CC84DRAFT_1077283 MYTSSVLALASFAIPSLAAYQPVWNYNASNFWDNFNFIDSADIF TRGFAQYVGIDEALSSGLAKINNGKVRLGVDSKNTYSATSSGRKSIRLQSWGNFDNGL LVADFAHVPVQGCGMWPAFWVYQGERPITPGAYSEIDILENVNVHTANTHSFYTAEKC TIDIQKGNLVPEKSTNCHWESNGPSSQGCSFNAEDGTFNQPFNDQYKVIALQVESERM RIWHFKKNEVPADLNSANPNPDAWTKTPTVHITPKSCDFHKAFQRFHIIINITFCGSW AGDDFNNTNYAGQCAKRTATNDCKSWVGNHPSDFANTYFEFNSIKLFQRA CC84DRAFT_1159732 MPQLKHPIPRLQELSLSAFEAKVADPEMQIPHIIEGAIQHWPAF EEARAWKKPGYLMRKTLSGRRLVPVEIGRSYTDAGWGQKILTFRGFMSEYMLEPDSPT AAEPRTSGHSTSDETHTENPSSAAKKDQKGYLAQHDLFAQIPSLRSDIAIPDYCYTSP VAPTSNLSNVKAVKELDEPLLNAWFGPAGTISPLHTDPYHNILAQVVGYKYVRLYAPG ETGKMYPRGMEGDGIDMSNTSCVDLDVAMGVWNEISCWEEEDEVGGGHADGHADGEED ADLEKLHPAFRTARYIEGVLGPGECLYVPVGWWHYVRSLTPSFSVSFWWN CC84DRAFT_1211974 MILPRSLYNDAPPERRTRIANNPTLLYSWWCTIFSLVIIGTRLA GRYIRNDRLFREDKIMAGSIVPLLARMALIHVVLIWGTNNVDTSKLTDPLKIHHREIG AKLVIAARIFYTMFIWMAKFTVSEFLKRMTERFWKKGYELGLRGIRVFLVATFVAVLI ATLSECRPFTENWQVVPEAKPTCRQGYGHLLTMGTADIVTDLLLILFPIPIILKSSMS IKRKLQLVALFSMSIVLVIITAARIPLVIGKSGLQQYRTVWASSEILAATGVSNAIIL GSFLRDRGIKKTKYKPGSATDSMDRRSSTRRTLQDCESDEDLARSLGYRTNPELMDER TSIARPAQVVDINLLNPRAPRGPPPPFSTPNWQASKNSEISEYSGDSDLKGLDSEDPI PSPRAMGGRRVSFFDVGGLLESGPVTAPSPTDSVVAQDFAPQPRRPSRSSLAPSGRSY IPGRRTSRFSQHSEEYELGTRSGPQLQDIENMLAEKDELEAQTEIASPSNTRAPTAHS TQPLLARDTPTPLLRSPTATSYASVPSLQDAGGLLS CC84DRAFT_1171146 MHRSAAYVPGLRLGSRPGEVHDAAHGDGILLAVFTKVRRCLKTA RDAGMQIGLRRWPGWEAQACEMRYQRQRRGLGLASDPAEWNIVGALALRNGANASACL CAPSQTPCRQRRPGIRIRAWLDGVVRIMCDQASRGLGEPPALRECALGEAVWRSGVEG ESAGQDSQD CC84DRAFT_1183079 MTTPNNLNTDPLVLTNEGNNFSNSNVAQPNGNGSASGPTVSEHA VNAKNSLLNCKLTNKTSLIALCPRTQSATLPNSLTAASSAMSAVNNHPATQNFKDTVA NGPVAQKAKAEASATQNEFADLANSRQTPGQPAATGQPLTHYHSLFYRLLSWRNPRAT GLTFAAVVAFIFAARYLNVFRYILKAVWVTLGITAAAEVGGQLTIGKGLTSQFRPRQY FTVQKASLERFTEDIEQFINFFVIESQRIVFAENVYTTIAAFFASLTTYFLIKFVPLW GLALIATNVAFLGPLIYIQNKEVIDAQLEKGYHIANQQATQLRDLAAEHTGNATASIK GMTQQYTNKAQETINQYRGRSSSPEVKREDFPAAPKDEPVAVTTKEPIAPKPVAEPAL CC84DRAFT_1171148 MMITTAFLSWPTAYFLQLPSLPLGPPPARPLLFIRGIAGAIGIW GFYYSLRSLALSEASIINFLSPTLAALLLSLLPSSSTSSSGISLAQLAAGGISMGGAV CVLQPWITQPEVGGGHRALAILAAVVGVAGGAVSYVAMARLGDSVHPAHTLAYFSTTT AVLSALLILVQWQPLHLPSAPMQWGLTLLLGVLGFAMHWLMTASLAQADDAKRPLNFV YTQMVFAMVADRVVWGVGFDVWKYLGGALIIASAVFVASTAEKMSHQYALVDGQGAAE EDEVELEMGKERADVAVAKDVEP CC84DRAFT_1183080 MPPWGCALLIGTFIASMIAITLVQYTLTDVLPILAMVETPAAAI TVSNHDEPASKDEKEGLLETGTEITLVHQKPITSSIRGTIRHLVSEAGKFSRWRGFRY QMMYALALGWTSSLLEAFFPRFPPVSSIFIAAISGAATANLHAAWTHKVISTPSDVSF WSRIPSRKEWKVLALPAAVAATMPYVSLFLSLGFVSWFGIAEDANHSNFANFNGGQWA SFILRCVAVLAISVACTLFLCMPAVVTQIRVEASILPENQDVIVPFDRTFGGKVVPKV LGGTGCVSFMDAWRSFNWEARRRLIKLYIKGFMCIAALAFVVVHVLAFEVFAIMGPEV GKLLAEAHRQGTF CC84DRAFT_1159737 MKRHHALHSVLHPPRYVLVSILAGLGGFLFGLDTGTIGPVTAMS QFSSSFGTLSPTIHGLIVSSILITGALSSFMAGHLADAVGRPLGMAIGAAVFGTGAAL EAGAVHIAMLFIGRLVTGAGEGLFLSTTVVYICEIVPAKGRGVIASAPQFFITFALVV GYFFCYGTVTIASSLAWRLPFAFHAVCAFTWGALTLLLLPHSPRWLKAKGRTDEVDAA WAALGVRPGQDLEDAEAREVETEAGLNMEPLALRLTHTRQTVREEVHMLLRVFAKDAR KPTALGVFMMSMMQLSGIDGVLYYAPLLFQQAGLVSESSSFLASGLSGVVIFATTIPA VLLADRWSRRASVIFGGFILTAVMLVIGSLYAADAVHSDRGAARWVVVVFIFLFTFVY SGSWAVTISIYASEVQPIKTRAAASSLGRSGNWVVNWIVAFTTPIFLTKSSCGVYFLF GGCCFVTSVVCFLWMPETRGLSLEEIDDIFEKGKRSKASSRVNSVVNVVLGRSEKS CC84DRAFT_1159738 MKLSPLFTLLGSATAASFVGCTAPQTATIEKAILRATERAYAVV EHLEANPNGSALQTAYYGTFDKTRYAKILAAFRKMAPDLDAVFTYECGCTSNVVIAYP SNTYGYTTICSVYFNEAVIPAEGFRSQWSTLIHEATHFRDLLDTRDYGYTPDVCKQFA KEDPVKAVGNADNHANFAVEV CC84DRAFT_1211980 MPPKKISIVRHGQGFHNLTHNYDLRDPLLTPLGHEQCAQLSATY PNHDTVDLIIASPIRRTIQTAAFSFGPALARADVPFLLDPKLQELGSHNADRGLDPED LKTWLTETEKEGEKKFKFDWEKIDVSGVEEGWNSKKGYYAYEANAIYQRAADMRASLY QRNEDHIVLVSHGAIAHFLTEDWQIHDPMLGTNWSNCEIREYVFSGNSKDGDAHLVEI EESKARRPPYEGPVWEAPWKGVRSGDKDEHVVEEIKEVEAEIK CC84DRAFT_1079705 MAHGNSVSSALEAVSICPRQQYRRPVKELSFEVASHVRAYLDHQ SYEQAYIFLHSLVAAGTSITVPARPYAGLLAPPSQLALASTLIVYPHITTRARSAEAI KGSDAALKYLRCVQDTVDLSAESLKTLRTAFTFTDSRRRTNIYSPLNTPSSNRAFDVE QLNDVAANAKSLWRCAEDFWHVVGWAFNCSVKHRKRWERWRLWLDTMLSFLEVEWDHC NKNGGPLQDTLAWQYICSQEPLAGNTRKRILRATFATGDPPSLNEFAEVWVKETLDPK TTDTKRHSGVVDFEIGDIGDYASEDEDVDMENALRSTVKGRKARNASKILDAALPPLE EATIPAYDAAVDRLGGMDAVNLRQRLVLLLSKVARELPKNFTSVEGLFDTLALQLRYF PVFVLNLLITTSRLSPADQVALNVTIFSALTSEKYINYTNRMPTQNEFEQLLLPYRAR TQSFAENAKVSLVLEQIFMSMMEPLRLEPTKALRKSVEVGIKERSNVKGRKENVEEDA GEEVLMTSSKRLHGLVQVLSVLKGAIPIRESPRKKSTSIVIHSRNIQISAGK CC84DRAFT_122839 MTHWVFTQGWRPIFSIYIQNGQSTPTNSVMVYRLASVAFIPNVS FRREPGSIPGNGKSSFLPQPLHIYVNTPSFWFCQVASGRLLLNYHVQLRSRVVVSIVR TIHADMCSSFVHLMHVITREALVKLYLLFSYHAWSRHNNAQSSLNRFIYDSSNVKKGD GPFTIFG CC84DRAFT_1159743 MDFARKTRYLTLDVISDIGFRQAFRDLVNDADIDSYIGSIDKVM ASLTFLCASGLLHFFQWPPLA CC84DRAFT_1226676 MLQQSRRDTGIARNWKCILICLAMSLANFQYGYDTATIGGFQAM AGFLAIYGYNDPKAKIGWNITTTVQQLITSFLNIGTIIGVVLTHLWGKRFGRRHGIWI ASLISFVAAGVQIGTEKLVGLYFGRILIGVSNGFFITFANVYVAEVSPAHLRGPLVSL FGVWVSIGSIIGATVNNITKDYTSKLAYQIPLATLYGIPLILSILVFFLPESPRWLLM LGRDECAKQSLTRLRGTSFEGSEEMLEEEFLEMQRGIADELEKSKGRVLKDMFKGTEL RRTLICFGVILSHSSSGIWLIIGYGTFFFQQAGVTRPFLATILKSFMGLMGVFTSIFL NYRLVGRRFSMLLGHGGSTVFMLGMGIAASIPGDSAASGKAILGCALCWYFVYNGFSG AVSWPVANEVVSSRLRVVTIGCGTAVNYVFAWLTSFTTPYFINSRELNWGAKVAYIWA GSNAITFAFLYFFLPEMRGRSLEEIDELFQNQVSTREFPAYHCLSAQVAREQVSKRAE EFKPERNLGDTKTRREMV CC84DRAFT_1171153 MFFNGLLLFLPGVFISLGGAACPDDNHYWETDINRDCGQVENGA KFQQVGAMQWGERDANGNLPYNYNTKICLTKTPGCDCIRLSSAEDHKVNRFYLATGFF IAIFRYELRYLGTLMGFVLMNRQRRQLQKRQGDGRGPDWFAVRGVQDPQLHGLETLIE FDVSIAKQTFERALESYSTGS CC84DRAFT_122988 MFVNTILVGFEALVPFVPLGPVPWLTDMPNDGWVSVFPISTQKV PLLGLPEPIKEADLGYKYVYVADDLSYGTACGSLNQGLKLINRMLIRHTIGRFRRTYH RDSSPWVLLRRSKICKHLMSLKSCQSLSLTIFLLHAYLFDIRIMFGVCK CC84DRAFT_1159747 MKVYTLLLSSVTLLVGVALNVGVMASPPSAGPEVRRVEERANEI ARAPRPIPFIPNIDPATKALFRREDKEWTFGGYQTQKSSGKDVIMKAEFNETAGSSWC NEIEGVGEDDNRENNITKAHVDSHMGYTNPGPESRPPEYVCFFYESRHKCDPKDGHAE YVESVAVIKADQGNNTEFDVTKYKYISWGCTWHPLNEKCEHDDNGTGHKPGEKCNR CC84DRAFT_1201428 MKFMYAASALLATCSAHPTKRTTASSTPNITDVDILQYALTLEH LEDKFYREGLAKFTLEDFKKAGFNEALYKNLKEVSYDETTHVSFLTKALTAANATPVA ECTYAFGVTDVHSFLATASILEGVGVSAYLGAAASIMEKLYLTAAGSILTVEARHSSY LRKTNKQSPFPQAFDAPLTLDQVYTLAAPFIVSCPESNGMLPVKAFPMLSVATEADCI ATNKTITLQTKEPVAAEYKENFYAAWISVTGPTFVEAKFESGNQFSTEVPVGFHGQSY VVITKKQGSVTDDDVVAGPAIVEVQGANGEI CC84DRAFT_1211986 MATVGYPNLDSYTQNTLALEFPEPGPASVGMQQNEKKCRWTRLK RVMGQSLTSRSTSSVKSLKPLSPISETDSAIYSIRAGPSSVTEDAQTTTWEDSEYDKQ LNEAVSGLKNDFVSGAREMADSALSSLSSLIVVAAAAALNRDELWNMTISAAKELSSA RPSMNAAITSCLLGALDDLSKLWDSLDKKRNKPPDELAAMASRQMVRTLEKRKEAGMR LSENFAERLRAYCSQRLNNTHTLTILTLSNSSTIRASILTTLSTLRFLHLILLVLESR PRFEGASMASQILSATSAEARGRLKIHILPDCAVATVAKDAQLVLLGADRISASGDVS NKIGSLAAAVCIKTLNPKAQVVVVSDADKIVAKGVEEGEKETHPASEMLEGWGDETRR DLAARIVDGSVEVFGEWFEWVPAEYVDGYVTENGTLDTSGVEKVAEEIGELREKIFG CC84DRAFT_1159750 MNPHSVCPSSTPRLTPVHSRPRTPDYDNSENHTRNDRRDSLSPA PFGPMPKSTHVCMHAR CC84DRAFT_123154 MRELVPWSQHWKIILRMRLRYMTKLSLQGIGRIPDPPSTYDEEV TESLKAASEGKLSSFQAGILHQPEMRMRQGRKSRGLDYQGTLGGVGHRQHRQ CC84DRAFT_1159752 MDRLIRLLQALLLLAPLAAALKFDLHAVNSHESAKHERCIRNFV AKEQLVVVTAILDGHRGDGQTVNMHIRDAMGNDYHKPKDVYGENRYAFTSHADSAFDV CFESIITGPPQGNLNPTRHVELDIDIGADAKDWSAIQAGEKLKPVEAELRRIEEVVGE IVAEMDYLRSREQKLRDTNESTNDRVKWFGYMTMAMLVSLGAWQVVYLRSYFRSKHLI CC84DRAFT_1159754 MLRPSLQSILDVALLTRVAATASSCRTDSLPTPTLLGGSILSIE AAQVNSATISSSSIDFCNVSVIYTHPGQNDRINVSLWLPNTWNGRFQGVGGGGWTTNA DFGDMVSAVSQGYAAATTNGGHTAITNTSSWAMLSPGNVNLYLLQDFASVSLNDMTII SKQLTEAYYGKPISRSYWNGCSTGGRQGLMMAQRYPDAYDGILAEAPAINWAEFIVAE FWPQRVMHEMEYFPNQCELSAITSAAVEACDELDGLEDGIIGMIGLCDFDPRSAVGKA YTCGDLASHVTEEAATIVQTIWTGARDAYGRFQWYGFPRGTPLNGLANTTCSSNGTCI GVPFAISKDWHQIFLKRDPNFDPYSMTQAEWDAAFHASVNQYTSIIGTSDPDLTQFKN AGGKMITWHGMADQLIFYNGTVDYYERVLEADPGAKEYYRFYAAPGVGHCRGGVGAVP TDPLAQLVKWVEEGEVPTTLTANRTVEGRRWEQGLCAYPLSSKYIGGDPASASSFRCE CC84DRAFT_1159755 MHCARVDNVTLLSWLEQSSTDRNNSRNYDGQVRGYRGHDGAGTA FREVAPAIRNLHL CC84DRAFT_1226688 MQNRSKLSIGEPMPYPRLSPPKKPTPKIMPSLKLLALRARAMSV SYAPAAMRTNQTRLVHAVAAASNKTILVLYTGNPIDVSEVIDEFDAVLLARFPRQEGD NAAADVLTGRVSPNGRLATTWFKTLEDVPSFKHFPPQRQGDGKVTVEYEEGVRVDY CC84DRAFT_1226700 MLRDHLGHFTPLSPRIGTRAQAVLSPKIICHRNPPAEHNLECFS EDPLISGNLAAAIVR CC84DRAFT_1159756 MTGNAHVTFRQFLPDLGQPRFTTLQQKNAHEYAESFKRDGEPPW LHALWLHWRELFAEPFRGITNDGVVKPGLFDLRDEGVPVTSIAAAAEELLSLLDWYER ERIIYHIDSPEWRSWSNPEFLLSNKGIRLDEVPNPTRDAALNLLRLTLSPEGYEKALG AMRMNGFLGRLVNAPRICNEFSYNLALFGAPSATKPWGFSFYGHHLCLNILLYRNQIV VSPCFTGAEPNLLDDENDPYHGTQILREEDRLGVALMQSLPSQLQSRAQIFKLLHDPA MLRGRWNHDDQRHLCGAYRDNRVVPYEGVRVSDMESTSQELVTAILEQFLLYLPRRAR EMRLTQARGWYHETWFSWIGGFDNDDAFYYRIQSPVIIVEFDHHSGVFLTNKEPARFH IHTILRTPNAGDYGMALRPLMQGAEQNFVWGG CC84DRAFT_1159758 MAIPSFTRLVRFVPKSDTSKIYIGEPESDTVDVGVALREGQQVS AFLWSGSSVLSPGTKTGYSEIIGQLLSPVAATEVGTIRCIGLNYVQHAKELAVELPTV PTVFLKPSTALGDPWPARTVLPALTQKSDTGDYESELAIVLGKDCKNVSEEEGLDYVL GYTACNDISSRASQFAQSQWCFSKGFDGSCPIGPTIVSKSLIPDPSTLRIRGLKNGDV KQDCGTDDLIFSIAKLISFLSQGTTLPAGTVIITGTPAGVGAGCTPKVTIREGDEFAV EILPHIGTLFNVFENER CC84DRAFT_123194 MEPASRSNGQRPRRPRAARACDLCRAKKNKCDESYPCSYCKNRQ LTCVYQGQQPNSRRYTAEYVKQLEEQVKLLSTRSVASPSAPPMVQVGQSPSAPHIQPQ HFNVMAQEETPVPIRETGEEEISGVNRHTRDVEFYGSSSSFALLSHIRRTGQRRQDDE DGAQLVSSLHNPAFRTTPTASHGDGMETGVDCANHYPQCRGFVESFFSTIHYIHPILD KRDFLQQCEALWSRSSDHAAHHPTSSFVALYYSVLALGAIVAVREEESIDGLSNLQWS RKFFDIARTCCNQLGLVTDLEMVQCFFMMAKVCQNELNPHWSYMYVGLAVRTALAMGV NRDPGSNTRKSPAQLKAESRTWWGIFSLEMEISFSLGRPDTLGADIYHNRRLPLVRTD TETERTDSEMSEPPHCAIINYAVDLARITRTICQKIYLPNPSIADMIILTNQIERELE MWVESLPSAIRPDTQIQFHQKPSLRSARDAQWAKRQRLVLNIRYNNLRILLFGSLLLR SSQSERATIPGCMENTHKCLDSAKQTISIIYQTYAHNDFFQTWFYNITYTVFAASVIL LYITQGSASHEEAQSLFELVNMAVEILETMEECVVALEAARLLRSAREKAESRLSSET AAAYNQEQPIGHASSEPTPLFTHVEGHSVQLNHYWGPLGLIDGSGMDFDIAAQLGAFD QNNPMFFSLGEL CC84DRAFT_1226702 MAPKGPFKLVTVNNAPERAKVLIGRVAEALKDEYTIDYVANCSS KEEVESKVREYQPDMLFSASMWTAQDVVEIQQTARSVKSDVKLHAIPFGLQVERGPDA IVEHLLEQIPLLLG CC84DRAFT_1078767 MDSPSPAKFPHKSPIKLDVIVVGAGLGGLASAISIAQSGHAVTV FESAKELAEVGAGLQLTPNCTKILQRYGLSDSFWASAAEPTSLTVHRYTGSVLAHDET FAHTTRARYGAPFLDMHRCDLQQALYERAKALGITFRFRSKITDLELDQPRPAVSTEG GSRTVADLIVASDGLWSRCRSQFLGREDPPKPTGDLAYRVVLELDRIEDAELRRWVSE PTCHFWIGPGAHAVGYSLRGGKMYNIVLLVPDDLPEGVSRQPGSIEEMRALFKDWDPI LSRFLDLVDSVDKWKLMHRDEMKSWVNSGSNLVFTGDACHPMLPYLAQGANSAIEDGA VLGLLLGRIKSRHSVPQALRTYEHLRKARGEAIVRETFKQRDAFHMHDGPEQEARDQV FLSQLGKELKGPFPSRWTCPQVQPWLYGYDAYDEVERAVEAEPFQSSSNEKRNLSTES DWLARLYKWLPFLHWVK CC84DRAFT_1254702 MTTSSDLKGVGDDVFDGKNQALKIEALSQPAEDHQSAWQCIRAN PKISLWTLWANIGSIMIGYENLALSVCLAMPAFQMTFASEIDGVMLIPARWQSLWNAM FYVMTIVGSVAAGPIQDWFGRRAIFLTCILVSSAGIAVAYVSETPAMYLGAKILTGFA LGASMVGTQTFVSEITPLPMRGIALSINTFALNLGLLIAISATFSRIAIMDPSAFRLV FAGAWVFPGTLLLGLPFVPESPYWLTMKENPEKARASLVRLSNPSEDIDARLIAIQHT VAIERRQQAEAGSFIECFKGTNLRRTLITLACFYMSIAIGSVLSANSPYFLNQTGLSS NTVLMITQVGVSMGVLSSIVNLFLMMKFNHRPLIFFGVGICALAYLTMGIAGAMPRTT KSMTVVGIALQFSTLSYGPAVGAAMAVAGEVSATRLRAKTLALGNGFLGVAGTFWQSV LPYLYNTDQANLGGNLGWIFFGIAVVYLAILYFFVPGTKGRTYEELDGMFEARLPARA FEGYRGEEASA CC84DRAFT_1135097 MATPLHPFRVVYKTTSDGLEIDADVYLPTLPLTVITIHGGAFML GSSRMVNEDQIRDCLSREWVVVSPNHRLCPQVDLLEGPMQDCRDLLAWIYEGKLEQAL KKSGKESALDMDRVYAMGTSSGGTLALSLGFDVPKPAAAIYSMYGASNFSDPFWTTPL PHVAAKLPPTLDDTFLNRVFNGPVPITGGVSLEGQAVGPPDFKDPRQAYALTRIARGK VLDAIFPSKDWDKVDPLRNVSENFPPTFIVHGGADTMVPVDLSKALFARLKECGVRCG MVVVEGEEHTFAAKMKVGSRTWEMQREGFEFLEGVVGRGD CC84DRAFT_1135099 MSPLPLSTIPPQTHTSYLLDQLAGERLSIPGSKGVFRILASSAQ TSGAIAVFTSGAVLADAPGFHWHEEAHDVFLVTKGYLKLWNGDKCRVMGPGDFAYVPP KVIHNPLLLGPHTETYGLVAPGDWIDFFRHVGESYAGVLVPENDDRDLKSLLIPKLMA AKDRFDVHFQRDPSYQPPEEAPWEESENQLAEPGSPYFLRGNTGPRWVLGGVMSRPFI HAEQTGGKFAISSIESSELYGGSVLGRWMAFKSVDHCFCVLEGLLKVRLGGSESWNEV REGQTLIVAAGETFTIEFGSRYVRAWSFTNGEGIEEVIRKGGESYAGYVIPEAPLNVD EGRLAKAFEELGVSIGQA CC84DRAFT_123240 MASKTLVRRVAGASSVWRAVSRVRPEPLRLAPIFAPLQSRFTSS NARHSEDRAPYEKSESVGNRALSQFDISGKVFIVTGGGRGLGLCLAQGLVEAGGRVHC LDRLEQPDPAFGESAERLKREVGGELIYHRVDVTDNDALETVIADIGAERQRLDGLIA AAAIQQVTPALDYASADIAKMLSVNYTGVFLSARACARQMHKYKIQGSICLIASMSGT IANRGFIAPVYNSSKAAVVQLAKNLAMEWGRMNPDGSGGIRVNAISPGHIMTPMVEEN FRRGEASKEEWENNNMLGRLSRPDEYKAAALFLLSRASSYMTGSNVIIDGGTTAW CC84DRAFT_123239 MPWRPVRLPPGNLLLFAETSFNGCVCVMLRLGATFPIRHFGLAR MPPRFAMRYFGVDALPRMPDSPTVQGSGKSPNTRLRSTSSSRNVSIDSPIMSKYTMSS IEESVGPSKRPVSLEFFKPGGVFQNYVSAIVPNNPIVGLSKIGVSHWVGLDGVVPFAD LVAPEETMDLDNGWYLAFEADDAVVALALRIGGPAPRDTVDRFGSAWIPRVYVYAIDL WPSQGVRW CC84DRAFT_1079376 MATTPREEAAEVDFRNANTIAPTDAPKRSPWKAWMYLWEWYPAH YPEEERALLRKLDACLLTFCSFMFFLKWLDSSNINNAFVSGMKEELNLYGNQYSLFGT FYNVGYLVCQIPSLLILSRPSLARWYLPTMEVLWSIVTFSQSQMRNEHDIYGTRFLLG LLETPVASGTTYVLGSWYRPEEVFKRTGVWYVSNNIAVMFGGYLQKAAYENLNGVGGM AGWRWLFIIDGIISLPIAIAGFFIFPGLPSSKKPWWLTEDQHALAIKRVRDIGIEDSK KLNWSVFKRTLRRWEFYVGVAAYTFFLSSSYPHGQMALWLKDLATKYNAYTVGQINVI PTGAQGVSVFAALLATSLCMIYPLWTVFSVVQAIFLFANICLLVWDIPKGLHFASYYL LGVSAAVTPILMPFINVALRDDAEARAITIGAMLTSGWAIFSFYPVVVFPQVEAPKWR KGYSVNIAFIFGCWFFFMLSQWLYRRDEKKRERKAVERLARDDEEVLSAKGAGDVVEH VEERKSE CC84DRAFT_1135109 MLWNCVLLLSSFVAALPKAAEKRETLNLPFTTKGRDIFDSNGDI FHYKGTNWPGHQEIMIPEGLQHASIADVVSWIPKFGLNSVRMTFAIEMIDDIYSNNTN QTLERSVINALGKENGTLVLEQILEHNPQFTNQTTRLEVWDAVAKELAAQDVVLHLDN HVSKAFWCCGDNDGNGWFGEKYFDVENWIRGWSFISAHAKENWPTFASVGLRNELRKA STSEPVDWYTWYVHMTAAANAVHEAAPGVLIFFSGLSYDTFIDPIPLGKTLSGTAGTA TANKTAKFIPSDFAWENKIVLELHKYDFEATQNPCPTFKANWYKQGFQAVNASDPATK YLFPVAITEWGFIQNGTYWNQTTYNKCLIEMVEDYQVSWMQWEISGSFYLQTRPNRTP NTLQGSEEFWGLLNYNWDGVRDPVTIENSLDKMIAALG CC84DRAFT_1226722 MGEAPKPVSGAAKALMVKGIDLSQIYSENVLAKLVQVAQKSSKL QAPLTAYPHTVAQRGPDAGRYEYREADFWTCGFFPGSIYTLIERSIKFPRVIDVPSHP PSQLKDQLLNLGRHWSVAINHMSGRTDTHDMGFIVQPALQKDYELTGNTESLESVVKA AYALASRYDERVKAIRSWDVAINDRYSITDMDENFLVIIDSMCNLDLLYWVGHIQQDQ RLIQIATQHADTIIHEILRPDHSSYHLVNFSPKTGKAQAKMTNQGHKDDSTWSRGQAW SIMGFAQTYAWTKDTKYLFTAIECAKYFLRRCEEGNGKWHHPRVPAWDFDAPQEDEQE PLRDVSAGVITANGLLIIHQALQALPSEEAQNLAGANTDFLQVALAIVDETLDMALDR DFASLEPRTTVNGDGAANSILAVKESKFEAILRHSTANHNQHAHKPYCDHGLVYADYF FLEFGNKLLRAGYL CC84DRAFT_1226725 MPPLPGFSGNEFRTYADFKNACTSLLRALKPYQSPGGARIRLPL VTGTHFDDVAAQLEGFARPLWAIGALLHGSCLTPQEHEELAEPYIRGLANGTDPEHAE YWGPVVVRDQRMVEMEIISFALLAAPDAMFQQQTERAQTNIREWLKTLNEKDFPITNW LWFRVMTNLALVKVCGVPYDEVKAYMKEDLDQMENFYLDDGWSADGFWNENGRQADYY SGSFAIQFSQILYTKMAQQFDPDRCLKFRERALLFASSFWRYFDKTGAAIPFGRSLTY RFAFAGFWSAAAFAGINLSAPLDDQGVVKGLLQRHYRWWSTQSDIFNVDGTLTIGFAY PQMYMSEDYNSPQSPYWAMKSFVVLGLGEDHPFWRTEEKPLPPADEDIALNITPAMQI VCRSENHHFLLSSGQFCPWPLKATEAKYGKFAYSSHFGFSVPTGSLIQQIAPDSTLAL SKDEGDTWRVPWKVLDYRFDTAWLRRGCQILEEMQALRSTWRPWKDADIEVQTIIVAP STRWPDWYLRVHKINNLGTKEINIHVVQGGFAIQGREDKFGGVLPSYTKGTGAAEFLR GNGSVFPEGTEESSTGALICSNAGASGIRSLISTNSQQAKAEVLKPDSNTNLIWQRTL IPTITSTTTVPPGSSTDFVTAVLSIARRAGFEDEYSQISIGERWEDIPQISHPTKDKV PSSQFIAFSHDW CC84DRAFT_1135111 MTSHWTNYSINARDDDATSLQASSEDIPACTSCKKRKLRCSRET PACSHCLRLSLECAYAPKQKPGLKTGALEGLTRRVAFLEQILLDEVGRIRPQFDIDED RSGHTDRAQDGLANRRPADHRINTDTTTPGTIQLDESIREATVQPQPPVEERIPNPRK RKLEEPVFTTALLELDNIEIGDHLPSQPLLVKVAEFFCTSFHHWIPYLHKQRLCDTVT GTRAESRSDLVLHGLVAVTLRHMDRQVICMDEDEVLRQTKISHFIVETLAMKSMSTES LQALILIIFDYLSDGDGARAWPLIGSLTRTVDYLQLTTEPVACPGGALMTPLQTVPPL QDWTALEERRRLFWVIFLLDRFCSVSTGWNTSLTSEDVHRRLPADGGYFTREEPVSTP FFGIWSKAAGRIGRSLANVPAQYNEEDPVTEPVQGSTPGSAAGLIDSSKLGAFAYCVE ATESLSQVTTFFLQQKINWQDKDHAINWLTRFKELDLRLVQWKMFLPPRWKDSDISEN REVVNMDPNLTLAHITHNTSMILLHHSIAYPPKEWSDYIALPKDCSAQTCQHAAVETA NIVNKFLTHTMIPFVNTQFAFCTFIAAKVLLYEHQATRRTLRPEFDRMKRNLEEMSSR WTSGKPRSDSGRGLYGTSELDQAARYANHLDYLYDACRCNSSFTFNFYDHSCRRPGIW TLTMSTPAPVSSPSVTSRGKAQSAGARRSIVSNSDCDALMSPKTIVQPTQGFAPTDSS ASRVRPSGQYHGTQSGEGYPQHSAAMPSPYLATVSEGGYPNAQALNSELLAGHSLQDQ SLLSLSDTLMDSQFLDMDRVITFEDTNFYLPGDAYRWQTLS CC84DRAFT_1108851 MGPYRYIPLPTGPRFTRLVRLLPGSGDAEIRCEIFDFKLQRAQQ TSNFEALSYVWGDLNDRKRIFIEDSYLDITANLHTALRYLRDSVLERVLWIDAICINQ DDLAERSNQVGYMVSIYASAIRVVAWLGEDTSQGESCFTLLKLLARFSREERKGRFHA FHDRGILRDVDTYRQLRQNDSFTFMLQSPWFERIWVLQEAAAAQSFTFVYGQQWLADH VFCEAMINYRTFFVDGTTSLEEQELWGLISSVLYLVQWDAHPGTQWSSLDILPLRHMV SMFHTHDATDPKDKVYALLGMSTAEMASRPYIVVDYSKPWASVFCELVRYLLGSTVRI QTWNHRLETLLFARVFVLGRVGQVNRNVNTSKHELVTKEEHICRLNRYTGASETFLWT FDCVVYGNPMIQEGDIVVQVQGAERPIVIRRCLDHFEIICVSVDVKYVYLESGRHSAI YSLDEPTQWLRSSENIPWETYLTMIESYPHELA CC84DRAFT_1159762 MKIIIVGAGIAGLSTYLHLLKHLPNPTTHTLTIYESHSPQKNSP PNLTSLSSFSESTTIVGGGLGISPNGMRILRNLNSALHDAVTAQGFPVSHFVFRGANG WVLGSSPTSDATVRNLLSEEEEVCVASSRHGLRETLLRFVSQAGGKIKYHKIARVSHD TAGRPYVVFLAQDGVERIDEADLIIGADGVKSIVRSALFPSPEHQPIYTGLSGIGGFI SMPLPIHTLNPPSMHFTFGRDGFFGYSPVSTEPASLMWWSTYETPLERAVSPVEIKDL LGQRHRTWKDPVIQDVVAQAEVQSVYPTWALGDLPHWGERGIVLIGDAAHALDPTTGQ GASQALEDSLTLSLLLSSISTSDSSSNVSPGPSSPTSSSSVSQQHRIDTAIKLFHQIR SPRVNSIVQRGRKMSRRKRDVGVVAEYITYLFLWAMTRWPAVARWMLGDVNRELYTWD AATEVRKAVASWNSKEQ CC84DRAFT_1080074 MFWRFGGYAQLSSLDSILDKPDVTVEELLDESDLIQELKQQNSK LIEYLRDEKVLERLLRYVIAPKPLNSPKDDAQSESQKSEGFFGRVRARSTSVKSDPGD GEESKEEKQRMKYAYVACEILSSEVWSISEAVLENQDSLRQFWNYIKQPAPLDPVQAG YFSKVNESLLDRKMEEMLDFFKSIDNVVTDMLQHVDCPMIMDLLLKMISLEKSEGGQG IVDWLQSQNLVSQLVSYLAPDQPSNCQTSAGDFLKAIITISANATTQDTQVIGPNELT RQLVSEPCIKQMIGYMLHGGNPLTVSVGIIIEVIRKNNSDYDLENQIGPVPKTSDPIY LGTLLRQFANHIPQFMELVHTTRQTISNKDGSTTSRKRELKTAFGEKIEPLGFDRFKT CELMAELLHCSNMALLNERGSEAEVKRRDAERDRLKAEGKLTARDPNAGDFSTSVDSH GFHHARAPSSESPEEIKRLEVQNNSEDDFEKVIAPEAPSAEKANIDKEHVGEPLERSP KTEPKESGVGKPEEAEGEFVDEPLSPVKDAASPAKDTASPAAGTPGKVADDAESPTSA GLSAKVGGLGLDNDTVMGDTEESQKSQPPAREEKPTPPSLLTQQLTKAETDQSEEKDL SPHPEDKPAPLFSGKNKDTDTAETPKPDAAHFMTSAAESEESEVTPIDESSETRSVLF GGIEAQYAPQYEVDVDGSPVVGDLLKIQFVENKVVPTIFDFFFRFPWNNFLHNVVYDV VQQVFNGQMERGYNRSLAIDLFETGRITERIIEGQQASDKAQAETHMRLGYMGHLTLI AEEVLKFTERHPAELLSQSVLEKVMSQAWIDYVEQTLAETRERDNAILGGVRPDMSVG PRQAVLNAVNAQSGFSNDGGSSLANANSGNIGLDSMELTNPDNGGGYTFSGGSLLSGF TNSSDEEDEEMDEGGEEREREPRQPPVSDSEQVGELSFEDVEMDYR CC84DRAFT_123419 MRSASSSLGRRSQRHRAITCGRQRAPDQTALGWCRPVRLPAARG CRCRTPGDCPDMSPGRRAAACLPEHLSPAVLGLALAQLPRAVVLVCVCCHRRSDIPPQ PPTLRLAAAFCIRNGLPRRLCCLCAPIVRPLACLRPPRRFLRQVPHRPTLPAARCPPC CC84DRAFT_1183104 MNRLFGAKSTAPKPTLNQAITTVDTRIESIDVKLAKLNAELSAY QQKIARMRDGPGKTALKQKALKVLQQRKMYDAQRDQLQQQSWNMEQAGMMQDNLKNTM TTVDAMKTTTKELKKQYGKINIDKIEQLQDEMADLMDMGNDIQESISRSYEVPEDVDE AELDAELEALGEEVEFEGIGESSSTPAFLLDDAAPPQFIDEPPEHSKVKEVAG CC84DRAFT_123378 MAISKDSDGLRTINASPLLTDCSFVGAIYVSFNMHLSFALLTAL PLGALAHGGAVLRSVPQHQPEVPAWAKECNGKCTFTKTSTRTVWTTKKITSQHRPATT TKVILQTSTSTSTLAQDTSVFSTTEAPATSTIPAPAGFTPVKSVFPGGSTPKRSLEQR KQSDNRKPNVQANWREIKKCTATKYRTVYETKRKTRTSTVWRPVSTVVRKSTSTVTTV TSVLPTPASSTITILTTSTIPSTSTSATTTTVTSTSTTTELSGPTPTFYAACADDNIL SNVNGQYVDTLYYGPPGGSAMLGQFRTAYECCVACLNTPNCRGAGWISSSVCIGSTSG TGAATCDGSVIGASFGATSTNNVGWTVSNSGCGQWGRR CC84DRAFT_1183105 MGKDSTHKPINAYKMTAALKNIYYRLTPTSSSLSLPTQKNPGEF TKARTTDALFPTTDPTIDGDECLHDCASCTIKYPRKFTIDEDEELYGHVKGWSTHLIV ATGKTDWVRDVADEKGSIMEAVDKGDVKPSNGKLMLSASDIPVPEHSEHSTTVLLLPK FQFIDNVTPANTPNLIRDFVDKGPTNTSPLPHPTQPALPPSPSPLSQEASAPEPAAMA APQIPPTISSSGLKARPCPHKYLILLCSQKTRDARCGQSAPLLRKEFERHLAPLGLYR DLHDERPGGVGIYFISHVGGHKFSANVMIYRSASAIERPNQLNGHANGVEESLEKLKV EDNKGHEVVLDVNKAQEAEGNGEAAQCIWLARVRPEDCENIIRYTVLQGKLVKPQRQL RGGFDRSKQLASW CC84DRAFT_123358 MRLKTLNGAPLRASLDFTPDALLDPHEVARFLRSDSFLTELLQE SPFNWRRIAPREQRLRSDWSQPYLPSGGLNGDDLPLSFVVPGVGASFDAYPEDATNLE TMMTVDGTSFDDSRFVHHSLIFHDTLLSSQIALGGLADGTGTSQSFVGTSFESATSMS MGSFQQSNTDVPVIQIPATLKLTSFGALPSAAHLRRIYPQTPTPNFLCVLAAPPEDRE VFVKKGGYRMRLREIVVADDTRSDFKITFWQRPKGDDSQNPHTHVLQRTKPGDILLLK NIALNAFREDVYGQSLNPSIARVQTSIEILMSSGGISSRQLAALPAPVVTAFMRVKKW ASAHVASDVSGRKKRKDDSRRSTRSVKRYLRSSDVHDETLPPDTMESV CC84DRAFT_1135130 MRSFYSALTGITASISLLTSTEAVLLSNGTASNSTVGGSCSGVQ KTDETSFYSVVGVQGTGVHPRQELRELEQDTETWNLFIQAFARFQAMDQSDKASYFQI AAPFVQWDGVTGAGLTGYCPHGSNVFLPWHRPYLALFEQVLQRKAIEIATEYPAGDAQ KNALSIASRVRLPYWDWALNPGNNSEGVMPTSLRSESATVTFPNGTSREIPNPLYAYR FHPLRYDDFSALAEYEFKDWNTTIRLPENGTSPTATSRNDVANERATTAQPGNRDTLY KLLTTYQSFNEWSNAAGGSEIGNIEVLHNSFHNMFGMGSMGIVEASAYDPVFWFHHCQ MDRLMAIYQYRYPDTWVEAASQYKATYYYEANSIQHANSPLEPFHMNANGDMWTSNLV RNWTSFGYTYPELAENPLNTTLTTTINKLYKPHTQGLDSSNSTGNSSGPVTNSSMKAT DWNAQVKMPADIQVSYSVRCFLGEPSSDPTQWPTDPNYIGQVATTSSPRMSSNITFAS TVSLTEKLYKKFQAGELTSLADEAVSAFLEKNFHWRIQALDYTEIPRSSPPKGLNVTV FNVPISIPKNDTDVPKWTGSKEFKPQIHGNPPKSAVGAPLAGNTDGWNATSGTWHWSN ADEAAESSAIRQIGTPTFAAPSSSVTAGPGEAVEVTTLPNGQVVTHVVTVVVTVTAGA EPAETSSASLAETETATPVTAAVGSVTPVPGESVEIVTLQSGEKITRVVTVVEEVTVF LPAPTA CC84DRAFT_1159770 MASTCTQQELVFFPKADAAVLTSKPPPDYPLAVGPIFDPDSVNT PVSPWPVDAEETHYPPMKDAVAPAPVPDKQVCATDRYLRAPLTKNERYRLSMVWYYTR DIFEEAEFLAGLQEKVCIAQESTGWEFAVIGILDVNYYTRLATIGLPLAILPRGETIC AHTVAQPPGVRAQRV CC84DRAFT_1159771 MLEDWRFAHSPYVESGGLQAYAGAPLRLQNEHGQTACLGSICVA SSAPQAPLPRTLQAALARLGDWVVSDIVNLTRARRQRVRSRMVDLIAAAQAETKDALS EQPAMRALRDIYPDAVIKLQSSKAGHVEVEGHGPVPLSNISTGLWEDIEHIDRFIAES NHLEPPTDHVIRVIAAQCETVSGQSFLTVGTKDFRLVFDDIDAWFVQKCAGIISDMWN KRLLAEVMLAKEKFLRGFCHQLRTPIHGILGSVELLAEQLKLQKLDEAGSEVSSLHTE AAAANSSVITDGGSSIYLDTIKSAGRDLISIINNMITLNRWADIAKKDRQYEDHTLYD LETRLWNEIVGANDGDTHFSASVVFTHDLPPDRCSIRTDLGLLCDSVLPLVTNALQNT LAGKVVIEISARPDLNDLVIGVKDTGRGIQPEDQRRIFELYEQVDVCTTGAGLGLTLA SNFAALLHGSVDLVSSEVGRGSHFRATFHGVNLTYSDSPDTGSVIGKLRNIPKSFHAI QSNAEASALYDDFSRFLSCHGFSSTDCIGDGLIILDGVANGEERHVALSRAYPEQVII CLVPPSKTKSHVDGRTRNLIYMNGPLLTSTMTQALEDADNFLASINPSQIYLNQPTEE PTPCLAVSVSPKRERFARHDSKTGNIESFVDDRKVDEPSPEAHGMEEFSTVPDHPKSP NNKTVHQNGVSQAKKPASDRSCFRKARSCALSTHQPASDVESPRTSIAPNKPNAAYQS NSLNIKVVYVFPSSATITHPTALLVDDNDINLRIMRMYCEKRSLPYICARDGLEAVSL FQSRQASAATESTTSPIQLILMDLQMPKCDGVEATRRIRELEKENGWAESTLFVVTGQ DSSADRKAVAEVGGQEYFVKPVTIKSWDKGLSKYFPLFKAG CC84DRAFT_1077342 MTSKPSASVHAPILVRVTHCSPQHADILHAQGTHQNNNEKRGWC HPPFTLGYDFAGIVADVCPGAVEGDDHGLKKGDRVFGASIGAFAEFTSVKAATVRKVP RGVSSEAACAMAGQAVSYAAVVHVARVRKGETALISGASGGLGSACCAVAKAVGARVV ALAGDERKAEAMRRDMGVDVVVMDEERHWIDEVKRLTDGKGVDVVLDNTGMVNPAIQS LAYGGRIIVLGFAARKGKMEAVKMNRLLLKSATVTGYRFGESGRQSPEKLEEIWKGYL GMMESGELKPILYGSYKGLEDIGRALGDLEARKVYGKIVVKISDDEEKPKL CC84DRAFT_123462 MADLEKDLCISNTVQEQTTASDASIDSNDRRKTARKDLVVVAAP LRIEESPPTLASLWKRRQKPDPNAVATQPSVYDDPEQAKYFQPLPTYENLHRFDPNER WTWAEEHNVLKKIEWRVAAWAAIAFFALDLDRSNISQANTDNFLDDLGLTTNDYNLGN TVFKTAFLLAELPSQLISKKIGPDRWIPAQMILWSIVAASQFWLRGRKSFLATRALLA LLQGGFIPDVILYMSYFYKSTELPFRLAIFWMANRLTDVVAPLLAYGLLRLRDYHGYE GWRWLFLIEGMLTLAIGVWSIFMMAPSPTQTKAWWRPKGWFSEREEKIMVNRILRDDP SKGDMHNRQAITFSLLWKSLCDFDLWPIYALGITFGIPAGPSDQYLTLTLRQLGFDTF NSNLLSIPAQIGTTVNMLVFTYISEKINQRSLMGIFVQIWFLPCLVAMAVIPDSSSRW SRYALVTVLLSYPSPHPMQVGWCSRNSNTVRTRTVSAALYNMSVQVQAIIYSNIYQDD DKPLYRRGNKVLVGICVLNIFIYAFNKMYYIWRNKQRDRKWNALTAEEKVEYLDTTTQ EGSKRLDFRFAH CC84DRAFT_1183111 MATVTQVQEATNADGQPIKLAVAYSDEVHNQAKYAAYLPVYDTT TKFPPTPLFDHDDRGRHADPAKPNLLSKENPQIKVNKLTPRVGTEITGLQLSELTDIQ KDELALLIAERGVVVFRDQDFKDIGPEKQKEFGEYFGRVHVHPVGAHVKNHIEFHSIY LGKDNLYRLGRSSSKLTTTGYHSDVSYEHQPPGITLLTLLQVPSTGGDTGWTSQAAAY ERLSEPLKHFLEGLRAEHSGFPQADRAARDGHFVRRDPVKSYHPIVRVHPVTGQKVLF VNPGFTKRIVGLKDEESDAILKLLFKHIAQSQDIQARVKWDDRTVALWDNRVTAHTAI SDYDVSDEAEGLRQGFRITTLGEVPVGVNGLKSEWD CC84DRAFT_1108876 MVLHNPNNWHWVNKDVSPWAKDYLTKEVLQISAEEDGVSVKVDS LMSMDGDVDVSQRKGKVITIFDVKVKLEYKGKNKEGEEASGTITVPEVAHDTEEDEYV FEIDIYSEEKSKQPVKDLVRSKIVPQLRKSFAKLGPALITEHGKDIQHAPGSNPSSGF STPKTYSNSGINKSESKSAASTTQKTGGSLVNTTTINDSTEFRTTAEELFKTFTEPDR IAAFTRSPPKNWTGAKPGSTFELFGGNVSGEFTELEKPTHIVQKWRLAQWPAGHYSTL SIWFDQNDVDAVTVMRVEWKGVPIGQEEPTKGNWGEYYVRSIKTTFGFGTVL CC84DRAFT_1254719 MGADQKYDEESLQHVYALLRRYRSNDVIRMVLDVAQSRPASTIT SASRLSHLSSESRYSGFSTDYAPSLQSSASSRSQPQHATPLYRSDLSFTKPAMASASP ISEAFSPADTLSIPSPLPVDIDSRSITSSTPKRNTTSLNGGPWFCTFCTEPTSFAAKA DWKKHETKQHETGEDWPCPIHNCLEVLDRKLDFEAHFKRDHPHVPCPTDVRVRLLPRL VYGCGFDGCKAVLTGWKERCDHVALHMRPKGTEKRKGRSEWKYSNTIHNLLRQDATRS AWKSLFAEFESKQPRYQITWSPENTRILRQKLECSDMRPNILEVVHTALSLREGRPFN DAVELDTDFRTPSQDSVPGFELLSDDQLNQILSGRIEQPAPTMRPALPSHTLDPKLTF MEPHPGDLVAFDIPSPTISGRRISYMDVDTEDFGPSDEGPDPQIPPGLELDPSQMCYG ASPKPFQLYYPHGPTVEGMPQQRRTSKGHILTRHFKGRKA CC84DRAFT_1226770 MHFLDRHTDSFISYVLVALHVFRAIPRYHTRQHIFFASLTIYPG IIQKASTRTRISQHITKIASLPLPRLYQVQSGCVLLHHPVLTHTDLVPTPASKYCQPI STKVAIYPGFSPSHHSCSSFIPPFVCRHTTTPTLVAMTVRTTTVHNLLLILAPFLSFS SFSPLARFSSIGIRLPTKPRSKDVFEIPEYWLGWALWRLDLAMVMGVWE CC84DRAFT_123810 MPAHEIIRAVSFVPSLCYTSKASHRAIGRSPPKTWQAFPRMLYR LSTLNHLLLHHNVVEKRTLPPHLPFLPTFLLTDSSNDPLRRTQCLARPTWNGSETSVC LVSLSPRHHLPHTSDGIAVMSLFGAAALMPFLWALLEALNLVKNAFFHSGYWMLIGAW VLLAYGVSFLDGLEALDESEDGEVDQEGEDDKHEE CC84DRAFT_1201457 MFASSKYKPFRSGSNASSTSPLQSLTMRFWRRPATRASVPSAAS VHDPPPPYTESCPPRSTSPEAESPPPYTVSSPLFSNGSEAETPPAYPLEVFHQQVPIL FSHEFLGDANSDYETECDHETETEPDIGGDPLERYSASPVLNYLDEHGVVRHVHGTFS LGLRESYDVDVEPGDDAVPPYQRAEGGWRIESIARAVIVTFLVAVLLGMGVSTGRVFL RMGPRP CC84DRAFT_1183113 MFTSFTGNTRRPRQVNLSGRKTHAFGSTGSGSGSQAALDRAQQD RVQRQRERETQKAARTIQRVWRGHRSRDEVGRLLRHEWDAVEGPRAGSTESTPYRSEE EALSQLHRLLRFASTRSEDDFSRIDVFCRRQAETVRSLGIEARGAWPSAYLQLQRIVL RIVDRQTSNRSSRHGFGDFLDITRFLAEQIPAQTAQNGPQYFHTLAQALPALMSPKNG SANQTEAAANLETMLPVIIAPLSTVTGYTLNAYEAFGFEFLTIRMLGADTRTPSITKF RDSLANAINYKLLASALATCLKENGLQSRPELADVDSRIHFLGLFIYFHRYAHNFQSS EAYAVHKDFVTVVSLLLNSLPTNTIEGSPSDDEKSGLEEVAVNDFLREQILSLVNQEG IGNLLSESSKSPDASEDEKVEEARQLANYALALLRFFPRRGDEIRMWLYIGPSNVQSG KGRKLPAIKYFWQASKRSSVFNTITRDSRAAVDLLRPKATQSNGDALAANSFWQAPRQ EVDLAAVRADEWRVILVFLELYTFVLKVTDDEEFFSGGSLPLVDVKDLTTFLKNLGFT LYFNASDINESDERDTSSSGISFFNIQAAEVQQQKQAGEPSLGGVAGLTLDYVKGLVT GLVRMVYERDSRRKFLPDDHWLMTSRFDMTGFIPAVVEEEESRHRIQEEDMEDMDDHE DDFDDTPQLIGTGRTQQQRRLERLQRQQRKASRRRYLQAVAPRLEILQNMPFFIPFTT RVQIFREFVTLDMTKRRGSSDPESWRLRVMQRPDAPHQFDKHAARVRRGAEFDDAFAQ FYDLGEGLKEPIQITFVDQFDQAEAGIDGGGVTKEFLTSVTNTAFTPTEDNIDMFIEN NQHLLYPNPSAIEELKEALRSKAGLSDGSAEFRQQVSESLQRYEFLGRIIGKCMYEGI LVDVNFAPFFLRKWALTGGTGSAPNETGYRPTLNDIRDLDEELYQGLHKLKTYDGDVE DFGLNFTITDTVVTDHATKKTKAVTKELRPDGANTPVTNQNRLVYISYVARHRLQNQP YLQTSAFLRGLSAMIQPSWLSMFNAPELQTLIGGTSSSIDIDDLRRNTMYGGTYVIGD DGLEHPTVQLFWKTMKDLSDGERRAVLKFVTSTPRAPLLGFKTLNPRFSIRDAGSDQE RLPSTSTCVNLLKLPMYRDEGVLREKLLYSVFSGAGFDLS CC84DRAFT_1254722 MSSSVRLYERLDQIPTDPEDEECLEDVVVCAFGAFERYYVCWKT RGGAYRQDGYDLPPDLEDFLFAKADKRDFASLQVVFGRGDEFFASDQNGKLEFKEPEP EKREPTPEELEGKRALRRSRTMSFMRPRSDDSSRHSFLDLESQSPASSRRSSLMNGRP PSLSLSFRSNSDASLHTLNSQPESRPPSASHTRLSSESSLSSQLWSRPSSVQSSNPPR LSSDSDLKTSEQTIDFEEERPIAPPAIPLGVQSTKRLRPLSLSFKGGLIPRIPEGKQV PQESPSPPSPLPPVPPMPQATTPIATPAKLWTARFASAPSSDPSSIRPLQLEQRTDDQ APSTRSTSPPFQLTEQTESHIPIEDSPPTLSLENISITTRTSPDRTPPPPLSLQISTS SVNTTPISPSAAPSLGAPPSPSALSIQIISTAAQTVPQSPTRVPTSKTVDPPPPLLST HFAALSTTPISPFPPDLRLDTRIETAASLPAHTYSSSTASSTFDFATPHDDHQQAYFD PPPVFMGRMMEYYSKPGYQLGQSLFGGYHAREVLVEGEGEDGLTEWERANGVGY CC84DRAFT_1070445 MVLPILVALVAAPALLGTQEAIRQSQSKEKREEHRARRCNLVAS CVKSSPRSREIDGRPIVLRDGKLWVDTGTTDGSPYGHPYAGYYLPYPDSKYEGLVTTI TDIAPIMNWVYVEKDTYEVKYGVRLDAQPNLPGPFDCTRQDRRLTFDGWEGWCAVEEA PGRWALYFDRDDDGLRAKLSPGTRVLEIELTRKEKRWKKDGEERQADQTTKRAVETKE DAPVDEPV CC84DRAFT_1159776 MSDTATRPAGYMSDSDDGQASGGRKISTNVGGYAQGPSAPSSSS LNRPAGSIVKGPVDDNGKLKDAALLLGIKLDLEAEIHLTARIRGDITIGLY CC84DRAFT_1159777 MLSRAGALPWMLSAAPLTLSLHNPAAKFARFSSLKIYPHYLCSL QIPAVHALENMSACRAPSAFDVSSCRDMLVDRLSVASVDSRSCR CC84DRAFT_1183115 MKSSLKLAAATMLASSVSAQFVPAPTDLIEKLGFANITVRYKEV PAGICEQDPNVKSYSGYADIAEDQHLFWWFFEARNQDPTTAPLTVWINGGPGSSSMIG LFQELGPCGVGPDLKPFNNPYSWSNASNMLFIDEPSTVGLSYSIPIPGYKDNDGNIIQ LPNATCPEYAEAYGTCATYSKPLIQSVPNKTEDAASGMWKTLQGFMGAFPQYSRNGFS FTTESYGGHYGPVFNSYILDQNAKNISGTKHINLENVLIGNGWFDPLIQYEAYYKFAM GGNTYDYMPYNASVIAEWTNNLYGEGNCLDQTKACRATGSNAVCSAADNFCYAKVEAP YDIYSGRDEYDMRELTPDPFPYSYYVEYLNTPEVQKAIGAYQNFSESSSTVSTAFGNT GDDDRESGTIEACRKLLKAGVQVILYYGDADYNCNWLGGQEVAKLINATGYTEAGFTN ISTSDGVVHGQVRQSNLFSFVRIYESGHEVPFYQPLVALELFERALNQSDIETGTICV KDQEGYKTVGTPTSDYREGNATIQFEITPSNATYNVELNAPDAVPTWAAGVEKRGSGE KRALKQARSFLGRPRNSKGGKRPA CC84DRAFT_124071 MVRDPRGHVYRADAMNTRITRLAAETMLRRVGTSSMQRCTTVVD QGSSCPKDMHNHLTVTGRWDSYRALGSKTGKGPRMSLLEAYHDTELSHSATPPCTIRS VLELRRNSLCPRGALFVRCNTLPCCTEAAHAEQTDTKHPARTLVQGSKMLELKNWAHP AERMLSAHPNSCLARVWLGRASTPIERAIGRIWSN CC84DRAFT_1135154 MAPFFSTKRNAAKRNASSVASPEPVSSPSAFPLSSPAHPDHDYL SSPRLADNNNAGYASSSPVSPFPPQLPPIPRVASKLNKGGNSSLLSPQHAASHAQGLA AVMHEQRSPAYDATHPPAPSPAGSQRPGLRPHGQSLAQVVERTMYAQRPPTAPSSTQH LAPAPSAISSNYSGFSRSQTSLISGLSEKLSSTSKPSTPAAQPPKTSKSRLRNPMSLL LRRRSGQTLEALHDEALVTQRSPSIVPPIPDNYDPSIRGRIVHDFSAPRPNRNFSYNN AYGDEPQKAEASPPKMEREHTPVFTEHFDDDTSYEQSQAAIRAEQLANNDFLKRNSYI PEPPGYSPPPPPSQEPGKRTSYLAEPPARTPPPPPPVPKDSPTLPPQSASPQPPPAEA NQDYASFVLSPVQEAPSPSSPTDVSAEVTPRKRKSTKTPPASRSRAASMTDPSFVPAG LPKHLTSRASRFSFQIGGGESAAQEKMMEERHIQKAAEKASKSEARMSTNSIGDDYEE YGMDDDFDMDGGYEEEIPMLGEEDEYGGGLGDQMLSPGMGAFDFSSLSIQPNMNPMSP LNGEMATPIDANGERIGFAMSEELFHPMDPAAAGSFLGLGQAHAENVQGLGLMNLPQE HIPADNFTPDESPQRDPVGSTKSVTFAQAGLGDDLYFDDGMIEEQGDVDAADFDEEVF DDPEGPLFDRKVKPPPAEELHNNIVSHPLEKLNSETGYEADDDTLSKHLEISEPSLVH KTSRAQPNSDRNLNAYHSALAEAANRAEAEGRFARKASADVGQPSSDADDSSSISNSR PSLVPDDGRFSQDTTGFPPEDDGFGMSSGFDDYDYSEYDDLMDDDMMIAEANSEALAN DYEGFYGQEFGFYAGPQGEAVNAYGGYFGASGLGRSVSGRNAMREPNLTPITERSEYS TRNSFISVRNLDNSITSPGFMGQSLTSPALAQLARISPYGWRDEEDMSLDALMRLRKG AFGSTSSLPAGSPGTSPRNSSPMGMQFVPRTASPAGNRMREHNDSSFESDESAAILES LAESEEDEFAHLGNPDFDDGVLDDDENSAYEDRPDSPTLTASDYNSLSSPTGMAHFTP QPLPLMPLHSPPAIPPAGIPLPIVAYVREHDECGEGRWVLERRRTAESGELELVGREI VEGGRI CC84DRAFT_1254727 MPRNPLKSALSMKAEGIAMQHSQRSAQAMPQKREGSPLNSKRQG LVPAEPTTAAKPRSSHPFSQPPSREAKRLAKAASEEAYSFIQNLPCDGEEKEYLLNLL RTRAVPPWGSADHYPAVLGKTGQGKSHTIISLTGIDLGNAMGGTDSVTNTVMEFRPLY NDQKHPYLVQIFLYSKPYVMKMFEDGFRENRHWQSTHPESIGEDDSGDEDAEATEAPT RLIAMILDLKILGPDQGYRDHEAADQYLESIDDSKSLRSFGKRLDCLYKNIQGRDGIT SKIEQGCATVEAVRKFIAPFIRKASEPFAEGTEAECCPYPLVEKVAVASNSPVLNKGN ILKDAPGVGDTNRAHVDRAHTALKNADKIMVVSEMKRCLANNELYATIKMALKQRGPG GVILVLTHSAEIDEKIKKKTRGRFTREQRQTYASLQQQIAQVDAELLILKEQEENVDE ITIKRELEEQIQGKKIRRKEIENTDFELHVLARNQQTVADFRGKLLSKKIWKKHYPKI IIVCIDNYEYGKHAPGYSSWTPDESCEAPRLSKEASGIPELRSIIAGFPADGLWETLR HHIHETWECTMNSLEMSGTVTIAQRKAEVDEQFAGSCQSIVTIIEEQFHDLTSGHMEA VRDHIVQKERSWAEAGLETHAGFAKSMNSATHKALMKKHGLTVTGQRKEFDLNEELYA EPRKVIMASLDDLSDDKIPRFFTELIQGIRSTVEELFTEIEHGPHYDAVGLSAKVQAG IRVRQRTLEKACTSTQKQAIRMIRDKRDRALGPHGTSSYFYAAFKSAYDTANTAQPKK RSRSYTLHDARCKAFEMALTVPDGPYYQLYIMMFEELVGNLNDLQQQLISNIKNIFAE IQHEIDMACSRKDDHRPEAKTFRREVMRQTGKLRKLFTDQIRPCLIQAVKMAEIQRRE ERINQQMPTV CC84DRAFT_124104 MSKLCFVTTGATAPFTKLIEAVLSPSSLDAFLECGVTHIFIQYG TAKDVYHSTAEAARQYLKAISTEQELKIDGMDFDSSGLKEQFKLVQQTQGLAISHAGS GSILEALRYQVPLIVVPNTALLDNHQEELAVAMDRAGYVIHGNVDHLAPAIKVSEGFR TKMAQFPPVTAGKERKTKSFANIMDETVGFTDFNNE CC84DRAFT_1135162 MASISRRLVLLSRRCPSRTPSAYAAPKYTAQWQARPLSSTPARR ADENNPGPPKEAAIPSATPSDPIAEATDPVSTPKQGTAASALKQLAEDLKALDPEVIE DAIRKGREGISWTNDEMTKNMNEEDWIIDEDDPRKASLGFWSEGEPTLGKDEDYYGDD LTSLGHGELEQHRELREYARLIAWELPLLSQLARPFEPPTAETPFRFRYTSYLGESHP ATNKVVVEFSANDLALSPTQRDKLIKLAGVRFNPDTEIIKMSCEAFDTQAQNKRFLGE TITNLLNEAKDSRDTFADVPFDFRHHKPKFRPEFPKEWIMTEQRKKYLAEKREQMMLL EEEKANTSGLVDGKNIVANSMPAAIAQPEPVMVGGPRGRALR CC84DRAFT_1080344 MASPGDAPADAPPQPAVHAAHEHDGAQSKPVKRSWRRKYRKMRV RFDETMTASNNYILEEWKSQAVARRLREQNDQILDILLEMNDSARLPARLRLDLREQA EIDAKPTIEDPEVVQQRLNSLRTELANGIITADEYTRRAEALHNSQTIQLSRSLAGLE AKIPHSTEAPDPPIEGLDLSETAPGYMSPTHEEEYLALLDQAFADPNAFDARPIRIPS SHPPPTEKDLSVRNPDSVYSWLRKHQPQVFLQDKDPQHPENMAEKAAPKANAGARGKR QSAAAGTPGPKTDHEEDEGLEGEPGAPGKGGRKSKGGENDSAYRPKGGSSRAPKRKRE DGEPAAKGNKRKRQSAAGAAA CC84DRAFT_1183119 MPLDAKEVRRRRSSSLVYTEPPESLEQLSDQAALPNLNAEWVNA KGAWVIHIVLIALGKILFDIIPGVSQETSWTLTNISYVAGSYLMFHYVRGVPFDFNSG AYDNLNMWEQIDNGDQYTPAKKFLLMVPIGLFLLSTHYTRYDLTYFIINTLATLAVVI PKLPALDMAWKQLEPTGPHVTYGAITFFLLSYALFSLFIRNRLHLSEPPLATLFGIVV GPKGLAFLQPYEWGFGDDIVQEFTRLIAGIQCFAVGLELPQHYFTKGGKWKDIAILLG PVMTFGWLVCAGFIMLLFKTDIPSALVISACLTPTDPVLAASVLSNSQFSTRVPKRVR DLLSAESGVNDGVSFPFLYLGLSIITRSTFVGMFKKWMLITVLYQCAFGIILGLVIGF TFNKIFTFSHKRELMGSASYLSFYLLLAVFALGIASTLGVDDFLVTFFAGLGFSHGGN FPTADARLPIIIDLMLNSTMFVFFGAMIPWASFSQLDSITPGRLFALLALILLFRRIP VVYAMYKMKLLPHIRTTTEALFAGHFGPMGVGALFLAIEARAQLETDTSLPLPNPPSD LPLEEQRTITLVWPIICFVVLGSVLVHGMSTLVISLSGHFARKEGERASLLGGETEGL HGMVFGEEDAMRGEDEDEDEEDTRRNALFRGT CC84DRAFT_1135173 MRFSSVCSVLALCASLAEASPSHGKPKIPARPVLTPAPYNSGKE FPRSAPRSKNKKCFVKPGKGDDRDDAARIKTALKKCNNGGTVILDQSYLVSSPLDLTF LKHVDVVITGEIHFNAKDVYYWASNSFKYDFQNMSTFWKWGGKDVNIYGDLSNGKSVI DGHGQAYWEEIVTNKTLLRPILFSIDGLKGGSMSNLRMRNSPNWFNIIANSSDIIISD LDIQAKSTGGVKIANSDGWDTYRSDNVVIQNSFVDNTDDCVSFKPNSTNVIVQNLNCI GSHGISVGSLGQYANLTDIVENLYVYNITMTNASDFARIKVWPGVQSSFQELLNGGGG LGYVRNVTYDTLHSINNDRAITITQCYGQKNQTLCQEFPANLTIEDVTIRNMWGTTSL STDPEAGTLICSAPDRCSNIRVENITIDVPSGNTTEWECANIDESLLDINCVPTDGDR DTTNG CC84DRAFT_1135177 MLLTFLASALLVASSNAAPALPRRNVTTASERLGLTWLGANSTL PKILVLFTGGTISGGSIYGALDDTQYGQLSITGEDIIARNPYLLNNTQLAVSNWTTED DGSTGTNDALVMNMTRFAYDALCSEDSDIVGAVYTHGTNSLEETAFLMDSLVNCGKPI VAVGSMRPWTHLSFDGDANFFDGVMLAASPEARNRGLMVAFNARIIPGYWVTKLHSTN PNAFGPTATGDLGLFVNSLPVFYNTPSQPLFKYYFNLSLVSSHPSYPALPKVDILYAA REFDGKLVLDAQANGAVGVVIAGTGNGGIPTGQDEIAEALETGLQVVVGTRSPFGPSS PSQVPTYAKSGLVHVIQARIMLQLAIASGMGMNQTIGLFEGGFRKAIGQPWTPGT CC84DRAFT_124176 MDVAARKKSLLLSLPMELRLEIWRWTLSDPSVPDLVANIGRDKK YVRRDSATGTVIPRVKAWLEPGRNQSIGLGLLRTNKSIYEEALPLLYNAVRFAPTDHQ GIFPLFLDSLSPYARSLIHHIKLHVPRQIYDLDLFGAHPDSLFHWAITCAQIAKLEGQ LRDVEVEGLWFESRFVNEKTKYSILFPLCKIKTKKVFGPNNDDGMERLLLHASRELAR KADLRRNQALLTELKDAVAKDRGETDPEEEDQEKGALENSVGQLEHRNSDMLRQPYSS PITSDDIILRNLSTVAGIDAFEKELKEHTSPVGENSSTDDAATLTDDWDLISYRSGAS TPTDRPPTYMSRCSADSWTDVASTIAEVHNLDTDEDVAK CC84DRAFT_1192643 MSGPPSETAEKKSVHSPPVPHSDIEIGSIDFVTESTKDGDEALR FLRNQHDVGQMTSEDERKLVKKIDRMIMPLMWCCYCLQYLDKTLVNYAAVMGLYDDAN ITTAQFSNLALFFYVSYLALEFPHGWGMQRYPTAKYLGAAVALWGLVTALTCVCKNYG ALVATRILLGCFESAVAPSLILITGMWYKKQEQPLRTGIWYLGVGTGTMIGSLISFGF QHYTSKTFTSWQIMFLVVGLITVSVGVLVMLLLPDNPMSSRLTPSEKVWAVERLRENQ TGIENKHFKWHQVVECLKDPQTWMLSLITISSNVPNGAVSSYQATLIKGFGFDSKHTA LLQLPSGAVSVISILMAAYLAGHFDQRGLNVVTLLVPGAIGGALMAFLPNSNKAGKLI GNYLTNCIGASLPLLYSWVAANIAGHTKKVTMNAILLMSFCLGNIIGPLTFRQKDAPE FVPAKITIIVTCAIAAGLTLLLRMYYSWENKRREALAVGRVKDEEFLDLTDKENKHFR YRL CC84DRAFT_1159787 MQRRQARERRDYLYRRALTLRDAELAAKRAALKQSLSSGKPLTK DLAEDTSLRQDYKYDESRADRTVEEELGMDDEYSQLSGVVDPRILVTTSRDPSSRLST FAKEIRLLLPTSIRLNRGNLILGNLVGSAKSSGLSDVVLVHEHRGTPTALTVSHLPHG PTASFSLHNVVLRSDIPNASRGTVSESYPHLIFEGFTSKLGERVVTVIKHLFPPRDGA VKTGNRVVTFVNREDTIEVRHHVYVRTGYQSVELAEVGPRMSMRLFEIRSGTLDSKEG DVEWHLNQYTRTGRKKDYL CC84DRAFT_1159788 MSPIQESEDNLLHVTRISQYVPLPPISLHAALPALSAEVFSPLL LTYYPPAKGVILAYEDVSLSAQPPKTSTHKSSRKHVSSAPDSDDDERRVEAEEGVVLT RCVDEYMAPYVWATASLLVWRPAPNAYLDATLTHQAGTHITLAHLNTFAITVMKEHLP ADWTWNAAQTGKKKKGFDGRIADEGGWWMDGELNEVKKGTSMRVRVREWDVRGGKGKG VLRVDGSLLSLEEERGRAAKKAGKAAQGRSAREAEGMEVD CC84DRAFT_1159789 MATKREYTTEDTTSSAKRPKMENGNGKLDAANNPYLAHWNDDKA NTADGLYDFKRHATTSKQASAAEDGPLNPFTGKSLSNRYMGILKKRRDLPVHKQRDEF LKLYQENQILVFVGETGSGKTTQIPQFVLFDDMPHKSAQMVACTQPRRVAAMSVAQRV AEEMDVELGEEVGYSIRFEDKTGPNTMLKYMTDGMLLREAMHDNNLTRYSTIILDEAH ERTLATDILMGLLKEVVLRRKDLKLIIMSATLDATKFQKYFHNAPLLAVPGRTHPVEI FYTPAPERDYVEAALRTVLQIHATEDEGDILLFLTGEEEIEDACRKITLEAQDLVRED AAGPLVVYPLYGTLPPAQQQKIFSPAPPPIRPGGRPGRKCIVSTNIAETSLTIDGIVY VVDPGFSKQKVYNPRIRVESLLVSPISKASAQQRAGRAGRTRPGKCFRLYTESAFKKE LIEQTYPEVLRSNLASTVLELKKLGVEDLVHFDLMDPPAPETLMRALEELNYLACLDD EGELTTLGSLASQFPLDPALAVMLIVSPEFYCSNEILSLTALLSVPQIFVRPASNRKR ADEMKDLFAHPDGDHLTMLNVYHAFKSEEAQANPKQWCHDHFLSYRALQQADNVRLQL KRIMEREELELMSTPFENKKYYENIRRALVAGFFMQVAKRDGNGKAYMTVKDEQNVLL HPSTVLGQDSEWVVYNEFVLTTKNYIRTVTSVKPEWLLDIAPNYYDIAAFKKGEIKTA LQRVATKIQRKEQEKGKR CC84DRAFT_1159790 MADSTYSAEEARPVFYIGEHETKRPLPVTAELVHHAQDLGYDML TTPITNDNFHSRVLSLLRSYTHATEGSAQAQPLPLIPALEDVDTPLVPDHLISQLVAF TSSWIDLGSSDPVIAHLSRQVFHLEIQYAAFCGVVNVVVPGPRAANGTDGIAQYARAI KEALSTGAYVQLHVMMPVDFSKASEEGDLGDLARFVRPEFAQSPGQQAPTLFAAWDAW NTIRTICKYDSRLSVALDVPKRLPSLSLQSRWYSEPVRMLILSAKSFIPNEKGSWVLH KTLQLFIMRCMRLKTTPWVLLADIGPIPGVEDPDAVFEYNGGYLSPSAAADAPSPGLS VTAAPTPAEAAQIPQRPAKKSSSDPTPYLSYLRYMQRNQPTATQIERFGDNFQDYLQS PLQPLSDNLESITYEVFEKDPIKYAWYEEAIARALEDWRGQEKSTSSEDGAVVIAVVG SGRGPLVTRALSASARTGVPVRVYAIEKNPNAYVVLLGHNKNLWGGQVTVVKTDMRAW KGPVKADGTAGNVDILVSELLGSFADNELSPECLDGVQHVLNPKHGISIPSSYTAHMT PIATPKLYADVLSRSHGDSSVFGIPWVVMFSQFDFLSIQPNQSQIAAQLSDGRKMRDF DLNPPLEPLIHTAWEFTHPQPPTVLAQSSLRRGGSAVGGGGGFLGGDGANEHNYRHCK VTYPIQEQGVCHGLAGYFETVLYSGSQGPVELSTNPVTMEAKSKDMISWFPIFFPLRT PVHLPSNSELEVSMWRQTDDRKVWYEWLVEAFATVGGQRIRLGVSDLHSSKSHGCLM CC84DRAFT_1077736 MGNKPSAIESCLISAVGGDKGLIAGQSTIGYQLSHVKPYNLDIP VKPSAVTYPKTSEQVAAIVKCAVDNSLKVQPRSGGHSYANYGIGGEDGSIVVDLKNFQ QFSMDKTTWQATIGGGTLLGDVTKRLHDNGNRAIAHGTCPQVGIGGHATIGGLGPSSR MWGSSLDHVQEVEVVLANSSVIRASEDQNADVFWALKGAGAGFGIITEFKVRTQAEPD QTTLYSYGIQAGSAQDKATAFKKWQELISDPDLSRKFASQFIMTELGVVVTGTFFGPQ SEFDSLNISSRLPDADESVVVLDDWLGVVGHWAEDLALELAGGIQSNFYAKSLAYTKD DLIPDAAVDDLFKYIDSTDKGTLAWFLIWDLEGGAINDVAPDATAYGHRNALFYHQAY AVNLFGRVDDTIRDFLTGLNKVVTDALGHDLGAYAGYVDRALGDDGPSKYWGDNLERL QQIKAVVDPEDVFHNPQSVRPKA CC84DRAFT_1171206 MKVLGTVLASFAELAALATARLAVSYHFSGAPSVPSHTPLIIFS THIDTAVECAAQDTRPALVSIPCTHPPLCPVPLGVCQRAGVPKSANFVDIVGALWCEF YNFHDGSKQADPERMYGPFLDYNISKYQGQGYRCDYFYVGDERVRGDVKTSLTAHGR CC84DRAFT_1135195 MSADPKPTSAYVTLVSNDGYEFKILRDAACIAGTIKKAFDQSSG FREVSENRMELPTINGVVLEKVCEYLYYNQKHAESKDVPDLEIPPELCLELLIAADYL DV CC84DRAFT_1159793 MRPPHVLITGGSRGIGLSIAHLFAHNAYRCTIVSRSEAPLRAAV ASLPAPSSAKHAYITGDIASPTFWSSAGIGSSLPAKDEASKLDVLVNCAGVTQGSLFA ATPPEQIQHIVDTNLTGVMVGTRFLLRGRYFGKGREEGSNKCIINVASLLATHGGHGA VAYAASKAGVLGFTRALASELGRQGIRVNAVVPGYVETDMTGDLDHAALSSRIPLGRL GKPEEIASAALFLAQNEYAHNCVINLDGGLSAV CC84DRAFT_1183130 MATGRDKHAGKSLGSNLHARIKQARVLMVGAGGIGCELLKNLVL TGFGEIHIVDLDTIDLSNLNRQFLFRNEHIKKSKALVAKESAGRFNPNVKIEAYHDNI KDPRFNVAWFSKFDIVFNALDNLEARRHVNKMCLAADVPLIESGTTGFNGQVQVIQKG KTQCYDCTPKEAPKSFPVCTIRSTPSQPIHCIVWAKSYLFTEIFGTSEDDAPELDHSE DSENRKEIENLRNESQALKRIRGTMGSEEFPKLVFDKVFKEDIERLRSMEDMWKTRKA PTALDFEDLLKALPSVEKNIASKDQTAWTVTENFAVFLHSLRRLSDRMEESKASGDTG NSPPILSFDKDDEDTLDFVAASANLRSIIFGIEGRSKFDIKQMAGNIIPAIATTNAMT ASICVLQAFKVMRAVIAKDELSAKLNDAQLTGSSKESSKADAKAEQVEVKPDEAFSQK LSKAKMVFLAPNGTERRLTTEGLSSPNASCPVCSVAQSTIVVDASRATLNDLVENLLR LQLGYGEEFSINSEAGLLYDPEEDANLNKTFAELGLNNDASITVIDDAEEGARVNLVL HISEQPMESEARPIDLTQKPEIPLKPKAATPPAETNGDWRPIVTNGLTNGATNGATNG IKRTADEAGFEEELVKKKGKVAAKPDDDFVIVDDANDGIIVLDDD CC84DRAFT_124261 MSDDDVDHELLALMRQKFGLGRANPNAPPDTKVLEHAQFICDNS IDVAISMAHTKLAAIAVSEEMQKREYSTKSWSEHELHPKAKDEATVNFIFTMDLLNFS FWSLRGEEERFTVDYKGRKWTGYWSLVAALQRALDEGIPITSPEFWFDGEKDEQEVRR NAGTASGVTKSAPWKDPEAPRLPNEAFNTGVYPDPPAPRLPNESFDTGVYPDPESPQQ PAASEANVTANSHDGSIEVADERILEDQHDDDSDIWSDALEAQSEEAAGPGQSPQLNE EELAAPQRVRCTEDVLRHVFRSSTNEEIPMFNLRVHCLREAGRVLHDHFDGSVVTLIQ DAKGSAAGLVNLLADRFSCFKDESNYERKKVRFLKRAQIFVADLWAAFEGEGYGEFND IDKITMFADYRVPQILHSLGLISYCPPLEGRIRRGEIIESGHSWEVQIRGCSIWAIEL LRREILKIQPNAKVNAILLDFFLYDLAKEKEKAGEETLPHHRTRSIWY CC84DRAFT_124247 MDSQFNFIEWSQSPSRTPGGRRRSFIWTPEMVETLLQALVEQVE SGQRADSGYKVAAWEMATKEVNLCMVPMRYPRSHSNRTGPNCFDPTIPV CC84DRAFT_1159796 MPSIAVTPHEENGNVSDLTAQGIKHALQKTAPSQLKSASLSDST TQVHSANHQHDAPTPLAELDASLLQTTYTTSPRPVPALGSPEMASQKVCTDHMIQARW TSSTGWDAPTLQPYGPLSLMPTASCLHYATECFEGMKLYRGYDGKLRLFRPQLNCARM LMSTSRIALPGFPPEELLKLIVRLCATDGAKWLPKDRPGSFLYIRPTMIASDPALGVQ RPTEALLFVILTCFAPMDALDGGMRLLASKDDMVRAWPGGFGYAKVGANYGPSLVAQG EARALGYHQILWLFGEECFVTEAGASNFFVVWRTREGVMQIVTACLTERIVLDGVTRR SVLELARERFAIGYEGLEAVEVVERKFSMAEVVEAVEEGRLIEAFAAGTAWFVAPVGH IHFRGKDFKVPMGENGQSGRYTAVVKEWLRGIMWGTNGQESHEWGHIVDEK CC84DRAFT_124282 MPWMITGTGDMRENSALGTVINANISVPKCFMLVLLLHMSIVMI RANTRANRQTKLSARAPAVPSNISFNTRTYRFFSSHEITLT CC84DRAFT_1201479 MQLSILAIIAPLLATVHAGCYSGGETWAPDQVQANNALDGVCNG LSGGFGGKQTKYACVNAGTANKRFEFWVTNTAGNSLSLNKGDCVLRLSNEINGCQNGG DTTTAGWNFRADPNAGRC CC84DRAFT_1254749 MMIPIAFCAESLFLHIVTSAPQDYNEILRISLLAGAGAGLLATD HRLSVPGLVSSILAMLFAGVARAFWKIAIRCNPDDVVAKDKDQTGRYVIIGASVGVTW ALVFWKGEPIFTLDFSNVPLFTINALASALALALGKSMLLPMDDESVDSNFQNSDAPV HHVWDASTLLVLAGIVGCYSTLSYRRSYTSAYQFVCFLVAIICIESRVYVEAFKDRSQ SARTSHSTYELSPSSSEDGESVDLANEDGHSGNQPISRTKTIRRVMLGIAVFSLWTMY GISNANDRREDRSTVLLDQDYEPQLPVEIVLSMYKEPIYEVVSLIHNLRSIPSLSDAH VTIYIKDAFADNDSIQHLTRANDVITLPNIGREGETYLNHILNRWDSLARQTIFLQAG IHNPREFYKHVRNYYSSSQTGFLNLGWSGTVCNCADCGDRLFWTDTTHVLAQLHAQMY NGSTSVCESVLLSYKGQFVVSAARIRGISRDVYNTLWQAFIDENSWAHQQPYLEGRPD SMSAPDFGYTMERMWNLLFQCSNREVAWKCPSLVSGWRVGGEIADCQCFDD CC84DRAFT_124302 MVRLTLTRLLCTAIFSCIFLLLVPVSNLLHRERGFDVLLDRPPE PSDAPSPEVSFGQECAPFVAGGMQRLTVIMKLGATDVVSKLPAHWHHLARCKPDLLFF SDRKAAYNGFDIVDALGSLRPEYKYHNPDFNVYDRMQDANTTQDPEHWKLDRYMLLPM MELTSHLRPDSQWYVFVELDTYFNWDNLYRFLLYFDHTTPYYFGSPVWPRTKKPVFAH GGSGIVLSRGAMSKLMARGRMFARNHYFPGTHLFGKDISKECRGWDEKMRCGDKILAQ VLKDAGIPLRGYWPMFNGEKHSTIRFGRKQWCEAVISLSTLQEDDFTAIQHWESARYR PSQPLTFEELFSYIEPSLRAPIEDWSNMSEDITYTGGPAGLSLESCKAACLETRRCMQ FEHFGDTCRLSHDIRFGHQQLPEGNIKWTSGWVMERIGAFKAAHPPCEGAHLVHAYP CC84DRAFT_1192656 MYSSTQSDGTYQGPVFRAWISPIIAIIFGYFSLQFIYDVFFHPL AKCPGPFFAKFSKLPNFYHALKGDRHIWIWQNHQIYGDKVRVHPNEVLFLAPQAHKDI YGAKANVGRAKSYRAWQTSTEANTALTTDPPTHARKRKILNQAFTEKSVKHAADFVVE HTERWIELLADTTDAPGKDGWTSSRNMSDWNDWLVFDILGDLCFGRSFDVKEPKPNPI RKIPHLIIQHVQLFYPILQSPFVDFFIWAKPRGLDYLLNMMAPQEIRSYYAFINNSVT SRMQMEQENKNEDTARKDMFHFLCKATDPVTGEHYSEDALQGEAAMLIVAGSDSTSSV LAGLWFYLSRNEAVYKRLGNEIRSTFRNSDEIVSGPKLASCVYLHACIDEALRIAPAG PSEFAREILPGGAVIDGEFFPAGVVVGCAHWAMGHNEHVFGDPGRFRPERYIPSDITG VTAEDVSAIRSYYHPFLIGPFNCVGKNIAMTEMALVVARTLFQLDLRAVVGEDLGAGS ERLGWGRRDKKQYQISDAYITVHEGPILQFRKRVS CC84DRAFT_1135220 MADIKQQLEALRPEIDEIVRIAGTPGLSLGVIHQGKPIHTAHFG RRNADDAAPCTDNTIHSLCSLTKLVTVAAVAKLVYEGVLQWDKPVREYLPVFRLRQDE LGIKATLKDLLCMRTGLTAANSLLTLQDNEPLMKAGETTGLATYLPTAKPYGQFLYSQ WNYSLVGDIVKEVTGTSIFDYIQENIFGPLNMSRSSFSYLQDLDDDVAHTHCTHDDGT ASRKPDATSGLFANGAGAGGGARSSVKDYMTFLQAILHAYKHQTTKGVDVTPGSIFPL MREVFTAQVGFGPPGRSGIENAAYCMGLYRTRLPGYLSIASPNYYYTLGMKRLAPYGE TLAGTDVYHHSGLALGHQGALFVVPSTESAVVAFTNSQPLMDPSDFVAQLVLSKLLGP PPSLDFVKLAKLARTITLDNYKVLENLVSKGKTDVPPTKPLSAYQGDFYNAIHNFVFS VSVNGGGLNVRVQRGKTNFDLLPYDGDTFYWRVNREEEMCEKGMWGFMYKDWHLFRFE INSHGEVEKLLWKHDPYLASPEAFTKTPNYQAYARL CC84DRAFT_1226864 MPLVARASPKSSRGEELVNLAIAFIVIQTIVLILFYISRYAKVK HISGVEMKYFMPLGYMFCIGNAVCAILMVRLGGMGRHVLTLNAEEILMLGKVFKAEEM IYLASVMFPKLAALSLYIRLFMNPVRRLSYIVGAFVVCSFLAGWLTWAFSCRPFAFNW NKTIPGGHCINTNMSYTYFSIPNLLSDLALILLPLHPLWKLNVARSQRIGLLVTFVLG GFGIVTAIIRFVSFITTDIRSDPSFHGATTMRWGIIEPAMYQMAATLPTLRPLLTSSM SVLSRLSSKSKLESSNGGTDAGAEIASGDRNHFVKLDDYHYLQDSRTSKGLGKKYSGV LKTTNVSVTSHTTLSADEYVMGPARP CC84DRAFT_124332 MVLMIGRAALRSMLPSSIGYYLLEPGIRALIGTYLVQEIFLTDP VLTSVLEDQPRIASPAPCPIPRFAAYAILPLASFSQQANKPVDGTHCIQCQSSGPAMR KQSEQTSDRLDFVIFGFAPCTLQYPTGKSHDPRCILRMTFGPLRWLL CC84DRAFT_1226866 MRFLCLHGFGTNNQIFKMQTTALRYELTNHDEHSFEFVQGVFPV SKPAELGSLSDFEEGHFGYYDPESPSSALAALDQLDAFIRSHGPFDGVIGFSHGAQLA ASYIVHKKLEGLASVSPFKCAILFSPLGVYDSKEWLASGLVQKLDIKDNGCAIDIPTL LVWGITDPWKEEAHGVSLLCNPQTTYTFVHSGGHEIPGIGLNEALGPVTKLAKRCIIS ACTEAV CC84DRAFT_1212052 MLLRSNDEHKNNLEPVDSGDNAQNSPVDTKSGASNEAAVEQTAQ SAFSGYEVGFSKIALIYLGIQVALFMTLMESTIVSTSVIAITNGLGGYVKSSWLFTSY MLTFSGFPIIWAKASDIVGRKPCLLAALIVFLVFSAACGAAQTLIQLIMFRWLQGIGA SGVFSLTLVFFELIPPEKYAISTSVTNMVVSLSFLTGPLVGGAVTLTGKWRWIFLMNV PVTSVALVILFFAFPKQLSREPVANRAAESVIQRLKRFDVLGGMLLLGITVSLTTALQ QAAQGIAFSSAFVWPLLLVAGLSLLGFLSWQRYITTKRRFPEPVLPWRFLQNRACVGI MLNTYFSGSVMTICVVQIPQRFIVLNNMSPLGAGTRLLAFSLMVPVGSIFAAVLLDRK LLSPNALLLTGGTLQTIGVTLFATLASDTDKLGPQYGYQVIVGIGLGFVTTATFLLIP MKMERRDLAVGTGMVAQFRILGGVISLAIVTCISTPVIRNALLEFISPEHTHAILDRL ETIGTLPKELQAQVRDSFRRGFDLQMTIAIGFAAANILATVSMMGKDMFLEDLRFFTS KKESTSG CC84DRAFT_1080713 MLTKENHGPLEPIAVVGLAFKFPGGGNTEKEFWEIMMQRKCVVG EFPPERGNIDTCYDPSMKGTSKVSYSLNGHFLDSDIREFDPKFFSISQAEAGSMDPQH RALMETTYHAFENAGMSLKDIAGSRTSVHVGCFSSDFHAVQCRDPLNIPKYSATGGSS CILSNRISWFFDLHGPSMTIDTACSSSMVAFDLACQGIWNGSVDSAIVGGANLIQAPD LGVMLSNMSMLSPDGRCYSFDKRGNGYARGEGVATLFLKPLSAALENGDPIRALVRAV GMNQDGHTKGGLTQPSMKMQASLVNETYRKAGLSMANTRFFEAHGTATPLGDPIEARA IGECFRLYRSPDDPIYVGAVKSNIGHLEGCSGLAGIIKVVLAIERGVIPPNANFESLN SQIDADFFNLKFPREPVAWPHDEHLGIRRASVNSFGFGGTNAHVILDDVESYLRRSSG LSNGVNGCNVGAVVNGHTIAKPSTPRLLLMSAADEGGCSRLAASLNASLRVPEDKYDE ALLDDLAFTLNSRRTKLPWRSFAVCRSPASIEKLGDSISKPVRRDDASVNLAFVFTGQ GAQWAGMSRELLGWSVFRKSVLLSQSCLAELQCSWSLIDLLVADGETIPIDNPRYSQL LTTVVELAIVDLFASIAVRPTVVLGHSSGEIAAAYCAGLIDRSSAIRIAYFRGELAAR LVEQDPERHSMLSVGLSPSDLQVHLENLTEKQNTPLVESFTISCINSPSNVTVAALQK HLDTLSAYLTEQGVFARQLQVDLGYHSPQMRQMSADYVKMLGNLKAGVRTKDTRMVSS VTGHFLEEEAATSPEYWDKNMVSQVKFSEAASFCFSTPVQELPLKLDQSHLDNLLISG IVEIGPHSTLKAPLRQILDFHKRSNEVFYTSALQRGHPADETFLNACGRLYCENVSFN VGLLTEWCRSSPSAPKVLTQLPKYPFDHSIVYWEETRISREIRMQKHGFNKFLGVSIS DGTLLDRRWRLILRTDEQPWIKDHVINGANIYPGAGMCAMAIEAAKQLLHERIPTAFV LENVEFIAPILLNDTAAGTEVEISLTALKSDKALIQYKFRIATWRRDESSEEVCHGTI SADYGNVASEVDPHSEREMLSRILDDHERATDSCNRKVEKFYETVKAKCGADFGPSFQ RLQDISCNGEGDVRARLLPYEDYCVVHPVVLDATFHISQAISNGDRFRTMVPRRVSKI WISTSGIGHDGIDQEVVHARASMPTRRSAVSSISVLRQTTREVALKIIGFEYTAVSED KDSTVAGDEAKHLTGHMIWRPDVDLLGTDELAQYCARHRDTRDDPEEYFRDWEAVSIV LGTRALRVLGDDPPATSELANYVSWLKTTIASVRNSFPKDVIELVDSCILGDGPFEAL CERVEKHKAGKLYVAVGRSLGRILTGELNPLAVIFQDEELMQEFYDEMIYESRPLRSM QAFVDLLAHKNPDLKVLEIGGGTGGSTNTILEVLNSWHGPRFGQYVFTDIGPSFLQKA RTRFSDLDNMEFRALNIEKDPLEQGFAAHEFDIVVADMVLHATSDLSKTLQNVRKLLK PGGKLILKELTSSSKVYTGFVFGLLPGWWLSVEPWRAQALSPCVDAASWSRLLDANQF SGVDLELCDFESDICHMWSYFICTATDATVQDQKSHGEVSAPIIVVREDSQAQKQLAR HIYACMNIPEVWPEALSFDETVARTDLGSRDLIILLESEQPVLVDITPKFFAGLQKVL CSSRSIIWVTRNTSSASPEYGMITGLIRVLRIENRSTQIVALAVDDHEMARTASNIFT VFKATQKALDLRIDVEPEYLDQSNLLHINRIVSSKNINEHIFRRTAQPVVEQPIGNSN LRLGIRALGLLDTLEFSEEMPTESRLGPKELIVRVHSIGVNFKDCMTLLGRLDTDDLG TECAGTVERVGSACGDIVPGSRVAVVAFDAYRTFVRTNTDRVSVIPDWMSFSQAASIP TVFCTAYFSLIKMARLQRNETVLIHAASGGTGQAAIQVAQDVGAKIFATVGSAAKKQL LEEVYGIPGSQIYYSRDTSFADGVLQATGNRGVDVILNSLAGKQLQASWDIIAQFGRF VEIGKTDINARNTLPMYSFGRNASFIGVDVSQIIEAPSSDGSGSRIQEVAGCVMHHMR AGTYKPVYPLHNYDIGSLEQALRFLQSGKSSGKIVVNVAPDSVVPVIQSSHSPYQLRP DATYVIAGGLGGLGRSIANWLCDRGARSLILLSRTGTTNPNVRAEVERLRARGITVEC PMCDIADLGALQSALEACSHLPPIRGCFQGAMVLRDATFARMTFDQWTECTLPKVQGS WNLHQAMAGVDLDFFILLSSAGAIVGNGGQSNYAAGNSFQDALARFRVSRGAKAISLN LGMILGGGYVAENDAVRERLVRNGELYPIHLEEFLAMLDYCCNPDLGLLSPDECQLVT GVTLPAQLRAEGKDVPLRLLQPLIRCLAQIPVRVSFKTQNEGTGENLMAAFREAENVN EAASVATEMLKIKTSKLLGLQVQDVEASSQLEHYGVDSLVAIELRNWISKELGVDIAV FEILGGMSLLELGVLIAQRRSAP CC84DRAFT_124406 MAALAEAQPRGSAEFVESSILEAVVPSDSEFDAEEELTSWDGTN DEEKGSVLPFLSQRQVLLFDELVPVYIVFRTPLMEDATLKSYLSRLAINVEALAFSTA PPPDSDSKGPPSKELLASETITSAVEPVIVRHDEASSPHIYVVWKLEIFMSRPRGRFQ KPAIYFMPTASLKPAEKKSVLEDEYLPSRTPTALNLLQSFESDPALAGIHPRLSALRI NKIAPTAPVAKELTRPIRTGQRRLFRALPALIWRVRYSKVQTAISDMSLMASLDLEVA YITGCRLAINDVKLSLRGGDVKPISDQSIIKHIHKPGDQLTSLYKITPDLAVDGTPLF GNEGHLLTLEIKAKVLVSEDCQPNVSIGWQTAVDFSAEQNSALVKAAHRLSNPLTHAP KIPETGSTTSHDKVGQTAEIPKDTSIHVTLTISGPPQVTVGEMFNWSVFIVNRSDKTR KLAVLVVPRRRRDYDKQRPLSSASSVAGYRSDKKDLLANAVVDENIVYAKQKNARTET AELICLTTDIRIGHLAPGACYTADLKFLALSAGVLSVDAVRLVDLATNETSDIRDVPA IVAVEHKG CC84DRAFT_1159803 MAMNGFAPPGGMPFPPPGGMPPPAPAWKSVTTPEGKTYYFNALT NATQWTKPEELMTPEERATVGTDWQILEHNGKPYWAHKETKETTWEPPAEVKQNMERV ARPPPPPSSNSWAAGPAIPSGPRDREQARFDREPMRERDSYQPDRRDRDRDRDRERDR DSGFGAGERASMAFAPSNDIQFANPNDAVLAFNKLLKQLKVQPDWEWTRAVRAGIKDP NWRAIPDPEKREEAFRKYCEDLRAEEKNKEQSRQEKLRSDFMAMLRSHPEIKHYTRWK TARPILEDETIFRSAKDDDERRRLFEEYIVTLTKAHAQQEKEDKKTALDEVMSLMRTL DLEPFTRWHAAEEQLKQNNVFNSEKFESLTRSDVLNTFEKHIRQLQRDHNDRVQAERR QKQRIERKNRDGFKQLLQELQKAGKLRAGTKWKDIHPLIQEDLRYVAILGQGGSSPLD LFWDALEDEENKFRSLRRFALTMQEDERFEVTASTPFKEYLSVMRANPRTDHIDDHTM KLIFDYVLAKVKKREADELQEQEHDARYVMDDLRAVLKRLEPPVTLSDTWETVRPRVE KTKEYSALKSDSLRQSAFDKYMRRLKEKESDRRDRSRRDTRDRERDRDKDRDSRRDRR DDREYRNGHSDSHRRHRTRTRSPENDPYAAERRRAQEDREARYRNNDSTGLSPPYRRD RERDSDRYSGSRRGSGDFYVRERREREAERERSYIPRGDPAASRADPRERSVSELDYG DSSGARTTSTRRRRESDESTSRRDSKRARFSPRADRKSKTPVPEPPKEEERALRSGSE EGEIEED CC84DRAFT_124592 MPRPGQVLGLVGTNGIGKSTALKILSGKLKPNLGRYDNPPDWEE ILKYFRGSELQNYFTKVLEDDLKAVVKPQYVDQIPKAIKGTNKAVGHLIESRSQMDNL EEITEVLELKHVYERDVTLLSGGELQRFAIGTVCVQKADVYMFDEPSSFLDVKQRLAA ARMIRSLLRPDDYVIVVEHDLSVLDYLSDFICVLYGKPAVYGVVTLPASVREGINIFL DGNIPTENLRFREESLQFRIAEAGDEFLTDKSNAYGYPKMAKTLGDFHLEIEAGQFTD SEIIVMMGENGTGKTTFCKMLAGALQPDDGKKIPPMNISMKPQKITPKFTGTVRQLFF KKIKAAFLSPQFQTDVYKPLKMDDFIDQEVQNLSGGELQRVAIVLALGMPADIYLIDE PSAYLDSEQRIVAARVIKRFIMHAKKTAFVVEHDFIMATYLADRVIVFDGQPAISSRA NTPESLLTGCNKFLKNLDVTFRRDPNSYRPRINKFNSQLDQEQKLHGNYFFLEDEA CC84DRAFT_1159806 MDTASIVVPSAMADSVPYTNGHSSPIASDTAMPSAPSPPSEDAL SETQEAAAASSDEDGDADADGEEYEEDEQMEVDAETSEDAEGEADSDFGSDSPPPEED RRRARSSTSQGSSRPSKRKASVEDDFEANPELYGLRRSGRARPTRRIVDSSDEDDGSD SDVPRKRQKTASRKASNQPTPVYRSTNTDSDESDGFVGARRDIPSKKERQRRQLVAEG QLPPSHAEVRFSARRTKAITNYNEDGEESFEEEEDEMTPNYWAAAATEDTGPAIDKVL DHRPKPDVELDPYSVQKKDFEYLIKWQDKAHYHSTWEEHSTIASCKGWRKLDNYFKGP VLTDMHVHSRKKIDPEEFEQHMVTREGQRESLLDFHVVERVIGSREGDEETEYYVKWK GLSYDNSTWEAASLISELAQGEIDRFLDRQTNRPVSDPKETSLQTRRKYKPLEEQPDY IKFGKLRSFQLHGLNFLAHNWVRGTNVILADEMGLGKTVQTVSFMNWLKHDRRQQGPF LCVVPLSTMPAWADTFNNWTPDINYVIYTGGSEARRIIQDYELLVDGNEKKVKFNVLV TTYEYVLLDWQFLSRIKWQFLAVDEAHRLKNRESQLYERLLGFSAPSRLLITGTPIQN TLGELSALMDFLMPGKVTFDENVDLASEDASVKLAELSAAIQPYMIRRTKEKVENDLP PKSEKILRVELSDIQLEYYKNILTRNYEALNEGGQGQKQSLLNIVMELKKASNHALLF PNAEAKFLKEGASKDETLKTIVTTSGKMMLLDRLLTKLKADGHRVLIFSQMVHMLDIL TDYMRLRNYQFQRLDGTVPSSDRKIAIDHFNAPGSEDYCFLLSTRAGGLGINLMTADT VVLFDSDWNPQADLQAMARAHRIGQQKPVTVYRLVSKDTIEEEILERARNKRMLEFIT IQRGVTDRQQKELNDKMSKIGAEPSSADDINNILKRRGQKMFEQSGNQKKLEELDIDA LLENAEEHKTEQVGGLTSDGGEEFLKAFEYTDVKIDLEWDDIIPKDELEAVKAEIQQR KEEEETQKLLEESAPRKRKAHATSGEREQRAAKRRAREAMPGSEDQTDDEGPNSDPKR PMVTNEIRKLVHACYRYGSFEERGEDMVRDARLVGRDLDVVKAALDVVIARAKEEIEK QRGDIKKEGESKQLTKKDKKAVLFDHEGVKKINAETILDRPVEMRVLKEAIAAGSDWR NFRVLDAIKPASYSCPWGAREDGMLMIGINRHGYGAWVPIRDDPDLGLKEKFFLEENR VDKKEERTKKEEKNAKSPGAVHLVRRANYLLTVLKDKAGADPNAKRLMENHHRNNKKH LNAARRVEKASSVSASPAPSALRKAISRDSERTPHRVSVSDNRHSERPESRQRDKATP DQRHRQSDQYRPSETNRKEHRRDRESIDRRPQHTSTPEIRRKISDVADRPRHSEERPR HNDKLHSSHGHANGHISHASGVNSPSNKLKDSKTDPDMERALKQVHDNLGRLKKATTK NYPDKQKLVKVLKKELIAVGNHINTHMRTNPARGDELWKYTVSNYWPRPDATVENVQG MYKRMVSSESGVKPQTAPEPAKTNGQANGT CC84DRAFT_1159807 MLRPLQALVAALLASCSSGLDAQEQTQLGPGTIAAAGKSRPLRG RFLHITDFHPDPHYKTYSSTKETAACHRNHGPAGIYGAETSACDSPVSLVNATLDWIK HNVADDIDFVVWTGDSARHDNDEKHPRTQDEIISQNELMVEKLTDVFGSGQDRDDPTK AFKIPIVPTFGNNDIMPHNILLAGPNKWTMKYLNIWRGFIPEAQRHQFQQGGWFSVEV IPGKLAVISLNTLYFFTSNSAVDGCAKKKEPGYEQFEWLRIQLQIMRDRGMSAILIGH VPPARVDSKESWDETCWQKYALWERQYRDVVVGSLFGHMNIDHFMLQDFHHIKKGAKN GQMAPQSTRAQVEGGLLTDGEITVASASDYLVQLRRWWSKLPAPPPKNNTIRSIAEYA DEDGEEEDPSIWQKIVSPFSRSKKKPKDGKKRSFLEKLGGQYAERYSVSLVAPSVVPN YFPTIRVFEYNITGLEHLTVSPVSEQETFSNSLIQKLAVDHDFADDDTYARDTESVLR RKHKNSEKESLKKRRKYKFKVPNGPSKSSPPGPAYSPQTLTFTSYTQYFANLTHINND FVAQTETQAQSPNEESPRTIFGLEVDVDGKIEQRRWKEGKHGKHQGKKPHVKPHPRKF KFEVEYDTKTDERYKLKDLTVRSFVDLARRIGKEKAGKRGAYDRVDGGLDADEVEADG GKGKKERNKTWLTFVERAFVGTLDPGQMAVFSADGASAAVGQGVGDDVENMEL CC84DRAFT_1171225 MLAWRSRRGTQGRAALPIAAPSASRRSAASAWPDTLPDATRNTS THLSARCQLAPRDGLLRSVIPLAQANHASPRRVTSTACEAAPDPASKVIFPAHALARA RGLKNTQETPLSSGPGMCGRFRGPDSGLVQYEARDSASWVPQTAPTDRAECVPCGTLA VGSVLLACWQRTPVARFSFTDVIASVPGHADPGRDWRRDSVPACTNYSSLRIVAMVRI VEAACLSFKTGP CC84DRAFT_124682 MATPYPRTQIIQRQRVSQSMLDPEYNPTRQSASTLSPRHAEGFE HGANLPVRLLPNSDPGRSSNTMKDGPGHFKGPRGSDRINTSIGHFTIHASMRRVQWSS FVTGRDDCLNRQVCERTHNLSAIQQDVDSCNVRLVYEALASQGERHNCSTRWHCKFST IRVRREAR CC84DRAFT_124710 MHAAVKVTCGEHVRVAWVVASVWFTFVIHGCCELVHRVKGFIIV SEVSTPGILHHGLGRAIKLT CC84DRAFT_1159812 MSAWRPRASETRAHRNLRARGASVCAPAPENRSRNVSRIYGDIQ MMRIDFRTS CC84DRAFT_1135242 MHRHAGYQYAAAPAAPTHRYSGTSSAFSASANPNEDWTKISDLA ERRRIQNRIAQRNYRKKLKKRLEDLERRAASSSASPEQKPAELQPTQPSARQAFPSPA SSESDFSRRTPEMQSYEERGMFSHQYTDRQLSTSPPPFSYSAYPTPESAAYPVPYTTT ATPYHSIPTTISPEMTTYAYLPPLSAAYPPTLPSLAPPMKSEYYQEDDIANPFASGYQ LMNSMEIPPPHAYPPSDAHANSPPEMYQPTTPDSMPRTPPMHPIPGM CC84DRAFT_124768 MAPERSRAEKARQILANGAVQREDSDDELGYEDHPWEWIHESAS DAQASQNGTPRKRKAAVASSGPRIVGARMGSFTCKVGDAVFLKAEGNQAWVGLICELF EDEDEDNEKMAKFMWFASEAEINNKSTKRTDFLPNELYISPAFDNNELTTINGTAKIV SHDEFKRLYPSGQVKKTDKNYGKVFICRRGCNTRTTTYTPEFVWEDVFQGEKDLPALK ELVESQTKATRKRGRPRKDTVDLDDFVAPDSDDDGAPKTPRKRRKLGQASTLTSTKKS PAAKKFLTPTHKRIVVKKQLMFTPLGTRLLDPSAISSPFQIARNQLHVSSVPDALPCR EEEFSAVYRELEAAIIDGSGACIYISGTPGTGKTATVREVVMQLQAAAMAEELDDFIF CEINGMKVTDPHQAYSLLWQTLHDDRVSPSHALELLEREFNTPNPRRVPCVVLMDELD QLVTKNQSVMYNFFNWPNLRHSRLIVLAVANTMDLPERTLSNKISSRLGKCRQRDPLK GPAVAFWHRAEPDVYESANLPLIGVQAASSGSQDSEANTRIGLSRITFSGYTHDQLTQ IIQSRLEGVPGNIVHPDAIQFAARKVAAVSGDARRALDICRRAVEIAETEAAEQEAEG EIGQPPTPSRTGRNKGKAGTRLPVDSGESVSVHQQNAGPGKKKGEVTWATIRQAINEA TSSPLQVALRALPLASKVFLAALLARIRRTGIGEAVLGDVVDEVKRLGLMSQLQPINE YLLLADNGNEGTTQQTHTSTPLKLKDGISKQANEKAARALGIASAAAELTEAGIIGTE YRHGERVGRVRLGVAEDELRLALGGDEEVKGLVPT CC84DRAFT_124828 MQRFIATRIGSQRTILMLSTTQIPFPSRRTSRNKMLKCKAISLS LFTQGKLGPCIVQAVRMNASLCGPQERVHEPVRTRASTFERRTKPKPVRRCAYRNGQD GRCSDVAATRCGPPQTVFTGSRGVRGGSVHYTRIRIMVQESIDWTWARGVEAPRFELA VGATGNATGRQRDRDGSGRYDSLRACADRREFRVESGEDALRCGGLL CC84DRAFT_124748 MLGQDTCWKEAYGVAKWGTYGPLCTSVVPLRRLRTAWDKVWCLA LGAMSRGCMYGRAVYPRCSQCLARRSCTIPTSQHGTSHHPASLIASATTLHSRVGGQA SRTRTWARDALVNHRFEHRRLLPVSTSPSVRNSDPGSSVPRPRPAFAGHGSVHAAGHC CC84DRAFT_1159816 MADTLPSTAADNAVRASAKRTREIFAADTLQYPSTSVDSTRVST RIHQEYHHVQELPAALAAKMATAATTAAEKRRKIKNANAEEQASDPKMRQMIAASSEK AEKAKEAQSMALTLRSGKGAAPNAQGPTPMRDTPSTALVRKDTVRAVKPEWHAPWRPF RVISGHMGWVRSLAVEPDNQWFASGAADRTIKIWDLATGTLKLTLTGHISAVRGLAVS PRHPYLFSCGEDKMVKCWDLETNKVIRHYHGHLSGVYSLSLHPTLDVLVTGGRDGVAR VWDMRTRTNVHVMSGHKGTIADVQCQEADPQVITGSMDSTIRLWDLAANKTMAVLTHH KKAVRALTIHPSEFTFASGSAQSIKQFKCPEGAFMQNFNGHNSIINTLSVNEDNVMFS GGDDGTVSFWDWKTGHRFQQTDSIAQPGSLDAEAGIMCSTFDKTGLRLITGESDKSIK LWKQDENATEDSHPLEWKPTLGRQKF CC84DRAFT_1108988 MPPFWTIGTLYGATSVAVGAFGAHGLKKRIADPQRITNWSTAAQ YQLIHSAVLTFASVVAPQNTLAMGLFTAGMTMFSGSIYLLVLDPQRWKFLGPVTPLGG LCLIGGWVALAVGKRPIMPKFK CC84DRAFT_1080258 MRGLLNLATLFLASVPTVFGANSAGCGKAKTLTSKQYSMQVNGK NRNYFLNIPDNYNQNNPYRLIFTWHQLGGSAQKIVNGEDPNKGGVLPFYGLKAIANNS AIFVVPDGLNAGWGNQGGEDVTFFDQLVKTVEADLCINTDLRFSTGFSYGGAMSYALA CARPEMIRAVAVISGAQLSGCSGGSKPVAYYGQHGTSDSVLNVSQGRQLRDKFVANNG CQKPASEPQPNGGNSVKTVYQGCKSEYPLTWVIHNGDHNPSQVNSGSSTPFAPGNSWE FFTQFK CC84DRAFT_1108995 MGYDQAGITRSLNAIQNELQYLASQGVLAPPQQQSILAQLPRPD GQPAQYIDPRYAGENQLFNPALIAQQAQDPNNPAHPQNPKHHEWAGKLAHKFGNAAVY GAGATFGADLVNDVMRKF CC84DRAFT_1135260 MLDIHRNDGPDTKVYFSHSLLPSYIDPQNVSTKKKPFSTFNAQP FSHTLDLILPEEIYDIVKGHVEGAGAAAKDVYARVYMKLGEVLQGDFFSEYIKKGNIM MLSEGRPLVDNVFSLYGGILRLELDRPTYERCGLQGKPIEDGGKRHQKNRWVIEYNLR EPSMTHGKKGFSRLEWACKNVLDQSLVWLFYNFNPSAPESLRAGTEPISVHHPTILAI DPTITKLPRTLIPALTTSDLSALYDAEDALALHEYIGMLCLNSPRVSADDSIDPHLSR YEVPTFNGLVKLATKDMFRVRWRGFIPPQFVRELFLLVRTVALKVGREKATGEEKEGD ERDGRWVAWSATSFGGAREWTVMQWAERETLSWECEG CC84DRAFT_1201499 MAHTQPGPVMVQEAAQAQAQDPAPPVDEASGPALSAYSKPAAEN GNSNGTGAPAPHARDEDDDESDVDAWDILLEQAEESEPIAAGQDECTIDEGRQIRQRL HKVGADQFVREYITSERYTARKLLSAFNVRLPHGFLDDEPDEAFYELLGRAIMRELTK RSRLPQYKTIDDAAKLLQERKNIIVITGAGISTSLGIPDFRSKNTGFYSELSQMGYDD PEDVFNIDNFDADPTVFYKLAGRIVPDLKKWSPTHQFIRLLQDKEKLLTNYTQNIDNV ESQAGLRQEKLIQCHGSWAMATCRKCGYKVPGEDIFDAVRARTPAQCKHCIENIAALK AGKKRKRSSNGSKKRRGPGGFDDSDSDGAYDIPEPGIMKPDITFFGEQLPNNFFDRLR EHDREKADLVIVIGTSMKVQPVCEIPNFLPRDVPQIYISRDPIHHINFDINLLGDCDV VVAELARRAGWDLKHTMIPQEQRVEVSIIDEVDHTYKVKQSA CC84DRAFT_1159822 MPSTQSQLEQLPLEVVNHILSYIVHPRSRLPGLTEKQSTLRDAK SQREIKLTEDLESPPDTDRFAANLFAWTSIRHPFNALAASSRQCREFVESYCEHLVKS CNRFNLPFAQVEGNGNRLDSVYPSLSSIVYRRLWLQTAPRSCLYCGAFMSQYPYPELP VRYRLMLCCRDCFFAQTLDLYEVQHQYHIIDPGILAKYGVRGSSPRYEWILRIDVEAL ALQLYGTRAFHDTLSQGLEAHCSIPNCGLAANLLTLSRHPPARRLPGNVSPASPRRNR RRGT CC84DRAFT_1201500 MQRNQRGTRKPHTKSRNGCSQCKKSRIKCDEQGPCCNHCSRRSL HCDFQDERTRLRIWTPSWARDVRPVFKQADSKFTKQSSTGTKPREILSLQIDFQIPCQ PALLQQPSAHGLDFEAAPAFHHFVSSTSKTFSADGVPPGFWSTDIPSLALQHDYLLNA VLAVSALHKSHLVDHNPSNRVHLRHDVNQSIFWHRRSIESFVRSVRDVTRENCVPAIA MAAISALYSCALAQFLSSLQELEHIGQCIDVIFAAYKALQLFRPFASCLNAQGVVLAG ASPIEVVASQRDAAASEETAARLHALLALTPVDLGHREIYESAIISYRDGDKLDRLRM VSPEYMALLREKKPMAVLIFTAFVQSELLHEQDAVVPWYFSLWRPKIHNYFIQFLGPL WTQYMDSTGEERSLSSS CC84DRAFT_1135268 MILLRDRSNLYGHYVPSQGAAIFFLIFFGILTLIHVFFIYSRKR KFPIIIVIGGLFEVIGHASRAYSRHHLSEIVPFSIQIILILLAPILFAAGIYMFLGRL IRSSGCPNLSPIRTTWITKIFLCGDIFCFLVQLAGASRLIKPKTQKDVKLGENIILGG LVLQIIIFLFFVVIAAIFHRRYRRDKQASESNGLLQQQLYTLYVCSGLVLVRSIFRLL EYKTGQNGYLMSHEWPAYTFDVALMAAVMAITLNWYRGSLEPDNGGAYSQELR CC84DRAFT_1226915 MHHVFFPALAWIAFLARVWSRLRITRSWGLDDWFMVLAALCSVL FTPFFYVYIKLGYFGWHQEDVPKDYDPSPGLFYFYIAQLFYNPILALVKCSILVFILH IGRTRKGLPWMVYSVLTLTVLHAVGFFFAVLLSCVPIKAIWTPGLKPSAECIDNSFHI IISGVTVMTDILVLALPF CC84DRAFT_1226919 MNTGTPLQKKLSKLALLLFGIAYVCALIVEAANKFSGKNEVVIY DLATGLSMIPASLIVVLTITMAVGTKHMSTRNVIFRKLDSLEALGAVTNICSDKTGTL TQGIARGDPTEISIQVIASRFGWNRHGLVGSNDDSDSGAWHCLPEYPFNSDTKHISVL YGRRNSDREKYDSRWVITKGALERVLPLCDFIQDEQGNPRPITDAV CC84DRAFT_1079891 MQNRNDTASTRQSNRAPTAQDSGRPQQYLQRQQTQKKAEDTYYV DNDYYSLNPWYEQQPAKPLFGLGRPFPRTVRPGMLWGRKRSEQPDQQDQEQGQGQGGQ YQQSQMSRIEGTQGVRTLQIPPQIDEYGEAQPPPDRFEADLDGYKYIVTRIKDEEAES PDYERDVGREDQYDHRDQQKTETSAEAHQGLQSPTMIPDSMGDNFHGDHPPLDDEQSV ATAETHAEKQEIRQREEEAIQDYYNTYRNPLSRFRARYPEAFAEFLSTTVYLFFGLAG GIYSITYPAAEGDYITQSWAWGLAVTAGIYIGGGVSGSHMNPWISIAFSVFRGFPRKM CAVYCCMQILAGFAAGTLAWFIYRDAILHIDPALTPSITGKALYTIPASYVSVPTAFF NDFLSAALYTCVAFAIGDDSNTPPGSGMSALIYGLMSFLLCICFGYNGLGVSPARDLG PRFIAWWVGYGPETFSSGWWAYGPVAAGLSGALTGALVYDVFVFVGGESPVNYRWPTP REVKDKVREVRGERKGEREGGDEEV CC84DRAFT_1079433 MDDFNSETDSDYTSYWRDWFISSRGNEYFCEIDEEYLTDRFNLT GLNTEVQYYQYALDLVTDVFDFDCDDDMREQIEKSARHLYGLVHARYIVTTRGLAKML EKFKKSDFGKCPRVMCDSQPLLPMGQSDIANSSPVKLYCARCEDLYNPKSSRHAIIDG AYFGTSFHNILFQVYPNMLPPKTQRRYEPRVFGFKVHSAAALARWQADEREKLKDRLR GARIESGFEEEEEEADEEEEDEEMEGDGFEGAAVQQ CC84DRAFT_1078982 MSHSDFANSSRTYEGYRETDSAAQEDAYSYRPARNRFSADALKR FSMDELTSYDLNPPPPAVSEANAEYIASRLFSAGHLDVILKDTHESRRFRSFLEKYRP GAAATLVRYLESQKALAAIRYANSLANVVSATRPPQAQGPSRSSSVKSEAAVIDTQFE SFAQKAVDDLVTEALPAYITHRMITVVTECLVKDITETSTPFMRDLVQGLAEVFCLSD PNQPDSPLVFASEEFYNTTQYNQDYVIGKNCRFLQGPATSKAAIKRISTALDNGQEIS ELLLNYRRSGQPFLNLVMMAPLMDQRGHVRYFLGAQVDITRLLEGGKGLQSLKQLFDQ EKAVARIAGFGDKSSLMMLRELGGLLNEEEADIVRQQRQRTRPGSASSADSMSTRHIP SSGRRFIGMEDTADDNIWPARRFGPEGRLPGVYQNYLLVRPYPSLRIIFTSPALRIPG LSQSKLMDRIGGPQHVRESLREALAQGTSVTAKVSWLAHSHRSNENGSFAEDASMATL GPPSALESRPRWIQCTPMLGSDSKPGVYMIVMVDKEEITGTLIARQNTVPPAVRSRDL TGEAWPYRESSSGYSAARYPSTKLYADYLKREGTISEDSSYTQQRTPRNNRASLEDDT RLGRVNAGLAMVDLGADERRDRSAAGRPPSRMSRDEEQSGPDYKNNISMGVGPPTPHR KQSPSADMDA CC84DRAFT_1080878 MPSLAPAPTSITIRGPTGRYPTGEDHDYTFTLPDGRTLGYAQYG DLTGKPIFYFHGLPACRIEGVYLHEVGLKHGARIIALDRPGLGLSSPHLGRKLLDYPK DVEALAGELGLERYAVIGASGGGPSVLACAHVLPAHTLVAASLVCCIGPSNLIGHRGG FLAHKLGFPYGWAHCPVPLLAYLMKSFFLGPVGRVDLPVETRVRMMLAPAFLAKQDPR DRAILADDEDGLWTMCTSANRAYGRSFEGAAQDGRVTCTDWGFRIRDIRADLWVGMWY GKDDGNVPLSHGDYIAAELGMRTGEGKGGVDYRVSNDTHTSVFFNKKEEALRALLERF CC84DRAFT_1135284 MKTFVPALLVALAGVASAQLADLPQCSLQCFLTALGSDGCSELT DFKCHCEKSDALLASVTPCVQGACTADEQAEVIKGVENTCASAGVTISVPAPSATAAP ESSSAAPESSAEASSTEAASSSAAPSSYEVPASTSAAASSSVSAVPLPSANGTVPSST PAPTQSEFPGAASRIGAAAGLVGAAAFAVFAL CC84DRAFT_1159827 MANVITNSAGHDDMIHDAVLDYYGRRLATCSSDKTIKIFEVEGE SHRLVETLKGHEGAVWCVAWAHPKYGNILASSSYDGKVIIWREQNGTWQKIYDVALHS ASVNLVAWAPHEAGCVLACASSDGNVSVLEFKDNNWSHQIFQACGSGVNSVSWAPAYA PGQVITASGNAAGNARRFVTGGSDCQVKLWEFSPESGSYQNSQILPGHTDWVRDVAWS PTVLSKSYIASASQDKTVRIWTSSDLREWKSTVLNVEAVAWRVSWSLSGNVLAVSTGD NRVSLWREKLSGGWECVKTIEE CC84DRAFT_1171242 MSSPFFLFRKGLLSKPIARWCLSQIPATPQAGMCCLLFGICESK RPRTDFHVVCLRGVYWPLDTHVREEHVWVGASFLKTKKRTRPCCGAETSPESPAPGLS TESSAQLSDLWIRICFPKTDRKHDNGALRSSLRPPGREQKGRLWHEIVLVFASKP CC84DRAFT_1109027 MSGQPAHTFKVADISLAAFGRREIELAENEMPGLMQTRARYAGE QPLKGARIAGCLHMTIQTAVLIETLKELGAELTWTSCNIFSTQDHAAAAIAAAGVPVF AWKGETEEEYNWCLEQQLTAFKDDKRLNLILDDGGDLTALVHKKYPEMLKDCYGVSEE TTTGVHHLYRMLKNKEHGLLVPAINVNDSVTKSKFDNLYGCRESLVDGIKRATDVMIA GKVAVVAGYGDVGKGCADALRSMGARVLVTEIDPINALQAAVSGYQVTTMEKAAPQGQ IFVTTTGCRDILVGKHFEVMPEDAIVCNIGHFDIEIDVAWLRANAESVSNIKPQVDRF LMKNGRHIILLADGRLVNLGCATGHSSFVMSCSFTNQVLAQIYLYKAGDDEFAKKFIE FGNSGKLEIGVYTLPKQLDEQVANLHLAHVNAELSVLTDVQAEYLSLPKQGPFKSDIY RY CC84DRAFT_1183165 MEAEIRAQIPGIDPVLSDYSVGYLTHAANSFTSDADPNGPSPLE EAAASITALLLSASGDLSEQNETTIHNLVDKFISRLNAQNGTDGERRQMAPSARKLDQ AIHMGSRTHMSTTLGLTGGGVDLESANARKVESRVDRKKLEKAERKLKAKQDRKVFKN VEYEASKLLNQPDETQSYEDFYMQVNPLMLGSEASTKSKDIKIDSFDISINGLRILSD TSLTLAYGRRYGLVGQNGIGKSTLLRALSRREVAIPTHISILHVEQEILGDDTPALQA VLDADVWRKHLLKEQDKITKELADLEAERATLADTSADAASLDKQREGLDQTLSDIHG KLAEMESDKAESRAASILAGLGFSTERQQFATKTFSGGWRMRLALARALFCEPDLLLL DEPSNMLDVPSITFLANYLQGYPSTVLVVSHDRAFLNEVATDIIHQHSERLDYYKGAN FESFYATKEERRKTAKREYEKQMEVRAHLQAFIDKFRYNAAKSSEAQSRIKKLEKMPV LQAPEAEYSVHFKFPEVEKLSPPIIQMSGVTFGYSPDKILLKNVDLDVQLDSRIGIVG PNGAGKTTALKLLIGALSPTTGLISQNPRLRVGFFAQHHVDALDLNDSAVGFMSKKFH GKTDEEYRRHLGAFGITGMTGLQKMELLSGGQKSRVAFACLSLQNPHILVLDEPSNHL DIEAMDALSEALQKFEGGVLMVSHDVTMLQNVCTSLWVCDNGTIEHFDGTVKDYKKRI TAQANEAGVAFDTHGLSVVTPLPHQSCDSSHARPPYTATAPAEDPRTLATAGGSPCPP PLYFPPEHGNEDPHKDCDEDDNDSPPAFTAAPPFSFTESSSSATASAETKAALPRDTK DGSSSKDIDDGEPPPPYSEGYSPLESFTYVMASAGGPASIITQVSQQSAGPPINTLGG SDENITLELRGSRFTLSRDELLTLPEFVLLSLFPNGLLPDGHMNQYHDGDVYPVDVGA PDFSSPPNVRFNSVQAIRYHLSNPALYVSDNLYSSQYDPNSLQYMLEFFRTVAQTIPA SPPSPSAVPDHPTEAHAIEPMHGSARDMLQDRAGIIVLREDLDFYVIPPHRDISHPDM IEVKRAAGEALLKQDGIFSGLRKSEEPGTTEQHLIEMLTAGGFNHDDRWGHRAGEPNK AVICSIALARLRTDIKGNDLANSNAVGMAQKLLLFWRKPARRCWWEGVELDNVRGVEG KLKVWIRRVWTLEMSVIGLR CC84DRAFT_1135297 MKTVPTLAATATLLGASALGLGFGRETDAPYPLKKRKATPAQNE PGRSSIQAAVQTTTTATFTTTTAAAAAPPPAAHHPRPSTSRALSTTAARFTGHERQRP LRRLTVGDPASQPLQGPTGSGYTPPSELNINELDPAGRPQSRRASWIKRLSTISNSQP SSRDTSPGPLSPSVSVSNGSMAFSHDGSTAPMIPGERHPAPLPPNKLVKRSSSVRSPH EKPTHNTNSRLPSFRRPATSHQRSATLQHRTALLEMTDEHPSLDEQPLQPSTDSEVEY TNFFTARVSKEKALSKRTAALSSNAKAIKRIIPDDKMHRPTLLLARSVSAGAAADVVE DSESEDESESIYFVSRPGTPLSATLLPASRKDLPQPAADRKHGRSSEDNAEGATRARR SFSITNLLNSGSRPRTTGLPGVKLARKLSQRRVSSDPLSAMDKRRSSTAHGESGAVSG RVAESELARRELSTSSGPHLGTLRHGTLAASLASPLPEQPHSAAKPDSTPESMRTAET KPSAAAATNQPPPSPTVAQTGARPSRNSMAPSEQASTLVGSDNDARGAGTGDEDDADL QSETVFDSLRTGGARSTSGARGPRIETIFDESPPAKVKVTALRDLLPKGTFREQTLDA APGQQSIAEEEESIATPVRTTVPDWPIHDSPTFSRGSAAPLFPDLIPSSPPDMPKPLN LGTLEWDSRVEDDGSSSAWSIDDDNEDSWGDLPPPLPGVLVASPVSFGRPTPQLFASR SSSRIATPHHLNFDHGDREARSSIFDWSEQQPADKSSGNRTPPRPSTVHGKKDADRRG GRVVGRRAPSGLHVRSQSVPVAPDATGKRSTVVTNKFGTWGVGSKGVTEDWNDDFDFA DLAEETSAPGTVQSQRVDSGMAMVVPNHIQEQQNNVLANIGLLREWGLLIEELKEQRV RAAYLGILDGPHAAMWEEVDAMIDLADQEAEHEGVPRLSPPSSPGFDEVAFDDVSHST SNALGKNNTPSKSPSNSNSRKTILPPNHQIFGPQSSPVPPKSRYESPAPPTDVIQHIG RPRKDSEAKARSIIEALQQRRSTHESLVDIQPPPSTKKVPFDTATLRRIVPHVNGLTH RVKQTLREAEGLYSSPSTSPNKEAAVPFSREYLADNELSTQMKLMTVM CC84DRAFT_1254780 MAPIPSRILGLAYIALSATVVRGQSSTTSTSACASTIAPKNAAP SVASGWRAEVVTNGLEGPRGIIFDSEGGLLVVEGKKGISRISFGGEGCARGQSDRELI IEDDSLNHGLELSEDGRTLYASSSRAVYAWDYDAGQGRNTSGPRKIIGDFGSTAGHPS RTLLLSRKVPGMILVSRGSLDNIDYTTQNKSTGVSTIKAFNLTNLTDSSTYSFPDDGL ILGWGLRNSVGVAEEPVTGAIYSVENSVDYFERSGESIHQNNPGEEMNFHGYLNGTQT DEQGGNYGYPTCFAAWNIEEIPDNEGIQVGTQIAIGEQNSTLNDTFCREDRIPPRLTF QAHQAPLDIKFNPNGTAAWVTFHGSWNRDDPIGYKLSYIAFDGAGSPVANATSNSSTV DVFSNPDLSKCPDECVRPVGLAWDADGRLFMSSDATGEIWAVTREDGGSAEDASPTSG LPPSGTGSAPAGTSSPGAGSVNKASVGVLAVVWAAILAAVI CC84DRAFT_1254781 MSPSVRVYQRQRPLDQECFKCFEVTCIALLWCFPNIVSASAMDQ NIPSQKVAFNLLPIEVNKEIAHHLDDHKDIATYNAICKATSSAIIGDKLSFWRAKFCE DFARPPGKTNAMLRAIYMERWRWLRRFVNMKASKTFQFVRGHSVKEKAVLKVLVDLIN ESFAGVQPGAVGSDCPNMLRLKDFVLKSRLLLGGRRPPPPKFYENAAVSESLVAVRII ATHFLFGEAIQPGAWFAIDDAQRAVYSSTNDAPLYLGHQKHVVNLDWVLQCLNFFRYY MTVPEASDLHDAMHELDDSQRPTAWDGALKSGSYVLGNHWRGTYAYLEHKDLKRFRQM IRQDRHGDHILTDLNIDEGNIQSLYLDFSADVSALPWPAVFEDRLHSLHPGELPQARA QHSKKSASTAPNSIRFDGHGEDLEDLYFASGWLNALPPQAGIPGWQRITMMKHFEDDI AAVQDDNLWAYEGVVLPGGKIILGRWWFASDEHINFDDEYGGPFIFWAVEPEEELEHE GAGDE CC84DRAFT_125246 MMLITTTAVFLATPFASPSNSCETILFPSFCLMQAHSVAAHPMS NPSIAFESLRSEISGSVSNNTRGRRNVFTLSLSLGNISMV CC84DRAFT_1212081 MSGIEWEARSCAGSTFGWEPEERAPFAGLLGDIFQALDRLEHRS DQDNRKSNHGISIHDLSTQLTALLPPPSTPSRHLWLETPQSHEGSPPPSYDDTIADVP PDYTCTDALATAQTPEYTPFSSLNASFCSDVPNCLRISCNTSPCSSLFLEEKSLYADI DFGFSDEGVRSHAKKKNNKKTAAPSKNPFEEPEKQPDPPADPPPGDNGGSDPPADGGA GGGGDGGGGGGGGDEKKDDDVDDGWGAAVGKKGKKKTKKQEEEERKKKEEEEEAERKK KEEEEAAAAEAAAAAAATAATSPGADLSWADGNGTAAAEDDGWGSLAPVAKKKKGKKG KAAETAPPVADPNTTFDSIDLGDDSGAPKIDMSFGDIGSKSSFGGLGSLGSWGSGGAS GGGWGAKNSASWGFDDKKEEGKGDNPWGSAEEKPKGGDGFDFNFDAFKPGGAAPPAPP PLEEKPPEEDGWGFSFGNKAKDKKKKGGISALVEESTPEPPKPAAPPPADDEWGSFSF GGAKDKKKKGKKGAPIEETPPAPEPVPEPEPAPEPPKQDDDWGGWGGATTTVTKKKKG KKGAVEEPPPPPPEPEPVPEPEPEPVVEAPPPPPEPEVPAVDPFAGLSKGQRKKLEKK LKDEAAAKEAEEAERKKKEEEEEAERLKKEEEEAAAAAAAAEAEAATAAPVGPVKSQD SWGDWGTATTTTGKKKKGKKGKEEPKVEEPPPPPPPPPPESEPAPEPTPVEEKKDDWG DDWGAAATTTTTTTTTTTTKKSKKGKKGVVEEVVEPPKVEEAPPPPPEPTPVPPADDD WGSFGVATTKKKKGKKGAVVPDPPPVVEPPPPPESEPVIEPEPEPEPEPEPIAEETKD DWGDDDWGAAGTTATKKKKGKKDEPEPAKEKKEKSKEKEGDWGFSSIWGGGKKTKKKS SKEPEPPPPEPEPIVVEAAEIQEADAIEEPQAPEEDEWGTPSWGKKMDKNKNDPLDVT DEFASGHIQKPDEDDPWGSAQFNISKKTKKGKKGIIGGFPSVPTPPGEIDLLGEPELP PMKEIEAPPAEDKIEDDGWGLGTSSSKKKKKKGEEDGGKLSKTTTKDSASRSAKDTFE DLINLDEPPKEEEQPAPEPPKKHVWVEYVPPPPPKIETGTAKKKRLAKEKKDKEKWDA EQQAIIDREEREAAEAAEKAQAEAAEAEAEKLRLEAEEAEKARIEAEEAEKARIEAEE AAEKARVEAEAAEKAKKEESSGWGASIWGSSKKKETSKQKREREAKEKKEREEKERLE REEKERVEREEQERIQREAEEAAEAERLRIEAEEAEKARIEAEEAEKAKKEAEASSGW GASIWGSSKKKETSKQKKEREAREKKEREEQERLDREAEEATKAAEAAEKEAAEQAQR EAEEAEAARLAEEKAALEVSSSKKDKKKKKKGAVVEEAPPPPPAPPVIDDDLLDLVKD VPAADLLAELEKEDPKKEESGGGWGSAWGISLRKTKKPEPKLEPTWDDFMVDESAPAP VEEQPNPPEPPVADEPVPEPEPEKKSSKLKKSKKGDLLKSSSKSKSKAVEPEAAPEPE PEPEPEPVFEDALDDIAPPPPEPPVVEVEEPTPKKSSKLKKGEKVSSKSSKSKKDKSP PLEIPDPEPFEEPPKITTPVPGGFPMDDEMDLIDLGMAPPPPAEPEPEPEPEPVVIEK KSKKKKEKSSKSKAIVPEPEPEPIVEAPPPPPPVDDFVDDMLYDEPAPVADEAPPAPP TPPPEPEVKPDSAKKERAKVVRKGGSNSWGLWTTAAGAAVASSSKAKSSKRQSTDDGS SAAAPSRPSVSRSKSARAPSSRDKDVLSRSSGSDKMSKTPSRSKSTRAPTGLASMFGV GPPPSSRSKRRGSATPRTMSRRPSFDQDAAMMSPPQTDTDAKVASKAAKVMGMRGSVS RRSSTREKGKSRAPPDPYALDDDQDIDMGLDDEAPPSPSPMQKSKSRRKSKHDSASPV EELYTAPPSAEDPVDIDPMDVEPAPAEPTRRERHRRERGNSSARDTIAAATAGAAAAT GLMSMFGLGKKKDKAAPPEDDRRERRRAAYETEDERRRRKRSSARDAPNDDEAKRLRH ERRSVRRSSRMPGGDVEGGAEPGDGANGSTDVDPEREARRAERRAKREAEKGVRRAEL EEIEGKAERRRERERDNERSVFAEKKARQMAELERRNKEAEEQLRRMNEKEARRAGRD RESRKAAPEDREHRSSRRKDKERERSTRPDNERRKTHVDGEDPEERRRRREERRAMRR NSEYPSATPNGEPITDYFDTRNASQHASSRHRSARREDHENMPYMPSKGGDKTSSWVD SLSNEPPLPPPLAETVFDPPPGARAVDGLGDEESPSADEEIRMRIRHPKRSSRREKEE KEREVRERERVRDKGHRRRSHYEGTRDEGEVEVDRERRSKREERRRTYGEAGYADVPV RTWDGRPAADGAAGQGKRGSWFKKIF CC84DRAFT_1159837 MAAAPIARPTGLPSSPRSPTLSERSFDSSMILPGDAIRAISPPL FGERPPSPSSFYAHANRSTHTLTLATAPPRRSPQLRNSPPLSSQSSRSTLRNMNPSQA DAKSPALTVDTIASSPTVQDGLLGPEPSDYISHSPSQRRLSTTSSLNSEDLEMIRNRW PGFDSQGFDDSGVDLDEGDNQGQFPMATPESEVGDDRWQNGDFQAKENAYSSDLYAKR AEMILADAKKRLNVMENNIRGARQSLVVSPTFNSSKMATEFQHQINAARERDRKLYAG IGPIPPRNRLYQSSPLSATGSPTHSRGMSETSVPLPFSSPSYMAKLQDSKRASSAMGH GSGPWSPQSYGNGRFPIRESRSFEVMRDPRGQDESLRTHSRGSRSPSNVLETLPEDES PRVHRSASTTSSLRDQVHDLKHRISSLRLKTQEDSMRRRSQQSLRAPSPFTSAETWYS GTEAYKTPGSPISADAGVGFKLESPSRKVLYEDEAGDSTSKQSVAAAQQDHAYAVKEV APEYEQSPPDADEAEGSFHYDDWEQQKASKANVGLGLGLDETDEDDFISVNGDDIDTG SSVYEDAVYEMPATERHEDRIDAFDYENFFLHSAMGTYSAARPRSSSSSSSTDSVATT RPVNDRSSVATILHTRNVSSGSAKRVSMHIRNSSQASVSTVASFATAAEDLSDDDEEN PQMDQFSKSLFPSQQPVVQDTAALPRSDSAYNVSKPASSSSPATSSTSTLSRVSSPSS GDLVTGLQTSKIFSILSQTPRDEPQLALNEEEKQLIYSLAASLQQVCSNLRSTTNDQY VRKEWRRRLDEARRVLNGEELEGQPF CC84DRAFT_1135307 MPQSHAKIPPEICRSSVQRAIDPKPRLPSKLKRDTICGLGTFTK PFTIRPCPGSPYDKPHTFIPVRVIARSQLPLSFLDTTTDNSLATSQLFSASIDVLERY HQEQSDESRPLGFQVPRVLIARHVAKKTLYAMERVQEQVYSLCKLAMWLKEKDVADLW DPENLQAYSTFPRLESCEVFEDAWWKHAVADIQPAEKPATRARLSMLRPQILPAPAEG QMIPAPATASAIAEMHSQKAPVELQMNPTEKPMLPSPQEHLNVFVDQYLDALYVSKTS LAYFAKGPIARIRNAFTSTEEGAAATHELVTFIRTMLLTHKSEEKKYREKLPEVIKEF PPRSFSDDEVDVPKKPKKSKKKVKPNREGMYPQETEVVKKWWFGGISTAEIARDESMD QRIKRRLGDLRVREALLQMILILEITALESLSTYKAPEGQGVAADGSQIASQVAEESQ SRPKRKKKIDDVNLLLDLLLDKLCIWQSIEQDGVLDFDASKQDEKLDGSSGKDRLQNF CIEVIVPFYMNRLPEQARMVNKKLGGPAPLSPPKRKAMKPPNVSRKSGEPKESERKKP RRSLGRVATDTTGRTGSVRAPSLNRSATDSTILNAIKREGSEVPLSAIPFQRSPSNAA RQTASHLKLLKGREMDLNTTSKAAAARAQQRKRVEEDLKQAITALKKPNRGLAAGSYA DDVEKRGLGSLGSANRSRKPANPIRKVQKDVQVMATPSARRRTKDMVQQTPMHHDNPF VRPRPSDTHPPSDFCIPSSAARPPPSSVVPATVQRSATARTSTPQGVAETPSKPQAAR KLFSIPTPTGRKILTTPSKGRTVRLSLDSPPQAVDATPTKAIASSAPNEINHRLEPTP RTLFATPMLKTVPAQVAESPLPPFSLPTEPKDEPSIYDALGWNDDDDFT CC84DRAFT_1159839 MAKPTQSTGDEAPKKKSRWQKLKEENEERKKNNIQRISAKEATE RTGYDETGKPATEWRKEQHAKWVEEQKEDNLKFGVAVASALAPGAAKGAAADGCVVM CC84DRAFT_125269 MPSSQTRTKLKKFQFIEGAPAPQTMARIDSEKENTAARKEPRAA AAKQRVDSHQTTATEAAEQAARTPRPAKANTKSCPPPSTPGTRLPLAELVGNVDDSSR HVVKAVVSPEEQLCWRGSQQINTPLPRKRKRARSSSPAAPSPEDHQVQHQDARQLTTP QANPATELWNQYTNNKGTPSGHKNIAFAHLISEASPRSSANAGSVSGLRRWASCGVEF PASTKKRRRTQASIGVFKEHAEDPADQPSSDGNFQGPPRGKTNLAEMLEKMRDSMAKP VQRMGSQAPSSSSPLPAAERLEIPADSPLRRLGRADFEDEETSDTLVEDAVAATKAED EEDEFGSHRTSGSSDEFGDVDFDDDMADVLEISGQTATAQQAQILHPPEQVQIPSQAA PREHSGPPVLEPTDSDDEFGLDEDMFAEDLEHVASLYDARAERTPEQQASGVNATENR QLGSEASLAPAPPVINLVDDDDDDDFGDDIDVDEFAVAEVAASQAPATNVCRPRTY CC84DRAFT_1159842 MADAPKPLPFVYQFAAGAVAGVSEILVMYPLDVVKTRVQLQTGK ATGEEGYNGMVDCFRKIIRNEGFSRLYRGISAPILMEAPKRATKFAANDSWGTFYRNL FGQEKMTQSLSVLTGATAGATESFVVVPFELIKIRLQDKAQAHKYNGMIDCFTKTVKN EGPLTLYQGLESTMWRHILWNAGYFGCIFQVRELLPAATTKQGQVTNDLISGAVGGTV GTILNTPMDVVKSRIQNSTKVVGTVPKYNWAWPALGTVMKEEGFSALYKGFLPKVLRL GPGGGILLVVFTGVMDFFRGMRGDI CC84DRAFT_126778 METVLSWLTIACLILLGYLSLSIMGFFSWGNKFPVEGRTVLLTG ASYGMGKELAKLLSQRGASVILVARNVENLQAATAYAKAAAKSPSTQRFHYISADCTS EAENARLLAEATTWNNGKAPDIVWANAGSSTPGLFLDQKTDTLRQQMDINYWAACYLA QQTLKAWLYPSTPYKPTAPAEPTRHFIVTSSVLGFINIIGYGGYSPAKAALKTLCDGL RQEVILYNGARRSNNAVSGFTPAPFDIAVNMIAPATILSPGELNENRTKPDVTKKLEE TDPKQTELEAATAAIKGLEAGNYSSATSWLGDLMRMSSMGSARRDNLVKDTAGTWVAS LVWLLLGPDMDGKVFNWGKKEGMPAYKPDSV CC84DRAFT_1159843 MGKKRKAGGRYGESKEPKEENSKLAISTYEDVADSEDEFHINRD KILLDDGPAAKKLRKWREEDEFLQPEDEEVLDYDEDDDEDDIEEERFDEDAEVDENDA RKPEAEDDEDMEGWGPSKRDYYNADAIETEQDALDEEAEALRIQRKQLQSMTEADFGF DEDEWARQDGDAGADSGKVVTEVLPQLRITADMSEEERLKILRSRYPEFEPISQEYLR LQPVHDELAAAANAAARLIKAHTSKSGKWSATSLPVPMTITKYRACAAYLAAMSMYFA VLGSTAQEGNSIMIALDPAELHEHPVMESLLKTRTLWERVESLPVGDPMVEAALADEL SVIDEASAVETGNDLDVAVKEKKPKKSKAQRAADLAQARSEARRAEKLRQTEEELASL ASLTGSKALKASRKKKAEQPKINLLNAEDSDFGEETELTAHELAQKAAKKKSLRFYTS QITQKANKRDAAGKDQGGDADVPHRERLKDRQARLQAEAEKKGQNRAGPGAALGEGGD SSDEGDAEPPRPEDFEDEEGYYDMVAAKSNAKQQQKADRAAAYAKAAAEGAQVIEQEI IGEDGKRAITWAIEKNRGLTPHRKKQNRNPRVKKKIRYEAKKKALKSQKPVFDAGKKA KGGASYQGELTGIKKGLVRSRKL CC84DRAFT_1079645 MAILGTALNAVGLIFLTHAVYSSHEHTATFAHTPLPLDITIELL VSILVLSAGIVVSSPQLKPIQWAKWGGKIAREGQQSEGKWTKEGEEILSEGDGFAFLG LDNGIGGDGEGRRGFWDVSGKRKEYAEWVKTGGKK CC84DRAFT_1135327 MEPQTHAEKLVLPHPPFESPAFHLLAAALTFLLPFLTLVYIHAD YKAFLSLGPGGTPATPLGYAKIKLLSILCLRDPLRPAHIPPHFRPQRGYLSATSLPTR PGRRPVVRGIAPQRQQTQKSTCEIYTALVAAMRALIADPANCLLERTSCFEKHSSGIF ASTPITRTCGGEVCHAHPSDGSLHMTLHPADAKIVLERGWGERHPLARGGWCRRFVPK EFVLIYAPRSVEEVGTVMQIVGAGVWWVAGIDVRREGSERRDEMAGMEAFVRGGGVDC HACRVHGCGSKSLEGSMAGSA CC84DRAFT_127041 MYHSRADSVESTALDGTSTAEVYVGKSTACAEVGCLHLHLQRDN CLHHSWAERQNRNGSNSYASKPSAAHAEPHATLFALDARPIGDVPKGLRALPRIRCVP CDVRPTLRVVTGPSTIVCIGQLARVPSASEPHICNGYPLWGLTWCASS CC84DRAFT_1159844 MSAPSTSGGDPTLQLVTFENFTSPAGGDSRIDNLNVFYNAGDIA WMLTSTALVLLMIPGVGFFYSGLARRKSALSLLWLSVMATAVVSFQWFLWGYSLAFSH GAGKFIGNLDNIGLRNVLGYPSVGSARIPDLLFCVYQGMFAAITVALAVGAVAERGRM LPCIIYMFIWTTLIYDPIACWTWNPKGWTFLQGGLDFAGGTPVHIASGTAALAYSYML GKRRGHGTQELNYRPHNVTHIVIGTVFLWVGWFGFNAGSALSANMRAVMAAVVTHLAA CVGGITWCLVDYRLERKWSTVGFCSGVIAGLVCITPGSGFVPAWAAVIFGVCGGVACN YATKLKYFLRCDDALDIFAVHAVGGFVGNILTAFFAADYIAHLDGVTVIPGGWLNRHY IQLGYQLADSVSGGCYSFAGTCLILGALDFLGKFLPIFKLRVSDEDEILGLDDVEIGE FAYDYVELTREVATADDVDEGLSTHSLEQHASAMGMHEKNQESVDSSHQLAEWAHRA CC84DRAFT_1159846 MNNTNGNTTSPRPECHYSKGNINTTSLPENGSWVAIADAYPNYT RAMAQCCGADHPSDFYGPDACFRYCNVTDGPDGRNVKNVSDCLTDTFGIPWWWVHSRA QYNHDLDGAAVRLGNGGGKMGLLVLIVGLLGVFGGGI CC84DRAFT_128490 MPGNCSGSNNFSSIPAYRPTPEAYVAIPNAYNWSSLLQSCCGSD SLVARWGEPGDEDRCYLYCNVTVTENHSANSVRQCVRNAILKQDQFVRVTKSTEEKSG AVGRLEWRGGLVGWVVLGVWVVGAVCGVL CC84DRAFT_1109071 MSHEAASRVRFANDNALLPDNTPVDMSKPVVVNAPLALDSSGSS GDEGAQQNLGSLHEEGQFEFAPPVATPLDDVPPLAGSQGYGWATSSRRGIMTPGEHPQ PLQTNGATKATRPSATRTPSNAYTPSHARRPQQFSLNTTTSHRTRTSSANRTRRNPNA EYRAQEKAYVQRIRQENEQEEFFDVRTPSLGYSTDTDSDEESPSTADYADNDPYDQET LLYYGNEDMQPSVEELKIPANRERLEWHSMLANVLTGDVVKQEKKRLIGSTEQQADST LKAEIWMGVRAKVCGRTLPAQRRLVDDKRSNIRNDLESIITFEVQGAAEAGQTPAAQV DEVMKKIELVESLYPTRQTLEQAYPRAASQAYKDACDAVFAWNNTTELINIEMSILKK WVGNDELDFSKPRARNPNDHHLTDESSFIDRILKEDGLKSLQGDASLLVALERVIHKA KGTLIANAEGFAERHLPPYIEELLTLINFPSRLLQEIIRVRLSYAKKIKDPSQQGVML AEQMISQFQILLTLAGKIKDSYLIISRPEPGWDLPPCIEENFDNVVLEALKFYFRMLN SKLSANKNTFKEAEILEQEWDFSNKLGRQLEGGDVETAEQFSSLTSKSLTRLTAHFER ELQRRPEEVTGAEMEKRYKQILDSVRVRQRKLFRFSRILTQRFENCTEYNINHIDLDQ EQLNDLYESLTMTGHFLVETSGGGNTGVCIIASPALLDRPKDIQSLLTTCYHAEENPE DPSNPYVLIIRPEEPLYWMGNKMSANIREPHLDVKPGRLRLVADGSQQRLANANASFL QSIALDLNTLIDQRANLPRVNQELQKIKKTAYKLSNTIMDSVEIVRKQTAGLDCQDLI QTCFAFATEFGQRSLLYMDYNRRAMNNIKLTRLALDWVSFICDDCIASDRKTFRWAVV ALEFAMMMTRGQNILSISDEEYSKLRLKVAGCMSVLISHFDIMGARSTIAAQAEKQRQ NALAGKSLWDPKSMKDDEESIRLTQDRWTQMLEEIDAIRKEKEAERQALGRVLEDSNE ADRALTFLSSSATNVTLRWQQGQFVGGGTFGSVYAAMNLDSGHLMAVKEIRLQDPQLI PTIVAQIRDEMGVLQVLDHPNIVSYYGIEPHRDKVYIFMEYCSGGSLSGLLEHGRIED ETVIQVYALQMLEGLAYLHDASVVHRDIKPENILLDHNGVIKFVDFGAAKVIARQGRT LAADTRQGRQRSMTGTPMYMSPEVIRGTNLGRHGAVDIWSLGCVVLEMATGRRPWANM DNEWAIMYHIAQGDPPQLPTTDLLSEAGIDFLKRCFERDPSQRASAVELLQHDWIMSL RAQLSLEPQTPSSESSSGPGSATTTRQNSGLYS CC84DRAFT_1076977 MGKEGKGNFQLKTPKGTRDWSGTDVVLREQIFTTISNVFKRHGG VTIDTPVFELKDTLTGKYGEDSKLIYDLADQGGEICSLRYDLTVPFARFCAQNAVQNI KRYHIAKVYRRDQPAMTKGRMREFYQCDFDIAGQFDPMLPDAEILRIVKEVFEELPEW KGKFTIKINHRKILDGIFQICGVPEDKIRTISSAVDKLDKSPWEEVRREMVEDKGLAP EVADKIWTYAQLKGGKDVVEKLQAMPEVVANESAKQGLENMALLCDYLEIFEVMPALS VDMSLARGLDYYTGVIYEVVTEGSAPSASAKDVAKKEEKQKKQKKSTDPDEDRSNDPT IGVGSVAAGGRYDELVGMFSGKPIPCVGISFGVDRIFSITKARLDKNREATALRPTEV DVFIMAFGGGKDFTGMLPERMAVAKELWDAGIKAEYLWKAKPKLQNQFKAAENGKVPW AIILGDDELKAGKIKIKEMGLPDGHPEKDGVVVEKNRLVEEIQSRLAGKASLPVRSA CC84DRAFT_128560 MAPLPADDQKQNIDQTATNEGGRSWTTATIVVGAVFLFLALTFI ATIIAFMLHKRKLRKQLPAEHRPRSYHPFRTETTDKSSLLDNAQTPDEERSIMFSRER SSVSVYVDVEPESEPANRRVSAQSMETISLIPLHIAPPERHESLTGAISNGSGVSASS SRYSRGSISLSPIQQEDGDLGTRPVRPRSTSTSSVRYYGKKEGSPDSTTWKTSNSPQT SVAAQQLPEIPRIVHTLSD CC84DRAFT_1212095 MGSISPGSVTSKASKSSTDAEFFSLGSDSRLRTGNSEMRHAEDI ADRNIEHYGSNSSRHSSLGCQNAGNQFAQDHNARRQSIAASIKSLGSVPSSPARSVLG SLNFSGLPKYSLGTGVATEGGLVDRILPHVEDPNARPYDRKNWPTRIARDESHHSSNR DWRASDDDDTSNGKRGTKERDGTMDICEAILAGTGRYDLKAALDGVVDLNDTEDTDRD VQWAPAVTQEVVKPHQHKVTEERIYREIHNHDIYRYIQPVYQTEILPARHFFYNTNNE LVEVSADQLPECTGAKQRWALVRGDKDKPTSHAVRSALPRRELKILSDKTYMTSEGYE RRETTILHPPELEDLSNYGGPVVPIEFLHHPAPVDQELKEEKKVDHLHNSRQLTMEGL SNALPSFRPGSSSSSSSRAPTGSSASSPASKRLSIPRKPVPAPPSY CC84DRAFT_1135339 MDKAKAAVSDFMSKAGHHDTTVHETVAPAVVEEVVKPHVHEEVN TAIDKEVHQDHYHRTVQPIKDREVLPEKHTAQLGAVQHREFDHRDHDHTKRNLAAEQA QFKDQRVVTETSHSQSANAAIGGEHVHHHIHETIQPVVHKETIQPSVVHTTVPIHEVH HNAATIHESSELPAMTMSEFKQKGGVLSGRDERYDGFEGEPKHIGGTLKHMMEGKTSK RDSHQDHEVRESAMHGDFDPLDGGKHNTAAGRLGGAGTGVGAAGLGRETSSVSSTSHS TGTQPKKASLLDKLNPLKDADGDGKKGLMK CC84DRAFT_1159855 MPGSSLWLLPPRGHPLEAALSKLIAQTAAQFGSPHTFLPHVTLT SDIAADVYAPNPKAWLDALLLPPASQTHVSLGPLASDPVYVRKLYSRVSKPGVALLTH ATRFVVQPNPWDLENWLEEKWVPHLSLLYHDCPVVEKEKLREVEAWVGVEGVKLHEGR GGEGEGEGEMGGWTGGRVVLVDTSRPIGEWVPIAERVL CC84DRAFT_1254798 MPAASGASSTSMNTRNSFSSSWVGSSPSRQSPVRQSPQRNGLRS SRGSLLSDSYESPTRKLQLEFTNILSHSDREFHKKLDQDAAERARLHEEQLARAAREH QRVMEEATREMERIKLEEENERLRKAAVQEKEIQRLKEQKMREQAEAQQRQLEAKLRE EQAAREAAEKQRRLQEAAEKARAHKEQEEAAKREKEEDERRAREAAAVPPPPVAKPQP VQALPTPSAPAVAAPVPASAPLAPSAPSADIVEVHKKYLTLHRSMKEFRKQFLAAHKA PTDPLKSLIGDVRRNLNKRMGQITVDVADSKKTIKSIREECFDKAISAGGPMIDIRPY LISHQITNDADAQYPQLLLYLWVMFEKYLVKQWAHEAPKEDGRIITQLSLIAASLYLD TKYMCMGTVTMTDTLLAKLHRICPMLFGIRGNTTTNRAGLGLDKVHPNDDAEHNAYLQ RMTGVGAGYAALTHRKFAQKPPAIPISEYWRAVVLICNTPAEALYPAHFMTLQGLLRD NVRKFLATYGVAAKAVLRRATFDLPNRVPEPRAGVKEERPGLHAAAGSVKTLPDVWHA KENINIR CC84DRAFT_128681 MDQQGQPGPLNPNGRRLHIAHRRSPSELTPLMMEQLAIAQQIEV LQQQQQQIAATHQQYVNMGMIQPQQHLPGNFQMQGQMSNVSPNPNQFQFAQQVPQQHL AVPMNPPSQPSSHRRNQSALPNVMGGPPPAPSSGASGFGEYGGQPGNQGRENVNPRGR GGGGAGSGHTRRHSLALPEAKKAAELAEQKRKTSGFQFPIPGSAGGSSNRDASPSGNT PTDQSAGRGGRGGHGRSQSMAVGRGGGSAGRGGPAFSFPAAPAGDNTTGAQTGQSDFQ RRGSQGHGRSQSRNFEGNWRQPQPQAQQQQQPDQNNQAQNMGNFNMNQTANAAPFQPG HRTRGSVNQSVGSLGNFQYPGQPQLMQLPQGQVLLPQQMLGGQGLNPLQIAHLQALQA AQMNGGGFGGMPGQHGQPQMMQQQQQQRKTLFTPYLPQATLPALLSDGQLVAGILRVN KKNRSDAYVTTTDLDADIFICGSKDRNRALEGDLVAVELLDVDEVWGQKREKEEKKKR KDNADPRGSNIAVNDATTQPETSGEGGIRRRGSLRQRPTQKKNDDVEVEGQSLLLMEE EEINDEQKPLYAGHIVAVIERVAGQMFSGTLGLLRPSSQATKEKQEAERAAREGSNSR PQDNRQQDKPKIVWFKPTDKRVPLIAIPTEQAPRDFVEKHQDYANRIFVACIKRWPIT SLHPFGTLVEQLGEMGDLKVETDALLRDNNFGPDDFSDAVIKNVGFEEWSVANDGDVA LENRRDFRSEQTFTIDPNGSKELDDAIHFKYLDDGRVEIGFHVADVAHFIKANSLVDR EAKKRGTAVYLMNRTVNMLPPRLSQEICCLSPGEERYTVSVVFKVDPNSGKVFEDETW IGKSIIKSSGKLSYDEVDAVINGNASDISEGRAKDIKMLHHITQKFREARFGDRSTDI PSLRLMHQLDDENVPVEANIFDSSPSHECIEELSHMTNAFVAKQIAASLPEKALLRRQ APPNPRRLQTISERMNAIGYTIDTTSSGSVQNSLFQIDDVDIRKGLETLVIKSMIRAK YIVAGKQTEDQRSHYALNLPLYTHFTNPSRRYADIIVHRQLEAALSNGAIEFTEDIEV LAKTAEMCNTKKDSAHAAQEQSVHIEACRSMDKTRQDLGGDLISEGIVLCVYESAFDV LIPEFGFEKRVHCDQLPLKKAEFDKNKRLLELYWEKGVPSSAYIPEDERPKHGSGRAA QAAAAARDAEVAKQRAKEQEEAQRRQMDTGARDDDALFDDDDDDDSSDLTGAMAGVSL NTGADRPTQSMPPSPTRNGLQSSRYAPHRVKSDSKLAQSASESPEAKLTNKEKYLSWF TLREENGDYIQDVREMTRVPIILKTDLSKSPPCLTIRSLNPYAL CC84DRAFT_128646 MPSVRSIIGGLMALAPLAAAAPAGIIARQAASSSTTSSAAAATS SAAAAAPPAAAPAAGGGLTDVDILQFALTAEHLESTFYSQGFAKFPMSDFTALGLTDA QIKSLKGVGQTEATHVTTLQAAIAGAGAKPVEPCQYNFDAALKDAKTMVATARVLEAV GVSAYLGAAPLVNSSDVLTAAATIVTVEARHQAFIRSASGAEPVPAAFDTPLGPRAVF TLAAQFISSCPQGSNLNIQAFPSIALQNPEQAAVGSNLKLADAANPAGAQFCAFVAPG GNQFSQITNGNCVVPQNLSGEVYMMITKSQSVADAEVLAGPSVIQPS CC84DRAFT_1201532 MTLHQNGSSSTSVMEPPAVELNDLSHYISTHNAAKLPHAKPSSI KAGKTLQEQDPIERLPSPTTQADAKLEQWNAPRGNMWRTLAAFWSFVVMGANDAAYGA LIPYLQEYYDLTFVVISLIFLSPFVGYTASALLNNAIHLKFGQRGVAFLSPGCHLIAY VIIAVHPPYPVLVVVFTLAGFGNGLADAAWNAWIGNMANANEVLGFLHGFYGVGAVLS PLIATTMITKGQRLPWYMFYYVMIGLAVVELGTSLAAFWTETGAVFRQNNERTSDSKD SRMKEALIRMPHARITWICAIFLLGYVGIEVALGGWIVKFMLEVRSGKDFASGMVATG YWMGITVGRFILGFVTPRIGEKLAIAIYLPLTMALELIFWLVPQFYVSAVAVALQGFL MGPLFPAVIVVATKLLPKHLHVSAIGFAAAFGGSGAAVFPFAVGAIAQAKGVKVLQPI VLALLGAILGLWLCLPRIRRKEE CC84DRAFT_1254802 MASTGVDYVGPHDYEKAGKIDPENNGLPGYRRESIVSVKTVRDS THRKLKPRHIQLIGIGGTIGTALYVQIGRGLMNGGPGSLFLAFSIWCTFILAVTLCMA EMVSYLPISSPFIRFAGRYVDEAFGFAAGWNFFIFEAALVPFEIVACNLIIHFWSDIV PTGAIIAIILFLYGLINLMAVKWYGETEFWAALGKVLLIIGLIIFTFISMLGGNPIKD RYGFRYWKNPGSFAELYREGNLGRFLGFLQCLIQASFTIAGPDYVSMAAGEAENPRKI MPRAYKAVFYRLTAFFVLGSLCVGINVPYNDPELKAAFKDGKPGAAASPYVVAMNRLR IEGLPHIVNAMVLGAAFSAGNSYVYCASRSLYGLALEGKAPKFMTKCTKNGVPFYCVA VVLVFACLAFLNLGNNSAVVLDWFVALVTASQLINFSVMCFTFLAFYKACHVQGLDRN TLPFKAPLMPFAAYYGLVGTFIMAFVGGYTVFIDDNWNVPDFLFAYMMIFIFPVLYIG WKLIHKTKYKKAHEVDLQEDLEEIEEYTRNYVEVQDQNKFNQWMDKIFG CC84DRAFT_1159860 MPPRRQLPWAIKDSGKNPTVKPPRAKRTIQSGIDDDFFDGTVLE SSRKGEERANVDSDNDFPGSSYKFANTTRKGSESNRDARLPSSSPPPIAADLPPPTTE YMLAGVDKFDLRDDEWMMVEDELLQTAKLFTQHLHLAEYETLKTKMEELRKETAARAV VPNAKPSVDAYFKRKAQEQAQMQKKALREIVSTHDSESEGDEEPQRAVPDRTAPSLLP ARPLSRARAPANETASDSDDLDMPERPPTMKLSTILPKDTVKNVPAKRPEVFVKPASK TPSKPARVQRRNVWDDWDELNASPKPLIPARSPSRTPNRASSPKKAIRTSTHQQSSSP ISSLPTLITKRAHNVTSAPSKGATTILDDEDLNPPKRIHLAKDTADQLAKRKADKDRE EKKRQKYDDIPTFLF CC84DRAFT_128809 MSMFRKPGDSSSSSEDESSNTRGEETSNIREDSDNLLSKINTLD STSSLPRSLPATGPPSLSRPGISRTNTGDNIRDLLLHSLLEDKALTDAAEHLGKSKTD PDVLALAQQTYQGLARQISSQVDSSYAGDDMRMQRLAAKEGISRATHLQMTSLTAAAT ATGTAAAAGLSQALVTRPSFFGIPAQSAPMLAREMDTLNDMYRQLPSSVQGHTALHNN RYAREYEEIEMVGKGGYGKVFKTKHKLDNAYYAVKRICITSHRLQKIRERGDEELHSI LEEVRSLAQFDHNNIVRYHGAWMEFTANVPDIPESPTAGVSRPNRLIEHASEPSFSEN VADPLYDSFGDLDIDDPFERRTLEAAGLIHFGDSDTNEPTDESPRRFTKKQKGKGNAR RGSQATIATISSTKSRLSAVQDVDEEDEGGIETIPREHEPTFEESESMVTDSDAPNQL ISTRNQGPVLTLNIQMSLYDTNLAAFLSTEQGSPSHCFHPCISLEILHEIIKGVEYLH ERGVVHRDLKPANVFLSLSTGRTQPAGSVDLASCSSCSEHDHPQYVTPKIGDFGLVAA LGESVTRAVGTEFYRPVVQGKIDEKLDVFSLGVLTFEMLEHFGTRMERVEALTKLRRG EFVEGFGDPCGDMKELVKKMIAGMLAENGEERLTCARTRAKIVRIVGGLRV CC84DRAFT_1135364 MSNGSSSRSSSSFHFFAGMTSGIFSAVLLQPADLLKTRVQQSHQ NTLLATIKLIASGPNPIQQFWRGTLPSTLRTGCGSAIYFSGLNALRRRVSEANILASD RAKTDGHSSTLPKLSNTANLATGAVARTWAGFLMMPITILKVRYESNLYAYSSLLSAS RDIFRTEGFRGFFAGFGATAVRDAPYAGLYVLFYEQSKRRLSRLATTIEETASTSTAS LSTSTSAGINFLSGVMAAGLGTTFTNPFDAIKTRIQLMPNRYANTIQAGKKMLREDGI RSFFDGLGIRIARKAISSALAWTVYEELIRRAEGRWREGIEEKV CC84DRAFT_1201538 MSEYSYDDRPRRRDRVREREPEYVTETTYIERGGRGEGVRDLVY RPRREDSIEEVARDFPPPGAEYRRTKYRETYEPRRTRSAHRDYDYDDYSRDGRDGRSR RARDYDDYSEYSERPKPQRRKSIVDNVKEFAESAGLGGVIGAVTGRSRSRSRHRKDRG YESDRDRYDDRYSEDRRSSRYGSRSPSRHGKSQAKWEQAAKAAIVAGAVEAFRSRKQP GPWTGEKGQRIATAALGAAGIDSLVDKDPDKHSKRHVAESALGGLVAARVANGSRSKS RGRGGSRSPSRSRSRSRSRSIFGRSRSRGRSRSGSRERGGDNTLGKVVGGGAVLAAGK ALYDRVRSKSRSRRERSPSYDSADEYEPPSRNRRSRGGYDRGRSMDGAYSDAPRANPD RRLAAATGGGAVVAGGSQRGRRDSSSSSTSTTDMEQKRKKLRGKELLTAGLATVATIH AAHGVYSSMVASEKRRKLVAEGEITAEEARKRKSKNMLQDAAAVGIAAMGIKSAFSEW KEMNEQRHSVHELEARRRKRRKMRERKEREARQNALSGQGVANPYAYPVAAAPYGPAY VDANPYGSLPPPPVGGAPSARY CC84DRAFT_1053925 MALIRLLQRRPDGGIVFRETTNSDVPAYAILSHTWGEEEVIFQD MKGGVDMSKAASKAGWKKIQFCARQAAADGLQYFWIDTCCIDKTNAVELSAAINSMFR WYQDAARCYVYLPNVSKADSMDDESAWKEALRKSRWFTRGWTLQELIAPKLVDFFSSE GARLGSKSSLESEIHEITGIAKIALRGDALSSFSIKERRSWAERRSTTIEEDEVYCLF GIFDISMALIYGEGRDRAYRRLEEEIHKLYKGVDSEQYAVGLNLASIPEAAQFVAREQ ELSKMHELLHGHSSRAAVVLHGLGGIGKTQLAVEYIRRHKEKHTAIFWLNANDEDSLQ LSFHSIAQQVLQSHPSNKMLGSVDLEGDLNRVVIATKAWLNLHGNTRWLLIYDNYDNP RISNKSNRSTVNIRQYLPESDQGSIIITTRSARVTQGRRLHVQKLTGLEDGLKILANT SGREGITNELASKLDGLPLALATAGAYLEHVTDSFAEYLRLYEESWVDLQATSPTLSS YEDRSLYTTWQVTFDLIRKQNAASAQLLKLWAYFDKQDMWFELIRHARSTDDEWIQKL TQNKVSFNEAVRLLCEYGLAHPEPSLGQPSGSGGYGVHSCVHSWTISVLNGGWDDGLA RLALTCVASEVPNTDVDKWWLLQQRLLQHVARHRWFIMNSNMDFGGMEWTLHKLGMLY AAQGKLAEAEAMYSR CC84DRAFT_1227113 MDVDRTTLAQPEQSIYSVPGISALESQSPRYQAHKIFSLAFAQG MSQHYSNRASSVIRPVQHLLTFPTQMANYPWHPCLSPRSICQHEVVPQRRPRSAYKYR TASEYDLGQRPYPPQPRFLEQICNRFPCAGTE CC84DRAFT_1254807 MDAYPPAYVVHNLPFIVLSGLGTQPDLEPRRAFHELLPGRAVSA VTSDIAQLASDRAQQLLDEFLSYDNTHTPWEAHGLDRRDTLAKFRMRAVGRNFQLPPK KADAPTTSETSPPGSPTIAAATSWILHSPISPLSPRAPVFPDGAMTPVWVAKHQDYIP SVFVSFFEFTTDPITNSLHDNHLKSEIIKVKEQIQRSGYKTRFAVVLLSDKSVLEAPD IDERLNNIRRNTGLDPKTQLFFLPPSSLVELRSFVANVLTTLKPISVEYYRDLTKRAR RKKTRSTIPPPTAPPTRGTSQVLGYPGWGLRYEFKLGVFAEFRQEMDAAQRHYNIALD ILFGPEGIFETTASWSPRWDEIRLLADTIALRHVRCQISNNYLTSAAQTWHKYKTKLK DVLDRRGKGTSNYGWEAWESTWAQTMAQLIQQADLRVFRITDPLPESELLPSVDMNPV YAPPEKQFPTGERIPPWELLHHAGYWYKSAAEHAKRRYLLARDMPEEDRTPPGMSPAT KVSNRNQVYDHYLVPEPHLEFPLQGTSGGFEHWKDIVGKLNAAIDEFRARRQERKVHQ LQLEAARTLLHVKEFDEAFKVLRPLWENMAWRKEGFWSLASEVVWGMHECALRVRDVE TYIATEWELNSSVLTGKSKYKHDLMNCLAPLPRDTSAGNTVVNLDTENYISCLSSTFT FEKNEGNVGEPLTAQIAITSWARRGSTPITLSNVAFQFKGSLSEIQLSHVAGESASSS DSRLFDCSLEEQTSSTEKPRWAGNSDLTFHPGQTKVFSFLITFRDSGEVEVMSSKFDI ETERFDLIVSTNDLPFNLSPKWWIPWGITIKPRKLQTGSGATVKVLPKPPKMEVRLPN VREHYYTDEPVTLAMEIWNREDEDTEAVLEVRLLGRSKDSLGYSWIRDVASPMKEVPP PIDNDDMDLPGHVVGRLAQGEKTIEKIRFTAPSDPADYALEVKVLYHLLSDRDIPISK IMIADIVFTSPFETSYELTPRVHPDPWPSYFSLSSAPGAPSDAFGIAQKWHLTAKIAS YATESLELKDLAVETHAVHGGATCSVTRDFDSIPTADATMHPQALHAHSFTIDIRKTN LEERRPTAIDTSLNVLWRRAGPHADADVDPAPTVMTTIPIPRIQLPGGEPRVLASAAP SPSISSAIHLTYTLENPTMHFLTFELAMEASEEFGFSGAKLKTLQILPMSRQEVSYVV VPVKKEGAEGGWWITPNLRVTDRYFNKTLKVFGTDGMRMDKKGVGVWVQADEAGKVVA A CC84DRAFT_1159866 MAGSQQQQIIDTIFSMKRRILRPDDSSDNEDTAPTYGPQKQSLK RKVHYSHHGDTDLVNGHRPYKKRIEHAGYRRYILQRNPPRYDPDGDIVEIGDEDDEDD LSPVEENPYADMRLEELLAPLSSAADLPTHPSYSVAYTSKHLTNLTNEAAAISRKENL ALRHAKTLQLKFQGDPSFAPNALASLAHESFLDFGRDLPNGRAANGPDEHSRKGADEN TTQETSAAGEDVEMSDATQSNGAPRIQEPNGTSTSEVQNGTSHVPNDMPRADDAEPTQ TNGEHPPFPDADDASDTASHNTAHRMTTRRQARAAESPSPPASPSSSVHEVHPMFVFP TDALPDRDLGLPADEAEDTRLLLMAYVQKQEEVARLAAELFSGIMEGERMRQEVLKMA KAEAHLGEMSDGEDWYDREEWHLTEDLGKGKDEEDDDAVVTGKKSTRQRRKPDKEDR CC84DRAFT_1080946 MATKFYIGKRLSYDDQLCTVRYIGEVKGTKGEWLGVEWDDPIRG KHSGEHGGIRYFECLNKSSTSGSFIRPARKPDPSRSFSEALKAKYASDPVQDPSIHIV FATKPGDNALKKDPLARINQPIRISGKEVEEVGFDKIRKQLAQLGELKIVILDGLRME RSSARLRNGMDEWEKGLTDVEEACPKAVELDLSRNLFEEWREVASICEQLAKLKSLRV DGTRFLTTSITPAERPRCLSAFAHITDLKLEDTLLPWSALASLTHLFPSLTAFSASSN LLATLTPHALTPTLTSLTLEDNALPTLACLAPLTSLPNLRRLILKSNKISSITASPSD AAPVFSKSVAEVDLTYNDISTWSFLNSLPTSFPGLISLRISHNPLYLHLRTPDGRKMT PEDGYMLTIARLSCLTILNYSPITDKERLNAESYYLSLIGKELAYAPEADEAAILEDH PRWKELCEEYGEPRVERERGGVNPNSLAARLVKLHVYLKEQEGGNKKTTVLEIPARCT AYTLLGLVGRAFDLPPTKLRLVWETGDWVPAAQASVDVAEAESEDEDEDEEDEEDEED EEDEEDGEGQRVEREVEIVAGTRGVGTWVEGMEGTIRVELR CC84DRAFT_1183196 MDYQNRAGSKFGGGGVASSSATNADRRERLRKLALETIDLDKDP YFFKNHVGSFECRLCLTVHQNDGSYLAHTQGRKHQTNLARRAAKEQREGKKDDSMQQG LLAGVQVKKNVIKIGRPGYRITKVRDQVTRQNGLLFQFQFPDITPGIIPRVRFMSAYE QKVEDPDPNYQYFIVAGEPYETVAVKLQAREVDRREGKFWTWFDEDNKEFWCQLLFKT ERDERFSAVPGLAPGRK CC84DRAFT_1159870 MPVCTSRPPQHCPCPEAATIPASAGQSGGPLEAQSVLPLKLALA AATAVAVLCMIAATRQS CC84DRAFT_129261 MYSVHQYPVQTMSNGAIGNGLIMDPSGTINPQALNPADVLGGAQ QNVAQQPTRGIKRSRTPEDSYADLTTGENGDDDSSRRKRGRPPTKPTKVSFAENDNRG SHPPQTPSYNAGAPPVQTPQLQATPLPQASPPQASPTAKSTPTKSTVIKALPTVRDHT TDQLNPEGDEYLPREKDEAGENKVTPTGHPLDGREYRCRTFFVPNRGNKLFMLATECA RILGYRDSYLLFNKNRSLYKIIATQAEKDDLIHQEILPYSYRSRQIAIVTARSMFRQF GSRLIVNGRRVRDDYWESKARKQGFTEEDAAGEKRPGAARAREAAAAEASHAGGHLAF AHTGAYTGKLDQDYLGSAVNPLQPFGGLTPAPNTMPTANPEPFEQRGTTDLQPRNYAG VQRPRHEMSGVPYQDVTRPTPSGEILNQAGQTAEYNKQLEQQRKNRKQYLDDIWARPH EPPPPTERPGTADANNITQAVQATQSPHIPSANTAVGVGQYGMAAQTPQRPGQVAGAT PYRPQPHPQAMMPSPMAQQQTPMRPDQMHRRPPSMNLPQGMNPAAMAQAGMIPNVGQN PGYPPQHMWQQAPPQPSPLSQQHNMQAYARPPQQSPHPQQSPMHASPQLHHTQSSGSM HGTPVQQYQTMNAMGDPNFSAMGQNPYQPSPSPHQFMQHQSSATQQPGMPGWAPNQQP QQGWPTY CC84DRAFT_1159873 MSARTTGTRKAHSKTRLGCSQCKRRRIKCDGAHPTCFNCEKRRD QCSFVLLAPSTRLTAGSASSASTITAISPSSIPSPSFSTSTTTSGSRIRPKYLLPKNH HDVGVLGSTYVRDDSISSDVSFITPPSTPPPLMSLPDLIIPTTFPSISPYLRFNDIWR PTRDMLPPALQGILYHYEYTTSLTLADEDPAKAAWQNVVPDLAADHKFLVNNILAVAS LHLARLHGRGDKRIAMINLAASQMNKAIQGFRPQLDNINAENAAALFASSTLTAVYFF FTATQEMEGIRSCVLQGTIVPPPYVVDTMLAATLRTLWGLRGPWSVLMPGWEHVIKGR LSVIANRQWWPKDRRPKSDMALEEDSRLAEIEQLWKGPNQSTDADTLSSALFYLRETY SLVSHLVVAENEYPFLTSVDYAYGDKGGQIVQMKDRGAIFVWATRISREFMKLLEQKN KYALVILAHYAVLAGRVRNVWWLEGMGANFIVTVAMALGRENWHLIDWPVQAVGVDLE NAFTAQLDLLEGAAGEMAMEVV CC84DRAFT_1109116 MTASHTPYPEDDPNDARFDDADISTVPFVDQPAYGRRLWQKPIS FVPASKPCPPLAAPSKLDGRSFAEQYLAIVFPNGQSPQKTDAYPACGICGAPVKESDH RMHYLSPVHQAALPEIQTPSGIDRTRLGLKLLQKHGFDVDARMGLGATGQGMLFPIVP KEKRDRLGLGVDKKAVEREKREALTPKGATLDAGQVRKLEVQQKKKHEKLQSMFYGDD KLDKYLGRGPVDHGLK CC84DRAFT_1183200 MPVHIAGKVWEEGISAIPYGWTLLKLIPVVGVIYLLKLYFNGAV NTSERNMHSKVVMMTGGTSGIGAEVAKGLAARGAQIVLLTQHPLTDPFLVDYIEDLRA QTGNELITAEQVDLASLYSIRKFATKWVDNAPPRRLDMVILCANTRTPSGGSAVFTED NLEATWGLNYMANFHLLSILSPALRAQPPDRDVRIIFGTCSSYLGGVLPVSSTPAKKG KKDTIEAVPFTPSTAYATSKLALMTFALAFQKHLSSYARPDKNPNTSRVIIVDPGWTR TPGMRRFLTLGSLWGLLVYVATWPLWWLFLKSSDQGAQTFLYAAMEAQYGRGEGGWFL KECRQMEMLKDEVKDEALQKKLWEVSEESIKILEQEGAKKRAAEKKSDEKGAAEGKET KVDTKTKTKSTTAKENGAAKQRKGK CC84DRAFT_129345 MMLRKERDGATSPGPVYMSSSQMTNYLQDLRTNRPARPTGSRPP PAHFNTWSSRSSHRFSDLPAISPLTQKTFEDADAKVDAPPVSTPPEPKSHQRTTSNMS IDGVIAGVGRPLVQPPRGRDMTTSSMARERTPSVQYRESGRRQVEKDEVRALRAAMEE VDLKSEEKLYESARDEAAELVWKHMNPNAPGANPDGPYAYPGLSRKGSVQRSQSLDRS EQDLQRTNSKLRRKRNSMGSTAGSRSSSLQSRRAGSGSSLTANAAFSTSPTNESFTGD KAVDSAILPKASSTPSTSHRKVSDPNQKRRSSGSRRKASATSLFKNPNDQIYEEPEEE QTAPPPPAITNPPAPAKLPLGARRNPFARVQTIKDKNLVRSNTDPVVPMKRFDRFEIQ RNPPSQSRNAGYTSSSSLPRTSEDGGGKSDVENEPEVKTKDGKEIRSEELRAATGFKL KDRSAKLPTPTMVSDSPGRPIVSFKKDWRPKEIEMKEEISALPGASPRPHTQAAKGPR PLSKAATAPVVPTIGNPAERVEPPTPAPTPQMNPSTVSAPSTRVSRVKIPAVSVFEDP PARPLPSLAASRKVNTPPQNAAPIVPTINVSEAPAAPPKPSSATPIPTINVQETSSIS VSAPSGSFSLKNRKLPSVSVSPVPTISVSESPMPPRGPRNTGTPSISATPSIPTIAVS ESPAPGRGSRPSPPSISVTPSIPNIAVSESPAPSRGSRVNPLSISVAPSIPSINVDAP PTSTRPLPVPGKSKSPFDRGNAATSSRSHWTPTSVRTGALCEHCALPIAGRIVSAAGV RFHPECFQCHHCGEHLECVAFYPEPSNKHAERVARIRARQRGDDIPMLDSHPTYEDMQ RLEHDDGHDEALRFYCHLDFHELFSPRCKSCKTPIEGEVVVACGAEWHVGHFFCAQCG DPFDSSTPFVEKEGYAWCVDCHTNRFSTKCRKCRKPVTETVVKALGAEWHVGCFVCME CSGPFEDGRYFLRGECEDPVCVRCEERRLKA CC84DRAFT_129430 MATKQAQKGGQIDLGQLPVPQLQELKTQLDRELEHLTTSFQSLR TAQSKFRDCINSISQGVNPGTADKPLLVPLTSSLYVPGRLTSSTHVLIDIGTGFFVEK TVEDATDFYNRKVKDLQDSLKDLEGVINGKANNVRMVEEVIRVKVLSAQEQSQKEGAG S CC84DRAFT_129342 MLARRTVQQIARNKRPYSQHSRFAPAKRLDGTLTHVKSLDLSEP IVLPRQFVDIPAINNWFTPAGSPEDDGVCHELKTSYLGRHGSLLVPLEYTRYDSDEGA VDGRKTIATFESIQAPLSLLLSHISSEDYSQQLYLAQCSIDDLPSDLQADLPTPGLIS CLGRGDIYASSIWMGRPPTSTPLHRDPNPNLFVQLVGKKVIRLVRPKQGRHLYDRLRT QMGHAHMRGEEMMVGDERNRLHDVVWNEKEVNGVSASGVEARIEGGDGLYIPHGWWHA VESIGSHANASANWWFR CC84DRAFT_1183204 MECAGRALNFYSYQVTQEIIYQEHMAKSLTEQCATLNQSMDDLL HQANNQIKSLQDRLKAMQEDQASLEQSYYNLDNTLRSKTKAHQHDRRAYESLKAQVMA TQVVSAAGEEVDNTMHSIRQGDRFINKMPGTRTGTAHLSQLGLNQQDGGGRLHNRAGS GSSGNGEQRIGGRPPLKPQLQSRAFGGRSNTSREFFTFI CC84DRAFT_1159879 MAWHGSISLPAAIQTVGSVCVPDLYQSSRHALASTPQMPDVDYL SPLGSSTWKPKVSLSWCRIARERLSRCR CC84DRAFT_129523 MRGRSPREDFSLLPDPSESRRRRRYALQKIPALAATIIEEQHPY RGYNSANLLRAHTEHSLSWTEAGDHHPGATTLSRPIQPYDLPPPDCADFAASYLATGV APGAMAAGNVRMNRAQEFLSPNVNRPHQPGMRFRPTTHEVYRATPDQGRQSAGAPGDV HRPSNVPSNSNYPTTYPGHWSETHVMAPGITVANPQFHSGVNHYPASHTSQEQILYAG PAAHSQGTGFETYPQTNYVYPSRPELRSDLWNYRYSVGPSAGYSDQTVHAVQSGNGGY YNAIPPVVHGQAVDGHDADASSATGGNVSAFDGLSPTSLFQDSAYGGQSSTDQSTCGS ASPYHTPIDFDPKGPVSAPATQHGFVSREDMNG CC84DRAFT_129446 MPGISVRRRLSHYRYSRLGSDTPVSDLALWEVVRISGSWLVDHI VGLAVATKMVGKHKLRRREPLQMHTPIVAREPKVAATRGRPRTSSIEHIAHVVVVCGV SGVSTDFSGLDSSALDRLAALLRGLTPSGFPPVSSSSSPVLGLFQSSIRKCVLACGDE CC84DRAFT_129545 MVRALGPHASISRCTCNKWMPTVPHPRWLRYCNSFSAASSQPRL RRGCSSIGSNLPNANAKCKYAIVRTDLAHQAPKVPDAPRSKCNVCCRSITDATDFCPM CLLIIALIDLSRGPFMPADATSVQDPPWSRPPNESILAGLQYLRRTCQPRKLKFPKPI SMARNMSS CC84DRAFT_1159881 MSASQPTFVIPHIHSLLTQYFYIVPPAVLVCYILYQRLFHPLAR IPGPFLASLTNWWYVHATRTETWHRIVVSLHERYGPIVRIAPNEVSVSTPKAVRQIYP VSSSAFPKSDWYSVFRGTRKVDLFAGQDQRAHGQHRKMVARAYAMDTLKDLEPYVDYC LGMLVEQLDRNVGQKVDMAKWVHLFSFDVIGEITWSANFGFLTAGVDDGTFNTIRAMG RSGSWLGHVPYVFRMHELLKPYIGNWLGINNRHGAIRDFAMRETARRFENPGGKKDLI NRFFDAHEKNPEEFTYTDVISMGTTQVSAGSDTTAVSIRAIIYYVLQSPSVKAKLIAE IDKAKRKGELNNPVKHEEAAKLKYLQAVISESLRVHPAMAVNLPRVVPNGGAKIEGHY LPGGTVVGMSAWAVHKDKEVYGEDAYAFRPERWLEKDTTDMNRCLLTFGAGSRACLGR NMAAQQMSKIIPTLFLNYDMELADPEKDWRVECLLFVGQYDMDVTFKRRQDYW CC84DRAFT_1159883 MAPLVSRYIASSVTCLSRSRAADMSPRGCGVLGPPHTYPASLLR ISSLALRLLNPAESYVLVFTCCRISDPRHMQSLLIIRAIVSLKQSSYDPCRRYVTRGL HQIQVQMYLLQQRILG CC84DRAFT_1201552 MATNLYDIVIIGAGPVGLMLSTCLSRWGYKIKHIDNRPEPTATG RADGIQPRSLDLLRNMGLKRKIMEYEPAKVYEVAFWDPSAKGIHRTGTWASCPKFIDA RHPFTTLLHQGLIERVFIDDIEKNGTQIQRPWTITGFKNNGADPTYPVEVSLQHVDGT ASETVRAKYLFSGEGARSFVREQLKIGIKYKDPIAHVWGVMDGVVRTTFPDIKMKCTI HSDAGSIMVIPRENNMVRLYIQIASSTDKDWNPRKSATAEEVQQRAKDILKPYTISWD RVEWFSVYPIGQGIAERYTLDERVFMGGDACHTHSPKAGQGMNTAFLDAVNLAWKIHH VESGFAPRSLLSTYESERKLIAENLLDFDARYAKLFSARIPSAGEVAGAAEASDLTEN EFIKTFKASCEFTSGYGVAYNPNTINWSPSHPAQHPLFLTYEKGTALRTGRTLHNATV TRVVDANVVHLEQEIPLNGSYRVYVFGGKPATTKRAVEDLATHMAAPTSFFATFSHKD QALDRYHEKHTPHTSFLSLCTIFATPRSQLEIDELLPPVFATYRDHVYADDVWDARVP EAKAAAHAKMGLDEEKGGVVVVRPDGYVGAVVRLEEGSGTCEALNKYFGAVTGKRMGD ERASL CC84DRAFT_1212123 MSEHSAKRQRLTGSFSPASPPYHLAAKSSETKPPIVHPNTPTSP PYPSMSSQPNGGPASTAHPAPAVMTPPSSVHTSQAVSQAGPSATNVHPFPTPASTAAL STSLNLDSDGDLQMDDSQGDDAVRLRGHRLTNHNRQNRVIFADEGGVAAAKGVCGSQL FKLCQSTHEPSQPHSSQNLFELYGLNNLAKTVARTDPVTGEKINKLRKSYEGHIKALQ IAGKPKASKMEWKFTQLYDIPDQDYYLSTVSGKEASKALDPSRPGGLSSAFDDLVDGA IGGMGPGPLPAADANKYRAYLGTDETVKAKAAAEGPPLRGATSAAATPNPHASSAASR LARPERAGSKRQYTDTSFQGYGEGYHDDYAESTGGEDNGNMAAKRRKLAAFERTSHQV EVGGVRR CC84DRAFT_1159885 MDEAASRPAAGGPASASTSTTAQPTARPGAPQTAPRVQQPKPQA LPSRSGPSTILVSPRQKGNPILASVKTVAWEYSDIPADYVLGATTCALFLSLKYHRLH PEYIYNRIRDLKGQYQLRILLTMVDIENHEDSLRELSKTSLVNNVTVMLAWSAQEAGR YLELFKTFEHAAPTSIKAQQSSSYSDKLVEFITVPRSINKTDALGLVSNFGSVRTAIN ASPEEVGLIAGWGEKKVQRWCNSVREPFRIKKAAKRGVTRENTLGTPQIGLSRGVSMA EGDDPMLDDTLEESVHRRVDDAVPLAAKLSRTAPITAAPDADRRPSHRIAEDESVSRS NAALRAPQGGSEAAPENTKKRKQDDENVSDGIMAALNKLRQQR CC84DRAFT_1159886 MQQTDRLATTSAMSFARLPAELQIETFSYLENTDLKAVRSVSTS CRDNASPHLFRSIIACPRYQAMGAFQNVALHPVYQKYVKEIVFDATTYSERIAKNEKL YLFSPPGEVSWVRYSRWKRYQELYREQEEIKEGVLLQTIARALEWMPHVERITYCPYP LHVPVEKKLMKDLFGRGAGYVALELSNPANSHGSIEPENAFHHLIGAICVAKYSNIRE FRVEQAQRDVSNYQLTLREFYFAGPGHLEAGKYFFRNLRKIELVLDFRLSDAVRRDCL ANLRALLSEAKDLRHLRLCVEPRHSLQGLYGWLSDSEIQSPFRCIGLATEWPKIQSID LGQIVATEDELRGLVHRWKSTMTSIKFTNCIITAGKWSNLVDEVVYDTKIRVVVLNRV HEQFVYGDVVYETLSSEEHERWTYEGQVMENEQGIRYFSEPPGKSVYAWRDLSSDEAD SSMAD CC84DRAFT_129619 MRTHTTPSSIPGFEDPYSKLRLVSPILPKSLADPSISQPAKRTS TMQQGGGSIQRNGSGNSTSQRVQPSLSETLYDIIPSDEEHANHQQSSSQCRNTGSNGY TPVQSRPVLLHHYQQHSNGTQGGRQYLDYGRRQVRTYAIPLVILRSIDTQWFIYPAKV FLCMGTALGLRAIDLHWRNSID CC84DRAFT_1109141 MTTAEANVSGNASQIHEASLNMDTTPESLSPAQQEAQHILKHLL TKLQDRQSKYHARFAKWILRHPNLVPFSMDCVRPQVWHALEKGTDYNTIKAVAGDFPW QARGIYFDAVRGAPSDPHTRTRLYIGQSTNLRLRISQHSKFRYRRDNPSLHYHALHKS DDNAYGTLVVLPSKEMGGMRLPGMDDEGLLLDVMEMWMCLVFRCLQKETLETWLPGDV ERRGKLGGLNVWAPVERYDRGGEMVHLRASEDWLVAEWGELKRGEWKERVMKELDLEE RKEEKHVGPLAVEGAPPWVYAVGGAIGGAAVMWIVLRRVGGR CC84DRAFT_1212127 MDVVQNYLALLHTLLPSSLINPLISAISTVYGLTKSLQTHFAPL ITQVITQPDIASVLMLLAILWFSLQIFGMLYRSVMFWVRLVWQLAQYSFLIVVSLWIW NRGVDGALRDAQELAGFWMGEYQKFSGEAKAWKVAEEAQIRMQAKQRAAGGGGFGWR CC84DRAFT_129592 MLLWCFFDKHLASGVRAHHTMLCLARAHTHPGHRCHRSLQCSRF TRSRTCTTTRHRTLQIVTTTSSIVHTALRGACYRQPGLDVQTLWRKAVYHDGYLSKCL KPSEKHSSGDSMSLSIASPPC CC84DRAFT_129625 MDQTHIWIILAAVLGSLVLALVVVLALYCWRRSTRRVRGFSLRA VTPLDDAEFESWRRPSQYTQRPQKYGIRPALPPPVRTTMLEKEIDDYDHPRTPSPQDY PNFNYPMSPIRKPERIRRKSSATSSIADRPPTPYSPSSRKSEFPRDSFSSRKSYGSPR IHYPSMSEASAFNFDLKDMSFESNKFDPVRPSTHSDRPLNLSYEKQSYLEHV CC84DRAFT_129891 MASRWPKKDLRHGTARSLAHSFATGVLVLERGQSKPVVTDFAFS PAHWSALHPYLTLSPSAADFNTHQPLTLPTHLLGSATGVPNAECGPGDGPGPDVEPLR DESMPHGIGQTYTGRYAQSAQVSLACDDRPMSGAPGPQPSKSAKAAEHTLHPVVKALV CASFRRNGPSKRNHSARRANTSSCGLHFSARNGGAVRGTSSITITAYRG CC84DRAFT_1183223 MLVNNVLSPQRVLRYALTYLAISTAGAQANSCTTNLIVDTDIFS DVDDAAALLLAATLPNVSLLAVNVNYPSAYSPLAASAILNHYGHPKTSIGLRRPYTNE SFFDDWAYELGEYTSKVAYHWSGGSLPWFTPEKSWEPVALYRKTLADAADASVTIASI GFFENLSGLLNSSGDAYSPLSGYELVAKKVTKLAVMGGGYPSGYEFNFWGDNPLATAH VVNTWPRAVPVTFLGTEVGDVVLSGAKLTMEGPKGDPVKAAYGWYVGYNTTRMSWDPL TVAYAVLGLGSWFEYGNTGGYNHVFANGSNVWVADEGVTNQHYLRLAMDNVTVANELD KLYLKGVRKFDKEGRGVLRG CC84DRAFT_129933 MMNLHNSTTSFEHVWSQPRRPPRHCGRRDILCCRHHSARIRTPP AVGLRSQSRCVGIESCMLYKIEEISSACSRANSIHHCLHKTTLSPPVPGTLIQNATQA PQQQQQRQQRQPRQVYNTKMGCPPPQDPQSKRHHEQRVLSSAKRPTLRTTPFHLLLIS RLHRPKPRRRLVRREVLLTFPRLRLRRRKRAQKKTMWGRM CC84DRAFT_1159896 MSLDPPAYLVTIQANIRTRPISWEGAMRAKTITETDLQKIKSID KVRKEDRKNRVEGDVQSFVSLLLGGNGSHSIFEAAAKRQDIVQYMLVLTEDLINDIPA LTEALIQHPSPFKPFLPLLKAGSNAEDTIPLLTSSVLSKFLSYALVHSTKTTSQIDEA LPQLYNYLAALAKSQDAGLQDIAVQEYSAVLRNKKSRQLFWDQRKETLNPLVDILTAA SGATKDQISLRSGAAGSVRSVPEGLTGGVGLQLLYHVLLVVWQLSFEGELVGDGLQDE HDVVMLYTHLLRISPKEKTTRLLLSTLLNLLTSNRQTLLPAAVLARLPSLLSTLKSRH LTDDDALEDLKSLTELLEEYTTTQTTFDEYAAEIRSGHLRWSPPHRNPDFWRDNARRI LEDNKGELPRKLAEILSKPWDNDKQVLAIGCNDVACLVKEVPEKRYQLEKLGLKGRVM ALMQEADETVRWESLRAVGEWLRYSFESKDLPIR CC84DRAFT_1159897 MEYFSLKRSTAADRAGANLSSKVYVRSTKSGKVQKIVRELYLRN DIPCSSNLCRACLDIAPADFNQKTAPFVLSDTPAGSKDYPNGIYLVPDTNAFLTGMDL FEVENAFHDVIVLQTVLEEVKNRSLPLYHRLIALTKHENKRFYVFFNEFRQETHVPRD SGETINDRNDRAVRRAVQWYNEHITQAVTARSKQQTRVPTVVMLTDDRDCLRKAKADK IPSSTLADFVFGLENADELLDMMSAAQDQREVRSKKTELFYSEHYTISKMMTGVKAGV LHQGIFNVSPYNYLEASVHVPAFEKSLLVLGRENGNRAVSGDVVVVEILPQDQWKAPS TKIIEEETVNKNDNPEVEDGENIVSEKERKALQEEVKRAHGQSTEGRPQPTARVVGIV KRNWRQYVGHIDRDSVRTTSKSARQQQMVFLVPMDKRVPKIRIRTRQAGELLGQRVLA TIDSWDRDSRYPMGHFVRSLGELESKGAETEALLLEWDVQYKPFPKTVLDCLPAEGHD WKVPASTDDPGWKGRKDLRDLLVCSIDPPGCVDIDDALHARKLPNGNFEVGVHIADVS HFVKPNNAMDKEASQRGTTVYLVDKRIDMLPMLLGTDLCSLKPYVERYAFSVLWEITP DADIVDSQFTKSVIRSREAFSYEQAQIRIDDTGAQDDLTTGMRTLLMLSKKLKQKRYD AGALNLASPEVKVQTESETSDPVDVQTKRLLDTNSLVEEFMLLANISVAAKNYSAFPQ TALLRRHGAPPKTNFEELANQLKVKKGLELRTDTSKALADSLDTCVEESEPFFNTLVR IMATRCMMSAEYFCAGTQAYPEFRHYGLASEIYTHFTSPIRRYADLEAHRQLAAAIDY EPLDASLHSKAKLEGVCKNINVRHRNAQMAGRASIEYYVGQALKGKDITEEGFVMKVF SNGFVVFVPRFGIESLIRLRDLATPEPEAEFDGENYVLSVKGGVNREVELFQKVNVRI TDEVEESTGKRKVKLTLV CC84DRAFT_1227181 MRRTTRSQSRQKSLIPAPTAQPLHQPMILKTTPTPPNILFTLPS IFTPKLTGLSRASATLLNTKRAKLRASIPGLRRTFASSPQLVLTLDATLVFAQEEAGT AVCISASGLVLTCAHCVADDATTALDSSNAHWLIFAAGTVARASCVAWDAKRDLALLQ ITHSEPLAPLSSSTCPSPSATSTPSSSSKTPFPHATVAERVPRVGAPLYCIGHPGSED LEASTPGTKTGYDVLHVSEGTYRGLAPGADPQDNSEIGGMMHDCWTYWGHSGAPVLDA QGGGGLVGLHSSWDEETGMRRGVPGEAVRGFLGEWTDFETKGT CC84DRAFT_1212134 MKPGSLPIRAPSRPLCQLCDFILQQPASRRSFLAAAATSKAPAL RRQTAQQHAVLPTTPVRSAATVRTSPAQASGAENHQQWAERVAVLKTKLADVERHIAW IKSSPKVEPEAATLAALEALESIARQAIAIRSGKPPPPKIEIKQSSAGAILSMGREDD APVATQPASRAPSLNQLPSPQYISQLAMDLLKHPNVFISADILAAYIRLQRLIGFPRA IPEILHLYANKPVPQLGSSPPKFSKPSPKAAKQAIPADLAEQALGAAIDAKDMPLALD IVQVSYCTPAWKRRRMVTKMGLPGAIVGITPLAVYMLAQEASVYSGYIDPLLFKTYAF MGISTYLLCTGTLGFVAMTTHNDHHTRVVWRPGTPLLDRYVREDERAALDRIACAWGF KEEWRRGDEEGEEWEGLRDWISLRGMVLDKPDLMPGMNA CC84DRAFT_1159899 MPAPPPPPPPPMPPMGRGAGGPPPPPMPGRAPGGKPPSGAGRGA LLGDITKGAKLKKVTVINDRSAPIVGKVSDGPSGPPVGGAPPIPGMGKAPAPPSGLAP PVPGNRARSNSDTGGDGAGGGGGMVSAAPQLGGLFAGGMPKLRKSGGVATGREQSSPY LSDPETSRSSAPRPPRGAAPKPPGAAPPIPGGRPPPAPGIAALRNNLRPSSVVSTHSM SDIGSKPKPPLPGKKPPIPPPSSRKPSGLAPPPPATPARAPPVPGAAPPAPPPPPPSS SPLAPPPPPPPSSTPSAPPPPPPTAAPRAPSRSTPPPPPPAPPSKAPQDDDDEYDPYK YTSTPPKPPSAPAPPAPKAPTNGTPSLAEQAARNAFGRSSPAAPPPPPPSSAPAPPPP PSSAPAPPPPPMSPPSRTNSQAPQRSMLDPSAYTLTNGGSPSIKSPTSPAPGQKGKIV PVHDLRWRFVDESQFPRPREFLGGPKKYRAGRGSSVPLDLSAFE CC84DRAFT_130008 MSNAIPLALRPAARAARQWNSVTSAFARRYCVTQCSSFTPAASS PVLSAIRSPAAPRPVRSFCTTPRIAAKADDASLTHQDIIPPQQGLPQQKRTVQIGHLP TGRVSDKQIRNIFGDRVSGHAGNSVLRILHHRRTSGSLADYGVDNLGDKYNVSRDQAI KALEWLRNRFPIDEARAAEAWAEKEANRIAYELWLADPENDSKYKDPARVYREQQKKE AEEREQEAEQQERSQYGILRTGKSQFEIAIQEKRRQRLEEITKKAEEKEARAVEEEKM LATGEWVRTPGGTQLMKPGQDTYVDVFGREQVSRRKEEMEKAQKAAETPFKDPEEMLA QTTLTQRLYPMTAFVLVVCLVSFGFAHYYEPPAPSYRLWPDLAPSTATLAALLLTNTL VCLAWRWTPFWPILTRYFMHVPGYPRAVQALGNVFSHVQYEHLLGNMMVLALVAPVAH ELVGRGVFLGTYVSAGALGTLASLYWANLGRGSIAAHSVGASAAIWGIATLYCLLTDT ESIKIPFGGEVSFWPKMLITAFVAWEIAMAARKSPSTMDHASHFGGIATGVGVAGYLH VTGFHQRRAAADTEKTVDVGGLVKEEVSEVKDAVVKVVKKA CC84DRAFT_130089 MSASAGPISPARFAAALESLSVSSLHAKAAELRNSIAHLEKSNA ELEEYVQQDQDKDLYEAILENREVIKRMEERIELVKKEVTEVRCLPWAPEEAGASELG ERESGGVGRPTNGVISGGDGAVAPEPTNGAGTAGDGEEEGVFL CC84DRAFT_1135432 MSSVATQYIREVLHSTDAPPAIPVRYFYTSPLAIDDPLSPLPPP ATGSSTTRRQPPRPFSEYDNEAINETWLDLRKKILKHNEALGEKRRSVDGPPTPTTGT PTYPAVMRLKRKRGESASGEERLGAPQGKDIPSVRASSMARYSRSADVGEDNSPSSSS GPQAATLPGSMRALALDPNDGSPRAESATTGMPFTRLPARGNPSSAQAPGSLGEHRPP APQVTDSYNWDEEMEPALPGIKDKGTSRRPQKPGPGPSAKVPVGVSRLHHVAMDAESI RMEPTYWEPVNDIAQVLRGTWFYKDTMMPVEVDVANMLEAGYLELRPWTETWKDELNS AIEVGALGEMKILHKLWPEKHKQVDSRPSTANQMLPTPGLTQSTMPEETPDAEKERRA MLEHVCDMIDISTGPQGPDNKAAGDTEYGRGGRKNVYRSAGVMYASETEAYILKPALQ PSNYYGRRPLANYIRKGRPIGIHVVRGFDQAAWNKLYPPKKSATSQQAKHGVSSSQSG APPFQRQRSDPALALSDRPQVTDLVLVIHGIGQKLSERMETFHFTHAINAFRREVNVE LGDSDVKRHLRKDMGGCMILPVNWRLRISLEAEATGPAQAEDPAVNKYNLKDITPNTL TSVRGIVSDVMLDVPYYLSQEHHPKMVSACIQEANRIYRLWCQNNPGFSEWGRVHIIA HSLGSVMAMDILSDQPTVVDPRFADPACPESEIPKDSFIFNTTNLFVCGSPVGFFLLL RNATLLPRADKHKPGADSYATPGVGGAQGTYGCIAVDNIYNIVNGYDPVAYTLNAAVD AAYAATLRPTTIPSATSSLFAFSNPFRTRADPHNGPSGADRPSTLRLPSNVELETHDF SREDIAEKRAYALNDNGQIDFYLKYGGGALSIEYITMLGAHSSYWVHRDFVRFVVCEV GRGVGRGGTLRGVRAVKRKGLGVERV CC84DRAFT_130257 MTSIKLKTPHSGEYEQPTGLFINNEFVKGVDGKTFEVINPTDES VICSIHEATEKDVDLAVSAARKAFEGPWRKETPENRGRLLVKLADLFEKNADLLAAVE SLDNGKAISMAKGDVAACAGCLRYYGGWADKIEGKVVDTTPDTFNYIKKEPIGVCGQI IPWNFPLLMWSWKIGPAVACGNTVVLKTAEQTPLSALVAATLIKEAGFPPGVINIISG FGKIAGAAIASHMDVDKVAFTGSTVVGRQIMKAAAGSNLKKVTLELGGKSPNIVFEDA DIDNAISWVNFGIYFNHGQCCCAGSRIYVQESIYDKFIQQFKERAQKNAVGDPFKHET FQGPQVSQLQFDRIMGYIEDGKKAGATIETGGKRIGDKGFFIEPTIFSNVTEDMKIVQ EEIFGPVCTISKFKTKEEAIKIGNNTTYGLAAAVHTKNLNTAIEVSNALRAGTVWVNT YNTLHWQLPFGGFKESGIGRELGEAALDNYTQTKTVSIRLGDALFG CC84DRAFT_133318 MADKLNSSLDDILKTTRGNARRGRGNRRSGAGRATEAPIGGVGK TTRQTKPNKAAPVVPAAPSGGETKIMISNLPFDVEENQLKEYFNTVVNVGKPRRVLLQ YGPNGKSLGSATVIFNKSEQAIKATNALQGVKIDSKPIRVELLISAAAVAAQPPSSFA DRVTQPKKADKPKPATAAKAAPAAGRERGRGRGDRAAGRGRGGRGGRERTKKKTQEEL DAEMEDYFPAAEGGNDAMVTNGDAPQTAGGDTMEEDQML CC84DRAFT_132907 MLEAGWVVVGAHRSHGHFVIVPSSCPLARQELSWLRSCGQAGTM HRPRLPSMREPMTWGAKPGAERKHARAAPFSARRGSCPSLINTHPLSRRPRQSPSPQL LISNAALTGTPPKARSWPLHFSAYEVYPRRASSPPVSSSAPRLRSPR CC84DRAFT_1159902 MKQVQGTALLVTIAEDLSNEPVLKVWALDKLEKKTGIPRCQSTL SVHNGRKQFPISAFAALDDLSQLAVGFANGAVTVVRGDLIHDRGARQRTVFESEEPVT GIEFREGHITTLYIATTSRILTLVISGRGQGQPAKSLDDHGCGVGCMAVDKSTRDVVV ARNDAIYYYGQHGRGAPYTYEGEKQMITMFKDYVVLVSPPKSNVIPRSNPLRALGMGP ADDVFNTSSFTMLNTELRFVAHQEQISSQVKFLIAEWGDLFVLTMDGKMFRYHEKPFA QKLDILYQRNLYVLAINMAQKAGLDASQQNVILRKYGDYLYQKQDYDTAMQQYLKAID NTEPSQVIRKFLDTQRIHNLIEYLEELHDHHKATSDHTTLLLNCYAKLKDVEKLEEFI KSPGDDLKFDLDTAISMCRQGGYFDQAAYLARKHGEHEMVVDILIEDSRRYAEALAYI WRLEPEVAYFNLMKYATVQLEQCSKDTTQLFIDYYTGNFRPKKDAIVIPNAPATSGMG MGMGLGVASSAVQNLAALLPLPYMNTSAVASPPSGESKSTLSQAQVIETSTDEPPPEY EIPKPRTAFSAFVDHPQEFIIFLEACIKSESLREDDKVDLYTTLFEMYLHIASSKKDG ERKEWENKARKLVEGNNIPIDTSNVLLLSHLSNFRDGTILVREQQGLHFDIFRSYTAA NDTQGAIRALRKYGPEEPALYPAALAYFTSSPEILAEAGDELDAVLKKIDEDGLMAPL QVIQTLSTNGVATMGMIKTYLSTTIERERAEIATNRRTIETFRSDTDAKKAELQNLNS KPVTFQATRCKVCDRPLDLPVVHFMCKHSFHQRCLSLDEDVEDESVECPLCSGQNQTV RAIRKAQVESAERHDLFKDALRRSKDGFAVVSEWFGRGVMGVGTAE CC84DRAFT_1183224 MPNYLVRLVQMHESFRQAELQALADVAEVSIEFVKYEEESPFCI VRLPSDAAAKTVISRSLLSQGIYELWGQGATYDALHESVKDISSAKWVQYESAPFRFT LDCFRGTRDNAQQRDIIESFSYMGFKGPIRMRDAEASFKVFEEYEEKATGPKYAYLGR FVAASGRDAKTTYDLKKRHYISTTSMDAELALVTANMALAAPGKLFYDPFMGTGGFPI ACAHFGAMVCGSDIDGRSIRGTGGSARKGQTGKFDVQGNFKQYGLESRYLGAFVSDLT NTPFRLLQHSNSASRGGYLDGIVCDPPYGIREGLKVLGSRDILNEQERATHGNRYKDP GYIPPKKPYSFTAIQDDILAFAVSTLVENGRLSMWMPTANDEDVELIIPTHPCLEIVS VCVQTFNKWSRRLLTYRRRAESEVPEGALETVKKEYEKGVKADELNNFRKKYFEGFKE FERLRQEPSAEDANHKADRSKGVSGPWREKSGAN CC84DRAFT_1212143 MAPLKPGDKFPSDVVFDWVPIKNQDATLAGTPQDFNASSEWKGK RVVLVSVPGAFTPSCQAYHLPPYIDQLDKLKAKGVDQVAVIASNDGWVMSAWGKVNRV EGTDILFMGDTKSGFSKKHGWDAGMGDRNGRWAMVIDRDGTVKYADNEQDPTKVTVSG VEAVLSNL CC84DRAFT_1171307 MSQEGTLMSAENRLHRPPRHSPLKLLIASWTLNISIHRTIIAFH MRLRICAILRSAAVHFLSMVMYSKSHPIISALCLLRPLTWDMYSAVLVIAATALTICR SIHYARHVVILGTVVAQ CC84DRAFT_1078096 MRVGRTEDHRTIAGKRLRKSEVLPLQCESKDPDDLFYHEAQISS LCWGPDESFWTEIFFVETFFGSELHYDKYLNPIQDPETNLTLRLDPPTLSYDPTLSSD PREYWLKQLEVRLSQVVQEYTALIGTFSKRMETYVRTPGFMSDRSGHTQTLSDVLETI QNFSDSIGSTIDAWESFRKTQISLFVLEGRRNDAKKPAYSRLLGSIIQSIEELERLRG FLLTQRAKFKSRIENYVAFPLLFTAAIFSMDFVKPKNDSLAWGLFFTVFVVTSVLNGT IAWLLHRSLIQKAVRKLLGLG CC84DRAFT_1212144 MGRLVTVTQSAYRPVLGVQDKKKLSNYKKKAKNLKAIRGRSLSH SERNELAWLVRARECIMAGLLTVNQAKGLESYRKLSPTAQFQQLDQNHMEVRRNLGLL HGRISDDDDGYGKAQNLLNDSSAFEARQAQPMRLFQQAASLPSPNPGAVKAFIYSLMG LSPDAGTNPQPTPFQHSGRSWVVKNATKSRILSVKEHLSQFFWKASPSRRNSFHGRTE HLDRLSKFFTRRDNLFFNMSPDPLQFYRPPPMIHQDLFDAATRVVRVENIIGYRFQNK KLCIEALKGSMVDIPLYWQGVITPIANNRRLALLGDRVLALGMTALWWDAKLPTSAYG TAMAKLESRASLGLRATLLGIDDTLIIRNGANPVPRHLIAETLEAIIGAVYVDSNNSL SVVQGVLKKLRFEKDLHQLAEAMKPSLEHAAVSTTSTADPTVRSVTASAIDPATKSMT ASIVDSTPDTSTHPIPRSAIELSVTLAPESATLPTLINHDYSREILDDIQCKKHERKE KIEREELILEKPEEKRL CC84DRAFT_1076891 MRFCTAAAVALAAAPAVVSAAKGTMGFALGTKEANGDCKTQSDY EADFDAIKEASGATIVRGYAAADCNMTKAILPAAEKKGFKVVLGIWPDVEESFNNDLN AITAVADKYKDTIYAVTVGSETLYRGNFTGSELKAKIDTVKSKLPDNIKIGTADSWNK FFDGTADAILPSVDILMINAFAFWQGAGGDNATHVYLNDMFQAISHIEEKVGGSDKIE IWNGETGWPTADGTDYGAAKGGLDNAKNFYHTGFCALLDWGFNAFFFEAFDEPWKPAS VGDNGNVADETTWGAMTSDRKTKYSLKC CC84DRAFT_1171311 MARFRTRHVAFCTFLLVFLWYMLPEEELAIRRHVQPRDAPNAGT AELARYMNDPKRIPHIEASQSTFSWNTVQFKYPPELTPKLPPPAPKRPRIQHEFRPES RSVAAEQERRRQEVLRVFKKAWTSYKSKAWMKDALKPLSGSFVDQFSGWAATLVDSLD TLWMMGLRDDFYDAVEAVATIDFGYSTSGRVNTFETNIRYLGGLLAAYDLSGHEVLKE KAIEVGDLLYAGFNTKNKMPVDFISFEDAKEGSGLVVERSVVSASPGTITLEFSRLSQ ITGDNKYYAAVSHVMDMFYEQQNKTKLPGMWPMMVSMVNEDAISGYQFTIGGNADSMY EYLPKAHALLGSADASSRKYEVMARTFMDTAIDNLFFRPMTPTQEDILISGNCDVLED GPSLDPESEHLSCFIGGLYALGGRLFNNATYLDAGAKLTRGCIYAYKSFPTGIMPERY NMVKCPGSDPKTACKWDEDLYVAECGKRTQHREGLPKGFTTAKDPRYILRPEAIESVF ILWRITGQEEYRDAAWDMFLAVANATDTEYANAAIEDVNTIGSKQTDYMESFWLAETL KYFYLVFAHADLISLDDYVLNTEAHPFKLSNS CC84DRAFT_1183228 MGEEKAGMDPESGRQGIPHMKLILEQGVVTDEIVNWEYEGAGTE EDPYVVEWIENDPRNPMTWSTTKKWVGCVCMAFATLTVSFCSSAFSGGVRQILMEFDV SQEVVTLGISLFVLGFALGPLLWAPFSELYGRQIVFFGTFLAFVAFNAGAAGSKNIWT LLILRFFSGAFGSSPLTNAGGVVADLFAAKERGLAMSIFSVAPFMGPVLGPIVGGFLG MTEGWRWVEGLMAIFSGIVFFIAILCVPETYPPVLLRKRAQTLSKMTGKVYMSRGDID QGKITLGEAFSTGLKRPWILLFTEPIVFLLSLYMAIIYGTLYMLFGAYPIVYRLGRGW NEGISGLPFAGVAIGMIAAVTYTIVYDNKRYVRCAEKSANGFATPEDRLPTAIIGGIA LPIGLFWFAWTNSPSLPWAASVAAGIPFGFGMVLIFLSIMNYLIDAYTIFAASVLAGN GIIRSVFGAAFPLFTSQMYSRLGIHWASSIPAFLALACLPFPFLFYKYGTAIRKKCKY AAQSEAFMEKLRTSAVRRQDTPEDSDSSRTASVQAEQNAEQEAVDYSFEDEKPVGPQF EEMRTAKETTDGAALEKVHTGRSTRSRRSVRTVKEAEYDGNPYEIDRINTRESFKRPR GASLKDGLTKTKSRQ CC84DRAFT_1171313 MSSLRTLRPASQSLKAQCSTARRGVATMANFRTPTINNEPNQHY TKGSVERQKLQEAVAALKQRIPLDVPLAVGGKHITNCSILTQHNPSSHADVVAKYSNA STADVNKAIDEALSAQEAWANLPFADRAAVFLKAADLISTKYRYEIMAATMIGQGKNA WQAEIDSAAELCDFFRFNVKYAEETYGEQPVHNSPGVWNRVEYRPLEGFVYAISPFNF TAIGGNLPAAPALMGNVVVWKPSPSAIASNWLVYQILIEAGLPPNVIQWVPGDAVEVT QAVLAHRQFAALHYTGSTAVFRSLYGTIANGVAEGKYQGYPRIVGETGGKNYHLIHPS ADVSNAAIQTVRGAFEYQGQKCSACSRLYVPKSIWPEFKEKLVSETAALKIGEPSDFS NFIGPVIHEGSFKKLSGVIDEAKNDSELELLVGGKYDGSKGYYIHPTIYQTTNPNHSL LSRELFGPVLVAYVYDDSVPDAFAKICKQIDSTSEYALTGAVFAQDRETIRFAENALR NSAGNFYVNCKCTGAVVGQQPFGGSRASGTNDKAGSATLLSRFVNMRSVKEEFLPTTK VQYPSNEV CC84DRAFT_1212149 MKVGKPKSKRVPVRLRHKIEKSSAAKQRKAKKDAKKNPEWRSKM KKDPGIPNLFPYKDKIIQEIEESRRVKAEELAQRRELAKEQRLSGEATMDTEGYNDLA EEDELLEEESENDDSMQVDDSNPMAALLASAKARAAKFEQKKSHDEEAMDEDEEDDFE RFDLEDDEGAVNVRKDSSRKQFDKVYKQVVDAADVVLYVLDARDPQGTRSKEVEQAVM AADRGAKRMIFILNKIDLVPPPVLKSWLVHLRRSFPTLPLRASKPAPNAKTFDHKELT IKGTSDTLFRALKTFSEARQLKRSVKVGIIGYPNVGKSSVINALTQKLGGRTGTCPTG AEAGVTTSLREVKLDSKLKLLDSPGIVFPNNPEGGKTSKVEEKARLILLNAVPPKEID DPVPAVNLLLKRLSATPELFQKMVDVYDLPPLHFVNGDNTTDFLVQVARKRGRLGKGG IPNLHSAAQTVITDWRDGRIQGWTDPPAYKPTLTKSNKTAPATKGGLVGDQKEIVKEW AAEFKLEGLWGDDVNETDAMEL CC84DRAFT_133727 MDGTIIDSTEAVVKNWQAIGKEIGVDPEVILATSHGRRSIDVLK IYEPKLANWEYIGEKEGQIPIEFGADAVEIPGSRTFLEELEKQSIPWAIVTSGTRPLV TGWLKVMKLAHPKNLVTAEDVDNGKPDPACYLLGKKKLALSKEQPSIVVFEDAPAGIK SGKAAGFTVIALHTTHTLEQLKDAGADFIVQDMRSISLKSWTKATGEAQIEIVNALVW CC84DRAFT_134077 MASFQQRRALFCLRFAREVCGADVEWDCWIRGGARSGASRSVDD VVVMGRAREAPRVAGSADNESWDVSGIEASDCYDITERCANEITRGSRSISDKAEKAS VNGGMDWSGVKLVAVGGGRHTTPLSSGSSTGSELSLIRPPSTDLTDLRRWHTQE CC84DRAFT_1212151 MQSLGNSSDAASQRPPSAQGSMGSAKATTPVKPPGTADVKPRLT KEQHDILERHFQNHHKPSTSTKKGFAENLGVPLDKINNWFQNRRAKVKQDLKKQMNQY NMQMGLYNQPQVPGAPPMQMPAHASMPHFAQHMEQAQTQMSLAPGYFAVNADISPATM PVQNVDQPSALNIGPSQQQMMLQQQYDMHHSLRSIPEAERGNSYHPNAVMHSIMAATA GPSYMQSNTVPMQQQGSNYAFDNAPNGLPGDGPFSMPNDMSAVADTPEDDQFGGFQDY LSYLPIISTAPTDLQITAGSLSADDSPYSGTQSNTTNPSSIEPNAGSVASLTSKYSGW TDTNGDAETKQENDTDDFFASPYNMPQASASEANFWGPNTQSQAALRPDMYQQSNASA HAILSSPHNSGRSLSTGPADYETPSFGDEIFTRRNSSTSNLASNIEAIHIQTPEGFRS PTQSSIAARRQKRPATLNANSFRSASYSGSMPSPGNNNNNSDHTLRRIRSSGIGNPGR VQKPQPGSAQRSPMSLTFSEAASSPKFQRTLSSSSITTVGHGGSMAPPTPQTPNEPGR FPYWQSNPTIRTSMPDHSSPESMAASWAMEQAGSFMGESPPSLEAAQLHQARLGGEMY RDTPPQSAPATQQGFPRQNMMQPPRMRAACHSSTDLTLQQPKPSHFRRPSLPVDAQGQ GEDPNALFGSSYGGFNYDDISLSGIQHNVPFAPPVSAMPDFLVNQYIPPGDGIHGHMR RTTAPEAKNYIFANQGPRDFRS CC84DRAFT_1212152 MKATAIILVISTLFGSTTLACRCASWGLTLYYDYQNTKDCCYQL NGAFYDGDPNGKEYDCQAASISEKLSSFHHCCWYKDNNMRGDCKWPGKSTVIDRREGS GSMEKQELDS CC84DRAFT_133935 MVTNMVKSIANHDLPKPPSGKYPAKAHCRRVAAWIAENGGPSSG VIYLQGQTLKEHEDDDTEMHFRQRRHFYYLTGCEVPDCGFAYDIASDKSTLWIPPVDP NVVMWAGMPLLPKEALEKYDIDSVLTTDELKSGKSVQDLLKKPNETLLVIKDRLDMDI FNTDSVKHLQPNVDYEWARRGIEQCRVVKDEHEIAMIRHANIISSYAHEQVQVSVHAA SNERELNALFMMHCHANGCKEMAYGCICAAGTNASTLHYVHNNLPLTNKLNMLMDCGA EYNCYCADITRTFPLNGRFSPESREIYALVLKMQTECMGMIKAGVLWEDVHMRAHNIA AAGLRDLGILKKELSVEQILDSKVTTRFFPHGLGHYLGMDTHDTGGNANYEDPNPYLK YLRVRGKLPVNAVVTNEPGIYFREFPLKQELKDGVWKDVVDESVLDRYWSVGGVRIED DVVVKENGCDNLTTVSSKLEDIEALCGTIAL CC84DRAFT_1159918 MVFKSFTLARQSLAKGFTHGYAQSVVASVTQQNPLATFNHDRLR KAGANKNQHAFASTSTTSALKALGAPDAQDSGLAAYYAAWQKHRNVEDKEWSQFQFRK LIEWQPSSAGTKSPAEAESNVIVEEGDEDADVIPARAGVTRAYSTSQIDDFRTIVSDE QVEEIALSQVDEAIAQEAAKIEALRSRSGTPVSQKEVAIEETPSDVDTLVNSTTLSRT DSTIAVSPIEAVDAHILHLEKLAESQKYGDIPAVFESMLRSGVKPSVSAYNALLSAAI NLPKSKHQVVPKALDVYSDMLRRRVTPDTATYTTLIELLSDRSLEVVSTKQTLEEHRL RFGGMEEEGKFMLNSNETDYAILAEDGSLSIAVRLFDAAIKVESGRTFSEKTYRMLVA ACAEGARVEDMVRIFAHMESRGVIPAADMFVPMIHAFGRSGDLRSAVECYDEYKALAI SNDNGNIALSRKDNEVYAALIKAYAICGRMEGGWKFLSKIEKNLTTAEEVISIRDTVS LKAFVPEWLNKGAFHDAFAHAAEHMSPQAREVAMAAICIKAADKNIMDVASDAFDALP ADVDLTRPAMAMGAMHIRNGNIEAAEVFWRMLELSPTKPSFVEPTAMHSIALIGSGQA DRGLRQGRQMFARIRDSQSASQQAKMEVVEHIDEAIEVMGQFMIKRGVILPARASMEL MWTMIENGGLVTPVAHHLLAGMGPEAVAQLSFEDVTLLMQVQSGIILNSTEVDIAHAA RFSHLLEVITSLGAPVNKATSGLVEKVLVKLDRGDLQHRWYSYKHPAPEAIYSPASFA AYPVPPPAPVVAPTFEDSYDPYAATTDNKGSVSITELLEKTHGRSASHLNEALMRFKN MRRAGRHPRFFTYAKLITAAAKEDRLNLAHDILNLAKQDVPLLPQYRIVRYGWVTILD AMVAACLTTGRRDLAAQYHQDLLEMGAAPTANTFGLYITTLKESTKTFDEATEAVKIF LRAKTEGVEPSSFLYNALIGKLGKARRIDDCLFYFSEMRNLGIRPTSVTYGTIVNALC RVSDEKFAEELFEEMETMPNYKPRPAPYHSMMQFFLTTKRDRSKVLSYYERMRAKRIE PTTHTYKLLIDTYATLEPVNMEAAENVLAQIREAGAIPEAVHYSSLIHAKGCVMHDMK GARKLFDTVLADSRIRPQACLYQALFEAMVANHQIADTEPVLRDMRARGVEMTPYIAN SLIHGWALVHNIENAKAIYDAVSEAKREPSTYEAMTRAFMAVEDRASAMAVVNEGLSR GYPAAVAGKILELVGGGRAASAADVAA CC84DRAFT_1159919 MSSSLALQPQAVSAPGKVFVAGGYLVLDRKYTALVFGLDARIHV EIEPIQTKSGVTLSEIIVRSPQFRDAAWEYGYRLTEGDGGIAVTQLRASHTSSLNKNP FIETALTYALTYISTLQSTPIPPSSISILADQAYYSNPGSPLSPSSRFLNFDVTLPDA HKTGLGSSAALVTAFTAAVLSYYLPKEAFDVDSEKGKSVLHNLSQASHCAAQGKVGSG FDIASAVYGSCLYRRFSPSLLSSLPAPGAASFAAQLRSLVEGDSWDVEIAKAKVKMPR GLRLVMCDVDCGSQTPGMVRTVLKWREQNPELADRIWGELQSGNEAFAAELTRLATED SGEAGAEKFAKLKGIFEANRKLIREMSKESGVPIEPPQQTKLLDACSAVAGVVGGVVP GAGGYDAIVLLLEDKEEVIGELRKVVEGWKVDGHSEDGVTIGKVGILGVREDMVGVRR EDVVIYREWITSS CC84DRAFT_134499 MSSKVKTATLWKKSKEDLSAQLTELKSELIQLRTSKVTGGSTKL TRIHDVRKGIARVLTVINANQRAQLRLFYKGKKYTPLDLRSKKTRAIRRRLTSHEASL VTEKQKKKQTHFPQRKYAVKA CC84DRAFT_1183237 MSSTGGGWAQLRQQARALELQTESLFHTYSQFANAPNIPTKPSD EEVRTESHLEEVLEKREALVGQLSRLLDSESAHSSSAVKQNNLARHREILSDHRRDLS RLKSTITDARNKANLLSNVRSDIDAYRSANPENEEAEYMLDERRRIDNSHSMADNVLS QAYAVNDNFRIQRETLSSINRRIVGAASQVPGINSLIGRIGAKKRRDGIVLGGFIAFC FLMLLWFW CC84DRAFT_1080429 MLQPRIAHRFGLSLSKATPIYTRSTSLLQRPRTLPGRQFTSAST LRKPAQPSTLPKDEETFVPQPLGRPIGFSAPPHPGENSGSAKVKKDYSGMTLKERNLA KRADLVEKWGTNYFRDFKNIRKYREGKTFVANPRIFKKDVAMYFPNLHGDTLEGSGKD TTNVLKGKVSVVNLYSSAWGEAQVKTFTGTSENPALHEILKESEGVAQQVDINIEENT LKAWIVQMFAWRLRLTRKKEDWGKYFVVRKGVSQMVRESIGALNGRVGYVYLVDADCK IRWAGSANAEGTEKDDLVKGLRRCVEEIRNPQATSKPRLVLKNGGTHKTETSA CC84DRAFT_1171324 MYKDIGGKPKLAPKKQLSVNKCLGLIRDLLHAEMVEDSFDYFRM LRQCWRLLRAASVPCQDGLIRIYGPDYIERESQRPFVIGYVLMWASSAQELGDLMPSK RPGV CC84DRAFT_134491 MSSLLPWSTTFFVHQLLWSHPLVSCRYPFLPVRLDNHHHLSLRT EQWKALGTGHGTGPWTLSICGWSSVELDRIQRHGYWYRVWFEATGPLALTHEHGYGWP EDGGNERFATSLTKHVYPVLWRLRLRSI CC84DRAFT_154680 MSSHPRAYVSQSKVVIPLVFSLMKILPAVVAATHLCITLITARH CSRLDLGFLRCLAAPILTLYRPPFVLMSS CC84DRAFT_154807 MDPLRYRKAYEAMIHVSQLLEHRLNNDPTSEEKSEPDAAGNGVA FEIEDPDSMEWTPTATGTSTPPAGCGPPPSTAKHMRHLLPDAGEGEYQIEDQGDNTVL LYKLQEGTVMDECIDRLVRDLPDVLSYENAAAFTPSTIEVPKDFGLQLSISINHHLAQ VADRKVLEKYRRLLGHRKDSTKRKSVRLGFDAVELIQNQAIKFPENAPVKESTLDNEI HPIFRLENFHGCSDAIYEELKPALRLASLFLFSRGTFTFWHTLVFGDRKQCKETSELY GQPCARIVEDVAWSEENAGAFRDFMDKQVDTVHFMFHREPLPPDPAYASMGLVADYKN GIMRKLNGKCHTSRICLHSDFYTTAKKLSLLQYPEPAMVLRFNLFLAVCLAHEMAHFI EVSGPHHDHPLGQPEVFFNDNKWTESGIAFELKVFGGRLHPISSRVDCGLGLAVLNYP LRELYEKEDNVLYTLPMDYITKLQQKETWDRDFSEADAAVFHVPRTGCRSIEINGINL MIWEDEKDVEISDDVDQLDTMFKRKDDGSIIKNPNSNNRTPKRHVEGRRWSPYGHKRK GTAEAVECVSNEVSLAVGEDQEAKANESNESEEGTAAEASNSDDDMA CC84DRAFT_154055 MKSVDGGIIATRSRTFNTAFKTIKNVSAAKSFDTDQFPTELLVT EDYSRNVKRSARLPSKFFVSDSFHKPVQWILSVVQAGPPTERRPKQA CC84DRAFT_143140 MLLSSHRLIRTSSQITLSVFSLLSAHLRPQKSSRSTHARISSTP LLAHYGEDRHCGCSFASSCNAVELINPKLGTDGTVSDGEHLLSIADSITPPVQVILDV GAHILDVENRQVAQKWMSISSNATAQAALFFNNDEELMFITALEGKKPCRYPLS CC84DRAFT_1053809 MSSGFVSGGTIDAPNERDDEWRAAQAELEAKRLEREDRDKQQDG KSLYEVLQANKAAKQDAFEEATRLKNQYRALDDDEAEFLESVLEASRKKEAEVRKDTM EQLDAFRRRREEEERKALEAEAPEGAKEDEAHAHWVAHGRKRKKGPELLKGVKLRKTN EASDDK CC84DRAFT_1183241 MAPKNWTKETFTLNTGAKIPAIGLGTWQSKPNEVREAVKAALQA GYRHIDTALAYGNEHEVGQGIKDSGVPREEIWITTKLDNPWHKRVEEGINSSLKSLGV DYVDLYLMHWPSSTDPEDLKKHYPDWDFTDTWRELQKLPESGRVKNIGVSNFAIKNLE KLFASKDFKTTPAVNQIELHPNNPSPKLLDYLKEKGIHATAYSCLGSTDSPLYKNEEL KKIAEKKGKTVQQVLLMWGLQRGTSVIPKSVTASRIQANFEVDGWELTEEEQKAISGL TERFKVCGDSWLPVKVFFGDDE CC84DRAFT_1183242 MHSRTLLLLSWAVFTLAALPSTTDFDHLDPKDIIERDVAIIGGG SAGTYASISLKDKGKSVIVIEKKNRIGGHTETYIDPATGKPIDMGVVIFHNLTIVRNY FARFDVPLITAGSDLGSSSDSVSANYDLRTGEEVKIMTPSQANISAAFATYAQFLEKY PRLNDGMFLPHPLPNELVMPFGQFAKQYGIEDAVATMFIYNAGLGDILTVPAIENIRV WGKSLVQQLSGGFLTTAHHNNSELYSKAQAELLSARSLLLSSEVTYSFRRASGITLIV QTPEGRKLVKAKKLLITIPPSPDLLQAFDLSRRERSTFSKFINTGYYTSILRSTGLPD NLSITNARLDTAYNLPTLPGLYSIGRTAVPGLKIAYYATPRSTSTFPLSDADVKANII AAFKKLQRANPDKFKNEEPRFVAYSSHSPFYLQARPEDIKRGFYETLYGLQGERNTYW TGAAWRAHDSSDIWRYTEEEVLPGLIEGL CC84DRAFT_1201586 MASRTRLVASTASGLIRASRPTLVSRTAAPVALRTFSQTHSPAA QNATGNPLSSFISANSPPTYFSSSKSLPANTIIRFVPQQTAWIVERMGKFHRILQPGL AVLLPVIDRIAYVKSLKENALEIPSQSAITADNVTLELDGVLYTRVFDAYKASYGVED AEYAISQLAQTTMRSEIGQLSLDHVLKERANLNANITAAINEAAQDWGVTCLRYEIRD IHAPEPVVEAMHRQVTAERSKRAEILESEGQRQSAINIAEGKKQSVILASEALRAEQI NMASGESEAILLKATATARGIDAVAQSIAQGKDAAQGAVSLSVAEKYVDAFAKLAKEG TSVIVPGNVGDIGGMIASAMAVYGKVNNANAKSVASNMLQGGEDTAAGRKIAELEAQL EEVENGTGDVQNAISKAMDQRLNRK CC84DRAFT_1069668 FWIIYVHGGAWRDPTQDSLCVVPTLRALVDKHAGLFQQSSERRI AGIASLNYRLSPYPSHSTDPSAPDDQDRNVMHPRHVEDVRDALTYLVKELGVQRWIGV GHSCGATLLLQLPLVCTEKERGIQDDLRGMVLLAGIYDVPTFLAEHKPPKCPENIAAI YADIVAGAFGEDEVVHRDVSPARVGKGNLWGTHAVLGYSAEDDLVEPKQREMMLERYA EEGWVRGAQGREAEAEKVVDARDLVRGHDEVWEDGVQVADLIAEVVAKVD CC84DRAFT_1171331 MRAYAFVSALAAVAFAQDSSSEAASSSIDPAPQTSYLQQTNSLG VVTGGPAVATSQPAVDTSIPAQPAADTSIPLLVTSLPAGASIPAQPSGINTVVIPVGN NSTIQVVVSASNSTTIVYGSPNSATGSATTGTGKASGTGKASGSGAEATGSDATGSGA TGTGAQSTSTPGAAANVQIASGFLGAAFLAAFL CC84DRAFT_1159931 MLKRQLVSFDKTFDSAIQPYSRISSLSLTATLYTKCPRELRDLV YYYLLTEHTTTDDPTVLQIQRYYEAPIDSKPIFLDSGYVHGEVLSEIRYTVEKKAAQK LHSRS CC84DRAFT_1212168 MIVFSERRRLTVAVFAFAATTLLFLALHQTHATEAIRVRIGIGQ NRFGGTSHNRPTNDIYRTGSGFSNGSAPVLATGKERFAYVTFLSGTVDASDDLDEDYY FVATRILLWQLLHNPQTRTSGIDVVTAPSSTPVDFLHVENDEWIHAAQHRWDDVMTKL RAWEMTQYSRILMLDGDTMLRLPLDGVFDDKGAKPLATKKLSNLVTLPGEAPLPQTYL LASLSEVRDSTHDFAKGLVSIHGKWWTQPYLYENEEVKRWLRRQRWEMKGWYDTWDLR HA CC84DRAFT_155007 MSRIFREVNCPLKQIIEHPNLLHTVITNRDPQPFRMTFLLYHLA AKVYSDMKLFVPFAMIVCVASASPIGDATPPDNTPLCGYVRMEEDSWWSVGLQTHGRC EPIWDNQTALIYRIDREGCGCAFFVDEETCKGQGDLVLHRGPKLEESRFVEEEKPAWV LCQEIQK CC84DRAFT_1183245 MTFTKPVLISGGGVASLLLASSLRRSNIPFIVYERDASPIFRAQ GYRLRLSPEGLDAIEKALPPAKWETFWATCGKTGGAGLVSIDPVTGETTGQGIDAANP STAPQEVLSSRDGKVVGISRGDMRKLFMEGIDDSIRWGFHVRSYELTADGVRAVFADG SKSEEGCLLVGAEGIYSHVAKQLSGGKLKVYDLGSRGIHGQAPTAAFKGLGEGVFRLI DETTVPGSKIFLITNVRARDMDDPNIQFGWTMGGSPGVVKAPNDDYTLVGKPAADIAK SLTANWHPRFKPLFEQMDEREAAFWKITCSSPDGVPEWPNEPRVTVIGDAVHSMTPAG GIGANTAVRDSELLGRLLSEAGEFKNGTTEAYEKEMRIYASEAVKTSFRNSQFSLGTK IDMNVTVEPRDI CC84DRAFT_1077936 MFLFIYVLFLSAAVYATPLSHREKPKFIPGKYLVQLRSNIDAVS VASHHQKVHRLARRSSADSPVERTFSIGSLNAYLGSFDEQAATYISDLEEVLSVVPDE YIYLEKTDFPPLAPRDVVTQSPSIWSLGDLSHKASNATEYVYDSTAGEGTTAYVFDTG IRLSHTEFEGRARFGVNGITNSTNPSGASKDDDGHGTHCAATVVGKTFGVAKKASVVD VKVFDGATGSTSAILTGIQWAVSDVLARNAASTSVFSMSLSAETTSTLLDDAVKAAYD VGILSIVAAGNENAPIAPLTPARLPEAFTVGMTQANRARVNIITDIYGSNYGPEMDVF APGRDIVSASHLSNTGTATKTGTSMATPLVAGLVCYLRALEGGLATPDQVTSRVLELA LKGVVGDPRGSPNLLINNGSGA CC84DRAFT_1192758 MTCYFLSSIYLASAVSAWPWIANVPGVDLSAIHNHPENFAKQHR QTGSLDPTCPFNADHPGAAPFDVRFPYTGSINGLPGTGIGGVQVPAPGDTAHEFTPPG PNDIRGPCPGMNTAANHNFLSHDGITDLAELVSAQQNLYNLAYDLAVFIAVRGIALDG DVVTTKLSIGCDATERTSIDPTGTLGREPGLNAHNKFEGDTSLTRRDYFLNNGDAFTF QGDMFADMYRVANGTSNGLFDRDTIAVYRSQRYDESLAENPNFYFGPKALLLYGAASF IYEVFPIFGPEGDANIDMISTFYGAVPNSAGSYDHVPERIPENWSNRRTAYGLLEIGE EIRYQFSYAPKLFGGNVGAGNFLALNTTFGIIENGTIPGDATAADFTCLLYQLATDNV PGTVGNEAALPLDVLAWVLTQLNPVFGNLGCPLKLS CC84DRAFT_155125 MLLHFFLAFCFVAFAAAAPTDVLGTNTVCGFADRGVSASQYTYL ALDGCRALGEAAPVRNVYRDPNCDCTFYRDADCEKHAWYLSVDYATMRTEVAQISKYY KCATRTGRGDELKKT CC84DRAFT_1212172 MKVLIILAALLGISIQLDINCRGSAMCSGCKQPLGDGGMLDFIN DNLSDDAVFKDGQQVACKSCHLTKNEGLCVFPQKLGDKTVTGKDVKRAVQRLKEKGCK YCGSAPLGDSHNVGDGEITVNYITNGCIKHGNKIC CC84DRAFT_1212173 MSPSDTSDGSLVQLMNTQSGGESARPLEIDSTSLPLPSHLERSI SETATSENAFLPSLADFNFHQWSPDALFTSQTHSTQDDWYPSDSQITRGCELFFDHVA GFLPFLHRPTFNTHQTSKALLLSVLALAYQYGENPDSGDDVGSGQSLSVQCFYRARAS IATEEEHVDESLHGVTLVQAYLLLEVFALIYSTDNDAAYGLKTHSKMICLARAVGLMQ PESVPPPETEDLESLWLVFARAESAKRTLFAVHQIDTLWYQILSIPRSLSHLEIKHDL PCRETFFEASSSAEWAVKQLCNKNQNSTVPYPDAVRRLLSGDHLSAIPDFDPYGTINI THFILSSAREISGWSSMTGMLSMDRIEPLRAALQALGPYAHPETPNGTQTPSAALWEA TWETAMIDMQSWSPSHTGGIIANSLDASLHQMTVMAPSFDLMCKSKIASTIQPHVDWF LRYLDATLVPDTEAPWVILYAYKAFMIAWQLLDGGAKGCMQVVGVQDGDREAALAWAK KAFGRRIQWKLGKVVVNCLDNLRV CC84DRAFT_1109249 MSQEEIAALNKKLVRKIDTIILPIIGILYILNYIDRQNLAAAKL QGIMEDLNMTTQQFATAVSILFVGYLPFQIPSNLLITKFPRPGLYICAAVVIWGGISA ATAAVRTYGQLLAVRAVLGVAEAVFFPGAIYYLSAWYTKTELGKRIAALYIAQQFGNA FGGLFAAAILQLDGAHGIRGWQWLFIIEGCATVGIGSICAFFMPEFPHNSRILSQEQR DLAVWRIESESGAAEGTEKESVLKGFAKALSDPKLVLLILCNMLSQAQGSIANFFPTL VASLNFNHTISLLLTAPPYILAGIVYYGLMSWSDRRNTAYPIIMVCISIACGMYIIPM ATQNVGARYFAMMILPFASVGPQLVLYKTINLHLARPVAKRAAASALVNAIGGTSNIW ASYLYYAPPHFYAAFGTLMGCAFIFAATITTYRWLVLRENKRLDSGRPEEIAKVVKGG VTEEMVRLNWRYEMY CC84DRAFT_1135515 MTSYTTPIETKVNNPRLRLLNKIKANEYPLMTFVAIPSVRQAQI VALTGLDGIILDCEHGHIGDDSMHNSVAAIAALDVSPIIRIRGPAHDIIKRALDTGAH GILVPQINTAEEAAQIVASSKFPPHGVRGQGSAFPAIAHGLTTPQYMKTANETILTMI QIETRAGVENVEAIAAVPGVDMIFIGPNDLAQALLGYVPARGDEPEFVAAIDKIEAAA RKHGKWFARMVNNGTAAKEARARYDTVAITGDTKAIQNWYISEFEIARSGSAKTP CC84DRAFT_1135517 MSRQLISSEKFPPKPHNCPAVKVPGLVFCAGQTATGEIKQATRK VLQNLKEVLELSGSSLEQVVKYNVYLADMKDFAAMNEAYIEFLPQPMPSRSCLQALAP GEGTVIEIECIAQV CC84DRAFT_1227233 MAPTAPFNPPAADLPGKPFVPEWIPPPVTQQKENFAELSSIDLS LLDSEDPAVVDGLVQRVKRAIRDEGFLFLENYGISLEQLHRQFAIAQYLYNNITEEDK ERLLFNPETGVWSGYKHPYGFKRHRGCADGIEQFNWYKPDWESMDRSPTCVHPFMDEI RAFCEYLTQSVNRRLLTLFSRVLELPDDYLWDNVQSHGGPTGEGYFRHALFRPVQKST EEASKGLRMHGHTDFGLTTLLFSVPISCLQIWGQDEKWYYVPYKPGALVINIGDTLEI VSGGHFKATRHRVFKPPVDQLQQERLSLVLFNSSLGDMRMSPAYDSPLIQREGCVEEQ GIYKEFKKRTSGGDLAPTNRQWREIQIATATDPTDTEHNRVGVHQSIIDGKLMHTREY MGMRVVLPV CC84DRAFT_1109257 MSKATIEQALTGLIPTLSGPLPPELVDLALSLLARSRSVAHSLK PDEEIARPYACAQLACERSKKRLNLPAIAPRPPCPPRIYKKLYGYLESALPAPASATR EPQTPRKSASSVPPSARTTPKTPRTPQSAKRTPKSTRRDGNKDEEPPQWLAPTIRGLL KAFNYPNAAGNVYTGVEIILPLLARMSAAAAETPSKRPKRAAAISQPSTSEILQSRIL GLIAVVSFYVLNRMLDQDITPEQFTQWTNKAVATLLKSPAAKDVSEADLQAEIESLMP MAQEEGWVRMEWFLNVLPPSAEAMEGVEATNSASAVIANRGHSLRDGGSSYIGLGTMM QDATDYLGERQREDYARWKSDIMARVEAIEASS CC84DRAFT_1135523 MPQEYRAVPVEEASQLSGDDASVNEKFEWRTEKKTLSQRIRNAS TSHLVWIVQAVMLSASITFFALGVCMRTAKQADAIPMTFSPVNEAVEYKIHHFDLKPI PDGPFVGKGPQVDAMWEWATDGVPDTMVTREEMIKMNMDPEGALMVTDPATGKRGYRV AIEVFHQLHCLNLLRQANWKAHYAKLGGDTSAEPEDLHGHLDHCIDALRQFVMCQSDV NVFPFRFPFNDGDPWPDYSSPRMCRNYEKVRQWAVDHGVAQGVDEPEH CC84DRAFT_1159940 MNSGSRLLNIPRELRDKIVTLVLTHRHPSPQTTAEVEAQDRLSL KDVTDALGGSAYYLADPSSYIPNAVGLLRASKQLRSETKSALERLDLAHELEIKFVNE QYLAATWTLVPTPTKHCKRVHASFQSMGVWQKPALPSRFQGDPWIIGDGGPPSYVWIF YNTLVHFLTYGVNAPHAEATPGIVSVERLELDFINPEEMHLLPPEDDTMTLDVARCGF PSRSSRRPRITQGPELLRPEWLARALNSHMHHLFNMSYQFASYGRMFHGRIGTMIFKV NGNVIDSIDVGQLLAELKFYDSFGDVSRSKRVNMWIEWKQEAQKERKEHGLETVAFKD GWKEEARKDAAEYYRKNPSMWGDM CC84DRAFT_1212182 MSDENTHTAAVLTVFNTNAMGAYLGLQTVLLNHSCAPDAYAGFE EGRGEIRVHALRDIQIAEEICISHLEGSALFESINLHRGILVLQRGFLCLCDACMDVE ERELTGREAREEKLRANLRGLTAKYRRNKATLIEYFGIKGHMGVDPGFATFLAKVGAA TMEVLEKLGFATIETLEWPMVEDEEMRWSTFEESSEEEDNSKDDDYVD CC84DRAFT_1254873 MLPPLSLIVITIVSLVRADVFLHKQCWHEHPLSAVNLQCSREAE VDHIQFPNAAVSNDQDTNVQISHRPWSYPPICTDVLPSIGSSLCIYTDTSFSHGRGIS IFTTPELAERVVLLPPFQDSEALQGINEFTGTWITQEIPGKGMGMLAKRNLVFKDKIT AYTPALLAYLETDLSTAEREKFFKLAVSQLPEATRDMYLGLATVYGLPEVKYQDVVKA NTFQMEIEGHNHLAVFPETSRLNHACTPNAQYYLDPTLLTHFVHITRPLKKGEEITIS YTSPLDPTHTRQQHLEQGFHFRCTCTRCTNHERTDASLKHIQGLQSVLNDWSPSTVGF PGPQLAEELLDMYRDEGLQGFMDVPYGFAALAYNAGGDDETAVEYAKRAEELILLKDG KWASNLAMMKELLRDPRGHWSFGRRLG CC84DRAFT_1159942 MPSTHNTDKPWDTDDIDKWKIDPFKPEDNAAGAFTEESRFSTLF PKYREQYLRASWKIITAALAKHGVGCELDLTEGSMTVFTTLKTYDPAAILNARDLIKL LARSVPAPQALKILEDDVACDIIKIRNLVTNKDRFVKRRQRILGPNGSTLKALELLTE TFILVQGNTVAAMGNWKGLKTVRRIIEDTMANIHPIYSIKELMIKKELEKDPALKNES WDRFLPNFKKRTTAKRRVPHKVTDKTKKTYTPFPPAQEKSKVDLQIESGEYFLGKQAK ERKAREDREAKMKEKMDEKRKERLNEYVAPTEDGEKKKKKKRKREDGEEKEKKKKRKD VEVDGETP CC84DRAFT_1201604 MADYDAPEFKRYAAAHENPRGAGDDRPTALQIIKDNDLEGKLVG KIVLITGCSSGIGIETARAMKVTGARVFATARDINKGKKALADILEPGKLDLELLDLN SLASVRSFAKDFLSKTNNQLNILINNAGIMAIPEEKTQDGFEKQFGTNHLAHFLLFQL LKPALLASSTPELHSRVVSVSSLGHRYNNIDLDNVMLENGAYEPNRAYAHSKIGNIYL ANEIERRYGSKGLHANSLHPGGIWTGLQDHLDTSQWKANPEVNDYMKNEAQGAATSVW SAVDKCWEGKGGKYLDNCQIAPPVPENAGLFTTGYAAWAFDEGAAKRLWSMSNKFVGF PDD CC84DRAFT_1159944 MPKAEIGSTKHLANQMKSKGLQRLRWWCEPCQKQCRDANGFKCH VQSEGHVRQMAVVGENATKYISNYSNDFQRDFVSLLRTAHGEKWIGANKFYNEYIRDK EHVHMNSTRWSSLTEFTKHLGRSGIVNVKEDEKDGLMIAWRDTSVAATKRREEIREQE LAEARSGAGEDRMLKKMSKRAQEEADEKARILEARRAAQAQSQTPAPKDSVSPLAEEK TSAPSPEKASETPTEEKKEDATTADEAPKEEVAPIKFSFGLKAKVPPSTKAGLGQMKS QSIFKRARAEGADKPKKKVKL CC84DRAFT_1227251 MLIQVTTLAFGLLPFAFATREFVNHGTTSGWPSTWIDPNTKGYV ENSTSAFYGKSQSLKFGQEYLGSSYEGRYHAEKIYSNGYKRDEEKYYGFAFRLHSEWE FDPQSYNLAQFGANFNDIKWNGESCDDFSPTTMIWLNQTKLFARTKHGQMIKGQKCPP DDQKWNCDLGPNCQVTESFQLKSETSSIGEIKAGVWYRLTFRVKWKSDDTGIFQAWLN GTQVADKSKLKTTLLDDHRDYEFRVGLYANSWHDEKKMVGSQPKRQLWIDEIGVGSTF ADADPDDIKKSA CC84DRAFT_1201606 MAAAFGLSAKTAKDIIAELNLKPHPEKGFYIETFRDPATDSAGR AHSTQIYYLLEGESGLSHWHRVTDAVEVWHYYAGAPLQLSLSWDDGKPVRNLVLGTDL SAGQRPQIVVERDEWQHALSLGEWTLVGCTVAPAFTMDSFVMAEEGWEPRAA CC84DRAFT_1171355 MACLKRYSNEQVAAFDAQLAPFRGNMKVQPNGTLYFAKNGIVIG FDSAFPLIYAYQACVFDLATTFLGNVTGKFRDIGIKYDSEDSLDEKGSLIKEYRVRLY GAHMSSPIDCDRRYVFVDACSAISFEDALIRLALFYQAAIGNWVGFWNGPKDACDWFG GQLACHNQLVVLPAPQIPLGLPIDESIVATSGDEDAGAAKTIDESGIDPILRAQTFSA EESLALPSGNEDAANAMPIDDSCIDPRLLAQSLPSEESVAVGIGNEVAASGLTWDDFF IDPGLTSDWP CC84DRAFT_1159947 MADSEVPKPEMLNDASPPATAAATAATPAESSTSDPKAALAARM ARFKALQSQKESGRRQTEAELRAAEDRPQRLAQLAKLQAANEKASYKLLKSDDPDFER KRNWDYTVEESESWDKRQAKKSRNRDNVSFQDYRGEANKVYKRQVKQLGEKDLEEYAR EKGERLQRQVRMGLLRLVEEGGEVFTVDSEGRINTPVEELYDHNHKPSKEAVDKLVED LEKGERARLKARAARGIKDDGGDVTYINDKNKQFNEKLSRFYNRYTTEIRESFERGTA I CC84DRAFT_1159948 MATRKRNEWLDADESDEEERDYESEDESRSRMLKSTKRRKIEYE SDSEDAADEPDADDRDDPKDEDDAHDEPTFDSPAAELAHLEKLAATLPKDLKLPSTQL GTPLPLPSKPKKDKSGVIYLSRIPPFMKPTVLRSLLTPYGAVGRVFMTPEPAASRTQR LRTGGTRRKLFLDGWVEFLSKKDAKFVAENLNAQTMGGKKRGRWHDEVWNVKYLSGVK WAHLVEQIQNENAERTARLRVEIAKGKKENERFLENVERGKMLGGMEAKRKAKGEEEP VFEREEADAVGETEGQQSGRKKERRSKFSQREMRTKADKKPEPGQDVQRVLGSIF CC84DRAFT_1135547 MGGDLNTKKSWHPHLRKNQEKVWKEEQNALEERKLIEKLRKERE EERQIEELQKLAEANGGKVATKRVDWMYAGPSGDGAGVTEEREGYLLGKRRIDALLKG NDSQALQKGAAVGIDAVGNTNANSTRDTQKKVLQDPLLVIQKQKMEMQLKAMKDAQKQ KHYEEKRAKEKEREKKHKHSRRERSRSADDRDGRDSRRRHHRRDQSHSASDDRDDRER RHRRHRRDDKDEHRRRSQRRYRSRSRSPYRKSHRDDRNRDSERRKDRSPPPREKPEEN GHRERDSYRRRGPPPPRENGHQQRPRESTPPPKPDMAARLAEMQAMASSLEEQRQERV KLQEAEEAAAEEKHKHNRDGQHRFISGFRSKAAEATDLGDAISRGRQGARGSIDT CC84DRAFT_1077797 MHALKVQAATTITVDLSKTYQTMDGFGVSETFQRANQMHALSPQ LQRTALDLLFNRTSGAGFSILRNGIGSSPDSSSDHMVSIQPKNPGGPSAAPKYVWDAN DNSQVWLSTEAVSNYGLRTVYANAWSAPGYMKTNNNDANGGSLCGVSGASCASGDWKK AYAEYLVQYLTYYREIGVKITHLGFLNEPDLTTSYASMRSNGQQAADVVKVLRPALDR ANFTDVKIACCDAEGWSSQQGMMSGLKGVEDMLGTITGHAYTSAPTSPINTKHPVWQT ENADLQGAWTTGFGTGSGSTAGLGLLWAERISDAITKANVSAYLYWVGVQGGATNSKL IRISDDKTQIIASKRLWAFANWSRHVRPGAVRVGTSGVPSGARVSAFRNEDGRVAVQV VQSGSGAGSVNVKVMGFVAKSVKAWLTDDSHNCDEQAATVVSDGSVSANVPGKSMVTI VLEGDAS CC84DRAFT_1212194 MADALCGPSTALQNFQKHTSVDRTLQQDRLVGRHSPSQGFRSSL GQNNGALDHEFEAFQAGHALPSQPQFQHLPPQFARAPPPQFAQPSQAPDWASDFQRLN ISQSAQIPQQRVPAQNAASSWHQDFMSQQGPAAQAPVFQQNGVGGMAGYGMSGFAHPG FHQPGFAMMNGGSMSEVAQGKQRVQDAVPQFDEAAFERAFADVQQAEEQALAENLRPQ ETHTEETAVDNLPQEPEDPALMRIREQRPAVYAALKIRSAVDLENASQAMPYLDMLET METEHQLTRDASEARWVIDTLQNIASREGPQEIKTRSEQLIRNINERLMSTYPLLSAP VPINQDRIWEELEAAGYTRSSGPEQLQQPQEPEQKQEEEQHQPRHDDDEMAQTAGRLL ERVSDNTSEKFQKSQFLELMRRLRDREIRVEGDKMVEVSTAQSTSSPPISAPPQSQTQ LPPQPAPDPLVEHYRSISPGMDWSWSDPPSPAPVARTVIPPVDPNILDHAATDFDTPV YSGEGQEYDSLSRRESSENVTDEVSDQYSYYNVNSTYHR CC84DRAFT_1254883 MPPADYWEDEWYNTDREVRGGARHVRAPSASSRRVRDDDFGARR TSEFLLAPESRTTTSLHRTRSQGHAPAPNVTIYNTTRMDNESSPNVRTEQKSPLVNPY AEPRGRSRRMPGEWSLEDEIAELRLDVAKQARSRSRSKHHHDDHHGHDYDHWKLEEAN RRIKEQEELKEIERREELIKKKMELKYIRDRQERELEEARIAREEDRLRKEWELKLER EERKRKEREEDAEREKDRLKKDWEYKLERETRKKEEERRAEEEERKRLIAESMAKADK RAREQEEERQRIIAENTLKLEKAERERRAAQQKAVDDFNKERAEKEAQAKAEQDRIVA QYEAKKVKDAAEEKRKREELIMQLRIEEEHRKQKEKEEWDRFLLKQKQKEEEEKAAKE KQEKELEEAMRKRLAHFGFQDNQIQAMIRPEEAAKLQQGMSPANPLRLTHQPTYVKVH KEHLAVDTLVYYDIPYEVDRADPNYIIILREMEPRETEILFEHTRRLRTRGGTRLLIE ERDNHGKNDYAWVRRRKPSRSPSRRRSSPKRVVGIKEMFF CC84DRAFT_1183265 MSIPNEKLQQILQEIGQKKAFAEQQLVIVRQQKVANSRESRMLQ LTASEMESLPKETKVYEGVGKMFVCTPIPDVQKRLSSEDEKLKSELSNLKKKEDYLEK TYENSKNSIEQVLGGRTG CC84DRAFT_155373 MVLDILKRLPSLKYPPAQAGRWSPVTSTLNWCEEDYYATIYSAE IINTLTNIWFMYLSAKGIHNCLTNGHDTTFLVSYIGYLLVGTGSFLFHSTLKYPMQLV DELSMIYTTCLMCYVTFSFGKTSTYRSVLASSLVGLSLFITLYYHFLQDPVFHQTVYA ILTAVVFFRAVYVMETLLRPKFRTKPTGKREEQRNVQILSEMWVMIAWGLSIFLGGFF FWYLDIAHCSTLRRWRREIGMPWGFFLELHGWWHLMTGLGGYCYIVWGIWLRHCLNGR QDEFKLHWPRLTTMPMVVKTQNAADRHASYLTNGVTKKEM CC84DRAFT_1159957 MREIVHLQTGQCGNQIGAAFWQQISGEHGLDGSGVYNGTSDLQL ERMNVYFNEATGNKFVPRAVLVDLEPGTMDAVRAGPFGQLFRPDNFVFGQSGAGNNWA KGHYTEGAELVDQVLDVVRREAEGCDCLQGFQITHSLGGGTGAGMGTLLISKIREEFP DRMMATFSVVPSPKVSDTVVEPYNATLSIHQLVENSDETFCIDNEALYDICMRTLKLN NPSYGDLNHLVSAVMSGVSTSLRFPGQLNSDLRKLAVNMVPFPRLHFFMVGFAPLTSR GAYSFRAVTVPELTQQMFDPKNMMAASDFRNGRYLTCSTIFRGKVSMKEVEDQMRNVQ NKNSSYFVEWIPNNVQTALCSIPPRGLKMSSTFVGNSTSIQELFKRIGDQFTAMFRRK AFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQEASISEGEEEYDEEAPVEGEE CC84DRAFT_1109306 MSDYIDFSQPSLWISAATILFNPTFWNIAAQSEYYNKTITKLFG NNPRYGCYALAVTIFSLGILRDFLYDTALSHQPTHPALLTPLIHLSAIPLFATGTTLV LTSMYALGVTGTYLGDYFGILMDAPVTSFPFNVSDAPMYHGSTLSFLATAIWKGKPAG IFLTFVVAVCYSVARRWEDPFTEMIYTKRDEERKKAGNKAL CC84DRAFT_1254888 MALGFGSKPERADSPSNDTKAADELFNKDAENGGLEKQRQGSRI EGPARRDIDSSEEESITVGKQIELEAGNAIQYRTCSWQKTAALLFSEYICLAIMSFPW SYSILGLVPGLILTCVIAALVLYTSLICWEFCMRHPEIRDVCDLGQMIFYNHRWAFWF TAVMFILNNTFIQGLHCLVGAKYLNTMTGHGICTIGFSAIVAVVSFVCSLPRTFGTLS KGATASALFTFISVLLATIFSGIMDHPKGWPAETGPIDPIVLAVPAAGTTFVAGMSAF MNISYTFIGQITLPSFIAEMKEPKDFPKALWAVTIAEVIVFGLVGSIVYAYTGTQYMT APAFGSIGNEVMLKISFSFMIPTIIFLGVLYASVSARFIFFRFFGGTYHMGSHTVVGW AAWAAILAVLWLLAFIVAEVIPFFSDLLSLMSSLFDAFFGFIYWGVAYFRMREADYGR NYFKNRGIRGWAGFLFNVGLCCVGLLFLTGGTYATVQSIIDGYKADNFGGAFTCQDNG L CC84DRAFT_1192780 MFFNKFAADLSKSFNSNITNNYTLSQEPTSFSGPWKIYDAKGKK TKKPVSVFIFEKKSLEPPGGASLGGRSSGGSGLKRAHEEVVERLKKEASSLARLRHPS VLELVEPVEDTRGGGLMFATEPVTASLAGLLLEKDEQEKAGGVGGRRSRYVIEEENGQ KRRRELEIDELEIQKGLLQIAKGLEFLHESAGLVHANLTPDAVFVNAKSDWKISGLSF CTPPENSTKATSVSPISLSEALNYDARLPSYVQLNLDYTSPDFVMDGNVTPAADMFSL GMLIIALYNSPHKSPMEFHGSTTSFKRAFSTTSSVPNKSNNFMSSQSMPRDVVNGVLD RLITRRPAQRINAREFQQAQYFDNILVSTIRFLDSLPAKTPNEKQQFLRGLPRILKEF PKSVMEKKVLPALLEETKDRELLALVLQNCFKIITMLPSGKRAFTEKVIPKLRETFLA IPKGPAQERDSLKEAGLMVLLENISVAANNCGGKEFKDDILPIVNYALESPTHSLVDA ALRTLPVVLPILDFSTIKNELFPVIATIFAKTSSMGIKIRGLEAFRTLCGGSGEDQDG LQGDGLTGVIEAAKPKSSVSILDKYTIQEKVVPLLKGIKTKEPAVMMAALDVFKAIGS QVDSDFLAVDVLPILWQFSLGPLLNLGQFQAYMLLIKSLSARVENEQTRKLQELGANN APTTSRNEFMSFGSLPGTNGLDTTNGDGGSDFEALVRGTQGAITGSDMLGGDPWANAP ASASSSATLASRPAANRSGTARNASPAAAFAWSTPPVSPPPTNLAAPQTQRGMRTITP DNTLNSLNSTFPAMAPANPGIGSSFPQAQQKPMISMLSPTTTTPSYTTQSSGIDWTKA SGTSSLSSNPWSNSGTTPSASSGLSNFSMTSPPAQPQTQQQSNPYSAFSIAPPPIKST NSLGIAPPPSTGGTFNITLPPGIGARANSQLSMNSMVSRTGQQQNQNQQKPSSTQSWG SGGDSLI CC84DRAFT_1159961 MLQQRSLRLLSSLSQRATRPAATSVAASLRCRTERPYSIHADAS VGTTIKDIEPSKLSITRTTTPKALLPPEELVFGRNFSDHMLSLEWTASEGWLPGRITP YQNLSLDPATCVFHYAFECFEGMKAYKDKNGGIRLFRPEKNMARLNKSSARIALPTFD GDALIELISKFLKVDERFIPDARGYSLYLRPTMIGTQRTLGVGPPASALLYVIASPVG PYYPTGFKAVSLEATDYAVRAWPGGVGDKKLGANYAPCIVPQMQAASRGFHQNLWLFG EEEYVTEVGTMNLFAAIKNKETGQPELLTAPLDGTILEGVTRDSVLALARERLEPKGW KVLERKFTMKELADAADEGRMMEVFGAGTAAIVSPVRRISWKGRLVDCGLAENEECGP ITGQVKDWMEAIQYGDEEHPWSYKVPE CC84DRAFT_1212203 MLARGALCRLAADVPKTSTHDLPRISQLLRTTLFARHGASQPTV GAIVRAFRTTILEGRRAYATKSATEPTARVKREVKKAVATKKTAKTTTAKKTTTKKAA PKKAKKVAPKKKKPAKELTAEQKEAQTIKKLKERALLKGSPKRSNSSAWTEFASESLK GSKNIEDSQSIMKEAAVKYKNLTPAEREHYNQLAKQNMDAKLAAYDKWILSHTPEEIA AANYARAALKRKLGLKSKYDTLIDPRHNKAARSGPFVLFAKERLSSGEYQGIVVQERM KLVANEWKALSPSEKKVFEDQSAAAKAAAAKTAA CC84DRAFT_1183273 MVTTSATAFLLALSALLHASRASASSFRPPQIVLNPHQDPDSSH TSSDPRLGAIASESKLCSRIGTDLLRAGGNAADALVGTVFCVGVVGMYHSGIGGGGFM LVRSASGAYESIDFRETAPGAASEDMYVGNRNGSVFGGLAVGVPGELRGLEYLHRGYG NLSWQRVMTPAIELAEGGFEVGEDLVRYMDVAEKFIYGRDFLSEEPAWAEDFAPNGTR LRKGDVLTRKRYAKTLRAVAEGGAGVFYEGPIAEATVEAVRASNGTMTLEDLRGYEIR SRAPLQAEYKDFKLASVGAPAAGAVVLSAFKTIEGYEGMNDAKATNLSTHYLDEALRF AYAERSSLGDPDFVSGTAAHQDTMLSEASAARKRSKISSDHTLPIEEYNPDGYEILEN HGTSHIVAADASGMAISLTSTINLIFAGGLIVPSTGVILNDQMNDFSLPNTRNEFGYP PSPSNYIRPGKRPLSSIAPVIVEHASNGSLYYVLGSAGGAKIISAVAQNLWHVLDHNM SAIDALYEPRFHDQLIPNVIAFECNSTNPNCAPDGKAPHDLGKSDVGGLHPWAESGIG TGTARAFDNGTIAFMKERGHNVTWMPQGYSSAQALRVCWNGTFEAAGEPRQRDSGGFA V CC84DRAFT_155588 MQQHFPNFRLALSNPTRLVHTYTRALQPALAPASILCVARLFCP LHASYPKLYALRPVVTTIPDTHSGVKPHLPPPCIYSSSASRYFLVSRSTTFPAFVSLC CCIAALLCSVCAIFLLVYSCVSCGVYRWDTESFSLLSVSNPHQHHASAWCRVMNLLKP QLVLCVNLIAV CC84DRAFT_1201620 MSSKTFKDPIYPLDNHCSVIHKNTLYVYSPQGFQSLDLAKGSQW EKLPMDISLTGAECILAPSSGDANTDMMFVVGGRVNETVRQWDYPGLMHYSFKDKKWD WQRSETWVTKERTNHAAIYIPAAKQILVYSGSSDPQSSGLSSESFLIDTKSPYAVLSQ PAGANPPLIKPMLLPWDETHAVMVGGGASNTAIYTFGAMSDASGAMEGWKDLGITITE PITSPETTQCTLINGEDGSKVLETFNMGVSPNTVTRTVLLNKGGSVAAQGTTVGVNSK RYVTLDDFPKYNDTLASDLIRSGYSVAQSDSDRIVFSGGNAQEPLSIFDGSENSWVNA TALFSGSQLNNILQPSSSASSSTPTSTATETAAASSSAAAGAPAGAAVNNKDRMLTVL GATLGAIFGIAALLILLLFCLRWRKSKKRRTAQGGYVEKDRLSFADRGAEFMKEAGGV GSKPRFTEVNASQTSLAIIAGGAGHGHKRGMLSDSSTSGLVKKASPLGYTEPVELSKF DLKPEPIDERMVRQNSGRIPPAPKAIGNVSRSRSSGWSRYFANNDATNLAAMPTDNRS TFASDRTSTASHSLYTDSRMYSQPMQAPPPLDIPKFENQRISKVTTGSPTLGNSTDNL PGQPMQAELARANSGASTRSGISNDDHHYLRDPVESWTPVGHEERPVSSNYTGSVVMN DYRDGASSYYPDGTSSFYPKSGISSFYPGQSKIGGPEVRESTNTVFPGQNLGNIQPRQ QQQHNDFESFYPAPPRLGGAPDGRESQITVFPGGPTGDRKEGGQDMSWLNLGVPK CC84DRAFT_1227275 MGSLTTDAGAARAAKVQICTEKIWVLSKRQHACCMGRWILPNWQ KRGALRMASNAEVGVLLVRRSLGGGPMMVECGAGPAVSQSRKSRLAAVAAHHCAVCRP RARGSRRSHERDDAGSTRANGRRRAGCAVRTLPGEGRLAVLGAGDSRAARDPCLDWRV RFHEAGAQNKPQRWRSARSGGRDERATASAVAWMASARLRGWSGCLRRGTARGCPWPA GLAQWARRPLVFRLGRPLVGRPGRATGAPPAAGLSIGRNTQCPWPAWVRARRAAALTP SVPSPVGAQPALAQGQGRFGGAASPSGLPAALHPLDSSHALPRAPEEPQSRPHHRTPL RRAASPPSVLFSPLRQSAAASLSTPATPRPVPPCHRLLKLSQASSVPIVSLIRVGPAL LLSLLCAACSSSSRPPHFTHSKSTRPQPLEQSAPFLHAHRSSVPSS CC84DRAFT_1078892 MANPLQQASRIFQAFRKGGPTFGAWQMLPGTNHSRAIARSGVDW ICVDCEHGNIDDSQMHEAVNAIASARVSPLVRIPANEPHYIKRALDAGAHGIVVPLIY SVYDAQELVSAAKFPPQGTRGFGSPFSTQTFNDDDLPTYLQHANAALITIVQIETKEA LEQVSEIAAVEGVDCLLIGPFDLGNNIGRPILGEMHVELKEAIDRIKEAAHAKGKKVG IYCTTPKDARDCAERGFDFISIANDRGAITEYFSQTLKVASGESEFTS CC84DRAFT_1171373 MFRASSRLLQSRITFFTRSPCSLCDTAKTAVQNVRKERNFDYEE INVMGPGQEKWRDVYEFDTPVIHVDKASETSTSSAKLMHRFNETQVKKLLDEVEST CC84DRAFT_1159965 MAKKCVHKGCGKTYENESEECLYHPGPPVFHEGQKGWKCCKPRV LTFDEFLTIPPCTTGTHSDVDETPAPEPTQAPDVPSNTQVDLGSLQESLPAPVPRVPT AQSAPPQPAASPKPPEESEDDDPSLEVKQGMTCRRKGCGQKFKGGNREGETCVHHPGA PIFHEGSKGWSCCKRRVLEFDQFMNIEGCKTKNRHLFVGTGKKGGEEKVESVRSDFYQ TGTSVIASLYLKKIDKESAKVVFHADHVDLDLCTSDSKRYATEFPLFGTIKPEDSKHR ILGTKLELTLVKADGAAWPVLRSDDKLTGEMIQVGRAGRV CC84DRAFT_1159967 MASHTASQRYLSTRGGSYDFSFEEVVLKGLAADGGLFIPEEIPV LPSDWATKWHNLSFEDLAYEIFSLYISPSEIPAADLKDIIRRSYATFRANDVTPTVTL DKDKNIHLLELFHGPTFAFKDVALQFLGNLFEYFLVRRNENKSGRDREHLTVVGATSG DTGSAAIYGLRGKKDVSVFIMFPHGKVSPIQEAQMTTVLDANVHNLAVDGTFDDCQDF VKALFADPEMNKTHKLAAVNSINWARILAQITYYFYSYFNLIRQQSFLPASKVRFVVP TGNFGDILAGYFAKRMGLPAEKLVIATNENDILHRFWESGRYEKHTVYGAAAEGGFAE DGVKAHESGVKETLSPAMDILVSSNFERLLWFLAYEVYSTSADAVSQRRAQAGDHVKN WLNDLKAKGGFSVDKQILAAAQEDFSSYRVSDEETIESIKQTYAAPSSKGYVLDPHTA IGVAAALRSAEVSGPPSTHHISLATAHPAKFSSAVEQALPDDKAYFVEKVLPEEFKGL EDKPRRVSHVKKSDGWQGVRKVVIAEVEAELQAADKAGR CC84DRAFT_1159970 MGSLVQLPHGSSPDLALVHPTLEEKLIQFNLNGAEWRGALSQEA YLRREDVLSQQDQTKDGGISYWILIDKTANRNPLDPTSSARLPLASCETYRKKALVWQ DGELKETICHGIGSVFCAPHLRGRKYAQRMMQELGEALRTYQTDEKTDCMFSVLFSDI GKKFYSDFGWEAFRSSHVSLPGETSKVKDPGSLPAARPLYAEDLAELCKIDEELIRKS LQERPKGSHAAVALVPNIANIRWHHAREEFVGGELHGNAPRVKGAIVGTEKGKRVWCY WTRMWYNPDATQAKDNTLHILRFVVEDQGRSSWEGSGTKHVEEASNDHSHDAAIAALF YAAQQQAEEWKMEHVEAWNPSSATLAAARRLDPNAKLIDRDMESIASLKWYPQHDGPV AESIDWIGNEKYGWC CC84DRAFT_155616 MAVLYRAGHCAGGDADAHGRSELSLSQSTLAGCRHEARRLLRAC ATAFWSLPPEAVMMDRSLCNSFEDAVIPIMACIIGGPPHRPQEAMMNADERGATAAGG KNKLIHGHSLGHEFLIPLFM CC84DRAFT_1171377 MNAGPEQRQVIDLVSDDEDDLSRYGDDRSYEVDALPEFELGGPE TEEDWEERNWGGLGVEGAARLGPLEQDYERDFIDFGPNDFDQPDLAPAVNNHRLANAA PNNLMNVEADIAESELVTVAVCLQMVLDILPDISVDHVLALIADQTQDNTRTSEACQR IVTQLLDGEAYPKEEEEASRKRKRQRSFSEFEEDDGEGRPHSYMNDVGGQLADRDCRL TRRNPHQETDVILFQQIYLQDHLLRNKYSYNEFVYVPVRHINLVIKQQKTLYKAYGVI DQQLREYDQYDRNKEPFRKVHSARTKRNIEASLIQRGSQVPKELQAAKKKSDEAAVKR RKAEDALREEENNIREAVLAGEMTECQCCFDEFPLNRMVGCGGATMHFLCRECVKNYV ESEIGSSRCRPICFADSKCGGNFTRQQLQDCLTDTTFDRLEHMQQMQDLEGAGLDLDE CPFCDFKQICPSVDEDKEFRCLNPKCRKTSCRLCQKETHIPLTCEEVKKNEKLTVRHD VEEAMSAALIRNCNKCKKPFIKQDGCNKMLCPQCGNSQCYVCSKDIKDYNHFSDHNVG RGGCQLHDNVEDRHEQEVKKAADEALAKAKAENPELSEADLMIQVSDRVKQSEQQRLG RAQEAVRAFPYHMVGGALINRAPLAAPAPAAAAAAHPQYPLRTSNG CC84DRAFT_1159973 MPTTYLTTLAAFTDFLTAYVHTLLYLRHLYPLASFLQVRFHNTP IFQSRHPDVCRWIQDAVAAVREELLKGTIARIAVVVFHPGYDEGSGSVKILERYMLDV SAFPVVTREERFMDIEWEKSEAERRAAEEAATEAETAGHKGKGKGKQKPKGLDAEVDV NLSEQFRAAFITLTTRASQLEPLPPDCSFNISMELKDEADVDPPIGHPQKWVPSQPSL QKTGRKGAVLNDDENHGPSEGGDLGGAKVTPIRTVEAGVFRFETWIEEGKAKFETQWP EMSSIDSSAG CC84DRAFT_155967 MEDQLVQLLSATQTAQEGPRKQAEQQLQSLYTHQDFPIALVEIA RHDRVPLNIRQAALLYLKQVVLAGWSDSLEEFKGTFIITDDVKAKVRQLLLELATTDQ LDRKLKSAASLVVSKIASCDFPEQWPDLLATLLNLIPTATDGQLHGALKVLDELVEDS FNETQFFGVAKQLIKVVHDIAVSDARKPTLRALACSVFRGTFDILEMVLEDHKAEIKG FADEVLQEWIPFFTNIMKSRLPDPPTEQEENEDAPNAESYRGLVALKLQVVKVLMRIR SVFPAVLSPETPVLFSATWEELVSLQRPYHQMYIIEERQSRLEDTDGLPYTLDFLVLE ELDFMQACLRAPPVRAELEKQLQQSTDTTNTWVFEVMKLAVAYAQITTEEEGLWNFDV NIFLSEETSVTANYTSRTACGDLVIKLGEWLTQPTLQGLLAYSRTLYSSQEGWKAKEA ALYVLSQVLSDFQDVDKTISPDVATGFIDFINYAIQQEDTFLRARGYLVAGSLVRTSG DALLQYAPTFLEACLKAIPTDDSDVVQVSCIRSLQYYLQALPRETTLPLQQNIISAIS NYLQAQDLQELADSDDLMVTLVETLRDAILLDTRICVTGSGLDLLFTVATHGANNFQL TILVNETFEDVTQAIASMGGDAYVQLCKKVLPSLTGAFDVANFTEENALMNLAADLLA LLAEYGPEPLPAGFVQTTMPKLARLLLHSEDEELLKSATTAVKNIIAHDHQQLFEWRN EESKAGLEVVLQIIARLLSPTVNDNAAGEVGALAAEVVEKAGHERLGPYLQELLRTVA ARLGAATQAQFIQSLTLVFARLSLNHASEVVEFLATQTIDGHNGLQVVLAKWLENSIN FAGYDEIRQNVIALSKLYDLKDPRLSAVQVKGDLIPNADGRIMTRSRARQSKPTPFHP TRCLCPKKFFANLREWQRNRCRDRWPPAPSFGLLFDALLPFSAAFAESIIQPESTDFL TNPFADPDQWTIVPATLKILKVLIVELQSASGTGLDPQSVADLADEGSNDDDWEDEPN PFVDLGSGFSKEQLMAYAAEDGPGTGRQKDDETQAFLVDFFKRAATTQGFADEFQALT DEERVRLQESAA CC84DRAFT_156013 MAPTTSKDVVILDGGMSRELIRLQAPFRQPEWSALALLEAPHFV RQAHADFAAAGAHVLTTNSYALVPFHIGLERFQARGEELAALAGRLARETADSVAGKS VRVAGSLPPIFGSYEPDLYDPALVQERLAVLVRGLAPYVDVWLGETLSLVAEAEAVRV ATRESGKPVWIAFTLDDGGVDAESAPARLRSGESVNEAAQWAVKAGVEALLFNCSQPE YMDAAIKDARQIFEQEATVSGARAPLIGVYANAFEPKAGDEAANEAISDTRAELTPEL YLEFAQRWVESGATIVGGCCGIGCDHIRKVAGQNLISV CC84DRAFT_156111 MQIRTFGLGLVILKTTSLSTARVHFESILLFSFCPHLPLNDDVL KIEKSLRFADSACYAVSAFATSTPLVDEVPSRTMSNSTLLPLFMYRRTPSSDLHNYSM DVKNMCARFWQSVAMIAATAVLHEPAAVGLHHPDIDTADAITSTRLTCIRLWY CC84DRAFT_1159975 MSSQQDDLLASSSLRNALATFGPSSAQYIGIKYVVDEHMAKAAL QSLSLGPQQGEEVQGREGSGQRMNLAFRPREN CC84DRAFT_156139 MSAFVRVSGPPNSNFLVGYPGISATLPRIEGKVEIRPLVGVSAP VHVSLVTIALHRRETIHPSAESLTKKHLAAPRKEITDIVGKEMLLFRCPAGKEFDSVL SMDLPFVIFIPYGRGGEEVARRVPPASLQLPARTAETFYELVVTVQQGQTDQKKYPFP VPIQRYDTLSTFGMYNRPESAERVTDHLVTLGISLPRWSYGPLDPVSVYIKLSPNPDW LKKAQKVTIQKITVGIDEEIIFNHEGDEPTRKVKTLAKTTQAVGVKMPEAGYFTNLGL VFPAKDLRDSDGIIPRGKKEFPMYAVNGFTTTGTLYKIEYYLTVKAQLSSARDILLRQ PIVVCPFDHAGCKEEMEAIEQAARDAAHVSPDNPMLPAATIIRSNDPNGLAALGMAIV GGLRKPLIE CC84DRAFT_156147 MSVTLHTTRGDIKLEIFCEAVPKTAENFLALCASGFYNGSPFHR MIPSFMVQTGSPASDPKSKTSTSIYDTVDHLFEDEVRPALRHNARGIVSMANKGPNSN GSQFFITFAPAPHLDGKNTVFGKVLEGEDVLDELETLDVDKKSRPKERVEIKNVTMHA NPLAG CC84DRAFT_1212218 MAQQSLAKDLFEDMGRKVAEASARDDDVDGTRVASTRLLLTKIP FFREIVLMSSACPECGWKNAEVQPAGEIQQRGIKITLKVESAADLSRQIVKSDTAVFR VEDIDLEIPPGRGQLTNVEGVLSMVAEDLEQKQNERKEVVPEVYEKIQGVIETLKEMA AGKKTSFKITVDDPAGNSSIEPSTQDTSTKYFRHEYPRSPDQNAALGLGSSGDAPPTE IRPEYHADQMYPRMPQGPMVNNVDEDEIQENQVYSFPASCPGCTNPCTTNMKMVNIPH FKQVVLMSTVCEHCGYRSNEVKTGGEVPEKGRRITVSVENKEDLSRDILKAESCALSC PELNLSVEAGTLGGRFTTIEGLLTQVRDDLRSSIFDIGEGGDSMDSGTKTKWDDFFGQ LSSAINGEVKFTCILSDPLASSYVQSFAAPEPDSQMKVEDYERTEEEEEELGLRDMNT EAYADDQPTTNGAATNGEVVNGA CC84DRAFT_1078727 MAADTSEARLRFLREAAYQLTTSAPTVSAALSSHYIQSVIGADD LQHAKKEWDTLRREVCGSCGSILLPGWSASVSHLSRRARAQKQPPKPATLPGKTLVCT CLRCDRKSVQTLQSRAPKHVISKPIRTETEATKAPDVHMKIGHDQDKVNKSANATSKQ RKKSRKGGLQAMLEKTKNQSSGQGGLGLDLMDFMQ CC84DRAFT_1212220 MAGLTQNAGSSLRIAVEGCGHGTLHEIYASVKKSCELKGWPGVD LLIIGGDFQAVRNAYDLKAVSMPEKYYAMHDFHEYYSGARIAPYLTIFIGGNHEASNY MWELYYGGWAAPNIYYMGAANVVRIGSLRIAGLSGIWKGYNYRKPHHERLPYNNDDVR SIYHVRELDTRKLLQLRSQVDVGLSHDWPKGMEWKGNHRQLFRFKPYFEQDAKEGQLG SVAATTVLERLRPPHWFSAHMHARFTAVWEHECSHDPPASESKEVTAPVTANEDEVDL DIDDTPVIEAPKNDAEIDLDLDEEEEPCTLAPMEEQVADADAQGKPDDIRSLLPESFA RPSMSSNFDQPPTLPFPQDITNKITKFLALDKCLPGRHFLQLLEVAPHTPGDHERPLK LEYDPEWLAITRVFAEELQLGNPDVRVPQDKGDVYYRPLIEKELAWVDEHVIKPGKTA IPEDFVQTAPIYEPATGIHVAGGPKEYSNPHTQAFCDLLQIPNAFHATDEERARMMQQ GPRPDSARPERRGGFRGGSTRGRGQGRGQGRGRGGFNANRGRGRGRARQ CC84DRAFT_1159982 MPSGQGAGTNPIHNKKPKAAKKELDEDDKAYLAKQQADKKAREE LAKKAGGKGPLNTGGQGIKKSGKKK CC84DRAFT_156428 MAPTGKTVYNWYISLVAASCMVLYGYDASTFNALQNSKNWKNYF NHPNENIIGAINTSYTVGAVVAGFFFGGPLADWAGRRVGMAAGAILVIVATFMQCFAP RGNVGVFIAGRVVIGLGQGLALTAGPIYIGELSRPEVRGTIMSFWQMFYSVGSFIAYW VGYATAKHPAKLGDWDWKMVVIFQLLMPILILAQVFFIPETPRWYIQHGNRIDDARRS LHKVRDTEQEVEDEILSIREAIEFEAEAISSNYSALWKDKSIRKRLLLCMILNGGQQI TGQGTLNSYSSIIYKKVFNDPAKIALINALNATFGIIFTLNATWTVDRFGRKFLFIVG GIGMACCMIAVAAVGSETPSPGGAKTQPVGIAIVFLMFFFALFYKPSWGATVWIYTSE IFSMNVRAQAVGMCSQTQNVVNSIVQQFFPIFLKNCGWFVFYFFAGINVLLALFVVFF IPETKRVSLEEIDTLFGGTNHVEAGGELVGVKDPHHAQIERVEITEEKRA CC84DRAFT_1171384 MFASETAVWELGEHREWVRRDYQAEERLGLDKEEYDRETQRLKQ EYEEWETECKRMEMEKAAGEVDRAWEKEVMEFQDDVDALEFAFLGKQDRRRKNGARGR KRPSKQSRVRVYHGAAKGVCKCIRAYDSSANTPTMSSYSTNDAYLFVGCGYTIVVAKA WGCMSQPNDQSFLLLENTSAVCPTACFSAYLSVPSTFACIVTTIGVSSVERTLKQ CC84DRAFT_156431 MATNITWHPSLSRSERNTFRKQRGFTIWFTGLSASGKSTIATAL EQHLLHLGLAAYRLDGDNVRFGLNKDLGFDEKSRNENIRRIAEVAKLFADSSTIAITS FISPYKADRQQARELHAAQPAHPDDEPLSFIEVFVDLPLEVAEARDPKGLYKKAREGK IPEFTGISAPYEAPENPEIKIQSDKTSVEDAVRQIVEYLETKGLLKLNAADGRGLEY CC84DRAFT_1159984 MAPSLSLRPRTSDRDRPPTRDHGDHSLIIPSRTSSLHSRITQPL PSTLNVRQGAKSPKTLTHAYMVCGVGREPSQWVKAPAPSQGKIGHMKGAVGTFWLPEI LGSSPRLEQDNEIARSLHAAMRACFPHDVEICTGRSQPHCVHHSFVLQQDSSHTLYGI ALRVWSRADEKRAETIRDLRKRIEPDFFDNPDETYWIPYCLSFLSRYPLYNLLGDYLR GMWIHWNKATNLFHAEEVSRILSFPAPRLNDLVRIDMKDYALCYQFPSSPTGFQNFAM WPLFTCLSIPNIVGVMEAAVSPTRRIIFTSHYPAMLTVAAETVRFCVRVYEWSGLYVP VVHARHIKDLVQEPGPYILGVTSECRSLFTAPTDALVVDLDRNFVLTSSPPTALTAGQ RSKMITRLTQALNGEIAPTGVPQHLRSAYGGGKLIPAGQIIVMRGEVESIQDPEWWNQ DAIMTVMDHVCEKLGRNTGMKAIFGGSVKKPLMTKVSMRHLNEIVRERNQYSRDAQEA WQDFINLKGRMDTELGKVTKRNNFLVEELESWKQQFLKFQAFAEQLTKETQDLKVKIE NHKRENRRLTGLIDQQKDDAARLSVRLSGTEKQRDDALEALVLQQEIAEELERERMRN KKELSALQHTNQAIHRQRDEAQRVVLHLRALIDGQTHHMEHIVKSLGAPDAGLAGFVE EGFEDVPEEGEEETEEPERTARNHSEVARAERNLDSRASTVMDSKHLDGEDVSPDMEQ RLLHSPVNRNPKRFSNSSMIDVADRHLRDKTDAIAYIIRNISEQCAAAVEGLQLAQQA DTEEDSRSDRRSSAVPSTQGSDYGEEDSMLRPGRHSSIPPTPDLTHRSSTSMSMASAS TTPDRHSLQHRLHDDVPDVPTRIIEQDDETLDDDMHHEMPISKYAAPLARQSAGHRQV A CC84DRAFT_1212225 MSDDALLSDLCSICNTAQFKYRCPGCSARTCSLPCYKRHQQWAQ CNGKRDPTKFVKKSQLATPAGIDHDYNFLTGIERGLEKAEKQLQGQGLGSRPDPRRHG QGRLASDEHFAEAGVTVIRAPKGLSRQKDNKSHRNKKQKHIVWTVEWILEDSNRVLTQ SSSADTVLQAQPFKAKKRKRAAEQPTSILISEPIRQDVSLPIKLNEAKPPVEAGHTQP EPAATGGPGPERVDAGAAGEDETTRDVSAKEEEGKGASDHHGSIRVDGADPEPVSPKY AFYLLRPRTSSNRVVLIRLEPQATLAECLRGRTVLEFPTIHVFPESTSPPPEKFVLEA EYLQQEDEEQKELDELLKQVGTETLHALKEEHGEDSMAGEHIDSDRILDVLKQDMGA CC84DRAFT_1254915 MRLLPLSKDLLHFELSTETLVRRCQQQLDYTGILNEWPTAEEKG VLDREDLELNAPLLIGAGSETTATTMSGATLALVETRLIMARLLFEFDVTLMPESGGW SDQRSYAVWEKPPLMAKLRPVERV CC84DRAFT_1109376 MQLFSLAAATPQPGRLRYYHLHDAACFSISCPIRTCIVRRSFLS MDPLSVAASIISVLQLSGKVLGYLNDVKDASEDRAKCAVEASNVYSLLLNLRFRLEEG SADAPWYTAVRALGVENGPLDQFKQALETLQIQMTGGGRIQQAGRALVWKFKKEEIAG ILVRIERLKALVGIALQMDHFKLSKAIKYDTNVLQTHVPAIHSRVDEIQQDQVNAKHS KMVGWISPTNYPAQQSDIIRRRQKGTGQWFLNAPEFTTWLGEPKGTLFCPGIPGAGKT MVAAIAIEHLLNSTQSSSVGVAYVYCNYKAQEGQDASSMLAAIVKQLVQGRPSMVEPV ARLYEQHVDQGTKPSIEEIFSALREVVPKYSTVYVVIDGLDECRDSDGTRSQLLARLK DLQVGQDVRIMGTARFIPEIEAEFQTAMKLEIQASDEDVRRYIAGQTHRLPRCIQRDP ALQITIQDKLVEAVDGMFLLARLHTDSLLDKRTVKEVKLTLDRLSKGSAALNDAYDDA IQRIDGQLDGDRERAKKVLLWITYARRPLTTTELCCALAVELDEAELDPENMPDIEDL LSVCAGLIVVDQESALVRLVHYTTQEYFERVRDRWNPNAPLQIASTCLTYLSFDLFKT GSCSSDKDFEKRLQESKFLDYAAKHWGEHVAKVESDTCKLACSFLFNNCLVSSATQVL LVPTYTYSGHSQEYPKASTGAHLAARFGLSCILEALLLPEGQEREADLTKKDSRGHTL LYLAAANGHCLTAGWLINNGANVNAQGGGYGNALQAASYGGHEAIVKLLLDKGAEYGN ALQAASYGGHTELLDTLITNGATLKVQDYYGRTLLWWAAAGGKIATVKSLIMNHNIDP RIADNLGRKPSWIAAKKGHGAVSKLLQEHDGETSTEPTVLSNENHHQSALECNVCTSQ IPTTVFHYHCNLCAGGDWDVCEDCRKCGATCVAPAHTLRKREMLDGVWSEITRDHDS CC84DRAFT_1192801 MRRISIDTFGMKGAKDSAAFYPKRRRSPWYLSRPRLLTTLLCFL VLYTWLNFGGHEIVIPRDSWDFVRDGSVTDVMNATLGFQKILVLNLPFRTDRRDAMTL SAASSNMQLEFVDGVTGDSIKQSAYPPPQENIKLLPGIRGSWRTHMNALQRVVEQNLT TALIFEDDVDWDVRIRQNLQRFALASRFLSGNKEVLSSSPRYNIENVENVETEETTFR ILSDNHTMPQLPSLRLASLYRKSHHPQHHSSSPYGDPSQWDVLWIGHCGAGFPRYSPL HKKTDVTTANVILTNPNDETVPAPRHLKAHPFQGSLDPLADAYPPHTRIYHRSTGGEL CTVAYAVSQRGARRLLHQFGVKGWNGIFDSELGRWCAGEDPDMGASYAPPSPSSKEEI KKDRRERICLASQPPIFAHHHPMDGESDIGGLGGGYATKFETKYLRYSVRMNLERIIM GRKEKDLVDQWPDKGAHAVR CC84DRAFT_1109382 MSAILSADDLNDFISPGVACIKPIETLPAAKPEDDAHAYEVTTE DKVAASQPPPPATLSLTDCLACSGCVTSAEAMLVSLQSHNEVLATLDTYRSLRAPWEA QNGTTSGTIDGLDGHHHEGKLFVASVSPQTRASLAAVFSVTEVEVGNMIAQLLSGPSG LQAGGHNGSDFTWVIDTNVVREACLVAAADEVAMALSSRASDAPSEPGSEGAIDTTPK KPILTSACPGWICYAEKTHPYVLPHLSRMKSPQALTGTLLKSVLSQRYNVPPSQIWHL AVMPCFDKKLEASRSELTSSVWLPGHDSSQDPVRDTDCVITARELLHLAAARNINFAS LPRTPLAPSQRTPFPDPKLDAFLFPSSHRKNQNPDAGPSGGYLHHILHTYQAQNPGSE IVVKQGRNTDVIDYTLVRGSDTIVKMARYYGFRNIQNLVRRLKPAKISKMPGARVGGS RRPNGAAVETVKDYAYVEVMACPGGCTNGGGQVKVSEVEEVRAYEGVQAVNGDVVSSR PGPKEQKEWQGRVDEAYFSSSEEEADLDGDQEMQDVDTVRANGLKDGDVVNGISKKYI QGILSHWSTITGVDTQNLVYTSYRKVESDVGKKVNDVERVAGLASSIGGGW CC84DRAFT_157517 MLDARKSHLEKWDNPASLVLVFLAHCIMFIRSFSTHHLASSHFS QHFLLSLTFEFPRAQHLSYIFNRDLSCRSGNYRGHVFVCSRAYGPSPHDHPISFSVSF EGQPFCKRYLARPRVCFLDHFLRLANTPQIWHIAFAHTITYHTTSYYSTWIERFERFT SWIIGDEGKRHAAKTIVASQHFSLLLPTRQTAHHSSLFPL CC84DRAFT_157516 MYPDTQTQPQGWASLEERYEVMKEIGDGSFGSVALARVRTAGAH IARRGTLVAIKTMKKTFDSFQACLELREVIFLRSLPPHPHLVPALDIFLDPYSRRLHI AMEFMDGNLYQLMKARDHKPMDAHSVKSILFQILSGLEHIHQREFFHRDIKPENILVS TSQQNDTSHPFRRYSALMTPPSTPPVYTIKIADFGLARETHSKLPYTTYVSTRWYRAP EVLLRAGSYSAPVDIWAVGAMAVEIATLKPLFPGGNEVDQVWRVCEIMGSPGSWVNKH GQRVGGGEWKDGVRLAQKLGFSFPKMAPHSLDTILQAPQWPASLAQFVTACLMWDPRG RPTSTQALGHEYFQDAFDPLRLKSSSSRLLGRKQSDISAKDSPDASPSLTSKTSSWLR RSLVARESAPAVPQHTSAQTGSPRPSPANPSPAETPAKQRPNPTKRATWTNGVPVNGA PIPILPSIRPVSPLSAEVTAQASVRAAEGDEKAAKKIGRQLSVASHGNHYADIHRQEA ERALNGQSGLVSPLNGQKEGFFSHLRKRARRLSGRYQTPMSPNSDDIEANAGCAPWAS GSNRQSGMMIDPPLAPTPNSDLSDLDKALQNVRRSLEAAPQPTNVTKGARVAANPMLK RHHSLNHGPDNRTAQPGAPQPGAPISSRTRRALQTKSNPSNNYETPNEEEELLSEVLA STHKAVKKLDRHSLAAQEQQRKAQRPAYVDGNPAASYLTPSPSANRNGVGFGQSPYMT PTKPLEISKTRGPKDQGPSAKYATPPDDPNDWASSVAASLLATQSMYR CC84DRAFT_1227309 MVDAPACPTRFCCVGPSAPFPTPVAYRCVAPLLGPLPSLFWPPS LSDMQPYSRGASAPVCHWPTVPTRFRGRGFALEASLRSAAPGATGKQTVVRSDRLPSM RSSHRRSSGGSPASVTIARPRPVHASVTTSTLPAVDSLLDRLNQARHDSADCASSGQL QPPQAAPTSSVASVSATSLQRSALDSSRRPGSRKHERGVWRCDDVFPMPPACLVSYQR RSATPQRPFSRRQCESACHCVLCLTSNQPGPEPAPLCDSTPMSSRNILPSAQSPGAIK IYYLPTLMLGKLASALARFRNIPPLRDCATCKYHVFYVTAVSPDHTGLAERIIKFPHD SGSALAEAIR CC84DRAFT_157531 MASPNMVAHAHVRSGFAASSPTAGAICRSGISGGFMWKRKVWWT LCLSGTVGARSHGTNRPWYVSGWFGQRMHAQTRLLARRGGCSQRMHVGCTGISQRLYS QYTMTARKATWELDPRLTGLARWHR CC84DRAFT_157573 MSILSKLKFQPCLIVLIPFQPIVVRASWTMLTLQRIGTILSSAT TTLAFLMKHLRCNGRTDCALRPALVYNRTYKPVGVREWGCLLITHLLIAITSHTFSTS YVGMKLTVASGMPNSLFRVVLHLFMMILRYSHCVSGFCRFDLTKKNLI CC84DRAFT_1159994 MAPSGLAILIGAGPNTGTGIARILSHPSHGNLAVALLARRPESL NEVVSTVHKTSPNAVLETFATDTSKASLENTFKEIQKHQSSKNLKLNLAIYSIKHSSK KPFLEETREEFEDSLETYVGGAFTFAQESLKRFFADHGEKDLAEGGEKKGTLIFTGTL GALRCSAQFAAYGASRASVRQLAQTLAREMSEKGVHVVHTIANGGIADADGEDQKTGK KMSSNAVGETYLWLHKQKPCLWTHELG CC84DRAFT_157654 MVLRVSCSSLNFSSHRRTDKNSPPICHSSTLQASQIDHLLLYSP PNDFISTLPELYRHSNIASKQRFVSSRTRSLRKSLRKIMSRFAQNSLPMCSPTASAAQ AYVAEEYVYMDPAELPGDREPCELPADAISLGSDQQMCRHEGAEPYATPVEAVAWQRH LPLDQATGDLTNVGRMRNTSHISSHPLAPIDTFVDMARTSPVHMNAHYVSPNMDHHDY RATDIASTTLLRPPTTLSPSFETYPSISISPPSSIISGTTNYCIAKPATHGMQTANGP LQHNSGLQNSQYSMDSHDQYSYNHFMFHDNVMIADHAPLATAATRLHHRFSHNPEVSE QSPWLSNAQRNLSAYHGVQYGISDPNSEISPFAQRRLFASEQYIDGLSSHVPFDSYYD GPQSQRTTYNKSFYLDEALPAYEASSVPLRVEEQTARQSQATPRPYYRQSKVKRTAVT AARAIVNILPLSCIHCGELFTGKYQKANCLRHINLFHVDVDLSAAGIDDGKTCRTCLQ HFRRPDARRKHEWKQHKTEDCRPHKRRIEKRGGEKRIYMPPMPDDGGNS CC84DRAFT_1201648 MLFLFEFAGLTIQSVRPELDLAAESLADLDRFTCSRRKGGAIVS NLGEQASIVLTPSSRGGSVKIDVDTRSPTLPDTPKSTSDTPKSTTKPTSLRRWSRTKK RASNRFELPGSSTINELYPRPQRDPIPYRYPPCGTEEAQELSQDSVSTWSCDTTWTAI REVVPRRTRKPQRKLLSMRYIIFPNHPTHGPQLAEGDMAYTSNQSEGEYAASIDQRFG NGNGWWPPILTTQQSDTGFTATVTPQYSPNTTSCDNLVFQNVGFPSPNPCNVRSFHPD FPRRHTLSGPEPIGYGYGIHHEIYAPESVPAFTLANYDVFNHQFSPPNFESGLMSPSV TTPSSRPSITSLKSHSSTASHSQVNQKFFNTQCSPIPGPSFLGGPYPYSPTFSDQSPT QSQVTQPPKISQPEELYERESRPSPLPEETTFCLVPGCGSRFTGEYQKGNLKRHHKSN HPELLVQNGESPGDPKKLKCSVCSHIFKRSDARKKHEYRTHHLGPKPPRKIRYTAP CC84DRAFT_1109392 MPPKGKDQPKAAKVAIDKSFGMKNKKGGAAQKQIKYLQQAAAGA KSPAEKKKEAEKLQREKEKAAAEQAKKEVADLFKPVQVQKVPFGVDPKTVLCQFFKKG HCDKGRKCKFSHDLAVERKTEKRSLYTDNRELEKEEKEKDKMDDWDEEKLRNVVMSKH GNPKTTTDKVCKYFIEAVENQKYGWFWVCPNGGDKCMYKHSLPPGFVLKTKEQRAAEK ALMENSPLATLTLEDFLESERHKLTGTLTPVTEESFAKWKKERMDKKVAEEQAKKMKE ATGRAMFEKGDWQRGEDDSSDEEDDDGTWNLEALRRETEAARVRKEEERMAAAAGIEA S CC84DRAFT_157786 MITDNQLYTLAIFLGSASMLLIVLYHFLEVNSEDHVAEEKPRTA AGKAKA CC84DRAFT_1135659 MASNNYDPYNYSYQQIPAQQYSGNQTAPVTNNVPQSSRQYKSTP ATSQASDYMSYQAQSYNDQGCGYGGAQDNNWGGSSYGGNRETTSRAAEVLRNMSNTAY TPSNATPASQAAFTGTIAPTYRYSSNFPQGHSHQLSRTHAVSSTIGQSQARPRSVNAN RGCTTTPRGLSSPALAAGYPSQRASTAFNQQPQRSASPAQHPATSARGPTISTASQYN NCSNRQFPSINATRSGTAAASSYNYGDTHAPTSTFQSPANNAADSYNQGAITVDPMAV YDPWPEYQRKQEAVRAQKAVEDAARGEAERIAEEARKDERRKKEEEERRQQEEEERSR QAKQSKPEQKSHKSQQPAEAGSGTSAGGAEEGPTSADMEDEIRALMAKMREFNSKDPV MLARIWEEERRAKAPKSPLAPARTVPPATPVPHPAQTSAPQVANQRKKVSAARESSAA AVATPAIPIAMQHAARLPVPAATASTRAGGHTIWPSEKKTTLASAAAAYLNGQNPQMP VYADQILDVLDGNPSYVALCEHLENMGIKLDRAAFARSLLAAVPDINSKSQGKTAHVP NSGAVAPCVPVAPTAVTEQDIGSPATPITHYPSAAPSPAYPAFPDSHTPTAPKSAPVA EMVPTNPELKRPANKEEAARKRDFSDLIDLTQADEDEIEPLPKRTHVAAMSSFTPFGH TPPDYMYLDEGFHLRPSAHNSLQPAIHQPVPPASHALDLRHAAIVEPLDRKKALRRNT YNIKTIARDVLLACGRHPEQRQLNQHLEVLRATLPQIQQDADLSTLRWDLIDPGKPPR GYFRDGTQRFAEDADDEDDSHAEGDHKRRGLFLQSSESTNHQKVQAPPLAEAVNPFKQ KRRIGRPPRNSFGPDQTTKPSTPARPAPKMSSASAPRAGSAGVGYSAFRSATQYDANG NPMPKKRGRPVGWRKNIHGSAQAQAHTSANGSSCPRQPQFKPAEPSGLRNSTLRDNGT EPIRIDSRSSSAPNKTNRYQSFKCKWQGCKAELHNLDTLKKHVSKVHRNSINTSGFIE CHWADCASEVTNQDAMTGMRFEQHKTKSFTTIANWMQHLEQLHFSPLSWELGDGPAGG VSDAADSEAYLSDAHGLRVTPKLTPRPEYLESSRINTPDRCGAPEPSAPRGRGRPPKH DVQQEVMAVQKRLVAQKKRIGGPGMDRGGATLVNEKRRKGFVENDDDEEELVDAEDEM RRE CC84DRAFT_1078330 MTKVQANVASSRRKSRKAHFSAPSSVRRIIMSAPLSKELREKHN VKSIPIRKDDEIMIVRGTNKGREGKVTSVYRLKYALHINGVVREKSNGQSVPIPIAAS KVVVTKLKLDKDRENILERISQGREAQKKKSEA CC84DRAFT_157930 MTAVQDFFTVCLSLLFPWQFLGIAISYLPGAIKHLVQTEPLQNI SFSRIQDVWFNAFWARAGANIRVGNGPRITALLEGRISQAQVVDKPVVSPVSGVILDI GPGPGFWVDLYAKSNTGDLKVYGVEPNTTAHPGLRMRAHQAGLDGVYNIIPAGIQDIS NVEVTEIDGSISKIEKGSVDCIVTLLCLCGIPEPEENIAELYQYLKKGGRWYIFEHVK TNKNYFMRFYQAFVNLFWPHIIGGCELCRDTKKSLLQAGAWERVDLVQPPEETWYATV PHVFGTLTK CC84DRAFT_157916 MSSKLIAIVAGVGAGTGAAVARKFAATYPVVLLARNPDSYQSLA EEINASGGKAIGISTDVSDSKSVQLAVDAIKKEFGSDVAAAAAIFNASGGFMRRPFLE VPEDAFTQSLTVSAKGGFLFSQATLPLLLEGVKQKSQYPPSLIFTGATASVKSSAQMS SFSTGKWALRALSQSLAKEFGPEGVHVSHAIIDGVIDIPRTKGWLKDAGPDAKLSADG IANDYWYLHTQPRTNFTWELDLRPAVEKW CC84DRAFT_1227319 MCLLLIVCLLVFAKSSTAAQPRDLHGVNGKFDTRSLHREAPDSD PSANPGYTLNPEWSHDSQNHAPNSLDPISPFNDNGRSQMVDNFLPAPWMMNCPALALD CSRCPRDLRCSRTLLTTKTPPHNGQITPQPAGLGGYNTITGQQDSDTPTVAGQQPPPC PLQKCSNAGAPPCGPGAICRKDNCACLHGRKGHPFGPKGWMALRGWTWPEALNIFVNP GVPCETPCDTLFCGEVRGRGCDGPQSLQPGSLDSIVSEAIVAQENSTSVVPSFQSLGI ETLISTLKSKLATSAPTPLGQIVSTSKVVPGRSYVSHVSAAIPQSTGERPMGAIPTRS ATNDDFSLPMAPGGMGAIQKPGAPPLRF CC84DRAFT_1066219 TLQPSPPTETGQVGFQAEFGFQQDLELDLPVDVLRKFSNNRPHN YDPAGPKTNVYATFMGTNNPSIKDPYYMAIHSLIYRLLWSPKSRSEKYAFVVYVAKYV TPEQRELLAGAGAIVRELEMLEWDPQDEGIQKRWKNLFAKLNMWKETEFSRIFSLDAD AFPVANIDGIFDTATEQTCIEAKLTPEDYLPDGTSACEPYVFAGIPQDPFNPVAKSIN GGALVFSPSTRMHQRFLQNYLKYDRYDVKLVEQAFLNWQFDINGAFPAQLLDREWNAA FPNEEDEGKVKVVHEKIWSEDREWLKKEWVNEWIAMTQFYMSEAFSNARFLDGLTDS CC84DRAFT_157955 MPAKYEGLLDQERMQEVNLHSATWKSVRPGRWSLDVVRPQFLTM RPGSPKKRLRPTAWLDGLRGFAALVVYLHHNQLWAHDFHGNIIFENAFGFEGRHYFAA LPFVRHFFSGGHFAVSIFFVISGYVLSVKSLSLIHKGQHQTLTEGVGSALFRRWIRLY IPVIAVSLSSLVLRYYPGISAAFGEKKETFGEDLWQYYTTFKNYSFVFLTGNLYEFPL LYHPHSWSIPIEFKGSIIVYTALLALSKCTRNARLWLQTGLIFYFLYIVDGWYGALFT AGMMLCDLELLAVNNQLPRLFTKLEDFKELIFFHLFITAMYLGGVPSCDGDDYAGILK KSPGWKWLSHLKPQAVFDGKWFYLFWAALFTVASIPRLPWLKYFFETRFCQYLARVSF ALYLVHGPIIFFLADRIYAAVGLTRDDHKLRIPNWMDKFPISKSGPMGFELAFWAAQL VLFPITLWAAEVVTKLFDEPSVKFANWLYNKSLAPPSSPKSAA CC84DRAFT_1077568 MPVHSPIASHFRSRLTTQVRRVLPAYLALLFIVLFFANAHFFTA PIRAAHKYRRELKYQQPLQVSTTTIPKKIWQTWKQGPLTFEQRDSDTAKTWPAKNPNY RYEVLTDDNALEYVEEHYGVNGFNRPDIIDLYRELNITIIRADLLRYLIMYAEGGVYA DIDVECLRPVQRFIPERYTELEVDMIIGVEIDEPAFADHPVLGSKCKSFCQWTFAAKP RLPVMMRLIENIQEWIHDLSKKKGVSVGQLELDFNEVISGTGPSAFTDAVLAQMTAMN GGKEVTWDPFHNLAESRLEHGILVLNVEAFAAGQGHSDSGNHDSRGALVKHHYHASGW PSLHPRRNHPMYGEVEKCNWKPECVAEWDKNVADWDKLSKEEQDKLLASKPPQK CC84DRAFT_1192812 MPPRPQIVDALIIGGGPAGLAASLAYARTRTTAIVFDSQSYRNE GITHMHTVPSRDHINPYEFRSIAREQITSRYPSVWFENVTITQAAKKQLGKENYDGFE VTDGEGQSYQGKKLILATGSRDVYPDIEGFKENWPQHIYQCLACDGFEQRGMPIGILD CSPKTAHFTNMALNFDARVTVLTNGAVPEVAAIKQQLRICEAWGAKVDSRKIKRLVNN GPTHEEGVTVEFEEGEPLTLGFIAIKTATVNRSQDLIDQLGVECVEPAMGGHIKIVNP MFNSTSVRGVFAGGDTCAPMKQVTISMADGLKAAAGAGIEIATEKEMATQKLLEEDFG GVSGGYEAL CC84DRAFT_1109415 MSDLSNAPSEDDDFPGSAPAAEEEAAATPADVEDDDLDGDLFGD DDDADQPEQRKLDDEDLDSGDDEGRNDRAERDTPDVETQNQNFTFEDAEIARHPVPEP SDGELYLLKIPTFMSIDPTAFSHKTFQPPTTDHHSNKPASDHFSAFNTAMTTIRWRRS PSDPSKLQSNARILRWSDGSLTLQHANDPVNHYEISAQMLAPPQANPVVPTPTMLKGR RRDEHNKESYTYLVAPCEGPNVLRVTNKLTTFLSVAPTSNTKDQALEKLQNDLAAAAS RGRDDANQAISFIDVNEDPELRRQREEVQYKEKLKQQKAREKHAIREQERSNRVLGKP RGGYGLDADMLEGGEGRRKGGAKKPRTQARRGHQDWSDDEDDAYARRGGNKEDEYDES DDFIADSDEEPEVVDDDDDDDDGIIEEPRSRKSGSPKRGRGNDDDEDEDVVARSKKRR VVVDDDEDDE CC84DRAFT_158026 MASFFSSVRQGLAGRSNKSSNQQGKGGNGTPSPTTSGPYSPSAA PGQTPSFPPMPHSPALSSAMSFESQATQEGAPSGSRRPPFFFREEYSSLIVRGNFMTL AAKPNLVEEGEWLAHQVVEQYRLLEQMINIIKVPDERTGKPCCNPEVCPTMSASGHTY TWLDNNKKPIKIPAIQYINLVQKWISGKITDPSIFPTDTARFSDSASSYASGSLTPSS TPQPLGPTSINAPLSQLSGREWLGKSSGFPETFEGDIKSIYRQMMRCYAHIYHGHWLD PFWHAGAYKELNTCFVHFINVGKLFNLITEKEIEPMQPLVDLWLSKGLLPPPPQAAQA LKEGAPPSGAPQGVAV CC84DRAFT_1192816 MGLTFSKLFDKLWGKKEMRILMVGLDAAGKTTILYKLKLGEIVT TIPTIGFNVETVEYKNIQFTVWDVGGQDKIRPLWRHYFQNTQGIIFVVDSNDRDRVVE AREELQRMLNEDELRDAMLLVFANKQDLPNAMNAAEITDKLGLHSLRQRAWYIQSTCA TSGDGLYEGLEWLSNSLRKAGHN CC84DRAFT_1171416 MQYRSISVMAAAAFFVNVSGLPIAQPEVQGTVSTGPPTFELGPP STVITWGKDRRDANAPTPTDSFWLGKPTTIVTWGKEKRDTTPPTFGLGPPDSIIGWSK EKRDSTKPDDTIILTPPSTVVTWGKEKRDSTKPDDTIILTPPSTVVTWGKEKRDSTKP DDTIGLGPPSTVVTWGKEKRDTTPPTFGLGPPDSTIGWGKQ CC84DRAFT_1160007 MTALWSRAFRTPGTCRCISCAATASAVARRPGATGLRGAWAFGS PTSTFVYTTVFAAGLAIDAKAKRNRSGQWDSAFETIQEELRALQRPGHRRTAGVASER DTLRSVEATPSDLEWDNAFKAAGMDIIDDAVLDKRQEQLHAALLPEVLWDLLRPDAHL NGPSLLEWPASTGPGPGSRYNLPPQSLWALESVRLKALRNRATRKKLALQELSVCSLV HNLLGQSEAHLLSDGDLASLSPHIGTVALLTDDERLTIRREIMANMGALETTKKEDWP EDTMSMRTGAKIVAEPSYFQDSDGDFHHIANQLNVAIKKLFEEYYDKRKEPQEIALAT AKICHNLLISTAAPNLQTFNILLTGFRKWEQPRLVDNVIRELDGCKIRPDEITCATIL NHYAEQRRPEQFSRFVAKMRGAANALMLARPDVTVNEAGNGRLIRISETKVLQKVYPT PMVFDALMHGVLTFAGFERAMDIYFEMKSDGWGLSMVGLSRFLDDCLHRADWQGGLIV WEEIASIKGRIQPELLAKAYAQFLSLCSVSQKPAAFNTVLNDVVKQGYNRKSILTWYK EIRNAIRPQKGYLAPAFTADNLLIAVSGYMKTNEATDAETVPFFEEINADLVETHLHP QQQGTAEHVDPWTAWVEHELGEPIQTKQPYEATEPATSLALKENSEDAPRTMQPQAQG TANEVDPWAVWMEHELRGTVSGEAPPPDDSSVIAKRGKDS CC84DRAFT_1109430 MLSKLGTKIALQRAGLGNISLPSLPKNEGKGGEGGQGFEFSNPF ANVQWGKAFSSWQAPAEGYPVADPPTINIRAPSNAKLRFPPIDGRPCVILFLRFCGCP FTQKLFLRMRSLANRHTGVHFIAISHCTPEATAAWTKKIGGSWNVDMVIDQQRELYAM WGLGVSNWGHVLNPRNGINQIKLSKQEGAVWQPVGEGGCRWQVGGAYAVDERGTVRWG APMQSVDEDIMFEDGIRALGFSAAAPGVF CC84DRAFT_1135693 MFSADLSWTDSSTEKVGERRERKAKERSLHSTTPSVTSSRSSIT SSLADERQLWWTSSLRKAKNFKPNKKARPSTSRSIVTDASRPTSTVLRSIEPESIREL KDPSLQPGWTYSSTLSSNLPSGAPLDPPAPEFEVPELEGDLSSRGANSTGSRSSHERR WSLKPYPKLHIADEIDEIQPRTPRSFITRTTRRSSVAAQFDDIATITPTTSYENKKQN GSVNIDASMGLERLKLQDQPEEDVAPVPPIETHRSHANGFASSKLAPWVPPNDWHVLQ PESMQDFERPLKQRKELPPTPVLNGITMAQNSLLELTRFQRFIRRMESAGPKVILDRL KEEWDDQNDEETNEELHLEKRLWVLTAFQLQNTGRLQSNPQPKCNTGKILEMYGDLAE VYQLSAMHPRHKVQYLTTEPQRPMPLPGNVSYTTVSQPGLIPCPWPDATFSRIRASTL PSLVPSSKLPQLLRECHRLLAPGGVLEIRIMDAAPLRTTAGPLLRAWIEDRLSINLEK TFRCSKPCMLFPGWIADAGFELPTEEGVNEVMQLPCAFDGSCVDAELRMLVGRALWKD TWGPYVDVDETSNEARSWWEDDEIVDECVKHGTVFECGAIFAYKR CC84DRAFT_1192821 MLSIMNAIKRKIDTVDRRVAASTFGRIFHLEGSGHDFEIKNTKF TTELRAGLTTFFTMAYIISVNATVLSDTGGNCVCNDPNDPLCTTDRAYALCVEDLHRS LITATAAIAGFSCVLFGFLTNMPVALGPGMGINAYFAYQIVGFHGSGFVPYSLALTAV FVEGWLFMFLSLIGMRQWLVKVIPVSLKIASACGIGLFLAEIGLGHSAGIGAIQGAKN TPLEIAGCPDVYKDENGFCTSHQMTSPTMWIGIMCGGVVTAYLMTYKVKSAMIAGIAL VSIISWPRGTSFTYFPHTKQGDDRWNFFKQVVDFHPITTLNVLRWDISTAPSHFALAL FTFLYVDIIDCTATLYSMARFSGVVDPDTGDFPRSTLAYCTDALCISIGSLLGVSPVT AFIESGAGIAEGGKTGLTAMTCGLCFFISMFFAPIFASIPPWATGCTLILVGCLMMRQ IVMINWRYIGDAVPAFVTVMFIPFGYSAAYGLIAGLLVYTVLNGLVYITKIISRGHIV PDDEDHREYWTIKPHGRLPWFITASQAIVSHFGHGSTHDLKQDSTSLKSGTSQERFRS LSRDMNRELDTVVVLPRDPRDEKIFRKT CC84DRAFT_1160010 MLDVTRAEITACAFPQTSFEMSCTPKPSPTWHNKLSHIRHRLNR HRPEVVYVGHLYRKVRWCEGSNFTIFYAHNRRVRPCPKVYYIRWRLRGKFFWKVNQPP CNSTKIGQVGTKYPACNRVQKRTSWQTCEMSLDLEQSSGAQSFAICRWIILLPVAMPS AVPWYLLPGSATRLDLEFGSEAVSHWNGSGLFPRQKTSA CC84DRAFT_1135696 MRDPLPAPALFVELTKPLANKFSLNTLPYHAHEILLGFLWYHFI LYYLSPTLSRILCPQRYNGFNKRTRLNWDIHWVSMIQALFVNAAALYVIFSDPQRKEM DWRGRLWGYTPASGMVQGFAAGYFLWDLQISSQYLSLSGISALVHAIGALAVTCIGFR PFGNYYGLSFVLYELSTPFLNIHWFCDKLNLTGSKIQFYNGIALLATFFGCRIVWGTY QSVLIYSDIYTALTTSSDPLVSMLDTGKCESNASSISLGYISGCGAGDLPMWLVSIYL VGNTALSLLNFYWFSQMVKAVRKRFVAQPGSKANGQTKKGQ CC84DRAFT_158125 MSGRQDIEDSVAAVPANSGSPEAQNTGGATVDHGTGQKRKEAPT TAGASTKKQQKAIENRAIYASNIPRDATFEEIEETFKKAGIIEKGVDGKPRIKMYTDE DGKFNGDVLIVYFKKESIELAIMRYDGWPFRLDAQKSEGVIKVEEADMSFKKNTDGEV VKSKLVRKDRKAAERTRAELNRKLAEWSEDEEEEVQKAFAPKKNKWSKYVIIKKAFTL AQINDKEDEGAVLEIKEDMREAAEKFGEVTKVVLYDKEAEGILTVRFKEFEGAEAFVL AFDGKGYNHQKLQLSIADDRPRFQKSGKEDTSDVEDNVRRMEAYMDGDKTDEDDE CC84DRAFT_1254941 MTLAIYVAAAAVVTFFLYRLLYGTDQPHIKGLPEVKGLPLFGSL YELGEDHAKVAQGWVKKYGPVFQVRMGNRRIVFANTFDSVRHFWITHQSALISRPTLH TFHTVVSSSQGFTIGTSPWDESCKNRRKAAATALNRPAVQSYMPHIDLESNVSIKELL ADSKGGEIDLDPRAYWQRYALNTSLTLNYGYRIDGDKDDKLLHEITDVERGVSNFRST SNNWQDYIPLLRLFPKQTRDAITFRERRDVYLDYLLKGLKERIANGTDKPCITGNILK DPEAKLNEAEIKSICLTMVSAGLDTVPGNLIMGIAYLASPAGQSIQARAYAEIQKVYP NNDAWTRCLDEEKVPYITALYKEILRFWTVIPICLPRVSIKDIPWNGVIIPAGTTFYM NAYAADYDETHFKDPEKFDPERYLDVAEGAGTPHYGYGAGSRMCVGSHLGNRELYTAF VRLISAFEFKPPKDPRDAPILDCLKANAIPTSLTMEPKYFKVGFKPRNRALLEKWIKE SEERTKELM CC84DRAFT_1109444 MPALRSWFTIPRGSHFSLANIPFGIVSTATSNSPRVAVAIGDHA LDLEAFAANNGFSALSAIQPHQAVFSEPSLNSFAALGRPMHAVVRKYIQSVFAEDTPY PDVLKNNAALQKQALFPLKDVQKHLPFKIGDYTDFFVGMNHAYNCGVMFRGAANALNP NYKYLPVGYHGRASSIVPSGTPIRRPHGQFLPNPAADEKVPVYAHIKKLDYELELGAF VCKPNKMGEPVPIGQAEDNLFGLVMLNDWSARDVQSWEYVPLGPFNAKNFGSSISTWV VLMDALEPFKVKGIENDKEVLPYLKEKDDKTVYDIQLKVDLKTNSGSTTTVANSNGRH LLWSFPQCLAHHTSTGCNLQTGDLLGSGTISGTAAGEYGCLLEGTEGGKKPFKVGDEE RTFLQDGDEVTFTGVCGTDEEALVGFGQVTGRIEPALNLGY CC84DRAFT_1109448 MPSTQFAHKEKYRYQNGFNSYHESEAVEGALPIGANSPQKPPYG LYAEKLSGTAFTAPRHENQQTWLYRVLPSCGHSNFEPRESSTYNTNPENKPYEKIHHI PNQLRWDPFDLDETVDWVHSLHLVAGAGDPTMKQGLGMYIYAAGKSMDAKEAFYSADG DFLIVPQHGVLDIRTELGRLLVRPNEICVIPRGIKYRVDLPEGPVRGYILELYQGHFQ LPELGPIGSNCLANARDFQAPIADFDEDTETEWHVLCKFAGHLFSARQGHTPFDVVAW HGLYYPYKYDLGRFNTMGSISFDHPDPSIYTVLTAQSDHPGTAVADFVIFPPRWLVAE DTFRPPWYHRNTMSEFMGLIQGQYDAKTGGGFQPAGASLHNVMAGHGPDASTHEKASN APLQPHKVGEGSMAFMFESCLMVGVTDWGLKKCKKVQEGYNAESWEPLKPHFKRPTPA KIDNGVNGKDGVIGDKAQVPHWTS CC84DRAFT_1254944 MCSHNSRLPPTRVTIALNTNQTQRAPLLLPSNACPDPNSPTSIC KLVFKAAQSKLRIKKPSRIFIAGSGAELVGEEDWKRAIRNDVSLLISAGEDYVGVNRE AGVHPEANPTCPIHVLASTAALDKLSLDQLQTTAHTLPGLVHAVGQPDLHPGFKFPIG AVFVSQGWIHPPLIGGDIGCGMAWFRTRLSREQVEGDKGSKVAEKLRGLEGAWRTAEE RERWLEDGEGNCGAGEEWDGSLGTIGAGNHFAEIQVVEEAEVGCGLVEGEVVLLVHSG SRGYGSSVLKKYTSEGQVSLKEDSAEAREYLEEHDRACRWAKANRDLIALRFLSCLEP GQPAWSLGKNDTDVVNVHDAIPRAKTELCARRVVDIWHNNVERVRWPPATAKIGDTYE QAAASASSGTDAYIHRKGAAPTLSPGTSLPLIMLPLPGSRDTPTLILAPQFPSSTSHG LKNAFSLAHGAGRSMSRAKALSTLSHKYKVSNLLKPRGGKGTWLVCESKDLVFEEAPE AYKDVEAVGADLVEFGAARRVGWCRARINYKCRDERGGGS CC84DRAFT_158280 MGTAGSRYCTASRRYSKSWALILRLLTLSRLIRVPFRAELPKLV FPDDLCGSQLASCIEAACACRLPVAQRRLCRTRLSTPSNCHL CC84DRAFT_158157 MRTQSVFTLLAVAAAVVAEDAPVVEHNPIGAQYEATLKPKGKYE VSGAVKIASGAAGKGVTIEIALAGLPAQGGPFLYHIHEKPVPEDGNCTGTGAHLDPYK RGETPPCDASDKASCQTGDLSGKYGKIESTSFSATYNDEFLATLTDDVSYFGDKSIVV HLANKTRIACANFKQLSPGDVTTTASSVGTSYGTALPSGSASHGHNATTSATPTGSTG APIQPTASPTASTTAAPPNTGAAGKVAVSGAALLAFAAAMML CC84DRAFT_1160018 MVKPHSYSIVRLPKSHDYPQTWQVLIAKQKTLRLQSLLTSPEAF GSTYERESTFTDAEWEARLKNPIAHTLIAVDSNAPHAVHKPNDDDQDAGTDDDDLYGA WVGSVVLIGPTEGDGMLIATYDINALFILPEARGTGLGAELVESAVSEAQKLARGAMR VVVRALVVRGNERVLKLYERAGFVNRGVEFVGGQLEEAWVLVRDMGGNAG CC84DRAFT_1160019 MSAVENAIDAAIQFIGPFLGKGTVPQQEASEFPHLLQESLAHLQ AINTAEQVAAPDEPYDGSLVGVVYGLLDLITSIGILPYLSKGIIFSQRPKSVLVVSIP VQPSPERDPLSHAIDVLIPIIALDGAGVQPLLVQRIFPDVISALAELSFSPQSSETLH SRYTPAYEKLIATTPVSRLLPILTSLLQQDVPPWWKARLTKELTLIPLRPYGVRHTVE FLALSYLSKNSQVPQDASGPQSKLPLPLESITQAARLLSSVPVEMTQDEWFTQLAPQL LNLLDGNEGQELSRAAGQIIAGGILNKKSIGAPKTIGWELFARPLLETIYPTTSQTSD PKKNALGQIIVDEKNLRRALSRLATLTSSYSHAGVLKRLVGPIHLPLWGLISYASSHP SLDKGWSMLPRTILLRYLTLACEVPRVGNISRNLFWDGEPSWTFAPGSQGGVEIRTRT PVNDNLGNMGDLLSRMASVDQYVSVLVSLLVEANVEDSTAGAIFIQSTKRWLSPDSRS ATSLTSEPDVDPLSALVDAKFSEALATQFKEKFARSPQHIIELMGQLLHNFVQEHKVK IKDLKSRNKPTRANLGKIAQASSATPAESSDGGSEDLVSFAISIISTIISSPGFQQTP EIAEVIGSMLPSLQHLSQSSHELPIPPLIRNAAANILQTIQPNSASTPTADPLAQHRV TLKTALTDIVSPEPPNRVWALSAIRKLVQDPLAFPILDIPSLTHTILSASVADGESYV HTAAIPAVVELTVRAPNPTVRILVDAFVDIDERSLRLKKEKEIEEALDFRLRVGEILN NFVAEDAFWLSDTAVSTKYTSLKLIIEATLSLASRRGQRKKTLAKRNELLEIERKDQE EGEAAWGGPIPNLLDPEAHNAAEQAERDALFKIVQGWEDTGIEEDVRVRASALSVLGS VLEKRLELLSQVTVDAALQMVLQIVTIETAEAKGILRRAAVLVVMGLLRGMDGLLEEG KESVAGLGARQMEEVERVMRWARDDDVDGLVRDHAGNVVEGLETWRMKKLYKIRDEGL RLGPDLGLEGHLQGLNVQPLSEQRGTRRKGLIVEEIE CC84DRAFT_1212262 MSAPVAPFNPRPMLQSLVDKDILVRLKWGETEYKGRLVSVDLYM NIQLNNTEEFINGQSSGQLGQVLIRCNNVLWIGAADQVEPKASKDTAMSG CC84DRAFT_1160020 MVLALALSAELNGVTDLRPIDTEDSPFHYTFKVQCTSCRETHPN FVTMTRFEMNEMSGSKAEANFVWKCKNCKREHNANIKAAPAAYEQSDPPKTVNILEFD CRGLEFTEFKAEGEFEAKGIESGTKFASIDLDEGEWFDYDEKAGEEVSITNIKWQIRR A CC84DRAFT_158400 MNEMSSVGASNGSQSPAQYSSVRRPPRSKNGCLTCRRRKVRCSE QRPRCSHCERLNLECKWRPVHRPGSMQQEVVVSTPETIVSNGAPALDPFGNFSTDAVD QVFDYASFMWDTSDLWQSHNMGSGAYAGNNMNATYQQMDTSMLEGMQPLPLLPEPSPA SNSNQDSGVLEPPVSVRTVEPSILMPEQTSTSHQTAEDRLLLDYFTRTHVPPILSQVE TQQKWSTMRQILMGLCNTSAMVRYAVMAFSELLLRRQERSWLQSTQNHYAKAMSELSK FEDISTATSPGHGRESLLATLFFLSYVDILEGRVEAAHKCLKEAHTIFKQRDKAGFRA LEIRLLLWIRLVDARAVSAGGDGLFLSDQEEDLLVKPSPSSFQGDADRDDDTQDGDIE DVLFQLLYHPGIIFFQKVQSFMGRISKIDPWHRPRGTVEDETEVMNIAARITKDLREL YENRPPLMDYAISGKLTTPHVSENLATTITKSFRSYLANFHASRIHLHRVAYKSLPLT SEASEALRSIRDLAKLMEEGLSEHEALPVNMLWPLLMLGSEEHSQSERAWIKEQIMRM EKVATNARITAQVLEEVQTRQDASKTRVDIRSVMHSIFDSCFAIV CC84DRAFT_1077196 MGSIETSTSPGGRSFPPGVHVPCLTWFKNDPNQEIDWDLQKKHL EFLVASGLHGIVIAGTNGEAITLTTYEKTRLVKLARSVATELGRPDLPITIGCGGGCT RDVVAEARLAAEADADFALVLVPSYFHLAMNEDAIVSFFQELADASPIPVLIYNFPGV VAGLDVNSEMLATLGQHPNIVGVKLTCGGIAKVARVRAQFEPEEFCALAGQSDWLVPA MAVGSTGTITGVGNLYPKVCINIYNLYKSDKIREAEAAQLKLAQMEWGFGKGGINGTK WVVAKIRGYPLESCHCRRPYPQFTDAKKQAWITGVVEPLNKVETALTKS CC84DRAFT_1201676 MGGFRAVEDRPTPKEVYNWRLYTEAAIIATGSMLFGYDSAFVGT TLARSSFKKSFGITKENSNSINSNITSAFQAGAFWGAVFCFFLTERLGRKWALQINVL TFVIGAILMTVATNQLSYIYTGRVLTGIACGAITATVPSYIAELSISSIRGILTGLFE VAYQVGSVVGFWINYGISQNISSDSATSWRIPMAFQLVPGGMLLIGGFFLHESPLWLL RKNKDEQAYKVLVDLRKLPIEHPYVQEDLQAMRNRLAEEAIISQRYGFGAWAHFRGAL SEFSRKGMRNRVFLVFCMFTLNNLSGASAINYYSPTLFGSLGITDVALYTGIYGLVKA IASIIYYVFLIDLIGRRRPVIVSSFVCSVCLWIVGTYVKIGNPAAKLAAGEELSASTV AGGRAATGMIMIYSIFWSFGLNGIPWIVSAEIFPGALRVFSGTWAALVQWAIQFPVTK ALPYIFASFGFGTWYFFAGWMLLATVWAYFLLPETKALTLDQMDLIFGYNNDSRYKIP HTSMTKRFIDEGLVNQAATDDKIGSVHEEKSAEDRVESFQEGRNN CC84DRAFT_1135736 MNAPLRPASPAERLPAYYEDFDDEEVLASFHDFDNERNFDLFDR QTRNPRSSNFVIDFGDDDAYCAFDLSSHSVSRLLSVPRPANLHTRWINLWVPHLQKDV LHALAKHYDFSPRLLGMMASEPLSPRSSAWNPEKSSITLGSARSRRSQRSKASSAAKE TDNVDSEESIGMTEMMHSTQLDMVRDLSHYHIVDEVWHWSTVDWGRRFVCLGYNSLHD VRTKKPHDHNDEVDKSRDMPLAKRVWNWLLLTEDKTVISISEDPFPFTNGNLQSSDLK TLYTTRRNLVSVFRQLTKAPTPLREASLIMLPIRHRIGNSDEETAHRPTDAPGLLFYY LFEDWFTTYSLVTRREHGYAAELDRLRREMLVRANLEHVDQLHHIGCQLSVLKRVYLA YEMIIDRVLKKQEATLASLKNSHIVSGMESLASSIPINSSGPQVPEADSLLGVSLSSA ARARFERLKDRIKLYALSEIQECIDQKDSLVMMNFNLIAIKESFSVERLTRVTLLLAK VTIVFMPVTLMTGYFSIQFAGLEFTVRSYWWAFAVVLAVSMVLLFLFSFFSGTFEGKI ITRSWSRIMFDVSRRWLVHKRKKGKTF CC84DRAFT_158508 MCTAVRQSQFAISSVPPDVLDDFIASKPEQIRERAWLVMFYSIA LSNLDGSESSACTARLRSNLWLAFNDVRFLLEPSFLNIHALVTMTLHAEKYLAPYACW ALISKACTMLIALGIGTHAHIDSTTRKQRDALFWRLNSLDKALSLILSRSPIFQRDLM NAVPLPTMEELLSTRNRLDGMPILFEAHFDHQMNLLSRVQAEVWHCLPGQETQNVDKV RKDLESWYTEATKILEASALVEMPLLSTRGQLSVDLRLQILLLNYYYLDVLLMVASKD LRSQCVVPAQKLLDLLPHLGELLSYDTKETHTCMLWEWLHYPMMAFGTLWGQVLSKGV SSHEQSERILKKLHNVPKYLERLESRHIIIGGKLKGITERIIHHVSAIYDASSPTQQR VAAKDDTADSATNQSRTYPSSTIDPFDMPSTSTDATFNAPVEDLMPLPDDFFLDPSFD WFSWEDQVSL CC84DRAFT_1254954 MTNSNQLAVRQSIDQEIKNLSIDSQPRKDDAPTFNLRTTLLLAS VFLCVFLVGLDRTIVSTAIPSISNDFNALSDVGWYGSAYNMTNCCFQLLFGKLYAFFP TKVVLIASVILFETASAICGAAPNSITFIVGRAIAGIVCIVYTVPLAKRPALQGMMSA IMGVATVAGPLIGGAFTSHVTWRWCFYINLPVGGLSIAAILLFLKVPDNEQTKLPTTQ KLAQVDFPGSMFLLPGIVCLLLALQWGGQTYTWNSSRIIVLLILQGVLLLGFVTVQIY LPKTATTPLRLFKYRSLVSGLWAAICIGASQYIFIYYLPLWFQSIKHVSAISSGTHIL PLMLSTIIGAMSGGIINQKIGYYTPLAILGACMMSIGAGLLTTLQIDSGAGKWIGYQA LFGLGTGYCFQTPMLAAQTVLPKTDVPMGLALILFGQLLGASLFVSVGENVLANQLLK KLSGIPGFDRALITSGGATNFLDALPAEYQAQALTSYNEALRTVFQVGLILACFVMLG VGSLEWNSVKKAQPDAQEE CC84DRAFT_1227363 MACVTIVLDKPSDWHNWLFIRQDAAQRNGLWQYVNPDTAADELP KLTEPVEPQLADYKEGAENLGSLSMADQEWFKWDYKRYRERYTVWSKKCRALADFNSE IGKTVAKRHLYLLWSKSTPYQRLVTLKQHLAPTDATRRRELIGRYTALQTPARGRKCE ELRLLEKTGTRAQEDFLVACKIYKKESNLEEVQSVETYVSEFTTYLRRNKPISTGLSA MASELETLCCACGLKHRWVDYWVINPDHPRRPRGFTPKPSLVEKVKTARKKDPRLNKS IDQALARWREKNGVTVSVNLDDGKPPSNPTSTAVAHKAHKDEAAHANAVMAEGATDEL ADRWILDPGSNTHVINSEAWGRLRQCKEDGEEEGRLGG CC84DRAFT_1212271 MRFFTFLPALAPLCGLAASAAVSAAGSGVQKLFERSQLALQAPK LHRAPEGHIQRKRDAEQMFTFGGYASNLTGDGNYLKLEEPIEANGHPHPWCQGFEGSN GYTEGQEPTIHYVHMDKAKNESDPPGIPQYICWFYNDFDCDPTKGAVGSFKFTHTHLT DLDKKDKNGDDSNTNRDFPLMSGGANRFEWIKAYGCMFYVDNPSANEDSGNDVGQAGI AQDGTTGTELSAAELEAGQMIRALAK CC84DRAFT_1171438 MNLFLCLSCVLVLLTLSALATPTFPACGTITTLQNRTYTLRNAG RTSCQPLTVHGRQIDPKTWNIVEFCACRLYTGSEMARPCSAAYLWKEVEGPSLGQFEG PRVVDYECWNREIWDLTGAYRHPRE CC84DRAFT_1201680 MTFGDRFSAPFRASAFHIHPSMTAKSWTEPNVKPATMFAKRKPT DTTAHVRPAKRKSVVADSVADDASGRGAMSDNPMAEGDKPTVIDLKMDEEMSDLPTAG AEKSSDSGHSPAGSARQPSLDTRIDGTQPSLMPRDSQSPFASESRILDSEAQISPAAQ PDVSSTNTWRGDMVTVATSLNQLTGNIPHSSTSPPRPSAGPLVPRPQLVGPYGTGLAG LSQSFGFQAQFLDFVDSSGHGLFGRPEAQAHVAAERQLILQAFQEPPSTPSGRHSQQQ RGSVTTPNISPPPMHRSRNKQCCSSPALSLAQRGGLVYCQNCHCATKEDTEISDASFS TSSSSSSSETLVQCCFNPNPFDISEEDDITGPNIVCLNCHTPHDAADIFAPNTQSKAA KYLVSESSSSVFLRGRAVSLDRSTAIEDKGEDDIKHLGSMNVKEDLDPNRIRMVKYIK SEPGEIPRPYKPVPTVYRQSFGEALFSQCYDAEKSFCPAPFFQKFMELPRELRERVYG FALKSDKPIAPHLCDAGRPASEGKADYGKAIRFHDENQTAHNATCKLLTITRVSKQVR EESLPIFYGVNTFQANGDTPTYFFRLQQLGRFHMIRHVDFWVRFWKNDQYPQKHMRML LQNIEEQKAFEINHRQARQNERSNKAKAATPAKITAAKGRLSKVPNVSEDTKFYTDDV DVLKSHPLHLMGGLEAHFSSSFLVLRMLSAQFQDSEYNRQLVIHVPTTTLFEQYNSLK YFPSVCEGLGIQLKLISGRHVEFYGSSFRLSWAQKYQKKDFTASTTAKDWDEAEALTQ RVQALYPNIEELKRPAKWTYMRRLCKQNEIEWFSIKTAGGGIR CC84DRAFT_1160026 MVLQDIGTPEDSFSDNPYPSDNDAYTSTAPAGQRYDASILPQPL PFIGPLLGFSSGTVRFKTESTLKYAEMKLHRPLTAEEAQTLAGHLYQLEQTKSYFTAA GAGAGVYRWYKTWELCRYPLYKPKPEDINPNKFLFVKGPMAQYARHSWRLFCYVFVAG ELGKLVGQILAQPVAAQASANDPKLVQFAADLKNSISSDTARMNNRTARSSADERRAA WEAAAKSRAGGDANTESRSSVQKPTYGDDDMSPTAGNEPWPSSPDNSWGDSSFSSESA PTTQSRQQPYSQQPRRPSHRDEDDTSPTGGMFQDEVQSQSKSGESAWDRLRRGGVPTP QGPPPSMSRRQPPHQEQREGSTLGDSFTFVDSDDDRRRERERAKKEFDARIDQERQGK DFNENRRW CC84DRAFT_1192836 MASPSDAPSPARPSSRPASGHGAPSTDPVEMHTLATSALEPATA AEAADSTAHTTEAAPAPSPDAPIPHTQEALPQESIEPAPAPKPAPPVDLSRSETEAIG PSTDTPAANPDSSNGPVVVIMLLLTTGARHPYKIDERYLKKRNVTVDDMDPYNISVYT LKELIWRDWREEWEPRPTSPSSIRLIHFGRMLDDKSPLKECRFQTETPNVVHMTVKPQ EIVDEEDAKTGKGGKTRDSDEETHAGCRCVIL CC84DRAFT_1135747 MHATAKAERRPRDVEKAHDEVVHMETLASPTTPSDAEKTPGNST GAPVTKGPIVYEKFNGKLFMTLVCMSFLWIGSQIPLYLFGSVLPLIYQDIGGVDRWVW FVIGYLIPNAALCPFVGALSDLYGRQNVAIGGQACLILGPIITATAKTMNIAIAGSMF SGIGAGLNELIALAGTAEVVPVKDRGKYVGLVVLTILPFCPSVLYAQMIAESSNWRYN GIFVGVWNFIGLLLCVFCYHDPPRLTAEYTARDVLRQVDYIGGILSTAGITLFMMGLQ WGATQYPWGSAHNVAPLVLGLILIIAFFVWEFRAPYPMVPRALFKKAKRTMTVILLIT WLSGGSYFVLLLFWPTEVYNVYGDDPLGIGIRSLPIGFGIIGGAAICLLLIPVTRGRV RPLLIIFTGLMTAGTGALSIASPHNLQTVYAVLTLASLGVGGVIIPSSIIAQIACPSE LIATITAITLSIRYIGGAIAFAVYSNLFFHKFTEYATEIVATNTIIANGMVNYTTPAG QLLIAEMVELVGNARLRELKEVIESNPLVLDRDSYGPIIASAQEAFALAYRWPYWVSL AFGITCFFMSFFVQDIGDLMAVQA CC84DRAFT_1080205 MKPVIAVPAIAALVYRAWSRKSLTPVGILVALITAVVHAIHPWS VCFALLAVFFLAGSSATKVKHDIKAKLTQSADGAAGGEGPRNHIQVLANSLTASILIL LHAWQLKKEGIYAKDDLCWRRGSDVLVVGIVANYAATCADTLSSELGILSRSKPRLVT APWRIVPPGTNGGVSLAGLSAGLLGGFIIAITSTLLIPFCDNWSFGDKIKYTQSIALA GLGGSILDSFLGAVFQASVVDIHSGKVVEGAGGRKVLVHGHPMHFKPAAEFRSQAGRG EGKDGIAKTSAIDLSLDDSVKVSRTMQQAGASGTAVGDAQHESRKIAVGYDILDNNAV NLLMAALSLRFVPQKAHPTSTSFETSAPSAPGVHDTLRSRLAQTSTPATASSSNKPVE LQSAHPLEARLVQWRETQDKLKMEMLRRQFGIAEPIRRGMELKTVREGEWKPAVLGGT AGVHRDILEGRDCEVGWEDVFTGNELREVPDFHTEMEAKMKMNW CC84DRAFT_1201685 MAYMLYKYIRRRVKESKAKKGSFSTADDIHLSPYASPPSTANDD HAAPTHQNSDITKPGAARDTVENERLRQEARRLTIRRWKLMAGLVLPNFLAAVDVTIV APAIPTISSHFNHLSGSFNWIVAAYTLTFTTFVPVSGQLADVYGRHAALQWQMFWIMI GSVLCASSVTWGMLLLGRALQGLGAAGILNLTRIVLSDGMSLADNSKNNTIFSLINGI SYAVGPVIGGYLTSASWRYCFVIPIPIAVVSHVLIFVLMRKELKKGRVALNPGDSRRT GYITGLGYIDWIGMITFIFGIGLIILAVQWGGTSYAWDSPATIVPFVVGGVLLVVFFT HEYLLGPGRFMARIFTHQVAMIPSTLFRKKDTTLLMIINFSAGVSLVSAFYFVSYYWQ LAEGYPSSKAGTQLLYYTPGLGVGVYITLAMCNYWPKQTFYPLFIGSIVEAVGLAAMT WAISTRNTTLVSVFLAVAGAGTAFRFMPVVLHAAGIWPTRLAAMQSVLSFTLPLGETL GISMMGAVFSNKFAQYLSAIDPGNSSSFNGGTTGPTNLDSLNSLPPAAQEAVRNAAAR AIMWGFISVLPFMALSIVASAFLGNVWIGNPKKVDNEGIVKKEEKKGMVMMSPFLLAV CTGSVHRQRKEVDPAAEQREHEFERADVYDAETAAGFNPAQAVHVSRGEREA CC84DRAFT_1160032 MGNLCGKSSKDDNFQGAGRTLNAAPAPATKASIPASAKPKVTGP GRTVGGPGGSDGANDPRAAAAAAAEARANKPVTGDLAKKLEEQKKQTRNQTLQQAADE NRLQREADAATETRNYN CC84DRAFT_1160033 MAHAYQGNDCDSAYGDDETLFFPDPMFALPGPLAGSKRDVDNVI LSDPKRTGLGLAAETGNWPEHGDPSAQRTRNPRPSCPSCEDGRPSKRKASAVRVGEYH NVATSQSVSNSDQQFPDLCFEEFCQGCDLDTASCNSPCASPCASPCASPCALPCPGDE QCSEEDACFDPHCEEGACSDHCADPECTKIAGIDEPCFCQKCDAQPCPLGDPRSECHL VHTAPTPAGTIYCYDNTRCHFQEGHHGYDPQLSGFETYPCYSQVHDTLNHSYGAVPTT STVTTPVLSPGALTSIESAFSSHPSPTPNHLVETSCYLNIPGEHCHIDNSCCHGESRA CGDFTTASQEHLDLWNASLAEGNGLANNFANFGLQSSQSGAPYSLAPSTMGMNIFDNS LNNPMYGFNDTTWLLPQSHYSDAFQNTGPQNLKVAFNTSAARLEPKSEIQPASNTGLD LSPTGGTTDASQSCVCRWQHAPGLLCLAAFPDPSALHAHIKASHVDNCAQCVCLWENC EATSKDFKQRSKLSRHLLAHAGHRPYACSFKGCNKTFATNQAKDNHERTHTGERPYVC DRCGYTTTTHTQLQTHISALHEGKKPHKCRFCDFSCADSSNLSKHERTHQNLRPYRCP QPGCTFKPDCRWENLKRHLRRSGHYPQLLVEGSDEAKTYRENVKRETEEWNKRAGEGE IVKMGRRKGKGT CC84DRAFT_1227378 MMNNDDTSTTDLSTSPLLHTDDRVSGAPLSCPPCVYKYHYSIAT IPLELYDKTKQVDARTESLQIPRPQQPHRTITPNFIPILKPTPRTTAAPTASQRATAK TNVFVHRSACSIDAFHLGRLPLAAPHEQMCLAILRLYALTALPFQQVATTSLYPKKQE EASYPKQGTGHSENTDASARLVEPADMSALRESTTLGPVARATALSTPLVHVNCTIRS SIDYSIQCYQTQPHPKNLINKQPSNYQVAARIHPTFRRPNLNAFPPIPQPTSEYVEMQ NA CC84DRAFT_158674 MDICAPSDDRCNRAQRPRPASTHQHQPRVSRTSLAVALLAATLP AATAQSCVSLQGSTECPAFSNASISNSIGDFPFLSFVSDVESFDSRLRDYIGTAYVQD KYVEILGCSNVSLTNTTNLYARYTTSFLCNAIVQASLSNCNEDSNSVATLCADSCAQF AISEQDIISTPAFCGTPGTNANDQIRSDSDRCQHAAKALSGKCTPAVENEPDECGFSS NLPGLCAFCASSSQNSTDSCCVNANVESRCVGVQLPTTTTMPPLFTTTSTAAPTGSSS AAAAGASGLSGGAIAGIVIGSIVGAAALLGLIIAGCIFARKRKQHQQNSVLNTPSPTR HVAVGPPMTYGGDGHSPPPTIVPGARVARMSALEGSSSSNGYSSPRTGPYHERLDPYD SPESHRAYIGANLPKREGSLSSHSALGMVSGHTSPRSVSDPDRNFSSPEGVASGQSEQ LQFFKDYYSHDDIHPNDTVAVLWAYQPRANDEFELERGDMLKVVGIWDDGWATGVRLT ETADEWEARRALQRDSGVSNGSRQSRIESDSEIKAFPLVCVCLPQHWRKTIEGDSTDT GGGSGGDRHPPSP CC84DRAFT_158833 MARMLVLLSAAPVTAVYFSRTGVHPLHRSASCFDATLQLFDLPA LRTLGQLSSVRASPPTIPSTLHFGPCPCISDIFSASDAPHCPALVNRPCCGTFRAISD MLRLSHRVTTRPPSSVLLKPICREAHNDGHPFGDVETLRVSADHLPRRKSHSRVHLAN SRTLSADVHYTIRRRRRTPAHSLEALQIRPEVSISAVTC CC84DRAFT_1212282 MTESTRLDNIGPDYTDNPASESEETCMARLHSLLTKSRSSTSDP SPPPRKKNLRRTQMVQKDSQNISDGQMHTLDVLDPTPPHTPSRTDYDRAVKPSPRLTR SKWRAAAMIQYHQKGLDIRSRQQHNDPNIFPFQALPPELRMAVYFELLVTDDRILPTW RGARKATKQQKRMHINILLTCKMCHDEGLQVLYGENIFDFGEICNRPNNFSKPFVARI GLHTASLIRIVFAEYSAASEELSQSDTTPDARRLHDSKPTQLSVAHLRTFFSTFGISL PSLRLLAISIMPYGNDQATDDLLKLADPEVRSDMDLRMKWLDAKNGKERLAQLVDGIC KREKGLVKANYWTDVDGWIGISFAPPSSGREWIVYQGVRGKEGNVVDGKEEDDTEEGG PVEGSAAPKAALVLHRV CC84DRAFT_1212283 MVSVAVSQQVLNLSTVEWTLTSPNFSYISVPGKVPSQVHLDLRE AQVIGDPLYALNEFNLRWIAYSNWTYTSAPLDLQKNASSTWLVFNGLDTFTSIEFCGQ HVAATNNQFRQYYFDVSAFLQSCDSPILSINFGSAPIIANATRAIPGQETWPFGVQQL YEFPNREYIRKEQSDFGWDWGPAFAPAGIWLPAYVVQLPRQNVYVRNTLVDIYREGQH NFYAPDQSKDWVLNASVDYLGSLPSGANVQYTISGASGDLLAGSLSNVTSEAGRLTGS TSVPDGAVELWWPVGMGRQQLYNLTLNVVDSSNTTVVTVTKRIGFRTIFNNQTPISQE QLDLGVAPGGNWHFEINGHEFYAKGSNFIPPDVFWPTVTEDRLRQLFDSVVDGNQNML RVWSSGAYSPDFMYDLADEMGILLWSEFEFGCALYPVNEEFLENVAHEVEYQVRRVNH HPSLAYWAGGNELENLELPTVNKTAPKEYPRYLAEYETLFLDTIVPIVLGNTRSISYS PSSTTNGWQSLDFSKSQPITQRYENLTEGSIYGDTDFYNYNFSQLGNISRYPVGRFAN EFGYHSMPSLQSWQQAVAPEDLSFNSSVVMLRDHHPPAGGVNTSNFYNASIGQGQMTM AVQEWYPVPQKTDSLANFSAWCHSTQIFQAEFYKFQIEFYRRGSALPERQLGSLYWQL EDQWQAPTWAGIEYDGRWKVLHYVAKDIYKPVVISAYRNVTNNDFEIWAISDLWSPIS ASVNLTWYDWSGNKLNISMDAAANVSIGAINGTKILGSNLDTILQGQDATNAILRMDV SAQGPLPNSNTTQIFTHSNWFHPAPLSQSNITDPGLELSQDNDAFKVKATSGVAAFVW LDYPGGTVLSFEDNGFWLAKGEEKEMGYKVKSDTTGGKWKNAVTVRSLWNNTLSEGY CC84DRAFT_1074117 RFAALSYVWGTPAAKSVDDLSLRLPTPAPMLIEDAIKCTQSLRL RYLWIDRYCIDQTATKTKHLLIQNMDKIYQGATVTIVNAANEGADHGLPGISCLARTP QDFLYIKGRKLIRVPASRDAIRNSKWSMRGWTYQEGLLSRRRLVFTNQQIYFQCLEMH TCESITTLFSSRPRPVWVDNPLSDDLQAFPWGVATQPGDITERISTYVQRELSYESDS LNAFMGILNQFWKSDEPLYHLWGLPFHTKTPKTVPLETQLSNALLWTPTHDNDNNLLR KRQSFPSWSWVAWENLTGIYEDDVNLGLHGGNNVSVCILGLHSNPIKVDDYVRDMELH RNVHQFSPRLTLTGWLTQVWFSL CC84DRAFT_158874 MHESSPHHIVTVRRLSTRVVKPMAASVEQGRALTASSVIAEGKK RRTSRRDDALHGLLTDSATPRARPHWLAFLRCQDLSAVTEEWKHASIRVVLGDWECGE HGTHVTFQQCSKPRSEHHGGAREQESSVCGVKVGICDWLSSWFGRCSAGAGMRAHGWR WA CC84DRAFT_1160040 MNFAPQYPTPPPGYMPYDGYPHRQTPPMSPGPGYYSPRYGAQGA SPSPHASPKVQGHSRRASHAVPPQYNTYASPRGANTPQYMSPRYYQTPQRTPEYVSGY FGYAPTSRQRRDTDATPLKRSNREKARRPSLSARYVQPKDVYGDFDHVYEREPPPPYT RHASYGYADYNVRHQVPIYESEPKASRPRRASHTSRPQPQPTANTAAKRPAPPTKTAA KATEEDARRAGIPAGYSYKNWDPTEEPIMLLGSVFDANSLGKWIYDWTVFHYGPATPL AEMAGELWLLLIQLAGKVKRAEETMPKIRKKENHEMVEDFLESGERLWIRFAKLLKVC EDYMWKAAKKETGDKKPLAMGKNSGCEFVDSIFGRDRELEKTEKLMTGMRLWSMRFDA NCEEILRHPTA CC84DRAFT_158800 MRTGGQPRHSPCVSKRRVLLLSAVILASKTLPAPIQISDPGEPA VPFAVPRLGNASQRSSARTLPSCAVFSTHRFVLSFRVACVRSSAQLCDGLPRDRTSRS TAHEDPTSGSVGARQCALNATGRPCPEGCPWPQRPPSFDDAGRRRQARSAAEDESPKD APVHALRRLVGQHSPQRLLARDVSSSAATPRRRRGRRTSLECSRPRRDASVPKFGCPT ARLVALGCPAQTSQLELSRTARARLGLTASPSSVAVGPLSNLRLGEKFSR CC84DRAFT_1160042 MPLLAFVASLIPVALDGCMPTEPTTHFQRQNSPTLPRQLILRMD ALTLRPVFAPLPVTARLVLSHRDIATPYLESTHTVAMPLHECAMAA CC84DRAFT_1081137 MDSAESVEIKTYAVGVTAVIEDEDIERASSTIAVTAVTKTPSNW RKPYQFWLASLSLWLIVLLVTLDATALAVAIPSMTVQLNGTTIEAFWASIAFMLAVAV VQPIYVTVSDVLGRRIPLFAGLALFSTGAVVFALAQNMRTAIAGRVLQGLGGGGLDVL SEVIVTDMTTLKERPLWIGLLTVPMAIGSILGPVIGALFSEYASWRWLGWYNLPFVAV AAPCAFLFLKLKPVEDSLRTKLKKLDWTGMALFAAGAVLFALPLSWAPAIYPWSSWRT LVPFVLGIALLVGFAVWEKYPAQPCIPHRIMGNTTAQVTLVGGFIHGAIIYGVLLYLP LYFQAVFRETPLQAAVSVLPMCVTVVIVSGIAALAVEQTRRYRWSIWMGWILLAVGMG LISLWKRDTSLAASVSFQIITGFGLGSLFTVPTIAMQASAPTVDDQGLAAGTLVSFRL FGGLVGMACGATAFNSVFGSVIYQVGALPRDVAALADPAMAIGFIPHLRNVSVPGSLL DRIVDAYAGSLRVIWWILAGFAVVGALVSLGMKEISLENEEVGRQNWENKEE CC84DRAFT_1171456 MAKLEKYRREICRDIIPVDAQVHIHARFRKYTWTRINSTLLLII IVVVTVTVVLGVGLGPEGLELGAGGAEGLELGAGGADGFELGCAGGETVGTGGREGLE TVGIGGSEGLDTVGIGGSEGFEMLAVGFGFSSSGRGLPAAMLLARISPCYTR CC84DRAFT_1160047 MAGIKRKSAAAAQPEVKSKSKKVKTEKPAKRSSDKDAVKLSKSS KKSKRKDDSDDLMESDTSEVENGFYGFSASKDEDAPMADEADDGFVGEELEAALDNDT VKEKKKSKKDKDGKSENKKEKKASKESGAAEEKSSALAGLNANSSREAHAKQKALAKE RKAARPNAEVMEQLKELWEKLRLKANVSAEERKDLIDRTFELVTGRIKEFVFKHDTVR VIQSALKYTHSMDQRRIIAQELKGEFKGLAEGKYAKFLIAKLLEKGDAEIRGLIIQEF YGNVRRLINHPEASWILDDTYRQVATPAQKARLLREWYGPEFSIKGLGDDKDVDNSDL NAILEKSPEKRKPIMDYLEQQINQLIQKKQTGFTMLHDAMLQYFLTCKPNTTEASDFL DHLKPDPTLKEGEEADNIDLLKNLAFTKPGSRLVSLAFAYGAAKDRKILLRPYKDTIE TMAYDANAHNVLLAALAVTDDTKLTSKSIFGELLPAVGSDQLAEKIQNLSNDARARCV LLYPFASDSKWLLDEETRGRLAEVYKVREITSKKDANIRNQELAKAIEPQLLAAVSQN AEYLAQSYQGLQLIGEVLVGAPEVEPKVRQEALKKVAGLSRQIIDGGGFITSHGKNML KTLVQGGKFDPKTKKVVPVDPPLGFADLFWSQIKGDLMTWATGEGAFVIVGLVESENF GHKDEVIQALKKGRKQLQEAAGPARDAKTPKPKKGAAPQSRGNAGARILLEKL CC84DRAFT_1135783 MASPQQTLPAPTIDLLLNLIDTRPNSILASLVQHPQLASASDAH GYSLVHAAASYNQLEVLRELIQKYNVDVNKLDEDGESALFAAEQADVARCLVEELGAD RGIRNADGKTAEEKIAEEEGEAHEVCQYLRGLRGAGQAQPVSAGVAETEGVHPPPPLP NGVQINVGTMAEDAAGEAPDPEIRRRIEELASREDFQTDEVQQQLRELISEVVTGMGS EDSGRGVRRRVE CC84DRAFT_1160049 MVIGLVIPALVTFLVCLIFVPGPTAARGTPQSLIWRRKFWEWNT AWMGLALSLATAFMITQGMKLLFGKPRPDLLSRCRPDMSRISEFAVNPAVDAVTDDLS PYWRLVTSAICQNTDQDILQDGFKSFPSGHASFSWAGLLYLTLFLASKFSVAIPFLPS RPFTTNPDHVSATAQSNLKNRSILPLNAKDSNVSSYSDDRVVPDRYQAAAPPVYSLIL VLVPIGTAIYVCSTRFTDYRHFGFDLLFGSFIGITTAWFSFRWYHLPITRGAGWSWGP RSYKRSWGIGVGVGSYVGTEGWSHYGKSETTATNGQSAMAENIDERVEAGRAL CC84DRAFT_1160050 MSEYWKSTPKYWCKFCKDYVKDTKFERQQHEATGRHQSNIQRSL KGLHREQAAEERQKQRAKDEVARLNGLVPSTSSSAASNAPAKPTFAKTEEKKATLQDR KKQWEQLAAMGIAVPDEARGGMALKGEWTVVKEEVVGSVDQDGEFKALNKGVRKRKVD EAEEERELAGETITRKKGWGQTFKSLPGKAGDDDDIEALFKKRKADVKDEEPALKTEV KEEDTVKGEAESQPLADIPTEEEAAAKREAERKTDSTAALVKEEESSGSTPAVVFKKR KKMAK CC84DRAFT_1135790 MHLVKPQWLTHPGDLKDFEVYSCHVSPDGSRLVTAAGDGYVRIW STEAILNSADPNYTKPKQLAAVNHHSGTIHTVRFSPNGKYLASGADDKIVCVYALDPN APAHVAFGSNEVPPVENWRVFRRLVGHDNDVQDLGWAQDSSILVSVGLDSKVVVWSGH TFEKLKTLSNVHSSHVKGITFDPANKYFATASDDRTIRVFRFNSPGPNATAQDQVNNF TLEKTITSPFQTSPLTTYFRRCSWSPDGAHIAAANATNGPVSTVAIIARGSWDGDISL VGHEGPVEVCAFSPRMFHKEPPNPDPNGFQPHSVTVVACAGQDKCLSIWNTSYARPFI LTQELAMKSMTDLAWAPNGETLFVTSLDGSILTLVFEPGELGYPASLSENEKSLSKYG SGRRAGIIENTDALLLEESSKNSELQGVQGRMGALMGDGGAVQPSITNGNGALPSAPS LTNGASTTQPAPPAEPPADERVEKLKQRVTIKNGKKRIAPMLVSSSSAVGPSSLPQTQ LISANTTAGGSNSNPHNILDLSKPYDGYGKGGLTAMLTGNKRKFAEIEGDEDRQVEKR LANSVKPGGAAIVLNSENGLVPPGASNAGKPNDAGPPQVLRPAIVNPALTVSQVRMAV PKLRSIIVRTADGKEPPQGAKADADTVILEARNATAPSRTGRAQDHDPARITCSREGK TLWQDFLPKSVLLVTGNTNFFAAACEDGSVYAWSPAGRRTLNALILEAQPVIMDCRGW WLLAISAVGMCYVWNLRNMSAPHPPISLAPILDIAAHAQGPHLTRSPGIVFARLNSEG RIIVALSNGEGYAYSPAMYVWQRLSEPWWAVGSQYWNTTDSSVGNVTSKSDSGAAGKE KDDQVSIENISAGIIPMLERNTTNQFLLTGRAFYLQRLIKVLISAEGYESFESCASVA HLENRVAAARALGARSEFKIYLSMYVKRIGAEGMRGKIEELLRELSRGLIEEDEDDSP SDEDIAGWKREELLKQAVIILGKSIYLNPLRMTLLILNREIP CC84DRAFT_1212293 MGFLNTFASTLAAAAFVSAQHDAGAKLDKPTLHDNLDYLKQGII DNLPETNFNYELQAAGTIPDDCNKVATGQVYNTVNYNPADFDIFNVHYDDCGDPWVFC HHKQSPITIDSMARQFGKLPVQMRQWIRHVVDVPSDGGWAFEFDGTVTFLDPKDDMMP VIVHETGHSLDLSGAYADKPMSSSDRWWDNYNQDSNVPDTYASTNAIEDVAQNTVVAV FNENYPGGFGAIEPDYEKISHQYYTLISEAIDAGQGNNLFKPGQSAQCTHRMAPTDPV PVGGSKKRSMRERRGAAPVVGLSKNVTEIVTKRDGAKKRSDCSLRW CC84DRAFT_1227438 MRSSSLLGVLLPAWVAAQNLSLQHPELFSLHWRMLEQNSTSGFE TPMVDFLSGYLEDKGLTVELQPVQNPILAYNVRRQNILAYIGSNRTCRLILNSHTDVV PPYFPPHDNDTDVFGRGANDAKGSIACQVTAFLELKDAGVIKEGDVCLMYDVGEEQYG DGMRTFVDSLTYTPEWILTGEPTESFQATGHKGSININVTAIGDTAHSSVPQYGRNAI LELMNFIPSLLNLTSIFPYDDFHGNTTLSLSSIKGGTISNQVADRAEAIVNSRVSVPA KEIWDIITEQVGNVSNIILSRVSASSNPLAMDVIEGWLPKKIMPYGTDLGAWTVNNTK LLLGVGSIATAHSAHEVVSKQEMIDMVGHYKEFVQGILNGTLVPIRNGTFPSSYPLET NTSNLTKREIAMQDYEARRYGGCMH CC84DRAFT_1171464 MQFYAVVLAAMAAAVSAQFPTNIDPAQQSALLALATALPPSVLA AAATNQAGFASEVASSIAAGNTPEWYQALPTDVKSALASIYPVSTSAEATPSETAAPS SSSYEASSVAETTAAVTSTPVVVPSGTGASSIGTNSTGVTSVNTPTLSGTGSPQPTEA PGAASVPSAAIGAGIAGALAFLGMLAL CC84DRAFT_1254978 MDAADKIISRAEEDASPERFPRHDEKEAHIEKTEAHEPIARTYT SSTSSSSSSGASDIERDATMSRAPTQREDVDLERHPTALSRIQTQRSQHSATVGASLK SRQSRKPLPAFGAGKPYPPPLPEREEYVVEFEGPDDPLHAQNWPMKKKLLTGAMLGFT TLTAAFGSSIFSAATRVVARQYGVGVTVGVLGTSFYVLGFATGPILWAPLSELKGRRL PLVIASLGFSIFNIGVATGKDLQTILICRFFAGFFGACPLTCVAAVFSDMFDNRTRGM AITIFSMTVFTGPLLAPFVGGFIVMSHLGWRWTEYIVAIMGFTAFTLNILFLEESYPP EILVKKASELRRRTKNWGIHAKQEEIEIDFKELMQKNFSRPIRMLVSEPIVLLLSIYM AFIYGLLYLFLTAYPLVFQGVHHMNLGVGGLPFFGMITGQLLAGTLIFIRQPGYQKKL AANNNMPIPEWRLPEVIAGGVCFAIGIFWFGWTGYKESIHWIVPTLSGVLIGFGLLSI FLQALNYLVDAYLMFAASAIAANTFLRSIFGAVFPLFAQQMFNGMGIQYAATLLGCVA AVLVPVPIWFYLRGAKIREKSAYAPTFKPAQD CC84DRAFT_160474 MPTLLTLYCIRSISEHLTRQLPNLASSTSIEHAVIPWRFTYTTV LNNHLPCYAGYISLPKTSRQLQRTTHQQHRATQHSTTYQPKDLANQKISDTTMNPSQR SKTNQQATQAPVLEWSTNAATGARSVPEPDYCLGGSGKHSPVRSAVTGKNQQDSKNVS GVKMDNRASWYPGRSGF CC84DRAFT_1160059 MNDFHLSHLDQTMLRMYARHLLFFEFPDPGYLEDAVDALRAGFA ATIHQLPFLAGTIRLSNPDTGRLSLQHPESLSDELIDQIFTFSYDQAGNAVLEYTKME KNGFPPLAIWRDVFCPVLLRNHPGLDDEFAAGLISFKKEMPVPVFAAQATFLRGGLVL SIYAHHSVIDGAGLTRLYKLLSENTRSKGSKFMLKSDGNSVAELNSQRHLFDTFAESS QPIECPEVRFPGTPRTSPLLRKEPYKVTAKIFVFPAATISELAKTLTSTTNRQISSFV ALVSLIWTNITLSRSTALAANNVETVKLGIAFDHRRNLPQPLKDTYWGNCVTEITASA TVSTMLFKTSDDSVYGEQLGPIAAILSETFSSVTLDWLKPRLNLFSRTPNPWQLRSDS DAINGPDLFVTSWMHIGTECAWNIPGTTSAGPVAMRKPQAHVEGLIHVLPKVRLENGF PAVEVLVCLEEWEMERVVRRLEGERWAVRVIDA CC84DRAFT_160348 MLKALLSLVYRWQIPLAQLDREPQFHSGCCQHHALCRLYRVEAR CYQSCAHGIRGLRRSGTENQRDLSGVQRRP CC84DRAFT_1160060 MAPSAPTQPSGAQRYCIIAFLAKIPSITLDEFRDYYENKHIPLI KRHLSAAVVPLPLVYTRRFIDSKTPIVSASGVNVGFDCVTELQFSSKEDFEKFWVAPL MAGEGSKEVGEDEAKFIDREKTTAYQFEMHQTEE CC84DRAFT_1160062 MDVYYFYSYGTAAWMALQAAPMIASPTMIMALLSPEVREPSTLE IYFSRQLGFALLAIGALNILLTGSVPLSSRLSEGATTSATDPKAPYALPTLTITAFFH AALAFYGYTMWTETGVFSFGLGTIGSGFFCAIAMWCILFASSDGRISRKTGADKRTSG FPFKNNQAHSELKKGR CC84DRAFT_1160064 MSPPFLNNHFSAARMPVAAPTMDSPASDLSDYASDDFPDEVKGR RISIEHTPDHEPAARPSKRPRLQNRAPSDPPTNMLPIDDLGELSEDTDGSVPASPHHP GMSAEDDFGQDQVTVCKWEGCEAGDLDNMDRLVEHLHEDHIGTRQKKYSCEWSDCTRK GIPHASGYALRAHMRSHTREKPFYCTLPECDRSFTRSDALAKHMRTVHETEALRPSDP VPKHHSSNPSNKFQRIKLVLSEEKRSAEKGSTPGSPSSHPPNSATLPPAVENDHSNDN ITYIQDPVTNATMVQFPPDVTFTPAELSLSADKLFRRLRRQLLWATQDGEALRAEAEA LEKQRKDEWAAKELLVENVMEGELECAKRRLAESGQDEPDHYAQLEADVVPSKKLRIQ PKDGKLPWWREEGWLHRQEGGENKAEQAAEEPLPTL CC84DRAFT_161039 MVKLNNKEVGQVGFGLMGLTWRADPPSQEQAFAAMRAAVDSGMV AFNGGEIYGSPERNSLQLLSEYFTKYPEDADKVVLSIKGGCIPGTHPIPDGSRKNVHR SIDECLRWLEGKKFLDLFEVARLDPNVPVEETIGAIAEYVKAGKVGGISLSEVNANTI RKVHAIHPVSAVEVEFSLWSTDILENGVAKTCGELGIPIVAYSPLGRGFLTGQFQKYE DIPENSMLKMMPRFQPDVFNENIKLLREVEKIAEQKNASPGQVALGWVIAHNGKDGLG EILPIPGATRESRVKENAAPVKLSDEDMKVISDILKKFPIQGHRYPEQLQGHLEQ CC84DRAFT_1080331 MRWDYAEGPGHGAWGTPSSNFNFCEEDYVITHYIAEFVNTLTNL TYVIYGIHGLRRVSPRKDGGLFSTLAFPYWGLIGVGVLSAWFHATLNYHSQMGDDLSM FLAVGALLNQLQTFNQPLPTRRFRTLLIVGILIPVSVYHCWADELYVHQITFATMVLL CGREIRKLVRERVPNAEQRSKILSLANGGLATGLFGYFLWNIDEHFCERVTAVKHAIG LPWAFIFELHGWWHILTGIGAYVGMAVVEYLVTIEDGRTDKVEDGFVWPVSAVLRNLD AASSGKKTL CC84DRAFT_1254985 MVEPAPTHLLSRSQSAPLEESANDEKRAFDRKALQELDGTAFHE VLDQDPRPTFVLDLDSDHLDYSETKTAIRPIFCNAALRLHDQLLDKITGSGPKSDHPQ PAQTPYLRFKSWVTSVSEFDDSRDVYPQTFLHEGMLWTGFTSKQRWRFVSGIQWSDTT NHVADISVIHEEGEGVKGKAEPREALARKKFRSSEDTMAPTTAAAAASTSDPPLGVPT PQTELPLRNSSLISSTPTFPNDSKGRSSNPPTSGEASNDTSGSGASVTLASPESGVPD WTATKPRGSLTEHMVFARNVNWSATPLGSMKTWSVEFREVANLVMRNPHPAALFWGEE LTMLYNEAYKNEVAGNKHPDLMGTGFSGPFSELWDGVGPIFRECARTGKSVRKENDYL PIERYGYLEETFFSWSFTPVYGGTKRILGFYNAPFETTYQTVSHRRMQTLREISEKLA ETRSTKHFWQCVLDGLRGNPWEVPFAILYSITDSDDADTVSHSSDSTISMKSCLLEGA IGIPEGHPASPPKLDLKRSKEGFIPAFREAMRTREPTILHRRNGSLPDELTEGIEWRG YGDPCRSAIIIPVRPTNGENIFAFLLLGVNPRRAYDEEYRAFVNMLNRQLANSLASFL LFEEEVRRNRNAAEVATLQREQLSQQLEVQASRMRRMTELSPLGMYLFGCDGILLEAN ERYYEMTNHGRDDDSPFAFLKPMSEESRRRGEIMWRQMMEDSKPRQEEFLLTGTDFVP RDLAGEPIEYWVLATSQPEVDAHGKVKSIMGSIADISHLKWVQGLQEQRLKEAEETKR QQNEFIDITSHEMRNPLSAILICADDIRESLSQHLFGNSDAKVVEECIDAANNIALCV QHQKSIVDDILTVSKLDSNLLLITPIAVQPSAIIHRAMNMFKPEVQSKDIEFNFLPHE SLGRLQVDWVFLDPSRLLQIIVNLIGNAIKFTANQEKRSISVHLGASVEQPQPSFQGF EYIPTRSSIVDIAAGQDWGDGERLYIRVKVEDTGVGLTADEKRLLFQRFAQASPRTHA TYGGSGLGLFISRQLAELHGGQVGVSSEAGAGSTFGFFIQGRRAPAPKRPGILGPAPL IGPQETAAAHASVRSNSSPASIPSTTQSGSLTDHSDLSILIVEDNLVNQRVLSKQLKK AGCTVYTADNGLLALQVLATTSFHDPAGRPLSLILMDLEMPEMDGLTAVGHIRDMEVK GVVTGHVPVIAVTANVRDEQTRAAIEGGMDDVVAKPFRVPELLAKIRSVLARLGGG CC84DRAFT_1227475 MAAILDRLGLGSGKEPAISSASEPVRALPANWYTSPEMYELERR AIFSRKWLMVTHSLRLKNTGDFLRYQIAGFDVVLSRDRQGDISAFHNVCRHRAYPVIE QDQGTAKIFSCRYHGWSYGLNGKLAKAPGYQDLPDFDKQQNGLFKIHVRTDANGFVWI NLDASAEPEVAWESDFQGVDTQERFKSFDFGNYEFDHTWKLDAEYNWKIAADNYNECY HCATTHPDVPEVANLETYDVHPKDKHIDHDASPSEEQIKQGMHIASTYYWPIASMTVS PHFFFMQKFLPTSATTTQVYYEVFRNRYSSEEDFQQINNMFKRIMNEDKVLCNQAQKN LNAGVFVNGELHPRMEKGPLYFQKMCREEVVGWHRREQAAKQEIWPARQKLPSSADEA NDDVDFCNGLSCSTSNEALVW CC84DRAFT_1160068 MATSQRVVIIGAGIVGVNLADELVSLGWKNITVVEQGPLNMPGG STSHAPGLVFQTNPSKTMTRLAKYTMEKLLSIKKDGQGCFNQVGGLEVATTPERLEEL KRRHGYASSWGIEARLISGEECIQMYPLLNQKTVLGGLHIPTDGLALAARATQLLIER TSEAGVQYLGNTPVTGIERSNGRVTGVTTPSGSVQADIVVSCAGFWGVEIGAMVGLQV PLLPLAHQYAKTTALPDLRGREVNSRINGMNATLPILRHQDQDLYYREHGDQFAPNLE GFYVAEAVWVTHSAGIARAVAQILTTGRSEIDVGECDISRFEEIQLSHEYVSETSQQN FVEIYDIIHPLAPKLSPRNLRISPFNVRQRELGAYFLEVGGWERPFWYEANAELLKYL PAKWQPVERDAWSAQHYSPIAAAEAWKTRNAVAMYDMTAFHRFEASGPGALHLLQRLT TSDLKKDPGSITYTLLLDDEAGIRGDIFVSKIEDDVFQIGANSATEFHYLSREARFQR QQSPNQWVQIREITGSTCSIGLWGPRARDVIAGVSTDDFSNKGLPYFGVKKVHVAGIP VLAFRKSYVGELGWELQTSAELGLRLWDAIAQAGKLHGIIAAGRAALNSLRLEKGYRT YGADMGTEHDPLEAGVISAVDLKKQGDFVGKAGLSRRPKTPTRRLRCLAVNDAQSMLL GKEPVFYKGEAAGYITTAAFGFTVGRPIAYAWLPSSVSVGETIEAEYFGRRIAATVVR EPLYDPEGSRLLDDRPPLEAVIQTPLKSRL CC84DRAFT_1109555 MKVAVFALLAASAPLAQAHYIFNRLIVNGASIGGEYAYTRKNSN SYNPAFTDELMNSNDLRCNKGATAGNTATYTVKAGDKIGFKLFNNEFIEHPGPGFVYI SKAPASGVKGYDGSGDWIKVYETALCGSNPGTDNNWCSWQKDRLEWTIQSKIPPGEYL VRVEHIGLHEAHVGKAQFYIECFQLKIEGSGGGTLGPVAKIPGIYTKNDPGIAFNKWN NPKSYVVPGPKVWNGN CC84DRAFT_1135818 TYDHRTLKTRLPVRSAIYKQCTGGSVVAWVTSSESPLLYVFFLT FWVCAGAKCGAEIAPRPKVALIVALPLAFFLLVEIDLTDRLLPLYDLVFASVHVKVGQ CRFCGSFVILQWPERNTRVPDNRS CC84DRAFT_1160069 MACAIAALLVRQSTSPSGCSPIRRTPMPQLPRKLYTFQSNSRYN PEDMFTNKSATLHALHNWIELSSAGRGYVRAPSSGHYDLPRPYTIAIDRHNDGPGYMI SAFHQLHCLSYLAVHFQEGYDGVKLEDEIAHHSVHCFNYLRQGIMCNADTTLEGQTDA GPGEGSEHECVDYDALLDWANENAGMSWRNGLLPEEATL CC84DRAFT_1109563 MRRPLTKLKWQWFVKPTRYNHRRPLLSVFKARLAGQRDKHEPTF EDPHDPQSKPNCSARREDPLTSPFRYDRLNWASNEIRLIKILPGNSSVSVNDDGPIAC EIISVSLKDKPQYIALSYAWGDTALTRPLILNGRLLHATASLDTALRQIRKMQLQGTT YQDQLFWIDALSINQEDEIEKSWQVQRMNAIFSAARYALVWLGPSVDDSDKAMEALEH VGLQVASGSDPLDNATLNTFHLLSTMYNEFSHSVRKLLMRSWWRRIWVVQEFASARDV VFQCGDVQIAWRPCMNALEVLERFQKTLAEKGWRGNIGGQNYQQLMSEISSISGILRL FRIRQVLKQNQKRLLLWELLTLKRFGMLSSDDRDFIYALTGIAEDAGAKHLYPDYTKH VAKIYTEVAKCFLAEGRLRTLWLCSHPRRIVGLPSWVPDWSSTWQSNRRYFSGDSGYG SGSTIFSASGDSPPDVSFSSRNDRMVLHLEGYRLDTVTATKSAFDMDHTLQRGGFFRA QLALQGRYRAFWELQRSSWKNLFRACVTDIEPVWGADLHFNYRRSTPQMRQELYGELA HATDESGGFEASWRLPASRLDILYRHNGRRPFITSKGLLGLGPEDMRSGDVVVVVSGA EVPFILREAPEGGYVLVGEAYVDGVMDGEVLSMGLEKVTLDIL CC84DRAFT_1227488 MRCARTPHARRWHRTLLCPAAMLVRQLGGHAAAPALSLRSGLLH SAPGGMFGAKQQPDGARASISIDHRQPQQTGACALAAASAPCWVPERRALWPAEDEGD EHEEERRTRRTSILHAPACCLTAGASAWSPGPAVRDVPPRSSRAGRRGGGLTRGPASG RGQGPPARHAR CC84DRAFT_1079566 EIMDGKNSSGRRISLLNDSAAEPQLPIRIPSIPPSLRSRTSSYT SSPIGSPATPQLVRSNSTDSAGTMQTPSPITPEFNFDGLPPQSLNSPVFTQNSFFPTQ KDLVSAYPPLSQNTGPLPYPNNNNLQQNYFRPQPPQESHNGAASANPRSKKNSYPCPV AKQYNCGDHFTTSGHAARHAKKHTGKKDAFCPECNKAFTRKDNMEQHRRTHQSGRNVA GKGTDNSIKKAKAQVKRPKPAPLQSNLPSLSGLSMMDPALPISPSQSFMAPAVQPADS FADYTQRSPYPDPSQYALTHGFNPGSSYGLDALAIAASGEKRKYEA CC84DRAFT_1077717 MSGAAPSSEAPVAIVCVGMAGSGKTTFMQRLVSHLYTHADPTQS EPATSKTPAAPPYILNLDPAVHYVPFTPNIDIRDSVNYKEVMKQFNLGPNGGILTSLN LFSTKIDQVISLLEKRTAPPEPAEQPEQTTVEFMTNSGKTTPPAQKPPHVKHILVDTP GQIEVFVWSASGEILLSSLASTFPTVIAYVIDTPRTTSTSTFMSNMLYACSILYKTKL PMILVFNKTDAQDAQFAKDWMTDFEAFQSALRNEEEGGSFGGEGSAIGGGSGYMGSLL NSMSLVFEEFYKHLSVVGVSSMTGDGMDEFFRSVQVKKDEFERDYKPELERRRAEREQ EKATTRQKELDKLMKDMNVGGASKGKKKMDEPETVSDVEDNSDEGMQVDDDDSEDEEY DSDNPEHGLKARYQQALRDDAGPSAEDFSFARYVHKANLG CC84DRAFT_1160075 MGLDDFERELAASKAKDSRKEAHRKRDRSRSRDRHRHRHHHASR RDDDGEERHRHKRSKHHRETSEERAGRKRRERERDEDKDARSRRKQKGRRSPSPSDHD DRLTSKRKSRNHMYEEDSPSDDEPMDKDYPPPNDDFLDHQLEEAADANLQRDDWMRAP SSMDVDYVQPKKREDKSTFVGASAEQKHALKIHQAELNRHLSDVQGEGPAVEEEPIHR EVSYTFGDSGSQWRMTKLKGVYRIAEEERRPVEEVALEKYGDLRDFDDAREEEIEVDR RKTYGRDYVGKEKPSGELFEERRLKAGIHRPPREHPHPQDDLPQGQVVSDPRPTANTV VLSQTELNKLKAQMMKAKMKGAANAAELEAQYNAAASGAANRVEPDVVILNSMDNRML AGGRQGEVKELTNKRGRERGLVVENDDMSVEDMLRQERRTKGQAGGEGMLLAEKIAKD SKFDNDLDYIDENAEKLATRAPKSTINLRNQAISEYQRTNRILDSCPLCHHEDKSPPQ PPTAPILSLATRVFLTLPTEPEVSDGGAVIVPIQHRTNLLECDDDEWEEIRNFMKCLT RMYHDQGRDVIFYENAANPGRKLHAAMNAVPIPFELGETAPAFFREAILTNDDEWSQH KPIIDTLKASRSGQGKSAFRRNLAKEMPYFHVWFELDGGMGHIVEDERRWPRSDLFAR ETLGGMLDADIEVVKRQGRWVKGDRRVDGFRKRWSKFDWTKVLAN CC84DRAFT_1135837 MQHQPLRHPRKLRVVTIGGGISAMNLAYEVMHGYDEEAGNLEDI ELGGTWVVNRYPGVACDVPAHIYTFPFEPNPNWTAFYASGPEIQAYFTRTVAKYNLAR DVKLSHEIVHAQFDTHQGIWNLKVSHKGRVFDDWCNVLVSATGFLSHWKWPDIPGLHD FAGVKVHSAAWDEEWDYSGKKVAVVGNGSSAIQIMPEVARSAARVVNFIRSPTWITPG LGSALIGGEVNRVYSEEEKRRFREEPGELNRHRKEIQHGSNKAFDLFVKDSSAQKAAF ESTSQTMLSRLGGNTSLASKLTPTWEVGCRRATPGPGYLEAFTSPHVSLTTSPITRIT PSGILTSDGTHHAVDAIICATGFDVSHRPPFPFLGLDNTDLRDYWNDEPLGYLSVACP YFPNLFFYSGPNAPVGHGSLMAALSWTTRYISLWLRKIASEDILFVVPTPEATEEFNT YGDEIMERFVWSGGCRSWYKNGRVDGRITAVWQGSAIGFKEVVGQLRPEDFRIVWRTA NRWRWMGDGRTRVEREEGADLAWYLKK CC84DRAFT_1160076 MSSSSQVTESWISSFCGLLGHEYFAEVSEDFIEDDFNLTGLQSQ VPMYKEALEMILDVEPEDDDDEEEEEEEDEEDDEILGDERPTAYRRASDRRHLRIASD LSVIESSAELLYGLIHQRYITSRPGIQQMAEKYELQHFGTCPRVHCNSTKVLPVGLND SPGHETVKLFCPSCLDVYTPPNSRFQTVDGAFFGTTFPSLFFMTFTDLDIGSGIRNNP SSAAQALLQIQTETAKGTNTTPANVTSINGVAPHNLAPGIGAGNIYEPRIYGFRVSER AKSGPRMKWLRMKPADVTELDETRRYWENPPDDADRPHDDDLNMVDVAEGKSVDSRKK ISARRKRTNGSGNGGGSPMDMNGVGAAG CC84DRAFT_1109581 MTSSYTMSASPTEESGGGAQKRKGDEGAPQPRAKRNRYISIACN ECKRRKIKCNGQTPCQRCGNLSLECLYAPNCCNNFKDSDEFKQMSGQILLLQEQVDQL FANLSALKSHVDIQGAGSIGTPFHPPDFTQPMSIGQMSALPSSPARQRSKSNAKRPRF QGPASNAFNLGVAKTSLKTMGITGPGDGDEGIITMDATPMGSPPLDNAMLPQELHADK DPIWSVSKQEAMRLINFWQEENGALYPFLDINEMTRYADMLFCFIEAAVRQGLMQGAL PGADAIEDEKTSILKMILATALILRGNGKDPLGDRFFNNVQKVVERTLSEPVDVKSIN LLTLTAMFHFHKDDEVLAWRTIGLAARQCFELGLHRREAYDSITDSGERNSAIRTFWS VYVLDRRWSFGTGMPFALQDADIDLNLPRPDDSTPYLNAMITYSVIGSKVWKSVGNTD SSQTPIKNEDISFLDFQVLNWHRSIPESLKFAHPDSGRQVSNLPRAVLRHQVVLYLRA NQMRILIYRPVLHTATSIAENMEFAQTVVTVAKDTIRTLTYINQTSDIYKAQQILFNY FLISALAVLFLAVAHAPADFSAECRDEFYQALDLVRCLSSDSYVSKRLWKTIKVLKEV GPRLGLNIRNDASDAHSSAAVAMAGLAGHQVDEMAIYSNGQNGNIDTPHGMASDLTNL FEAAGNYPMLQNGFGLSSAELSHGEFASAFGNENDELARILRDLF CC84DRAFT_1160079 MSETIRRVHGYDRDAYTYYPVIIVGAGASGIAMACQLKEQLGFD QFRIFERQAGIGGTWWINRYPGVACDVPAAFYSFSFKQNPNWTTFYPPGREIVRYYHE VCESYKITDKFELNTDVEQCTWLEDEKVWEVRLRRLMAGMGDLSNKDRAKIVKEKGEA AVYTETEIVRAKIVVSCVGGLVEPKGFPDEIPGIEKFKGPVFHSARWDESVDFNDKNV VVVGTGCSSAQLVPRLPNAPFNARSVTQLMRTPPWVVPSSIPPGGDEWWEKNSTKLLK SVPGLLGALRLFVAGAAEVQFQQLFLNTKSSQKYRKDYEEKMLKRMKKIVPEKYHEIL TPDYGVGCKRRIFDKRWYQGLNDPKIELTTQPLSRVNESSVVIGPGVAYPKDAKSDLP EREVPADIIVLANGFDVGKWLHPLRVAGKGGKDLVETMEERGGPQAYLGTAMDGFPNF FMIFGPNTATGHSSVVMASENMVMHSLNFIKPILRGDVAAVEVKREAEAAFTKEIQAA LKNTVFMSGGCVSWYFTENGWNSTVYPYSQIDFWRRCRFPKWNDWSLTYTKQGINRKR REQLVRVLALAAIVVGAYRARENGVDLTDVKHLILQVLQGSFARLSHVWEILRGQVQA VLKK CC84DRAFT_1192867 MNAIDASDHHERKDETVPPSLLAIVLDSNPHAWAHLSSTLPLAT ALANILVFINAHLASGNGNSVAVIASHSNKACFLYPTPTPASPQSRHTATNGDVDMNG TADNTSYSVQDNPNKYRPFANVETAILNNFAKLMEGTKESHLAATPTTLIGGALSLAL SYIAKVALANSPLTTESEEHMLAIADPEAARTSYVSLASRILIVSVSGDLANQYIPVM NSIFAAQRTRIPIDILKLAGDTVLLQQASDATGGIYMKPEQPEGLLQYLMMAFLPDPT ARRSLVMPSAGGVDFRAACFCHRKVVDIGYVCSVCLSIFCTADLPDNLCLTCGSYLSL RAAQSNPPALIPKKKKKKKRVGGTDSATPGGSTPAGSNTPMHA CC84DRAFT_1079176 MSVSAGQPGAVDGAVQLDTLTRKATQSPHRSDICCIFLHAGAGY HSHANEQIHLEACNDAAQVAMLILRNGGSALDAVEMAVKILEDREITNAGYGSNLAMD GVVECDASIVDHYGRSGAVGAVYQIKNPISLARLVLNHTTQSLTLRRVPPNLLVSQGA LQFAREMGVPEVPYDALVSPAAKERWIKWHQDLKVAERKARKNGLHPSCWRVREATTA EDEAEQERLRREHTRSMHGYPRSGPESPITFEQSLYYAMDDNSSTSPSDPSGPNSDIS IASLSGARIATPESVHSDPSPDLMPARPASIEESSRSAFINSTQKVPTLQRFRGPRAS GHSPMGLIAEDGVTMDASRAGFHARRSWGDGSGEESESASSAATMRLSKEEDIALLPD VLKDSEVTRPSRRGTPISGTAQSTNLMPTASVPLADRLDQEEDHITDTVGAVAVDGWG NIACAASSGGIGMKYRGRVGPAALVGVGTAVISKDPNDPDETCVATVTSGTGEHMATT MAATVCAERLYQSVKKQKGGEYAEATEDEALRSMIENEFMGHPSVKNSNSAGAIGILG VKKTRQGVMLYYGHNTDSFAMASMHSDEDAPWCTMSRNNGNGQIAQGGRMMRYQRKKK SKTTSIRPQFA CC84DRAFT_1160083 MASQTPAVVMDNGTGYSKLGFAGNDSPSFVFPTAIASKAPAGGA GGSGSGRPAVANKPSFLTGGAGPGGHLHAKRGTEDLDFFIGDEALSAAAGPGYGIHYP IRHGQIDNWDLMERFWSNSIFKYLRVEPEDHHFLLTEPPMNPPENRENTAEIMFESFN CAGLYIAVQAVLALAASWTSSKVHDRSLTGTVIDSGAGVTHVIPVAEGYVIGSSIKSV PIAGRDITNFVQSLLRDRGEPDSSLQTAERIKEEFCYVSPDIVKEFSRYDREPDYFKK HTVNHPNGKSVQVDVGYERFLAPEIFFNPEIYSSDFLTPLPNIVDTVIQSSPIDVRRG LYKNIVLSGGSTLYKDFGRRLQRDIRHLVDARIKASEARSGGAKSGGLDVQVITHKRQ RHGPWFGGSLLGQTPEFKSYCHTKAEYDEIGPSIVRRFALLGGPGST CC84DRAFT_1160084 MASSAANVAPIESFGEKRPAEETPLRSEEGSRGSYRFKNKRMRK GHAETSNILKTNGSNEEILLEDVKALLKSLNLEKDVSAELPELRSEVEVTIKELSSTG DGLGFQEGSASDQVYVVPFTAPGDTVTAKIFKHDQKDKISMADFVKVTKPSPHRDPSL VKCPYFASCSGCQFQMLPYDYQLAHKKTIVEKAYKNFSQLPPELIPTIGDTIGSPLQY GYRTKLTPHFDGPPDSRRSDGRNGIRRKFQEVPPIGFMKKGTRITIDIEDCPIGTEAI RNGNKRERKRVANELDKYHKGATLLLRETTERISKENYDYSEEHDPDAVIEDRGEHLH RKTCVTDPNAKSTEYIDDFQFVNPAGSFFQNNNSILPIFTQYIRDHILPPTSDHKVKH LIDAYSGSGLFTITLSSLFKSSLGIDISSSSIYSATHNAEINNLPASTAKFIAADASA LFASIDTDAAETVVMIDPPRKGCDESFLRQLVRYGPARIVYVSCNVHTQARDVGVLVG GMKGVDGGFGNGEGCYDIESICGFDFFPQTGHVEGVAVLRRKEAKH CC84DRAFT_1135859 MSVCRPVRCLFASAALPKPSAAPQRSFHASAPRAARKRRPHYPS IKAEDLKLLNQAAETHYPKYDTSETALLEKRYTPAQIAAIKAAETAIDSRDLLTQSSH RTDPWLLPYEDDLAEVDPVTDHAEKLDPSDIPGSHDFQDVNEEERDEAITFIANENLA KMFPDGLPEGELSEETQRQLQEAMGTAVTQALLDPRASFRVKNRHAAAALADPRHSIV MPDLPRIENRMVRQTRRLSSEEAEDPRQKRLLQYLNWDKQKLRSIKVKTLVVHGVTNQ TRMGKIRSMYYLTIAGNQAGLLGVGEGKSVEPDEGRKQSVMAAIRNMRPIPRYENRTT FGDLECKVGATKVQLFSRPPGFGLRVQHLIFEIARAAGLQDLAARTPRSRNKMNVIKA TWEALCNQKLPDEIARARGKKLVDVRKVYYGGSVH CC84DRAFT_1078259 MATDGPDQALSASQASYLPDAESLTPLEQEVLDEYARLLGNMNN MSALLAELAANPSAEILDALRGLERKTSLVFTLLKASVYSIVLNTQIREEDGGAEGQS MM CC84DRAFT_161343 MTQLFHSSTNNASAAMSEKRTFSGIPLSSNKQVNGSNSQVSQKI KAFFRINSSSSEKDRKPSDEGSSLSIPKNDSKSSFRQSRFIPHISRNRSTTVASEGNP LDDGVSPTAHANPYFVHQGPPALRHHNEGSVPPSPPDTPPMPKINAANGGDDQATTAG KEELARKLRRVASAPNAQGLFSKGNSSERPQTAELGKQPLVHHPNTSTLSMVETAVED PTHLIPADPTKGIPSPGQIRNSVAFRRTYSSNSIKVRNVEVGPGSFDKIKLIGKGDVG KVYLVREKKSSRLYAMKVLSKKEMIKRNKIKRALAEQEILATSNHPFIVTLYHSFQSE DHLYLCMEYCSGGEFFRALQTRPNKCVDEDAARFYAAEVTAALEYLHLMGFIYRDLKP ENILLHQSGHIMLSDFDLSKQSDPGGRPTMIVGRNGTSSSNLPTIDTKSCIANFRTNS FVGTEEYIAPEVIKGCGHTSAVDWWTLGILIYEMLYGTTPFKGKNRNATFANILRDDV PFAEGSGAPTVSGNCKSLIRKLLIKDELRRLGSRAGASDVKTHPFFRATSWALLRHMK PPIVPNQGRGIETPNFRNVKESQSVDIGAARTKGVPLDSGLATPGGEIADPFEEFNSV TLHHDGDDGHDHFDGDHLELQQSNSHR CC84DRAFT_1160087 MSTKPMRHTLLIHFLALAFMRLLPNITTTGREVWPYLSFHTCLG LVLHAPLLPNTAASPSVPRSVTLVMDRFGHNSTAW CC84DRAFT_1227494 MSYKVEELLALRDSVSESAVSIEKFADEDVIKEHVLRPSASATL AVKSSTKSLLSSATPALAPAAPVKKPSPSPSIKRGKAERLLKEHGSPPGMRVTAGGRI VPSDQPPVLNHRFINNGFKPPAARGVPLTDVMSAQSRLENNNGPRLEVVGSQPVLYVG DRVYALPALDATKSGASFLVSTSLESAPKPTEPVPGVSARSSLTGLPPGPQRVNTPSP LSGLDLSALKIQQTLKKQELRTVEQTEVLQASHQTEVWRASVIEKKRNLIIELDALRK QITALEVTENNTAPPVKSTGFASALGLDSESIPPPPFVPQFQQPMPSPLYGFPGTPSY PPMMMFPSSFGGYASIPATEPAPFVQNSLQPPQSPSSASRRSHAIQIKPPPEENKKLV SSALDPKSPTCEPTTKAATTGKGAVPPMPSPAKRSPGRINGGHESDLPGNRSLSQKPS LSSIDTTDFFPTNTHEHSSTRVAPQVNDSRSTTNETSVVPSTPEKNWPASPWNEGNSS RSGHKPVAKLTSWPEAFGKKPSSGSLQQLAAAPTSAAVQERMPPSSAEPLKPVCSGIT SGRKTTDQRFSTDDTWPLLSSKPASHLPSTYQEGFQAGYDHVGIPDSPDVLKGYIQGL VQFLHDENKRGRNNSLRGLVASSQPHDSAISMTFNRPDALVSGQENNRSTRVDGTMDM RKESAYHTPESPFMYTISNNAAQDQRTRNLTPKIYTNSTSTFDRVSPAYQQHAFLSTE NGMIIKESDRGSMSRAEAMKLGHTHRQFAGIQLTNRTHGPPMPLQWNNLTPKDFGIAA SGGGDSSFARPMANRRVSGFDGAMDDLAEMVVDTHITEQQQHQTPPPNLSFAAGSHRQ VAAPSSSASVEEEASCFRPSSGKGKQKMTSSPAKPGSDKRSDALGLSPTTTPDSPNKL GEHSPAKAKLEQVTNKFRRKKKDTRNLSPEQKKERANKWRERFGQLREEDAKTIHDYK QSHPSIAERR CC84DRAFT_1109609 MPTQASPQGPNIIRILVATDSHVGYNERDPVRKDDSWKSFHEVM CLAKEHDVDMVLHGGDLFHENKPSRMSMYHVTQSLRMNCLGDKPCELEMLSDASEIFG SVFDHVNYEDPNINVAIPVFAIHGNHDDPSGEGQYSALDLLQASGLVNYYGRTTEVDR IEVKPVLLQKGETKLALYGLSNVRDERLFHTWRNGEVKFYQPGAQTGDWFNLMTVHQN HVAHTPTSYLPENFLPEFIDLVIWGHEHECLIEPRLNPEMGFQVIQPGSSVATSLMPG EAVPKHVTIISIDTTQDKRKFWSEPIRLKSVRPFIMKDIVLQEQQEVIDQKLWRVSEN KNKISEIIATVVEEMIDQAQREWVELQDDRDEDEDIEIPLPLVRLRVEYTAPDRGEFQ IENPQRLSNRFQGRVANVNDVVMFHRKKKTATRIAKAIAELPDESAMAELSIDTVKVE QLVKEFLTAQSLSILPQNSFGDAVSQFVDKDDKHALENFVKESLESQQKYLLEDLNAD LDDLEDVIGNYKSQMEGEFAAGDTRRKRKTQTKPRPSGHDSDVDEHWADLPDAGVHAD DGQDEEEAPAVNRKLAGRGRGKVGTTRQTAAAAKKNSAAATKKGPAPRTTRGKKTFIA VEESEEDDDDDVIMVDEVYHELPEHPDSGSEEDLFVGQKKRATNKTTSSATRPKSPVK KTTASATRTKKTPATKQSTLNFSQTSTQRAAASRASRAIEEDEEEISDDEAFEPMPSS RATRKRY CC84DRAFT_1109610 MHPTRTLLSRSVWKGPNIVPLPIVRAAPGQKVVPIKTQARSATI LPNFVNQKFQIHNGKNYVDITITEDMVGHKLGEFAPTRKQFTYKQTKNK CC84DRAFT_1135875 MADNTQPPPNADTEVMDDLDAGVDAEIHPENSQLPDAMNLDGAN DTEAPQRNGVAAENPIEARIPAKKDATLREFLSKMDEFAPILPDAVTNYYLTRAGLPP PPQTSPHLARLLALATQKFIADIAADAYQFSRIRASNTTSNNPMGGLAGGAGPQGAAA PGGAQSGKDSSAKAKDLALGAPRAGFGGGGQGGGQGRTVLTMEDLGMAVGEYGVNIKR GEFYR CC84DRAFT_1135878 MSSSPVVPFSEPPYVAGLPSPYYKPTHLRWQKACREFISKNLLE NALQWDSEETVPEHVFETFAKAGMLIPSLPAPLPVEWLKRLGIHDILGAVKVEEFDYL HTMIYCDEMARSGLAGPSGSLTTGISFGVPPILKFGNKQLQDRFLPELLTGKKRTCIA ITEPEAGSDVANITTTATKTPDGKHYIVNGTKKWITNGIWSEYSSMAVRTGGPGPTGL SMLVVPLKGYPGVNMRRLKVGGQVSAGTTFIELDDVKVPVENLIGTEGAGMRYIMTNF NHERLTIAIGATRQARVALAAATEYILKREAFGKPLVEQPVVRQRLARCGAMVESQWA WVEQFAYQMTQLPKEVADIELGGLTAMAKAQAGIVLNECAQCAQLLFGGNGYTKSGQG ELVERIYREVPGIRIPGGSEDVMMDLGVRQLVKNFRNKTKAMERSKGSSKL CC84DRAFT_1160093 MSESSNSPPSQHEFQAPKRKAAQAGIDGHATGRSVKRRASKACQ CCRARKVRCNVTEHGAPCTNCRLDEVECIVSESRRKKKWSKDDEHQTESTNVSTAAAS RKLASRDTLDSVQPLTSAGTRRSLDAEAWHDEHVPHSIYQSHGNRLGLADLHRRMSVN SQTNGMPILPYSAFGGDSQRAVFGYTAPKLGVADLPLFIKPLPSKIGPDEVAYLEKKG ALTIPTGTLRSEMLRAYIEFVHPYMPLLELRDFLMTIDQADGSLGKVSLILFQAVMFA GSAFIDMHYLRAAGYATRKEARKDFFQKTRILYDFDYESDRVSLVQALLLLTYYYETP DDQKDTWHWMGVATSVAHTIGLHRNPDKTHMDSKRTKLWKRIWWSTYMRDRLIALGMR RPTRIKSEDFDVPMLTLDDFEISAIPDSVTCVPADCRAARDVGLQRQLAIMCIEKARL CLCISHVLSKQYCVLNNNQGLQNDRTTMMLLPKKLDPETSEVKACDEELQNWVKDLAE EAKYSDDFTGENSLDVNRALLHMVFFTTLSALHRPQVLPSSHGGPASVPVKANVASDL LDVSRRNVRRAASAITSIAQRLDQADLVKYLPTTGVTVLLPAIIIHLLDIKAPEEDTR HASLRGFCQCMAVMGKLRDLYAAADYSTAFLEAAIRKAGIHIAPTTVQTPGVDNATSP LGLKTSPVTSVEDLVNAGRRLDMQAVSNPAITASQQLQRSTLTPPPDNGTTNLLMLEK SAAQNNVTDEEVARRLETFLASTPPESDHDHDQIPAITIAEDPAPTAAPAEATPFNKW SLMDLPPNPFNNNINHHQDNEMLFSSLPISHDFEDGEFDSLLNLDAVGETFTFDDTML EGVDWMETTTGQIEETTAKA CC84DRAFT_1212329 MANENAPFDPYESIRYIPARYNYEDSDNSALSLVLTLRPEWRET KDSVEFVRFTDGITNTLLKAINKQPGLSQAQIDNEAVLLRAYGKDTEVLIDRKKETSS HCLLARHGLAPALLARFDNGLLYKYLQGNVCSPADLRKPEVWRGVAQRLGQWHAELPI NSISTTCPTPTQIAPSKTKRASLVAMADLTPGKPIPNVWTTMQRWILELPISTTQQLE RRTLLQKELQWLVARLGDTPGVGGTNPFVFAHCDLLSGNVIIEPAPSSASASRRSSAS GGSEENEPPATVSFIDYEYATPAPASFDIANHFAEWGGFDCDFTVLPTRHVRRAFLRE YLYSFSMHMNRVFKESELDDLFDQVDAFRGVPGFYWGIWALIQAKISLIDFDYASYAE VRLGEYWAWKREMNGERAAAGEQVPVRERRWAQDE CC84DRAFT_1160096 MIWYLLYPLRGTSEPAELSPTHPIRRAFKAYGTATARHWLLSII LTVIVSVLLCYPAVFQTDSPAAAGLRNLPKHVWTSTTEVGSDRAADVEARQVWVHGDY MKAIDRRVLKVALDVQDALIGDGFDEVLGTPPESKSARAHSEEHCAATKPGQRWGFHS PLMYWNCSHKLLDEDSDLLATINSHAQLQSALNITLRPSTVFAGKSFAKTKLRSADAI VITLFDQTAAGLGDSWDKRSGLLVQNLAPEWCMIPHDGQVATSRLYEFRFKPMTLNDD LFLAASYMVTAAYVVMRMMQLRAVKSWFGLLITIGAKMTVCVIGSFTICSFLGINLAR IPRPWFPGVVFCFGLGNIFRLINVVLETPAEMPSPQRIGHALGQVGHLSLAVAGQNLI LIYLCSRIVTPWVADFCIFAAVTLILDLAFHLTFFLAVLSVDVQRMELSDSLERIDLN HRAAKSRRAERQTWLSALREGTLPVSTRFAGSVAMLSFLLAINWHFFDSNGRKLTVHT LKERMFRRQEKLDVVSTWTLPSINQARTPADWLRIQDHNTAKELFGFIKPGAHSFVAR IYDPILIVSKNATGRDVGQKASSLTESFRHFARGHAYSAALMVAFLIAGVTLLMNYLL WTGLPEGFGEEEEDEDAFFNVETLSAVQALDIVQLTSSPKGHIASVSLDRTTSIWFHD QNTFRQTTLQTSAMKPKLWPIIASTMDDAGDLLALCSTDGVIGLWSLTAARFSTVQTI DLRGQAPILLTFANVPRSGQDLFTLLLVTPDGHLTEFETRGGFHHTRRICKRTILCAT IYTSRKGEVGLVFVTITGEVHILALDGSQDKTSEVVAGLDPGPPPGSNPLKIRCIEAV PSLGMIFALRDEEAEIFDFNSRGLVHALRIGHAKPHSFRVMHSALRQCACGAPAVHSL SVAYTEEESDHLIMQTFTLDDCPTSLICLGKPSDNDSHNCQSLASANDSVHIVEPAGA WEAISSLGLVGIRRCDSAPTPSLVASNTDYGFPAPSALASALHERAVRHSELFQSAIT ATSTSPPTHNTDIDGWQAWALSTSGEFRSRPLGSGSVNDADELLGDNQLFVAAAGPII KLGKRSVAVGFGNTIKIITLGKETFDGSSTGVDLGAAFHKSKTRRGPGRKLR CC84DRAFT_1160097 MAKTKPQDRARKAKKDAKNGAADGAKKPKTSPETLLVQAAALLQ TSQPDEALVAAKRALNLLQPTSEPTLAALPALNLLGEINVDLGDPDSAREAFLAAIAL DTEGVHDGAEKFLWLAQLNEEGGAESVRWFEKGIEVLKREISELEGKIVKQAEITEAL AEKKQKIANALCGIAEVYMTDLSWEAEAESRCEAAVTEALLVAPETPEPLQTLASIRI SQLRVEDAKAALTRSMALWTDLEADNPSIPDYSTRISLSRLLMEAEMEDEAIEVLERL IGENDESVEAWYLGGWCLNLIAEKQKANNDMERIVPLLKASRDWLENCLKLYAAFEYE DERLKAHADELLKELYGAVGPSTSEEEEEEEEEEEEEGEDWEDADDDAEMDGT CC84DRAFT_1160098 MGVEEQKEEREILDSIYPDEITDISDTEFRIAIQLEVTNDEGDD SEPPTIILNVRFTPNYPDEAPDLDITQPPNAPKHPYLDIQDDKAVLLSSLTEPITDNL GMQMVFTLVTTLKDSAELLITERQGAKAALAEIEAQKAEEEENKKFQGEAVTRESFLQ WREEFQRELAEEEQKKKDEQEAEDKKKGRKEEKKLTGRELWERGLVGKIEEDEGEDAL AGIKDLKIEAAS CC84DRAFT_1135894 MPGFLQPKLYIQHRVAAIALYRALLSRCSSANALQNEERTLLHN AIRNKFRQNRKLQSSRQLGLVFKAGYEVLSHLDASQTLNSKSVDALKTLIPSLPRRIV RSSSRRRHTKPQLDPSAKDHGAVLPPEKALLNVRPYAKTNGPRHVPILASANGIPFLR IKKPQPPVLSRVIRQALQRRIDNFHDRILFLNYWIPLSNHEDEWDAILKRECGFVEKD TRKYGTFDPLWVYQVNIAEQANRAAHEKDIKKATETATRMTELIDKETELAIQEGQKI VRGRKTKPIRDRWLK CC84DRAFT_1078313 MGAKVPRNFRLLEELEKGEKGLGAEACSYGLDNGDDLLMSDWNG TILGPPHSVHENRIYSVKIHCGPNYPDLPPEISFTSKVNLPCVDQRNGKVDPTKVPCL AQWKRDFTMETILIELRRYMALPQHKKLPQPPEGSTY CC84DRAFT_1079281 MTAQILAAELGNLIQDSKRKNAELKNAAEKALHDLKSLPVTSEA QLSAGKFYCHDVQLKILQILPSLVQNYPTEVRSDALATVLQTCSALQNAKNFAVSNTA AATLQQLVIAVFDRVVIEDEKSLEIPAVSDVPADDGTVSVRPAAHDALKVFNDLNLLL TGEKTTFVRFSPLPSTTTLEVIEAILSNHAKIMTTHAEHTHVLRSLLMPTIIRSLSDR LSFPITLRIIRILNLLIRNHLTIMPSECEIALGLLNHMLDPEASSSWKRALCLEVFRG IYSDSRLLLQIYSHFDEQEGKKNIFGDNLASFVRLATEKPAVIGLGQQSSLPANRNDG KDIASDQAVAEAGALAGMIGGPTNENSGNNHHAGISSQWSNLKTPCIEHLDKAEPPPM PETYIYSLVLTCITNVSESLAKFVLPLTVHHETKSKRKTKAEEYVTPGGDPASPPSSS RKLSRTQSFRKKTIPVNPLSLEEHPAYAYIQTSATLVTECWPAILATCSTFLNAALDA DYYRALVRAIQKVTQVAGLLVLPTPRDAFLTTLAKAAVPSSMVMANVTSPKLPPAEQT GSKGLLNVDSLVSQAASALDKNRRPSHEVNHLPMLGARNLLCLRALLNLAIALGPTLH SAWSIVFETLQVADLVMAYSSQGGQRTPVSGARTEADISEKIENETSAVQSAARRLFE STADFPNESFVEVLQALCGLIQTSSDNTPSGEQTPSNAVRPKVLHQRRLGSVSGMSLS TDANSRDSAFALNKIGELAGLNEERLASYLPDDSGWDVLVTELVHYSANHRNATQTRL LAADILCRTVKDIAQSSIPDEEREEVQVRILSALETQISSLHQNDNEDGSFSDADIRI HQIALAALKSVIEQCGESLIAGWVSVFDSLLSVFSANSASEGNNTTSTELALIPVAVE VISKTLARTAFGTVQLICSDFLAVVPDTSLPTLLELLLKFSCQQEDLNMSLTAVTFFW NVSDFLHARSDLSQLPSIADVSANGDDVQQTIKLKSKEGIAPALWLQVLVDLSTITTD RRAELRNSAIHTIQRIFENYVDQLSPDAWMLCLRSVLFGMVNANLSVQIRIRTDALNT DDEVAAWNGTTKTVLHSISKSISMYMDKVEDATSLGLAWADLLDLLQKYFDCGSHALG ASVFNTITEVLSRAGNAQAVGTMPLLKTATVWKTYFERREMWHNNSEDNQEAFIAYAA AFKAIYRLADKFLKSEDLIQMLRNLEACVVASDDIPYSSDVDNMTALQSQVIECFSLV HTESEGLPEYLLRTLSSFVTLPYSRKPEQPGPTFVALAKESMALLHTIVTKHVSDHEI YTDGALLAVLTSLEKPVREKYLWQREGKPPTLWQKATLTAVAVLETTLSHIEELEGEA LQPTWTQITAIINGVIRAQLSIYPSTLQKDETFDISAFTQLKSLVTLPLGSPSLPDAL RRTHTRNLFETSLLHAPFDDEIPDLASSPLEDLYKVRLGRTDAPTPTFRPDMSYTALS ELFALVAHQDSHAEDRPARVKLAQAAAPYLILRCALPLRAYIADHPLRGRMPQPESQR QELLFVLRELGKLKSEPASIPDAEGVKSKFGKHLHRLYPLFIRALGVSRGDGEVFSGL VKLVDRVGGEFGVDDE CC84DRAFT_1109641 MGRRPNQLILEFFERGPKLEDASNRYQHTCKSCGEKFPKGRIDS LTNHLVKKCPALPLRDRQRALLQIHELPDLPALAPPATGPMHAAQAMNLPFSPSKQGL SALETLAEVSRQHLNLSGKRAAPKQPQDPPAQQQQSPQSQQPHHHHHLQQQHSQAHVG TLQDHHQGLFEEYLVHDDRPESDLSALSQGLDMPHAPALPSIYQFNGPLHHSPTGSPH IGGMPMPSSAPMAQMVPSLVMAASAANDLLPLNSGGLTLEPELNMNMNNPAMSVFQNR PGQWHNSIDPLLPEQANTKQHVAIDENAPKTPSHPRPIAINPNGTQSHFGTDFSVSHK SVKPKVRGRFTDTRRKEVQEVRKRGACIRCRMLKKPCSGDNPCNTCQNVESARLWKQP CIRTRIADEFNVYSAGLHAVLSFHAVSQAKGQIRLNQIPGRIEATHYPDSGIVATFTP LKCLTPTDIDPAILAAVTASSLEIIDTEDDISGKLDLYVKQIVPSFFESEDSTFMRST INTACSVNSTNQDGLLTKALELWNLTRILNSPSLHWHFFSNPSLAPTMAPPTITPSDL ETINRTPISKAHNQLSYNLIKSQLMGATEKRAACLARTVMNDLERRLLQRQQANPFET FLVAVVLLACVERMCWLFRTWEEQHEQSSSSTGNTNQTSADETLAQSQQSSLADDFSH SYRNPRWPLDKPPAYYSQQGERFSDILFMLLKMRSVPPRPEPRSTDGVLTIFGEAGHE QSREWYEAVGVTHELLAERRDARFVGEDPKEWELKYVGKIISGL CC84DRAFT_161741 MSRPTEPGADLPTELPFIVSFDTTKVDHATRKLIRSHVMRGRNA KRAKGKSSSTLGLAQNPANRLRRSQVELNDLQKLYTAPSPERIDARLYFIGFPDDVDP RLFRDMDQGQYRSAIDFNFTDSAKVSGVALQILFPLLAEVGFEHDKLPWLHPSARDPV ALYIGAFATQSFIDRVLRQQPESNVNQVSMLHLQKGLELLRERLRGTDSEAKISDATI GAVLDLATAALFHGDADTAKQHIRGLGKMIELRGGLLALEMNPGFLMEILRCDLSIAL VTGTDPVFCCGAGETTHRFPNQMMSSFDVIPNPEFLYVLSPDLAEVWLAVRKFCLLVS LAAQTRSQFHPSTIYRAMTSAMYRLLHMSFVMGSWDETVRLGLLVYTHHVFLQGQRVK LPWNPLSQAYRTHLQVCEVRPAAQRPPSQVALWLLMVGAISIFNVSEEDWLGEGLKRW TKKCHVGSWKDLQGVLKSCMWIPVLDDRIGQQTYNHHIVQRVVV CC84DRAFT_1160102 MEPSSPMRPATQSVLDSTEPEIFSPEEEKALLEESNTEKANANK GFTSGEYNSAIQGYEKALAVCPTYLEYDIAVLRSNIAACHLKLEEWKQAVESATQALE ALDAIDPPASTADKDGNTDDTGEGKVGEVDDATEVRITALTRTGKTINDVHKLRTKAL LRRAKARQQVGGWSSLQGALEDYQALSKPPHQLSSLDRKTVQTALKELPPRLDDAKNT ELADMMGKLKQLGNGILKPFGLSTDNFQFTKDESSGGYSMNFNQGGK CC84DRAFT_161796 MDGLLINSEDLYTEVTNTLLARYDRPSLPWSVKAQLQGRPGAQA YEIFRAWAQLPIPVEQFQKEQQELQMELFPRTSWLPGVVELLEGLQERGVHMALATSS HRFGYDLKTGHLKEVFDTYFKDEHKVLGDDKRIPPGRGKPAPDIYLLALSTLNKTLEE RGEKPLQPEECLVFEDSVPGVESGRRAGCQVVWCPHPGLLNEYKGKEKEVLAGLTGEH KEDEEQQLERTEVEVEEGKRKVGLPGEVDDGFGRLHDTLENFPFDSYGIKSPAA CC84DRAFT_1135911 METIRGLLWKPTPEEQKRKCNALVRQNVRKLDRDIMQLKQTEAK TKNFILQSSKRAQKNPSMAKQANQDVRTFARELVRVRKQSSRLQTSKAQLNSVQMQVN EAFSVRKIEGSIRASTGIMKDVNTLVRLPELTGTMRELSSELMKAGIIEEMVGDTLDD SALMEGEDEEAEGEVDKVLSEILKDRLPPSKAAEEALPAAPVEAEEEEEDNEEMLRQM RNRLQALQS CC84DRAFT_161759 MQSIAEHNGWERGAGQGDAELYGRVHLIDRLPVDVRRLKRRLRA HARCFTGEGSVHGQPRNRSLRFGERASWPKRSDVGVRGAGRRSPPATGHQRLCENWSP SPPAAAKHLQLVQDCDFACKLHKQTSLQLDKATIGCGAEFLHSFHYFPPASPALVYYL QLLRISSPSTQP CC84DRAFT_1183384 MSTITPEVTWAQRSSTSEPEKNYIFLTIVATDVPESDKQLDLQP TKLIFKGTSTSKKVTYALELEFFAEIDPKESKIHHTGRDIELVLRKKELKEEFWPRLL KDNKKMHFLKTNFDKWVDEDEQDEAPDDEDYMSKMNPMGGGGEGGFGGIDFSKLGAAQ AAGGLPGMEGLEGEGESSDDEDDDDMPALESDDKAEASSSSKKIEEVS CC84DRAFT_1077815 MHESGRQETEGIPTVATSPLELATQRPISRISLTFDASQTVQED EPSTTSNRPNVRIPKWKLISLYVSICAGLFLAFLDTSIVATALYTIGEEFHSLSKINW IALSYTLAYLGCTAIFASLSDVVGRRNAYIAASTLFIAFSLGCGWAQDLNQLIAFRTL QGIGGSGLYSIGFIILPEISSVKMAQMIGALAGGVIAMSGILGPILGGIITNYTTWKW IFWINGPIAIGPSILFVLAWPNEKQLPPIELRPFRQMDFLGCFLLIAAIVPFVFAFQE AGIHILLNRQVWNSAIFVAPLVVGIMCWIALFGWEYIVSIHRSASINALFPLRLAKSR VYLSAVATTMMSGFPYFVVIYSLPTHFQVVNERSALVSGIALLPLLGTSAIGTTLAGA FSMKKNNTFPTMMTGAALMLIGTAALSTLGSETDTEAKAYGLQVFVGLGFGLIISTSS MIASLESEIRDNAVAQGLVAQVRVLGGSIGIAASSVILSIKQRQMLLESGLLTPSQLQ SLRNAMSTLSAGEVRVVKQAYTDAFDETLVVCSIFSGLCVLVALGSWRRHPLSIREMR EEQFRKEAIRQRALTELRIPENAKGPKDGSMA CC84DRAFT_161926 MTTAAPVGLLPSAIVLQSIVACPLKDREPLAAKLGKFEKKLFPS SEAFDYGVELKKKNIGIILAFKEGTQELVGYLVYQRQKSLAWLHKLATIEQERRKGIA KSLVGFLCQQMKKGGCRTIVLWVDEARSPARALYASCGFQQIERLTDYYGPGRTALKL ELNVAEC CC84DRAFT_1255022 MNWLGTPTSAVRGFGHEVDGGATEGATRQGSVLPYHFFRNFSSN SGVLDFRNTSVPYDPSFQLLSNASFVVFDQQRGLHYLGSPTNDFVFKVSDAVHEAPVY VSTQNKLYISQLAPPTGFLPQLVVDLNPSIPTLSEYLPNPPVYAPNGGTSYGNGTLIL FAASGGNSSIGDPPTEQRVSLRVLDPTTNQSTVLLNNYFGSYFNNMDDVVVHPSTGYI YFTDPYYSWYNALTDTAPQLPTASYRFDPTTGATFLIDDTLLQPNGIAISPNADTLYI SDTGAVQGSADPNAAAQYRGTTFNATRPATIYAFDLANNGTKVSNKRSFYLSSSWVPD GLKVSREGLLLTGAGRGVDVIDDVGRLLFRIQTNYTVQNFAFVGEDLRELWIMGNGGI SRVRGLNIQGQKLT CC84DRAFT_1255023 MLSLNTKGLLNGRVRGRSLTIMVCFAASSGFFLLGYDQGVMSGT ITEPIFLHIFPGMEPKNKSGAIQALVVAIYEIGCLIGAGGIIAFGDKIRRRAWLGTEY FIAACVTLLVVDRFGRRNLMMENLRISLQSVSFHTGT CC84DRAFT_1183387 MGAKTPDGHQQGPNESAAHSTIASINPPKPAAASGLLQGTLEGE DEDGDDDDAKCGADGEVTDAKEGQKKKRKRNKKKKGKVPVHQTIPPRVALSNLFPDMQ FPAGEVVNRVVEENLQRTTAEEIRHQAILDDMDDEFLSDYRKAAEVHRQVRKHVQTIA KPGITMTELAQEIEDGVRALVGHPGLETGDALRAGMGFPTGLCLNHIAAHWTPNFGNK EVVLKNDDVLKIDFGVHVGGRIVDSAFTLAFNPVYDPLLEAVRATTNTGLKEAGVDAR MDHLSEVMQEVMESHEVEIEGKTVPVKAVRNITGHNILRYKIHGDKQVPFYKTKTNQR MEEGDIFAIETFGTTGKARMMDDIGIYGYGRNQNVSTAGLHHASAKSLLKTIDANFGS IVFAKRYLERIGVKNYHLGMRSLVQHGVVESYAPLVDIPGSYVAQFEHTVLLRPNCKE IVSRGDDY CC84DRAFT_1201749 MASVRSLRLITAAALLIVSAADPDSICYSYGVDYVDEGSYFINR NSSESFTCVSTFQGCNKGPDPAEVLFVDPNNEEYLCSAVKTTPDDTPMLSTCPFTKNE MISGHNIILVIGNNDDGEPFAWQRDIYLTVGTQVTTTYTPTVTYIITSTPVVTLTTTS TLGVTSTVKNTHIVTLPSATAKKTQSITPPAVFMTRTKTITRTRRAWTKQLSIKTETS TATCITPAPWSHKQDKPCWYSPTLVHPAALETPTASPNQHRNIHKSDRAASIAYARAR IEAAKARRDQKAKAAQFDKRSPNVTTTTVNATLPVNATITITGSPITNTESTAISTTA TITLPPLTVYSGRYTPVTTLPTPTKTRLSFVYTTTTTTKTIRATFTKTVVVTPAASLK ACRKQGGHYWNGRD CC84DRAFT_1212345 MASRHADRTRYDERAPRRDRDDRRDTRDRDDRRHYEDERSRNDR RRDRDRDRDRDSYRRSRSPRRDRDRDRSRDRAHGSSYRGSRDDRRSGHDDRWERHDRR DDHRRSDDRARHSLSATPGADPRSSSRANDIRQEKNKRADEKPKLDEDAKKAERLARV EAWKKKKAQAQTDSPAGGSSPAPATPATPTAPSAPSTSAAEQAPAKATAPSKLGAEKK PKQVKAKEPFKLAETAAPAPFAKINAAPSNGAAVSSRAGLASKPNGNIGSFGNKAKLE EEAAKPAKKAFLDDGESTGKRSLQALPDFTPLDQAPDAPDAEEDDVMDDMDSPEGDNA AEIQAQLEKRRAEMANEDAQMKEVTEEESAEKMDVDEVAGVQEDDIDPLDAFMAGLSE TQPSRGRPQGQTMFDEDHEPDLTAVEGEDLLALAATKKRKKEVPITDHSKVEYEPFRK NFYTEPTEIANMTEEEVRNLRFELDGIIVKGANVPRPVTKWAQMGLLQQTMDVFGKLR FTKPTPIQAQAIPMAESGLDFIGVAKTGSGKTLAFGIPMIRHILDQQPLKPSDGPIAL VLAPTRELSDQIGKELRPFLKASNLHIACAYGGSPISEQIAMIKRGGIHVLCATPGRL IDLLQSNSGRVLNLRRVTYLILDEADRMFDMGFEPQVMKIMANIRPDRQTILFSATMP KNINALAKKGLTNPAEVTIGGRSVVTKDVHQVVAIVPPGHDPKIKELLRQLGLLFSKD ENAQILVFVERQETAEDLLHKLMKAKYVGVNTIHGAKDQTDRSEAINDFKQGVLPILI ATSVAARGLDVPNLAMVFNYDCPTHLEDYVHRCGRTGRAGNKGTAITLVQIPGEERFA MHVARALRDSGQDVPEDLEKISKDFKAKIKAGEEKWFDPGFGGKGLDKLDAARAMEKR REKRAHHIEGEDISDDEPELPALKNLPEVVSATTAAGKDPEPAADEPAWKRLLTSKIV VNKTERPAATGPTKPMTAKERALAAASKVDGRLSKKGMIHHGQPIDNKGPDAGAFHST IEINDFPQKARWAVTNRTNVVKILDTTGVSITTKGNFYPPGKEPGENDLPKLYILVEG DNENVVTDAMMEITRLLKEATMSADDAPRGATGRYSVV CC84DRAFT_1160110 MAPNPRKRKAVTRDVPATSDSDEELADGLLDGILSHSEGESDAD SEDDAESDASSVIEGLSDEDEEEDDENGAFSDTDPEDGEQGIREQMRKLNTRDTSVRL NGVDQALDLDSRPQADAELDEQAGENLKPNYTVTTDANGNPRYLYKEIDPVYDSDDSD APETTNTIGDIPLTYYDEYPHIGYDINGRKIMRPAKGEALDALLDQIDIPKGWTGLTD PATGKPLNLSEEELDVLKRLTRNEVVEDGYDPYPEMVHYFSGKKEIMPLSAAPEPKRR FVPSKHEAKRVMKIVKAIREGRIQPYKPPSEEEEEEERVYYDVWADEKPRPENSMHLA APKLPPPGYDASYHPPPEYLPDKNEEAAWLAADEEDREKDYLPKNYEALRKVPGYERF VKERFERSLDLYLAPRVRRNKLNIDPESLLPKLPNPEDLKPFPTTCAAIFRGQEGRVR SVSFDPHGIFVASGGDDGYVRIWELLTGRQVWNVRLSDEDAVDAVKWRPTKDASILAA AAGESVYLIVPYSILSPDVEQASRDILDAGWGYAASKPTTTTNGVTKEPPGKWARPGS KFESKGVLVQATVRSMVKIINWHRKGDYFATVSPRGQSSAVAIHTLSKHLTQLPFRRL KGIAQTAQFHPSKAIFFVATRNTIRSYDLAKQELVKILQPGAKWISSIDVHPGGDNII VGTYDKRLLWHDLDLSTKPYKTLRFHQEAIRAVRFHQGGLPLFADASDDGSLQIFHGK VVGDLMENATIVPLKVLKGHKVKSRLGVMDVDWHPREPWCVSAGADGTCRLWS CC84DRAFT_1160111 MSAPAGQRPIMPRPSFPPTPAPSTDITGKTSALAGPLDTTHFAL PPAAIGSARGSTTEPSSTASNASDSSYRPLSPASPSATSKPPPASRKRPSSSQSVVTK DDYSLPPPPTRSRKIIQMKPKESQEQPKTAASPASAAKSAPAGGAGAAGAAGGKRKQS GNTTAAGRKIARKTAHSLIERRRRSKMNEEFGVLKDMIPACEGQEMHKLAILQASIEY MRYLQQCVDDLKAAHRPRRASPTPASPQQERSPLAVATAAAEEDDDDDEDEDEEDDQD EEMSDAVSPKHTAHGPRTANYQFANASPAIYPSDRSVYSTTTSPALRPGDVQNYSANM SPALQPSDPHHYSLSSSIRSTATSPLIQASPAFGGQTPSQAQFQNPFPTAPSSKNSLS GPAGGFALTSPALGPQADREDHEATEALLMLNTDRRSWHGSNGGQGGGRGMSVRDLLT G CC84DRAFT_162131 MSLAGETAAPSRESSEYEQKDADRDIENGAMPEEEVEKEPTKTE DPHNDIGSELEKHLSRKSTRKQQLAPEILPLMDLQNNLVGWDSQDDPLHPRNFAENRK WFIMALLSAITFLCPLTSSIFAPAVSFMNADFHNTSQLLGAFAVSVFVLGFAVGPLIL GPLSEIYGRRVVLNGANVVFCAFDLGCALAPNLNGLIVMRFFGGVGGSACLTVGSGVI ADLFPTEQRGKAMAFYSTGILFGPVLGPILGGFIAQQAGWRWVFWVVFIASFLIATGL FILYRETNHVVLLDWKTAKLRKEMNRPELQNIMTHQKDAGARSAATVLKQGVIRPLKL LTRSPIVFFLSIYVAFIFGLLYLLFTTITEVYIATYGWSPQICGLAYLGLGVGFFIGL VVVARTSDATIIKLTKKNNNVYEPEYRLAPCLGFGFFIPISFFWYGWCTYYKVHWIVP IIGLIPFGFGSMGVFMPIQTYMVDSYPQYAASAMAAMTCVRMLFGAVLPLAGPSMYRS LGLGWGNSLLGFVAVGMIPAPALIFKYGTQLRKRFPLNL CC84DRAFT_1160113 MSSQVILYDLPSKQGTSWSLNPWKPRMILNYKSISYTTQWVEYP DLAPTLSSLGIPPNPPHRNPVPYSSPAIKHHNSTLEMDSWVIAHTLEKLHPTPSLNID DPVNTQVRDLVTALSNPIRPFVIPKVPAILSPRSASYFLETREVRFGKPLSRVLAEDA TEKCWEEADEAAAEIGKLLRKDASGPFFLGARVSYADFIFVAYLKFLETVDREVFERV VGLDEGFKGVYEACKEWLKRDN CC84DRAFT_1183394 MDPPSPQQTVQGLGNVLVIGGCGLLGHHIVKFLVENGKPSDGNF VFDLSSVFARCNIVGVQIIANCAQEQGIPVLVHLSSSEEDLVNGSVYAKTKAIEEGLV IPANRQRSLLTTAIRLCTLVREEDRVLTRQFIELGRKGTIKSQVRHGKNMDDFIYAGN AAEGHLIAAQALLHTASSDASIPANKHVDGEAFNMTNDEPWPFWEAARFVSNTTGFPM AEHEVWKIPMELVYFFMAIWEAIYWVFMLGGEPEVKARVLRYTQQVRTFDITKAREGL GFEPRVTLEERFRRGVNWHREQDKQKAKSL CC84DRAFT_1160116 MSFTRENHNNPGVKGLPYFTPDHAVSPGTPSAKNTGPVPTLFKP LSIRGSTLRNRILVAPMCQYSTAAFGPETGSLTDYHIATLGHYALKGAALIFIEATGV QPNGRISPNCPGLWSDAQIPALRRVSDFIKSQGALSGIQLAHAGRKGSTAAPWTTSSK PGSKVSARAGADVGGWPKDVVGPMGGEEWTWDGKPSTDPSGGFWAPRGLTEEDISQLV QDWASAAERAVKAGVDVIEIHGAHGYLVHQFLSPISNRRTDKYGGGFEGRTRLLIEII TAIRKVIPETMPLFLRISATEWHETSELGKQHGSWDVESSIRLAKLLPELGVDLLDVS SAGNNPQAKIPMHNNYQVAIADRIRAAVKADGKKLLIGAVGLITEAEQARDILEEGGA SRAGNGADGGDADIDREVKAAVQMTESEGGGAPKADVILVARQFMREPEWVLRVAHKL GVDIAWPSQFLRVRFPKL CC84DRAFT_1160117 MALPLPPNSHLQAVLLVTKSRSLGPRLVFHYPPISPSAAALLAT KAPAWFGNDTTTASLDESTASSSDWDSSTEADGADDDCEAGSRASAGRASGRTGASAS HRDREKARPAAGAWGRQESFDEDDGDQPPAGGSGRRAQPDKDGKHAGGDRDWDTVLGF KVDALEKMLCPTKAFNKRRFELGVESVVFVGAPMFVRDDGLWKKRKKRRKPSPDGLLE GDVLAHLTTGADDHRDAKPAKKDIFVLPPGFEAGYGHDMDSAVPSPAASDFGSDVKSD STTNNTPDMSMFNVVFVLNPPALEHQMRVKDMYDNVTKKYAKALKYEEARFQYVWKES KRIIDIKQRAKENGESLTATWKNIVSTSPLAKSLATMFDAISSDKIAHIHFDASFNTS FQVPQADSTPYLPNAMEPQMPGLWLTTSNVVVDDDHDAPMTQHAALLLLQDPEVILKD LQGEKANAAALSFYIRTIVPTKSLLKISIKHNILAQDMEYIASHLVYWRRARLITPLH PRDTYIVSPNADLSNLQAAMVAYAQRFPTLPSLPKMLSLLSQKPKEYRYLIPTAEHRD AYMDILAWLMRGGWVTQLRTFAWVRVSPDIKAQVAADMEREERLKKDQQDNESLAGSL RSDKRSSFLSTGTVVGGPRPGTPLRRTRRDIEGDEEMDASTILSPRMAATSSGPGWRG SPARASSDTGSTSSARTTIPYNAIGRPTSPLQLSSPLPPSTTKPPHRPSPLHLKSPSP SRPPPFSPTSPTHIANQPPLSPPPVSEHFTASLILSPQRANAVEARWLEAIGKSFTDA DLRAHWSTLLKYFDGKHAIEDISPREGFKRKRVSAMMQGIREGGWLAVVRHW CC84DRAFT_1080632 MYIAVLSFIICSAVMLAGQGLYNYGLCFGATWICLILYTVAKFV IYVFLVERIHVVRAPFVRRSRDWLYLSCLVLMSVSFLAVAINAYLSPIITMEADVGRC HMGIPGKASIPFMSVDIVVDVALTGVFVYLLRPAVKMHGLNKMNHVFKVPKSQMLGVA KELHDTTVRKNIRTLLWKSLIGSLLIMIPTVANMIQFYVMHGRELALVCLALCTIDGK CC84DRAFT_1135956 MEYEPLPGPPGLPIIGNLGDIDAENPMQSFIRLSDTYGEIWKFH LAGERIVIASQALMNEISDETRFSKIIAASLNEVRNGTHDGLFTAKGPEEKNWGIAHR VLLPALGPLAIRNMFDEMHDIASQLVMKWARQGPEQLIQVTDDFTRLTLDTIALCAMD YRFNSYYSEHMHPFIQAMADFLKVSGDRARRDPITQMFYRSETAQYWENVQLLRKVSQ EVIDKRKQNPTQKKDLLNGMLNGVDPKTGEKMNEESIIDNMITFLIAGHETTSGLLSF TFYYLLKSPKSYEKAQKEVDEVIGKNPITVDHLTKLPYLNAVLRESIRLQPTAPAIGL QAKEDTTLGGKYECKKGVPIVAVLPKVHRDPIVYGEDAEEFRPERMLDEEFERRNNKF PNCWKPFGNGMRACIGRPFAWQEALLVLAILLQNFNFTMEDPSYQLEIKQTLTIKPKG FYMRAHLRNHMSPTTLERALASTSISEHAGEKKAQGSKKKAAQGKPMAVYYGSNTGTC EALANRLASDAPNHGFSAVVDTLDAVKENLPKDRPIAIITASYEGQPADNAAHFVAWL NNLTGSELTDVQYSVFGCGHRDWASTFHKIPKALDKAIEERGGTRIADIGTADASKGD IFTEFEAWEEKVFWPAVKERYGASDSSEFTPFEANFEIEVSTPRSSMLRQDVREARVE AVEVLSAPGTPGKRHIEISLPSGTSYSAGDYLAILPLNPKENIARAMRYFGLPWDSML TITSSSPTTLPVDVPISAIDVLGAYVELAQPATKRDITALVEVASDEAAKSELVRLAT DDFTAEITEKRVSVLDLLERFPSVSLPLGPFLKMLPPMRVRQYSISSSPLWNPNNVTL TYAVVDQPAYSGQGRFVGVATNYLSSLAVGDKLHVAVRSSHQAFHLPKDGKNVPVIMI AAGTGLAPFRGFIQERAAQIAAGRTLAPAVLFYGCRDPSNDLLYPDLLSRWEKLGAVS LRYAFSRAPSSSEGCKYVQDRLYHDSKEVVELFDAGAKLFVCGSREVGKGVEDVCLKI AREERVRKKGEDVDEGRLREWFEGLRNERFATDVFA CC84DRAFT_1160119 MWFSATVLVVNFVVGAVAHGRGGWDWVHGLPSCWEDCLDNSGCD SKSCICESSQDGDYLTSAVECAVRSCNADGLNVALSFLAPLQMYCKATRNEIPDEIMS SAYSCATATSTSATPTTTRKDEHSTQAPKTSKDAGSGLESTITSTITVTTTDESGSTL QVLIPIVMGPSTMTTGEMITSTLDSKPTASPAATSNAEAAGSTAAVPVPAPSQPQESP ASSATAKATSIGNGSPFDLSVQGGSAPLGFSASFVGFGILFGALARI CC84DRAFT_162629 MAESDAKASQAPNPSQNAAKPPKPPNPVWRMMGLPNFRWKLPSR NWMIFLSITGSWTAAVVYDRREKKRIQQKWTKMVEHIAKESVNERQMPRKLTIYLSAP PADGLVAARDHFNEYVKPILVAAALDWDAVEGRREGDVRAGLAERIRRLRWKRGETIK DEPELDTKDIVEDLRLRAGVPEWNGPAGDIVIGRHTWKEYIRGMHEGWLGPLDPPASV DLYEPAPPAPEAPPAITSEKVQEQPTPMAPADDASPITVHETPAPSEAEPPKEETKPK KKKQPPPFITTAEYSQAQLPPTCPQALGPSATIALPHLLGFFNFPIRMYRFLNRRKVA DDAGREVVSAVLASYRPYDTTASELASSDEYQGAQWEQQRLLTHEEPEWHKTAKERKE GEGERVWLDDMVIDPRIGERMRKFQLSADEEDRANNIPVGKEGASWFTGLWPKREKQP WEGLEDESN CC84DRAFT_1160121 MFKKDITSGAKSKVKSSVQRTIRQKVLDTYPLLEPYIEDIMPKK EQLDLVKLPDRVSLYTLQSTPLFFQHMDDAILPHLAIVHRYPNCFPRLRIDRGAIRFV LSGATLMAPGLTSPGGRLPGDPEDTEGKYGKEELEAGTPVVIEAEGKEMACMVGVLKV GTAEMKKTKKGQACEAGHYLGDGLWTLSL CC84DRAFT_1212360 MARTITFATLFAAVNYAFAAPWKGEVKKVGGATYQCKCYSDNSC WPTNADWNALNKTVDGALQVAVPPGAACHKSFENSTVSVYNKAACAEVQANWINEQWL TDHPIANLWPLYTNNTCLPNDDPSTPCTRGFYGRYVVLAKTKEHIKAGIDFARERNLR LIIRNTGHDFMGRSTGFGSLIINTHSFKDVSFLKTYSGPGNWTGSAAVVGAGVQGREL FRQAFAQKPPVVIVGGECPTVGWAGGYIQGGGHGPLTSIYGMGADNVLAFDAVTVDGE YVTANAKENSDLFWALKGGGPSTFAVVVSITVKTFPEVPTAGTIININSTHTNDSAVF NKGFHIFHNLANHYVDNGMFVYFEVGPGPGRLHIAPLVGPKMDEKKLNEVLKPLFDQL DAAKIPHDRHTRAFPTFFEFYIDMFEDEQPNQNSIVGGRLFTRKDIDDGADEVADAIL FASGNPTKDRIGFSVGHIVDPGHGVPYADNAINDKWRKSSSFVITNVIMSGLESWEEK KQLEDVQTNVVGKRLREAGPDGATYVNEGDLNEPNWQHSYWGDKYPRLLEIRKKWDAK GVLYTKTTPGTEDWDVLDQGTKLCRKVS CC84DRAFT_1212361 MPPVLNPFLRAFFRSTLPSQCSPVQHHVLLVPTTEVLLTSRDRD TNASYADLSGSEEFLASHVLRVPGGVGPNNHVKDGSTFRESRGKAKQYSTANGRTVII KDAFVYSNKGFKTLNQAQLLNDVIFFPDTFEPQPWLVYFISRPLIGTYDATPIIPASL PDPSKERAPKHAPAGPSNSGPTASMPRKKDVKSFGDLLNHFPMIARQMQPGLDRLFTE FRKELEKPLPPTPSSTSRASSLSSRRRGSVSSAESAPLSLHSSISGPNGFISTLEIDD EEDLMRRSLETAVIAAIDLFQGVDKQQLSLLGATTDLTGPIVERMIEKYITEQLHAFM FPRLQHIRKSDDAELEMRMRQMVDIDISQVGIDIGHGRKGKNELSVRLSKAGEIFKKM GVAGSPQEMVEILLAVQKNITMPEASSTAAKPLLNGQPYSEKNDHLLTINADTLVSLL LIVVIRSPIKNLQARLSYMRHFIFIDDVESGEMGYALSTFEAVLSYLVRDSGGLRKAS RRNRTLWQATKSGDLATLQGVLEPERSFHEDAWADSDEDEEIRESVRPVRFAPSASEL LASAEGPTNGDTGSSYRPGRLSRLRHKDEDGPLSHIFPFQRAPTPPIPELPDRPNPKK RVSMDTRSMSSSSAVSFRSHTTLGSRGTGLEGDTSIEKLSKTQGSEGDSVLMMAVENR QDKALQYLLSLSEFYSSDFILDDCNNEGTTLLSAAVQGGNAKTTDVLLSWILEVRPPE DILRAYLAKQDSKGRCMAHYLFNQPLLIDRIGHLVPWRLKDKNGQTPMFALCRSYDHD EYRDMIDRALYAATRAQNDGQPLHLDEHIDNKGNSLLHIVADPQIAIRLLYRCDSDVN APNDKHFTPLMVASKYGRTELVRVLFQDPRVDLSVKDVRGLTAVELAKDDEVRNRIDD MVLLSNEPGPDGRTTTVVRSFFVEDGSIRLVLKSGAPNSNATITVTTCRRSLHDFETL AKWLAQEHPASWLPVINNLASPFLIPSRPSRSVLRDIQLRLDAFLKILLKHPTFATHE MVWEFFLVPDIDIAMLAERSSRKAELLVERIREEYIPVYDVREVELFVQHARESVRSL HHASKSVLRRTNKLRTTSTDLADAAKLANTAIHTLKFLPEPHLRAVDRYTKTLTQSEA SPLAGFYYNVHAIASTINAILSALNRPSTLINSMSATQKAVDRHSLSMRRSDRWPLGL LDDARSRMQRDAQEKMERATEELSGLGKELRYTQQVVAQELASWQENRVDMGRRALKE FARKMVVTERARLESMRRAVRELGLGAANSQADGKGVAVDRVNGTHPEVGLDTAPPER RGSIEDVTDEVDGALAANPEQDMVNGDIDAAEDEPLLPPASPLQPDGETDGAPEASEE GERLLAPRTGAD CC84DRAFT_1171525 MQRPFALDTPLLAQNAGTRIAPLDRIWIWCSANARSRCADVENS PWVATTRVAKERAVLLGRARSKSELQQPKGRSFAPPSFPVGVLVVIPQSAGLAGRKQG HRLRASAGPAARVRRLLIRALLVEKLMPDVGTASGARRGRPLCAALCLAMHRAAGEGE RPLLGPVVAAAHERSRRAAGDRYGAMGKGVPAGQRRGRGGGRWLAACGRRCETSMPQL ASLARNQHNGCLLAPFPAPSRRTADTLDSSTIHHLPTQPTTSEPSFLEPLNYSPAQCS FSSPSPSNPPFDFTSSTTASHQSTWLPSPAPHASRQPTNTSHTDFAPPHNDFVLYDQP AQAPLRPHRAPSAPQPGPLFNATPPFYANSAPTSTIAFPQPQSQQRPPVPLFNSSSNS IPQIHQNSNVAAMAGRTHAVLAHQRHRLTLRPDLNSSNSFDSGASLFAGLQHEVSWEA PLNAFTTVNPHSVSSSTRTVSPKDIFADPFQSAPPSTTFTNMTSPDIDQSPFITDSFE TSPMFQGDAYMPTDNWFSLFPEEDNKGAAPAVAAPLVAPALERTVSSNTTKSSGSSVN SPVVMSANSHRRKSSVNGSPVTNASITKPRRRKGPLPTIMVDPNDKVALKRARNTLAA RDSRQRKFDHVNTLEKRNAELEAEVEKWKQIAYAQGYSGS CC84DRAFT_1160127 MCHSFSFVISHVVFVPRARLLPVHAVSYAAEQLRSGLDATKHTH RSIPGRTEPPCRSLIHLPRYGVGTDNQSARKASMHHGYKSSEICAIIKPFRTTHTPDV SSGVHLVRSSPLKSQPNQAAR CC84DRAFT_163720 MRLPRELRDLMYDYAMRAEGEYTTRMRRGPQTGRRNQFGLERTT GSGTRHRSRFNLPLLRVCRDVRKEAKRHLYRNGEFLFDKSHTLKITYRPDRLRAPRTR VAFSYLRFACDLHHLPIDQGQHLIATAAQVASWT CC84DRAFT_1160128 MPTLGDSGLNSHGDLTADFPGYQSVPINDALSGSLIPPSYIESF GPVPAYESVHSMDPIDPLLWDSPPNDSGFNSQANSAPGQPESGPCSCLSLNYLALSDL QSITNFAFPAVIPRIRPALTTASTMINCTKCPTETFSAIQNIQALSALLSAITERFHK VLCGIDAEAARLEQTKEKKPFRVGDNSTENRHLHTGTLDCPMGYDLNLDAQDWKRLAK QMLKTEVVGGGHNPTPLLGVVGELEKRQRRWHQDTSMHTEERTKMFGADNMCRNKGDQ AMCLRMLSQIRIMVERMDWD CC84DRAFT_1160129 MVKDKEHVLFGGDKTWKASDWTASDDRVRGGKSQSYLEISGTTA RFHGHLDIETLGGAGFASQRTVAEDCTWDVSHYDGILLNLGKSDGKRYTFIMKDELLP QNPDNGREQATISWEYDFVVSVNAAQAESSFVYIPWKDLKPTYRGKEKKGVKDFDTKN VKRFSLMMRSFFGDQHGDFSLSVKSIKAVSRSKDLEKGPTESGKTATLRAPLLMSLLA AGILVYGLCAYVCPWVMNTHTRHWGW CC84DRAFT_163222 MDFRPLDRMLDTDESITDEPFRPFYNFWAPKPVIVHQDNEYDGA VFIERPWLGVLLFDNIASDARDHAANERTFLSWLRLSVYMAIVAVAIILNFHLKSEPT AVERRISLPFGIIFWILSVACLVSGLANYIKTVARYSRRAALVQAGWKTQAMFTVVAT TIVAACILFLSTNAQNRR CC84DRAFT_1160132 MSYNNYETSYTSYNAGGGGGGGGFMPDGSQNSPSRGRENAQDSL RPVTIKQILDAQPDASGDDFKIDGNKISQLTFVGQIRNIATQTTNITYKLDDGTGSIE VKQWNDADAMEASPLKSKLVEGAYCRAWGKLKSFHDRRHVNAQIIRPVEDMNEVQYHL LEATAVHLHFTRGPPGASENAGSAAPTNGAVHQTGAGSTLAGVSNLGQRVYKFLQSHP DNNEGVNYQVIASQIGVDTVEVGRAGDELLEAGLIYTTLDDMTWALLDTA CC84DRAFT_163225 MAPRAASPALSENEFDIGAALFGGDENSADDKGPLQDLGLDLEG GSEDGSDDEAFIAATQAASNRKTSNLKGHTVKKGGGFQAMGLNAALLQAIAKKGYKVP TPIQRRAVPLILDRQDVVGMARTGSGKTAAFVIPMIQALKGHSAKFGARAIIMSPSRE LALQTLKVVKELGRGSDLRTVLLVGGDSLDEQFSSMTTNPDVIIATPGRFLHLKVEMG LDLSSVRYIVFDEADRLFEMGFAAQLAEILHALPMSRQTLLFSATLPKSLVEFARAGL QEPRLIRLDDSKVSPDLESAFFTVKTGERDGALLHLLDKVIKLPPGETENAKKAKKLE AAGAGKKRKRGPDAPNPRESPTPNSTVIFAATKHRVEYLASLLKATGYSVSYVYGNLD QTARKLQVQDFRAGLTNILVVTDVAARGLDLPYLANVINYDFPSQPKIFVHRVGRTAR AGRKGWSYSLIRESDVPYLIDLQLFLGQPLLSEKTPGMVPNYAQNVVVGTFVQDELAP EVELVNRLHDDDEDLVNLQIVAGKGEAQYTRTRNSASNESVKRAKQLLSARSLSNTHL LFSDDVHDALREKEKMLERISSFRPTESIFEIGHRGTGSEAAEIMKKRRQTIDRMKQK QASQSSMSDEAYGKTALSKDAGVHNVASVDGEVPEDADLGSESDELEISVSQPADRNT SQDDWQNSEFFMSYEPKGVNAAEERGYGVHTGSYNTAQQASNFVESARAAQMDLTNDE SRGFAEASKARGMRWDKKSKKYVAKVNDEDGSKGAKMIVGESGLKIAASFRSGRYDDW RKSNKVGRLPRVGELEKAGHAAHQGGGRHYKHKAERAPKEADRYRDDYHAQKKKVAEA KEKRIGKFKDGGGKNELKGVDDVRKQRQLEEKRKEKNARPSKKRKF CC84DRAFT_1079888 MQSLSLPPPTSLPSSPYGRYQELGSGLVKFPPQFSPGRPEQHSY PSPPMSDSLSPARRPAHVVEVEGHPYQPHLHEPRPHPPPSSLLDPRSANIPQGIAQQR PLYPGESQVRGPPMHYQPARALDPPYGSVHVPQNYVYGYPPPNVSQYLGSQGAPGPQV QQGAIITPQPLRQAKPARRTKAHVASACVNCKKAHLSCDVQRPCGRCVASGKQDTCKD VQHKKRGRPRLRDDKEFGRSEEARTAPTQLLGSLTQSGPDILGQQSPFTTVPRAAEPY RVLASTRDEETSKLRLQPAAITTTHPPRVGSFSGVAALPYSGVPNLAYQSMPVAFLDL DLVIQKTNQAFQDLIGFLGDARGKMLVELLETRQHDVLQQLRTELRMERDEREPAYMA PITPVGQDPMQPVMQSVADKDVDQVSHGFTPRSALLNFRLPNGQYQSLQSQIRLAKTS LYFVTLVVHTPPRPAGPPLLTKQLAPPTPVRSSQTMSAPTNAPPGEFGTYSLRPNSSA GSAPTSPYFNFKAVRTSLPTISSSSYGGSPSYGYSPTAGPEQGYFPTYQPPSQPGAYP STYQPASRTNSIASEAQRGAPHPARLEGLQLPPIRTTPALGSPLAQDFGESARLRRRA SPSSTEARPDSPDTGKRRRLNIHEVLE CC84DRAFT_1160138 MGNEDGDIEAELLAAGRELDKDGEIDRILSVFKLNAYAVLDLQP GVPESDIKKTYRNKSLLIHPDKTTNPKAPEAFDRLKKAQTTLMDEKLRAELEEHISDA RMLLMRERKLTADDEETRGDEFARAWREKTTWVIMDNEKRKRRQMEAQMREEGRAQRK EEEEIAERKRKREHEDAWEKTRDTRINSWRDYQKGKGPEDGKKKKKKMKVVG CC84DRAFT_1212370 MVCVAQGITGRTRPTKVKKQAGAQKRKRDHVDVEQLDDAVAELD LKDGAYKDFTDLPLSGATKAGLKAAHFSMMTDIQAKAIPIALKGHDILGAAKTGSGKT LAFLIPVLENLHRLQHIGADAGLGALIISPTRELAIQIFDVLRKIGKHGHMFAAGLVI GGKSLEAEREALTRMNILVATPGRILQHLSQTAAFNVDDLKMLVLDEADRILDMGFQR DVDAILDYLPKERQTMLFSATQSSKVSDLARLSLHEPEYVSVHAEDKSATPKTLQQNY FVCPVEEKLDTLWSFIQASKKKKILCFFSSAKMVRFVYESFRHMQPGIPLLHIHGRQK QGARLDVTTKFGAAKASCLFATDVAARGLDFPAVDFVIQVDCPEDVDTYIHRVGRTAR YHREGRGVLFLAPSEEEGMLRRFEAKKVPIESINARQKKKQSIKSQLVHMCFKDPELK YLAQKAFVTYTKSLYVQKDKEIFNIKNYDLEAFAASLGLPGAPKIRFLKDDDDKKRKH QSRQELAVSDSDEEEAEGKQSKTVRTKYDRMFERQNQNILADHYKKLVQDGEAEGASD ENDFTGDAPNVGKDDDDFLAVKRRIPAEGEDAGAEGADYDDPTASKGKVIHLAGASQP LIIDSNRREKLLKSKKKLLNFMDKGKKLIYDDDGNAHEMYELEDEDDFRAKGLPEEQR QKFLDAERDAVAQADVLDKAAAKAKRKEKARKRKERERGEMGVGSDEEGGVEIADADE DALANFRADILSDNDDDDEDDDEQPQKQGKKWFQSDSEEEAAPSKKRKKSKSVRHVEE PQTLEDMEALAAGLLS CC84DRAFT_1212371 MFFNFRAIVLYGLLTVATAIPILNITEDGTPSQLEARMTKKKGV LQDFVARDGKIITKEQIAAALRNLRSHKAAKTKEGGYPEDFSNLMALNGPERPVFADR PTGKGANLLEYPIDIPSEKWPGSARVIATAGATPNFVGVAQHPLGLQRQFRRLKEAKD DCEAESSKPKNAARDLWELVRRKVTGKKAKPAKGKACLLKPKAKPKTPAKTHTKTPTK KPTKKPAKKPAKKHTKKPAKKHAKKPARKHNKRPAKRPTRASRKGGRRGGRKGGRRG CC84DRAFT_163932 MAPAILASFLAQLEQKPEFKIIHTLFLDPDADPSAVAEKIVELT IPYFSRPNSASMWEDDEPHLFHTACSVIEVAQRTPPERQDKLCDFVMALKQHSLNDTK TGKPLMDGGAKVWTDLPAFGYTFADCTQFMSGYDKKTSEEAQQDENLEAFLAKLTGDP RDRTVDLSDRGQLAMSRAFYLETAQIPPGRAVHTIRLGCWWFIRAAAALWANVQDPKN DNWSLELWNFYKEGLLTRKAEIRNHEVRKMIEQAIEEMEKAERGNSQ CC84DRAFT_1255052 MGRNARKRISYVLPLANSAGGHRLGVNGLAVDPANSILYSGGRD GVICAWDLHLDLHRRDSDDRDPFADSSAPNYKPPPTQFRQQAQAHTHWINDLVLAQSS EALVSASSDITVKVWRPAATDIQPPQTIGLHTDYVKRVASPGLHENWVASGGLDHKIS LWDLNGAGQKLQIAVGDDENIAKGSVYALACSPSIVASGGPESIVRIWDARTGKRVTK FVGHTDNVRDVLITQDGDTILTASSDQTVKVWSMTAGRCMYTLTMHNDSVWSLFSDDP QLRVFYSADRSGIVAKSDTRNCPEMDEGVSVAVLQEHEGVNKVVAAGDWVWTATSSSS INRWNDVETAAEIALPESYKMHRSSIATTRSRYPSPPAASTPPTNGAAPKIPFKALLR MSNTAPFPQLFSKDSDASTLYSVTSARKPAEVLVAPDHGVIVPCRDLPDFSIEGQNGL IKHHLLNDRRRVLTLDTAGEVILWDLLACVPIKSFGKRHLEDVVPEVNTRETVAHWCA VDTRIGSLTCVLEENYCFDAEMYADELDLEENIDFREDQRINLGKWVLRYLFANLVDE EIKRDATFRETLLANVASRANPPSSIQLPDSNLNGWKDATSGPTSGSTIRPQNGFHLP PTTPGMHIGQATPGITPSSAGKGSHLNHLLTPTTEEGSQLEKTATQRSSTQDQSDYFS RTPTTNGNGNGNKKENSSTEGSEETVPQSPAEDPQTQKKSKGLFGKKFGMSLNMKKFA TSSEKPEAPKPAAADEKSEDSDSHSTKTDEKVIEDSFFGALQKIRQTYEEQSILGTQK LETQIAPSLPNETPVLKPPANTTILIQEDRPDSGGVADLFEGKVGSLGQQADLIEKCA PVWLADVLLRNQIPPKDIVKVSFILEPYQALLPSISSDGNNRLNANRMLRARKILSYV AERIEPAPSKEDSEKEDAQLKPEEYLELYCQNQLLAPTMTLASIRAHIWRGGGDVVLY YKANGRKEIKHAPHAGLTLGPGSSGVSEGKSSSEAERSSQQSSRGIIEGKGLVA CC84DRAFT_165332 MRVETCPQINTAALYSRLRSVISAAVVGSCACPTPQSAAERGVH VLGAVAAAGWPNKLPRQGLRCLPTSSVPWTRVDAALCARLHQSACNEERAKQPFGGRV RMDCAPCRSGTDSLGKLRTGAGMGCAPDSLRFIATSSRRLNRCHCHRCALPICCERRS PNPHTLHRMSPR CC84DRAFT_1160141 MVVTKVGQWSNVEDEVLKAAISKYGLNQWARCASLLAKKTAKQC KARWNEWLDPSIKKTEWSREDDEKLLTMAKLLPTQWRTIAPIVGRTATQCLERYQKLL DEQESRESGDLGLAGPEGGESAAPTAEDVRRLRPGEVDAYAEARPARPDAIDMDEEDK EMLSEARARLANVSGKKAKRKARERQLEESRRIALLQKRRELKASGINIKITAKKGNH IDYNADVPLEREVPAGFYDTTDELDRNEKQREAFDPRKQQLANKRKAEQQEEGGNKRK KDENKPGGLAASYAKAARDQRIREAEQSSKRRALALPAPQVGDAEMEDIIKMGMTGER AITGVGGDNMATEGLVGSYSALVSNTPIRTPMAPKEEDVIANEVRNARLRTETQSALL GGDNPDLVEDSTPALPGSATRHQIVTPNPMATPFRQANGAGATPARQGHGATPIRTPR DNFHLNQEDGMQLVGQTPRDVKLRENAKKSDLRSRLAALPKPKETSWEFELPEEREDS MEIEISEEDAAERDRRNRQAQEAAERAEFARQTQVVQRSLPRPSTVDIDALLKRALEI SDPIEREVETEMAHLIANDVQKFGGGKVSGTAKLLEQFSDEVLRAAKMEFALELSMNS EKDKKVFHEEFGTAWINLHGSSTLPGLDGYEEDEVDEHQMMVETFNNAQDRIIETAQQ ANKIEKKLSTHHGGYMKRSALLGDKIKQASLALEKAKMELDSVRTMQYSEQAAVARRL EGLRDEVSFVSRRERETQELYRTRRDELEGLQASANGGH CC84DRAFT_1079342 DQPTKTDEQKTKPKKTQAELDKELQLKMQGLSGDGGESGVEYED GQPVSMKRSVKDNMFRYI CC84DRAFT_165094 MSSTSPPRLGWFGLGSMGLAMACNLQKHLTEQNLTALHFANRNI SKGDPLKELGGIPCQDIKELVRACDVIFISVTNDDVLTTVIKSFVSTNSLRGKILVDT TTVHPNTTAALATELASHDCSFVAAPVFGPPPTARTGQLLVAVAGPSQAIEILAPYLH GVIARGVIRVGEEPSKALLLKSTSNFIGAGLMYLLSEAHVLAEKSGLSAPVLESLIEA NFGAYAANTSKRLTSGSYMPATGQAPNSALELAIKDVGIGLGIAKEQGVRLEIGELSM GAMEEAKAFGDERERKLDSHSVFGIVRKNAGLDFENEAVKERDGSGEQKRP CC84DRAFT_1080790 MANKYLQEKVAYFQQQDLLDLSEDDEDYRDPGLLAAERLLGGSA PMPPPPPIRRGSGFLGPTPPERQQEFKAHTTKYRAVGRECDPGLMRSSTAPESITQSF PVTTFTQRHGAPQGKLKKTASMSDIAAKDLTPFHKRMGEIPRELKNANAKAAYNIIVE PEHKQLLKEKIVYFFPNNDISMARRLRIHKIIQLGAAWVKTWRDDITHVIFDDDKHTY PQLLRHLSRAGLPGKVVVVRFDPYIPQCIQSSLLLDPSKTRFLVKGAPRPNEAPTPTP PESQDSLVIKASRRELTANSSQKTDSVEPEDTPAKQHEDDLPTSSGDIVKDSFVQVPE SPILESAKLPEEFNDELSQAIRQSRAVAHLPLDDEEESESRPTSSASMDFEFSTDEEK SLPSPKRTRSQTAAVAPRKKFGVNQNTFQCMNPMGTSSPTSNPNARTIEMLEQMGKYY DQTQDQWRTLAYRKAVTTLRKQHVQISTAKEAAALPFIGSRLADKIEEIVLTNRLRRL DSVRDDPTDKILRLFLGVYGAGLVQANKWIQAGHRTLDDLLAKAKLTDAQKVGIEHYH DFATRIPRAEVKAHGDHVRNALQLIDLGFQATVMGSYRRGAKDSGDIDIIITKPGATV STLRDVVFHTLVPRLLKTGFLKVKLATSSSSKWHGVSCLPLSTTWRRLDLLLVPEEEM GAALLYFTGNDIFNRSIRLLASKKGMRLNQRGLYKNVIRGRHGEKLNEGTLIEGRDER KIFEILGVPWREPTERIC CC84DRAFT_1080127 MVVRLAAALWPIAFLVRPAISAGYVTANSTTPVQNNPNCTCYVV ESGDDSQTPQYFQYYRFYDFRNLADKAGEYLKTPAIVDDWDEAPNLDVGQPSILKSDA WNKDWNIQNWSKNASEDFPVRMVNSPANVYLQQNNDTNDAFTYLTLRTTRGNGFQSAA EIENLQKNVMHTSMRVSARVVGDKGAVAGFFTFYDDENESDIEILTDDPTDVIRYTNQ PSVDKSGDEVAAASLTKTKLPAWNEWQKHRIDWLDKNSYWYLNDKQVAANTYSVPRKS SYLVINMWSDGGSWSGNMTEDGSAEFQIQWIEMTFNTSGKVEGDTSPDPNSKRAAELL DKRKDEKCKVVCKIDGVKELGTPEVVSSSMATRVSLSWCAVAVIGLTSLWVGL CC84DRAFT_1171540 MTASIDSETLKQYLADDPPSVVNLAIKPHFEALSDKEKLYAHHL SLACFAGSRIVLRQVSPESEPIYDFIVALHKHVEGDYAKAADDASLSKAAVDAYLNYA AQFLGNMGNYKSFGDSKFVPRIEPSELKALAKLSPTTQKLYEQFENAIYAGEDIAKLH LGYPSAGHVSTYYPDSPGITKEDISGVSDFLEGKRLLPENTRIRQTKEGFEILIASAQ KDPAAADRDLDESEWTLPSGKKVKLVFGDHAKEMETIAHHIAEAKKYAANDTEVKMTE EYVKSFTTGSLEAYKESQRQWIKDKGPAVESDIGFVETYRDPHGIRGEWEGFVATVNK ERTEAFSKLVSAAPKLIPLLPWKAEFEKDTFLSPDFTSLEVLTFAGSGIPAGINIPNY DDIRQNIGFKNVSLGNVLSAKAPNEKVPFIKDKDLDVYQKYRDPAFEVQVGLHELLGH GCGKLLQETAPGVYNFDIKNPPISPISGKPITTYYKPGQTWGSVFGSLAASYEECRAE CVAMALGCDFSILQLFGFGDGKEDINGEPGDILYAAYLQMARAGIAALEFWDPKSRKW GQAHSQARFTILRTFLNAGVEFCELEWKENDLSDLTIRLERDRILDLGRSAVEEYLQK LHIYKSTADYEAGKRMYEELSNVEPFYENKVRPAVLSKKQPRKVFVQANTVLEGDKAV LKEYDPSPSGMIQSYVEREYL CC84DRAFT_1183421 MPHITEAPLRLSNANLAQFSQAETTPDYDRTKLKHGIVHVGVGG FHRAHLAVYVDRLLSKFSANEWSICGVGLTPFDASMRDALGPQDHLYTVIERSARGSS AHIIGSISDYIFAPGNEEAVIAKMADKDTHIVSLTITESGYYYNENTHELDVSDPNIQ FDLTGDGPPRTTYGYLTAALARRYKAGLKPFTVMSCDNMQKNGSISRNMLLAFARLKE PNMAAWLSENGAFPNSMVDRITPRTVDEDKQGLKQQFGIEDAWPVVTEPFMQWVLEDN FSDGRPQFEDVGVQVVPTVRDVEQFELMKLRLLNGGHVAMGYLASLAGFTYVHEVIAD PLFSQYLNDLWYEEVKPLLPSIPGVDVDEYIQTLHSRFSNPTLKDEVARICLGGSGKM PQFILPSIAEQIESRGPIGRLTLCVAAWFRYLSGIDENGKTYKIDDPMAKELQALARE GGASPNALLGVQTLFGDDLRYDGRFVREITMAMRTLDRRGARKTLEKPTSQTATQLTA SVGWRM CC84DRAFT_1078275 MSGYAPQTSQTADASAIDIHSRAVSYTCGDCDGDVQLKRGEPIR CRNCGHRVLYKKRTNR CC84DRAFT_1136021 MNNQQQQQQGNRLNLAFGFGGGERQQQYPTTPSTFPQPVYPNQA GQQEVWGAQQGNGYAGGNGYFMNPYQQAQYQGQQGNLQAPNAGRFNEAANGLAQQFQQ QHLGGSGRSGSPYGGRQPSPSQQRPRTADNRGYPYGGGYGHHPRQPSLNDEEPPPKTP EKYSDNVLRQAKVSINLVSTFFKDSVQRARDRNQRALEMESIMKEPSISDNRKAQKEQ SMRRQEAEYLRFLRTKERPENFSTLKIIGKGAFGEVKLVQRRNDGKIYALKSLVKAEM FKKDQLAHVRSERDILAESDSPWVVKLHTTFQDSTFLYMLMEFLPGGDLMTMLIKYEI FTEDITRFYMAEITLAIEAVHKLGFIHRDIKPDNILLDRGGHIKLTDFGLSTGFHKEH EAGYYKKLLAGGAHKSNRENRNSMNLDQIQLTVSNRAQINTWRKSRRQLAYSTVGTPD YIAPEIFSGQGYDYGCDWWSVGTIMFECLIGWPPFCAEEPHDTYRKIVDWPRNLHFPP DQQLGAEAEDFVKRLICDAEHRLGRIGGASEIRQHPFFRGVQWDGLRRIRAPFEPKLQ SNVDTQYFPIDEIDQNDTSAALRAQTAQAGDDAAAEMNLPFIGYTYKRFDAYRGN CC84DRAFT_1227628 MQAPQSDPSGGAPSTSSPPRAKPAFLAALAIPSFASFTRSQATQ PAPPTAVQSPLRRKPLPPGSPVVGRYSPAELNNGTGVAPDRQNGRNILNRQSQDPRRA AALPSPASSVGLIDLIPRNLDEQPHGQTPLTATAPKYPDRQSSLSSNNGRVSSGPLPR HDRSASSFQVHRQSRLEELRASAKGQHARSPTMPNMPAYNTAEVRPPPNLRLKLDGVT EDFIDDLYETDEDREEEQARPVKPKSPAAGFSSFFGWNSAKQNGGESPTTTFSEKSLS PAASPRFPKQQGMPAKTKPTGLNIPMANSLSQPSYFNVPGTPLLSSSPQMNAHVEELE RELREVSSELAASIRREMELEDEVERWKSETMTTMSVDNRRTSDYYSDSGASSIRFPI SDPESKLEEVETLRRKAEQERATLKVQMAERLSDELRRRRDLEEQVQQLEDKVSGPSG TSAAGGSKVKELEASLQDAQRRLAEERQVKENFEDLLTALRDELEQHRNERDNLRDEV VPQLRARLEGLESEAADTQSLMYETTRMQQEIQQLRTENQMLVNARRLQQEMTPQATR FQPIGAIAEEGESPQKTNPRVGLSRSNSLARSSGFASKRGSLQRSNSVKDKSGAASPL EGSSPLAMGNPVKELEEQRDALHKALKHLLQRQEMQQRDFERRIKELEMERDNALNLT PRRTAFHKEVASLRGEVNSLRRRADDALEQKFQCENGLRGLRMDLDRAQQETSSLREL LTENDITIPEIRIENQPYSLDKSYNELRTTHALSLARIKQMESEDSLGDASEEAERTL DLLKRSISDAEAERDYAQKEAEQYRQQARALQRSELEHLGKEQHLSSELFAAATRMDE LSSKIQQQLESNRALRKRLTDAVARGEQEQEKSTEKIIELERRLKSAEEKVMTAQQSS EEAISKHEVEVQALKESNTNQLRRAKSGLLSPAALSPKMPTSPVFAQRAPRLSQTTSG PAMSMAEATRTELLEKRVEELEKALRDADHEMEEVVGRMNMAQMEVADLQFQRDEAMM QTRKLQADIVAEREKVQALMTQA CC84DRAFT_1160151 MAFHSPRQSQNYYDFNPSTPPPPPPKPSAHSSGTATPQTGPPLP PPPQSSQQSASQPYPQGSQTQPRQPAVSPPEDGWLPDVLKDKATVDLHHVLQTAELQH ALIHNPETAHPSVPASTAPLQALLAQNVTLAESLKQLEAHLQRQRDSTQSRLLSLKAS ERQWRAKQAEQDEVLREFSAPALYQRLTAAVGEQEALCRGLEESFLEGEGAGGTDAVA SEREVTEFVRRLRDGRKVAYLRAERKERWDEGRVGGWR CC84DRAFT_1255063 MATLQSIPRLLLPRAPLLLRPAPRAFLPLSYATTLRHASSSSTP KTLSEQFQRRQRQQQQAAPTIPQPDKYRPPSHGKRTPRSETSYRSYGPALTEEDKKRM ATKKYPNMMSPEGTFSHWFLNNRVIHLWITLGILVSLAIAAWYMDFMSKTIYGELVPT RKEFFRHPFETTSRFIEVYRMHVAHQTQIVQEKRLKKEEEIEKRKQYRLERIREAEER GEEYVEDPRYYIGEDGIRRRRVKRWFGIWE CC84DRAFT_165521 MPPAPPSFGSQEYWNMRFIANPVPFEWLEAPTALDPYIIDALKE IEAPNPQLLHIGCGTSLLSYHLRAHVEKPSQIHNLDYSDVAIKLGRKREAEIFEAGWS ASGEEATSAKPDRNSLESDQEKLSTPATSTAANSPSSCMRWSSANLLDHSSLLRTCQA SAYSIVVDKSTSDSIACSDDLYVPLPYHVVAASPQSMKTNVTESPEPLHPLHIMAVHL ALVTKPGGRWISLSYSMNRYPFLQLPTPESANGSSQPMQTTASVSQAPQQGTAGDEAE SNDEVSLDDDLDDIPVKVLKDGFPDPSTLWKLVGKYDIEPQTASEATKGNETTHRPKV SHWIYVLERTNVPLYIRT CC84DRAFT_1183426 MLGLSLGLGLNLASSLKRYAVILRWYLLARRYVSLEVFDLILGL ETLTKVGKLMIISLPGIRKVKLLSKLPWFREARDDGTKFTWIACALWILINIAAQVLV AALSLFWPVDPSNAVPLMTYGNVTVADLQAWSPGVTFDTGDYYANTSYMQAASLAGVE GSVYPEFPLHAESQTDLGTLPGTPLYHDNLTGVYEYRFYNRNPTSQFENYAVSGRKIR ATASCQRLQLNGSTTDDFLIYDNGTDGITRQYLWAKEEGQDYDYYWLPSYLPDGSVNW IASTWEGCGPRCTNFTVYQQANTYPMNNVTIDVSSLFLCNSTVSEVDVGDFSEFQNLS DEDKKHLKGTDEFARIAAGSIGWSGYYSAEYADRQIRPYLRGSKWSPNKVMTKIDVEE LLARYTIGGIAAFDDHGLRYEVANQYAVPTQGQQLNVDWPYVLGLLGGICLIQFAALI CLLAFGNKSVVRDESFMSMAMLLKPVMDRIPGQTGMNLSGDEIKNHPKLLWKRIRYDY REGKDGEPNRVDIFFQGRDDAQSRRSWAPGVYS CC84DRAFT_1212387 MHSVTLASVSLVASLLYTVWRLVYNIYFHPLAKFPGPWWAGATS YAEAYFDIIKGGRYFIEIEAMHARYGPIVRVTPTELSIRDAEFYEHIYGSMNARRDVD ETFARVTTASTSLLCTVNHDHHRIRRGPLLGFFSKQAITKLEPFISSRVGLLVEKLKR AYEVGHVIEANDAYSALTTDIISFYAYGKSFDYLGKDTDSTFRNDFLHALSDMAFAQP IMQHFPFVADCLRLLPEWLLTALNPGISCANNLRIWCSTNASKALEAAKSNKRKHSGK PETIFEALLSDSLPPEEKTLQRLTDEGIVILGAGLETTARYLTNVTTHLLLNPYCLAK LRAELKTVMPTPGDCPPSIILENLPYLSAVVQEGLRCERVLASRFTRKLVEPLPYKDF LIPAGTNIGCAIYLQNHIAEVFPEPDKFRPERWIEARERGENLVKYLATFVKGGRMCL GINLAYTELYMTVATIFRNFEMELVDSGLEDIAMARAYQFSFTEDYRWGVKVRITQVL K CC84DRAFT_1227634 MNAPPAIANSVLLYSLRLLIGLEISMNQGYLTPTKVVLPEGYFL NPSANPTIYARNTNTSQRVVDVIIKAFEVSGASQGCMNCLVFFGEGGKDPNSNKLAGH VYAFGETIYGGSGATAVADGARGVHTYMTNARITDPNLSKRDILSFCVSLAPERAAAG TGGETGGRAANYWVKKRPDGNDRWIILGPKNMVAMDTGGLCVIYTPGSGGFGPVGAVN YGRLNGMQKTPYSRVVGSVTAYADTQAQAN CC84DRAFT_1201785 MGQHAVDIESQPQTFQAKWTANPDPTALPFPRDNPPFALTAVDW HQLSITDDQFTPHTWENVHHLISTGQLDELKRWPSFLKAYLAWTAHIKAKYGSATQYI LQQRLFWEPLNTTGSFKFELVNETPFADSADYKIIKNDWTYAVTEGISHIVVWSKKQL PVDEVGALTHEGRRLVEEFVKREFRDKAGEEVEGTKVQWFKNTTILQSVRALEHIHVL VRDVHEEVLKQWMN CC84DRAFT_1071901 MSFGFSPSDFVTLINLTRIAYKGWESACGEYADITSTLLSLQVV LKRVQRHVDQPITENVEPFLQAPGTLRDDLAEILRNSNRTVGELQTIVHKYPSLGRDR KSNWERLRLGCKQLDGLQIRLSRNLNLISTLLLDRVLLSIDLCHRDIHHISSKIQGGV PVALENIVESQASDARTASSTFTTYEHDSVEVWRELRREMVNLGFKSDDVLANKNDLL ALARAISGD CC84DRAFT_1080641 MAHNGTTASLNTGAKIPLIGFGTWQDKEAQEPAVTIALEAGYRH IDTARIYGTEAAVGNAIKKSGIPREQIFLTTKLWNNKHDPKDVEAALDASLKDLQTDY VDLYLMHWPSAFKPSDEMFPKDSHGNTKTANIDYVDTYKAMEKALKTGKTKAIGISNF SKAELDRLLKETSVVPAAHQMEMHPWLQQKSFADFHKQHNIHITHYSPFGNQNEIYDA GKGMGKLMEDPVLVEIGKKHGKSGAQVALAWGIEKGHSVIPKSKTESRIRQNLEGDFK LDAEDVHKIDGIDKKLRFNDASKSFGYNFFSDLDGKKQ CC84DRAFT_1192935 MSRKRGFNYVPSTAQVNNTAAFSSGGATRKNVNNTDDGIGAGTD TYTYYHGDASKFPNQTQWVSFADMWTANLDTFKYSCGWLKRGDDNTPEMIQDIYNAIQ DRANASLVDHRIILATIIQETNGCPLVPHTTSSGGTRNPGLMQSHDGHAYDAKHSRLS ILQMVQDGTQGTTKGWGLVDNLNTYGNPYKAMRGYNSGYIPDSGDLSEKAGATACYVS DMANRLTGWVRAKTECPDDMH CC84DRAFT_1072906 MRLSEALIASSLAAPFVSAHGSRGIPKIWGMGPDVKREAFGPVA PRHASSGSLMAKSFPKRQDNNNIDGQCGAGAGGATCAPGYCCSPSGWCGNTPEYCNAP DCQINYGPGCDANKVPNGDDTRTVARPKLGNVDYGNGGLYSCTEPGTVAITYDDGPYI YTDYVLDLFEKYNMKATFFITGINNGKGAIDDASTQWPAVISKMYAAGHQVASHTWSH QDLSAITQEQRINQMVHNEMAIRNIIQKFPTYMRPPYSSCDEPSGCWKDMKDLGYVVT YFDIDTDDYDNDDPNKIQNAKNNFNKYFQTDNVATDKFLSIEHDIHEQTAHNLTEYML KIIQDKGYRGVTVGECLGDPAANWYRSSSGKIVTSSSSVPTATSSAATTATPTKVSTD ATCGGNTGSTCQGSQFGNCCSQAGWCGTTTDHCGTGCQSLFGTCGGDGSSLAVSSIVS SVAASVSSAAPAASATAVSTDGQCGNGKTCKGSTFGNCCSPAGWCGSTDAYCGTGCNP LFGTCT CC84DRAFT_1212393 MRIIQDSEGEDDLELEAAEAIRPDGNATSEHISSSTSPRLGEKG TGSTESLKRAIVAAHRAQFRDDSSDRPGQGDQSGVPPAADASASSKSQDAPQSSISLP GHASKRRRTSLDGAVALGSARDVLPATLDERHNEQLNTNNHLGIVPERPWDFQGTMRE VWEHHEPMGLFAQTVSSTIPNATATQEQLLAEVLAPGFLGVEPEPDPTAARYEPAKSS VPWSEYLKSSSRAPEGRSQSAVHLSSFSQNNACSPATNLVGTPIYNEPRAESPDPLHP GPVGQAFSVEVTSGEAELQSTAPNTITSPGNTEQKSASVPNSEDDIVPIGVSLERYRP RPSRSRSLKLNLEESIDYSQRPERFTKKTRRTRTTGEVDTTSSATTPEKVRQICDMGF TPLTTKKALRQHNGDVSHTIDWLIANGLSTEDNMGPEKPERSKNTRESKRVEDTHHVP TDMHYGQSAETRVQISNAAVDPSTIAIEEDVSESACITSVRGSATAVRVVIPMKPTTL SKDVEHQSIAPIDSDHVYDEETAKHQNMSEPPELQSEQQGIVVKEPQKKKKRGRPRKE AKPIESITEEPEAKTSKPDTAVIAPDEQLEDYTQKPDKPESPAPTEAVPNERQSSGAN ANRDTKIDQVTLELQPPPEKKVKQTIESPSSIGKGKTPYRVGLSKRARIAPLLRIVKK CC84DRAFT_1077529 MDKFLPSASDRSRSGTPVTGRFTAQAETAEDLLKSQTVGLVHLD DFRKRRAEALEQKERGSSSASTPADGATTPIPSFKKKRKIAAKGKLSFGIDADEDADS STSAIPTPRNNTPTDSSAVNSETEGKAFKKKKLGANTSIGLKPRIMTKTALQREAQQA DLARQDFLAMREAVKATEVVIPYVFYDGTNIPGGRCRVKKGDHIWLFLDRARKVGAEL GVGGDKSRRDWARVNVDDLMLVRGEVILPPHYDFYYFLFNKVAGFNGPIFDYSAQPTK ATPVAGPEPDPATFNPLERPGQNKDKTPTFPDEELEGFSEDPALTKVVDRRWYERNKH IFPASVWEEYKPEKDLSKVQRKDNEGNAFFFT CC84DRAFT_1192939 MKFGHNLSRNQVPEWASSYINYKGLKKLIKTAVESVKSGEDPDL AEFFFSLDRNLEDVDGFYNKKYAEYNRRLKLLERRYGRAAQLPEGIDRDEKEDLIGAL LELRSALRNLQWYGEVNRRGFIKITKKLDKKIAGVTTQQRYLQSKVYPKAFAHNIPLG KNLKDINDWLSGLNEVKTFDDTGSSHSAASLGRIPGASLNLPSSLLDSVDQAIRTDDA ENLSRITSDISTRYDLAAISTSVQQTLLNFLQRAISCRAKLCMVALLSRLTSLEEEDD INKRNCIHRLVITIGRARTGETQGSDGQVLPASTEPNFITPAAAPNRLPLLGSTTENE SNGLLSDADETVQLLDYLLHNVQPAQRVSLRSRDTYGRLPLHYAAQYGFVAVLQTIVK HMQAWNQFDITRGIDSPAWQDTEGYAPIHLAVIGGHPLATQTLLEAGIAATSANTVIP NSGAVLAVATQSNFVRIVKLLVDAGVDVNFRSEQGETALHVASRFGHEESAKALLAGS ALHKAEVDIPEKTFGWTPLFIACVDGHASMVRLLIEHGADLDRADSDGWTAREHAALR GHMDIIKVLEEIAPAKPGVAESLAPPTQVSSLEERRSKNLSREGSYQQKSVESLKSFG HRYLTDQTMVLVSLGSMDPRKDVPAVKLDDIPFSDAHATQLDTALSVVVSSSGAEGEP TVVDLPVQENISTEPITFKTKDISKVKILFDIVPTYAGSNDRIVGRGVALLSTFKTIL GSKRIPLQGDLSVPIVAASTMDVIGSVNFNFIIITPFSHPNLSITEQHTYWKTVESTM VIGHRGLGKNTNTRDSLQLGENTISSFIAAANLGAEYVEFDVQLTKDHVPVIYHDFLV SETGIDAPVHTLTYDQFMHLGRRQAPQQSKSGSPEHGRSMNDTTDNGAAPLPRRLRSY SVDHGQQMKMHAYHEAQHVERIKHTRDFKDKGFKGNSRGHSIHEPFTTLEEMFAKLPE STGFNIEMKYPMLYESEMEEMDSYAVELNSFVDTVLRMVYDKMGSRNIIFSSFNPDIC LMLSFKQPSIPVLFLTDAGTSPVGDVRAGSLQEAIRFASRWNLLGVVSAAEPLVACPR LIRVVKESSLVCVSYGTKNNDPKNVQIQKQEGIDAVIVDLVLKVRKGLTAPAEGDVSV NGSATSGKLTASTDPSVLADSGVSTTASSDGGTDAAQAMPNTS CC84DRAFT_1212396 MAALETCEKDRQDSPVGSTNMSMPRIQGVTFYNKDWDHYHLLQY HEQQANAVGVRLTADWEDHKYPSGSLNMDSVTCRERIYATATCGFSSRESKPIASGVS LFDHQGHVLLVEVESRELFENERRSTPKFIQPLQPQESMLSIDTGNYGDKDAVIVIVT EAPSSIAEPSHAPVDSNNAGLAEPSGPTSTATPSVLQAA CC84DRAFT_1160162 MRVSTVLLASATAAAAAPTNVTSFYSTWMADSFLSKKHPIDNHY VSNVINEGIRKAALAHKNATLLSWASKAISSIVDANGTIKNWDPYYYSLDDQRLGNNI LYFWDAEGRKEKKYEIAAASLKAQLDRFPRNDEGGFWHRSPTYPNQMWLDGIYMADQF YAQYTAYFQPDNTTAWNDIILQFDLIEKHTRNQTTNLLAHGYDGSKKAVWADPVTGAA PHVWDRAVGWYFMVLVEVLQIYPKELPGYARLLGYFTSLAAGLKKAQDKSGGWWLIMD EPYPGAKGNYIESSGTAMFTYGFLKGVRTGLLDSQYKQPAIKAYDLMIEKFIKYETNG TLSWEGTVSVGSLGSNGSYEYYIGVPLGENDGKGAGSFMMAAAEYEML CC84DRAFT_1201793 MSSPASSAVSSAVSSAVSSAPAPSNSACAQTSFTEFPTKDAACA VGGTSGVPDNYEDILKGCCKSAPVETWGSDCALWCLAADQSIADLQKCWQDGGVKAGS IQCNQPNNATATGKVSGASSSGSGAKETGSQTSGGASSSTSSPGAAPAVVPQGVSKAG LGMLAMVLGSAVFGAML CC84DRAFT_1136065 MSHDSVWYSRPRTYGKGSRSCVVTGEKKHAGVIRKYGLNMSRQA FREKAADIGFVKVRWRVSSEVRKRTR CC84DRAFT_1212399 MSIQGIHPSNWLYWVNHPPRDKKANAEFRMIEGASGRFVVLMPT RRINKGEEVFANYAADFAGFPEPSEKQSHVLCEQELKKNDDYSVGEYILVFGICEVTG DHHVWVGKIAKKYHGDQFGVHWLYHPSQLPEADNLELPRAVKLKTQQFDPWELIISEE GSIVDRSIIMSRYEGMIERAALKRKEQGFWWWDWVIRYRAVDDPITGTKRTQPFMVTS RDYFRKRKRVSNWDIVARAQEMGLQPIKPIKMDNILVKEERTETLNKAETTVKKSKRT TRDALEKNELDTHRAKRQRQALQRSIHLRSRTRDTDDEVSQELFDDEDDHHRALPART QRNRRSSQTPSTAEDLDEDNQSRNETSNARVAQDPIPSRLKYALRSTERSHVYEYDEV SDVDEELQYLFVDHDEEESEEDAPDTREDEMETPDLVDGDTDSAFPTAVTPMPAPVSG PGAIERITPELEWPCTFIIQSDMLRDMPRDMPMDKPIGVPLGIPMGIPNSPPPANSSR RRGPAVPLER CC84DRAFT_1227644 MYESYQSMEESIELDYTIIDVWVQWLIEVFELASPQILRAARSA YKDSIVCDEDGLSTDPVDLIPVTVDALWHTLSADCVARDGWDTSTAQEDHEQTFHLFL SSCLFLSQKEVEGPLWDTAKTILEKSNGECPDYDSDWGCAFGSATQGRRFATTAHGRF ALIPAATGEGDEIVLIADSPYVIRAAGNKDDGYILLGDCYVHGIMQGEALDESPLVDI PLV CC84DRAFT_1160165 MRNHHGNGIDKLDYHSPRPLLQADNDSNPSRRSHNTSSAGSFFE QVAEGLMERDRARIQREAVRWLSFVWALVNCLCAGSITAYSLYGHLFQAQLHYTQVQV NIVSITAELAMYLPVPAFGYLCDRVGPATPSALSGVLFGLGYLLAAFAFASGPPPSAG GHGWPFGAMVLSFVAIGMGTSCMYLSAVTTCAKNFGRGKWKGIALAAPIAAFGLSGFW QSQVGSRLLYVVNPDGSRGDVNVYKFFLFLGITLCVVGLVGFFALHIVDEEDMIDEAV EELERSGLLAHDEFFTQAASAHGYGTMEPRDLSDSTFDFLQSEAERLKAQVEEEARKK TWLLNEETKRYVSDPTMWWLATGFFLVTGPGEAFINNLGTIIGTLSPPHTQATTSPAT HVSIVAITSTVARLVTGTLSDILAPSAVIHQHRRGPDSVANSVSSLLPRDSKKFSVSR ITFLLTFAFILSLGQLLLASGWVQNHTSRFAAVSALIGAGYGAVFSLTPIVVSVVWGV ENFGTNWGILAMTPAAGATVWSAIYATVYQRGADGGEPGLQREADDVLCYGKGCYAVT FWAMAASVWVAMGLWLWAWRGPGGWKKRAIAV CC84DRAFT_165786 MAPPQPQQVHLSPAELSFLRATLCQDPPLRFDHTKRPNDFRSMR AECDVLPGANGSARIALDDGTEALVGVKAEVERSQWRPSLEARGSGLAGDAEMRDADD DDDAAATGRGQDAWIEMSVEIPGFRDDDALPVFLASMLTESLLASGGLKDRLYINSRF HWKLYIDILLLSPPLSYPLALLSMTTHLALLTARLPALKSEQDEDPLFDDDWDAAVFL YPKSKANAPDDKPPVIVLAMAVGPNIIFDPCMEELAVADAVLAIACANATASSTRARI VSVRTIDPPSHLTPPGVPNSMNSATGGTVPASSAEALTQRELLANSGVWTPPRGGMKR GLISQVVKMVVEEGGVAEEVISALQAIELG CC84DRAFT_1227646 MWWESCERIHCGFWVNLGQSIQSRPALALIRVDNNRATQSTLTL PTQWGIILTAFLALFIRLAGSYLWGIICFAIHQSNASSEPQDDTYHQIQVALRNTDTE ASLGWKLFRVGAVHQGSRINAFGRTSWLILLAMVHAAGIGALGGLSSRFIAGSDAVLA VKGTCGWMEEVAQNQSERLMEELKDTTKFNTFSALVVMARYGYRRSAGYARSCYAQTS DNSSNACEIYTQPTLPYNVSLSEPCPFSEKVCNGTAMTADMDGLRSDTDLGINTLPES AVTLRQTWTCVPVDAQPYATQWGDADELHMNISMDGGWNDGVPRSEKRICYKVGTDET KQTPFLFCITSNALKYGDSSYDIKSATSFVKYNGSYAPIFNPVPELDIQDADVTLMGL ISRITYPEAIQDPWFTATNYGNGTNNAYKTKGWTATHPRSFLGCRQRYQLCTADRSYC SPYTGVYGIPHEDEDLKDLHPTQRAVFQLMWKMIWFTQLNFQLIFIGRENLIANDYLW DGGTQFGLSAALPPNHWQSEVTNFFNVSLAALQRAGPAFAQPPDFDIGNSNTADQNEN ATILRYIVRPQDAEMLRLCDSIKMRSKAHTSFTVLGLFLLIGIGLLIMLVNTILPQLA AYWQRRAGLGAYKRLEWVESGAFQLQRMAAEGVGVGPWRGKEDDVPTLAGGRGELFNL TGMSLRARGAEPGFGAHTGYAGYAGGGGNGGYHAVRSGEEMEMGVLREETKDSVASVS KKGLLGK CC84DRAFT_1136070 MADSAAAILPVGAGYGVVVGIGFFFAFLMMGISWIQNRYTPYST KQSEEFNTASRSVKPGLIASGIVSAWTWAATLLQSSTVAYTYGVAGPFWYAAGATVQI LLFSILACKVKQNAPRCHTYLEIINARYGRVAHLVFMVFAFITNILVGSQLLLGGSAV VTALTGMNVYAAIFLIPVGVCAYVILGGLRATFLCDYSHTLILMIIILYFMFDAYATN SLIGSPSAMYDLLRKAAVQRPIDGNQDGSYVTLKSNFGLIFGVIQLCSGSGTVFLDQA YWQRAIASQPSTAVKAYILGGIAWFAIPFGFSTTLGLAAAALTDNPAFPTYPNVPSAH EISSGLAAPYAAIALLGKSGAAALLVVLFMAVTSCASAELIAVSSLLTFDVYKAYIQP NATPQQLIFVSHIMICVFGVIMAVFACIWNVASIDLGWLFLVMGILIGGAVFPTAFAI VWKKQTRLGAISGCIVGLAAGLIAWFTTAYQYYGEITVASTGTEYATLAGCLASIMTG LIVTVAITYIKPDNFDWEITRAINAVPTIQGVGQEVHDSPRCTTDGEKPSQTSPNCKS STPSLPNELETETAVPMSSPQEDSPSSLRSAFKLACISSFLLTFVLDFLLPMPMFFSH YIFSKGFFTAWVIISFIWVFVSSAISCFLPLWETRTFWGKLWRDVRSGQRSG CC84DRAFT_1171566 MICRNVSVYLNGVLLVQDGRRARRIGQLEKAIIAISSTVVQGNT NADGTATGKAEPGTGKHPGTKIAEGPQTAGSRAAHEEGRRLRSAAPLPSPGPTASSQL LGVRRIDLLWWVKGVVDVLEQRVNDWPITRRAWPGWEQARGRNTMASGSFIQSSHAII ARRGIQQILDSSPVVGDTGSSQPPPNHMAQQGGRLCGWKRRASAILASRISLARASRS VPAKLTTGMLQQQVACTWMRPDPPFMATEQSA CC84DRAFT_1160166 MRFPPAEVRAHWPKPNYVNPVVRGPGLLIVELTLVPIALICVIL RLWIRIGWLHKSWWDDYLMVAAMIFSCLTTALVIMAVQMYGWNLHVWDAAIPLLQNGR KASMAGQTLFVLASSFVKMSILVSYFRVAPNKSTFRKLVWVTLGIVVAAFLTFLIALW LQCIPISSYWNLLAGDGDCIPEGPPLVTQTVVNVVTDFMIYALPIPTLFSLSLPMIQR IGLVVLFSVGGVIVVAGSFRAYWVHYVLYETYDATWYGYEIWLWTAIETNVGVVCGCI PALKPLLFPSRARATGSKYANGSTHSRRKEKVTPVLDQVEMDTRKLTQTDSRPDTATA HVQISHGFRPMSGATDKSRFDYDIEQQKTYML CC84DRAFT_165842 MLASCLLAVPDGATAFAFSLSLFSSSLSTSVLMMSLHHHIIFLS SYRLTSPSVAIWVVKIKARVFGELAFGCVGAPGASVAQLERRQLAEHAPDSSTHSFRA CHAKRTRGFQSSRSEGHATHLKGSGTTRASGEGGTRNGALSQG CC84DRAFT_1227658 MKCSLALPVLTYLCLTASALPTRPPKAPVCIIGGGPAGLTAAAR LDAKGIESIVFEKQAAVGGKCQSYYDDEGIFHPLGAAFFSNTSYPETLKVINESGVSI EEFALAGAREQFRFNITTGAIEAVPALSTQALQRVAAEVPRYIQLWNRDFAPISATNY KKGVPDSLTVSGEQWFKTNNFTVLPQLLVNPIALYGYGDIRVVPALYILQYFTPDILT GFLGQHNVYYTDFHKIWVEWLKKKGCKAKINLSHEVRCIDRSGKNPVIKYTAPKPYSN FYRWGKQECDSLIFAFPPSIANLERVGLDLTEEEHDVFSEVVTHNYYSSAVEFELPFG VSYIANSSNSSVPPPNDMEPVAVLRLSAASNVSTSWSWGKDNVYESESTAREILQTTL SKINKDPRNMTAQSEPVDSDEIKAFKKWDYFPHFGSEALRNGAYGYLNRLQGCNKSFW ASGLGGMEIVEWAIRAGQDVVDTYY CC84DRAFT_1160170 MASSGSVFSKTLQDITTTKLEELAGQRAAFEKQYKELLDKASKV TDQLEWLYILADGIKSCLTVATEPSKDGKSGRVVLGSTGNSRLETDLKNLDRFLEQAR YDPSVSPKVLKNWENMLLQYLSIQSNKYQYADLYGRLVTEWLSSEKSALSEGDTESGE DFEELPGTKKLEARAKWEQAVFEPADVDEQELKAYLERLFSISEESDSDVGAGLEELR RKVAAFESGLSSSQQFNVHTLRWVIQSLQSSDLLSNEKREVLKDFLGNDVILGEIADV LNMRMAVLGRWSWGDHVPLEERRNVNGGYSIQMHEDLLQAIFLHYIGVKWSVFFKNAF KGFRLEAWRSNEIAVPNADRYRREHYLGKDGVETYSSLQKKRASGHRKTYFAHQLLDY EQQRVETEEGEEEAEFRSYVQQPMAQTTTRMKQTARKSTGGKAPRKQLASKAARKSAP SASFPVADDEEVDDDEEEDDEDEDNYRSKNPMEAKQQLLHIVATEIVLNTRLHGELTC FRSNFESWNPLLPHETILAVFEFFGVGAKWRTFFKTFLQAPLKFTDDKSSSPRLRRRG TPGSHALSDVFGEVVLACLDFSVNQATHGEMMYRLYDDIWFWSKDYEKCVKAWDCVQE FATAMTVEIDDVKTGHVRIFHDKAKHNSDDVDMDGRLPAGEIRWGFLYLDGFTGRFEI DDKMVDGHIEELRAQLQGKSKSVIDWIQAWNTYAATFFSSNFGKPANCFGREHVDRML ATHRRIQEKIFDGGNVVHFLKKTIEERFHVKDIPDGFLFFPVELGGLDLKSPFVGLLQ IRESVKEDPHYLVDEFLKKEVKDYKEAKIAFDRGDVRTNRYTGADPEWKPAKDADIFF PIEEYVRYREQYAPTGRASIVRTYCSLMRRPEEESIDVSTQIQQATQALNGQSNLKGI TGYWHGMEPYWKWVAQMYGPEMCERFGGLNVVDPGLLPIGMVSMFREKRIKWQG CC84DRAFT_1109822 MAASTLQTKTISSEKFVESCGAILFDLTNPSDIKVCVIQHKSTG EWHFAKGRRNQGEARKDAAIREVMEETGFRCQLLPLTMPTRATPVEARPDVPDKAHVC ENLVEPFMCQIRTLKAGKGTKIIWWFIAALEGDAGRDKLPGEDTWLPAFKSWHSAMNA LTFQNDREVLQKALSLLQMT CC84DRAFT_1136084 MAQQVIFYDIPSKAPAKGWSFNPWKVRMVLNMKGIDYKTEFVEY PDLAPTLKALGLPPNDPNDPGYFSDYTSPAIRYSDGTFSMESWHIVHALDKQYPTPSL HLEDPIVEKVRNSSMLGPIVKHLMPKVPNLLSSVSSEYFYTTRESMFGKPLQQVEAEA TEEQWEDMKVNAKEIGDLLREKGGPFFLGETVSYADVCFVTCLKFLEILDDGVFKKYL ALDEAFPIVYEASKRWLARDD CC84DRAFT_166039 MWKTSVRIRACPTFFFRGGGASDWMRCGSLWSTSCLSPQPRSIR VPYFQHDPRDLVFLSSCRDRVICVAVSSSPFALAFLDATPLLPLHFSAYTEILDAFVV ID CC84DRAFT_166045 MLLSSLALLAAARAVQGQTYGTEINNYGTVAFIRTGERTPWVRS GTETLSALGAQQMLELGNNFRGRYIDRNSGATRLGVRPIEGMAPHLLNPDQLYIQTLE KPYLVAAAQAFMQGLYPQYSLNETNNGVSPDAVWLLANGSTVGYPLDGYQYANIMTMS ENDPGSIYLDGHDQCPRAWLESANYEGTEDFLSTQAAESAFYQSLSLSWFNDDLPEPM LDYSNAYGIYDSLAYHKTHDINTSKDLNNPDNAGVFDKVEALANQDAYYRYGNVSSDT DSTSATRAIAGRTAAGLITKRFEKIVDNEGNTTNGMSQPLNLLFGEYDVMLSLLSVLD ADYYQSGSIRQEIPDFASALIFELFTTGNNTADNADNLWVRFSFHNGTDGYTDTAPQA YSIFRNGPSHDAITWREFSDSMARVTIRQSRDWCEACSSQSVFCPVVDTTGSLTTAET PRSKISPVVAGVIGAVVTLAVAALLFGLAMLLGGLRMHRVERRRHSSSLGGFKGSAKL ASDPDLGLANKGVVPASNDPFADPAPSAGVGANKRVMHERVGSWELRAKEGPSQGESP RGSFEAIEAAMGRPVQAVQPLERI CC84DRAFT_1171571 MPQLSGLHGILRAHLISTPFQHSLAACCTLTTTSLADLHVTAAR LSSSRAVLWTFPVCQPIGPPYFTRSCLRAAHHPLGCHAPSHPRTWRSHSYAVLAPTSE PVPRSPWGMYDPSRHSLPPGRVGSSWLSATWKNTSLAVWCLGNFQFQIVPNTHRAKTR AGCFVREILTTTAVAEPYITPVNSKVEASSPPASSDNSL CC84DRAFT_1079898 MPSAAPVNGATPSQAATSSDSLSANDNIRRFAAPSRPMSPPADH LLFHPKTRCFVYGLQPRAVQGMLDFDFICKRKTPSVAGIIYTFGGQFVSKMYWGTSET LLPVYQDVAKAMAKHTDVDTVVNFASSRSVYQSTMELMEFPQIKSIAIIAEGVPERRA REILYVAQQKGVTIIGPATVGGIKPGSFKIGNTGGMMDNIVASKLYRPGSVSYVSKSG GMSNELNNIIANTTDGVLEGVAIGGDRYPGTTFIDHVLRYQADPNCKLIVLLGEVGGV EEYRVVEAVKSGQITKPIVAWAIGTVAGMLKTEVQFGHAGSFANSQLETAATKNKTMR EGGIYVPETFEDLPQTLAQVYQKLVKEGTIVPKPEPGVPKIPIDYSWAQELGLIRKPA AFISTISDDRGQELLYAGMPISDVFKENIGIGGVMSLLWFRRRLPDYASRFLEMVLML TADHGPAVSGAMNTIITTRAGKDLISALVSGLLTIGSRFGGALDGAADEFTKAFDKGL SPRDFVDTMRKENKLIPGIGHRIKSRNNPDLRVELVKEFVKKNFPSTKLLDYALAVES VTTSKKDNLILNVDGCIAVCFVDLMRNCGAFSSEEAEDYLRMGVLNGLFVLGRSIGLI AHFLDQKRLRTGLYRHPWDDITYLLPSLQQGGAPGAEGRVEVTL CC84DRAFT_1160176 MSAKSILEADGKAIINYHLTRAPVIKPTPLPPSSTHNPPSKLAS LHFAADADIKGVLDQAEATYPWLLASGAKFVAKPDQLIKRRGKSGLLALNKTWAEARA WIEERAGKPQRVETVDGVLRQFLVEPFVPHPQNTEYYININSVREGDWILFTHEGGVD VGDVDEKAEKLLIPVDLKNYPSNETIASTLLSKVPKGVHNVLVDFISRLYAVYVDCQF TYLEINPLVVIPNADATSAEVHFLDLAGKIDQTAEFECGAKWAIARSATALGIPAAPK DGKTTVDVGPPLEFPAPFGREMSKEEAYIAEMDAKTGASLKLTILNGNGRVWTLVAGG GASVVYADAIASAGFAGELANYGEYSGAPTETQTFHYARTVLDLMLRAPQHKEGKVLF IGGGIANFTNVASTFKGVIRALREVAPLLVEHNVQIWIRRAGPNYQEGLKNIKNVGQE LGLNMHVYGPEMHVSGIVPLALVPGKTTDIAEFSG CC84DRAFT_1136099 MKALEQLLLENTATVSRQKVVVLHGLGGIGKTQLAVEFARKHHR RFSSVFWLDGESEVSLKESFTSMMQRLPQGELTADGKGVLGYPTVDVDVAVHECLRWL SLATNQHWLLIFDNVDRDHHDKNDAQAYSVKMYFPSTDSGSILVTSRLASLQRLGSGL KVGAVGMEQARTILESNAGKMVEDADVILERLSGLPLALTQAGSYMQETNVSASTYVK HYDKTWERLMHIQGRFPLEEYGDRNMLTTWTISYEQVKKESEDAAWLLKLWGFLDHGE LWYELVAAVSRLSEEMDVPRWLSQAAEDELAYAEAVGLLSRYSLVDAREGSNSHSMHS VLHRWCGRLVEGQEKHVLGCIAAGIVALTVPFRSEAEFWGKKKRLLGHALGVSGWLIR VYLSKEEDTGVGMLKEGIYHSLGYVLADEDRQRAEKMYQRALQGYEKVWGPEHTSTLN TVNNLGTLYADLGRLDEAGKMYQRALQGYEKAWGPEHTSTLDTVHNLERLDEAEKMFQ RALQGYEKVWGPEQTSTLNTVCNLGSLYSKLERLDEAEKMYQRALQGYEKVLGPEHTS TLNTVHTLGILYWKLEQLDEAEKMLQRALRGKEKAWGLEHTSTLHTVNKLGAFYAKLG RLDEAGRMYQRALHGYENAFGPSHQKCKRLRNRLTSLGLESDKADVLRAKCLAQRPST MDISANIQMEEAKPASRRHKLFRRFR CC84DRAFT_1227673 MGRLVTEDDIGLKVLREAPAEAEAAQIIDIVAIHGLGAHPDDSW CKNAGTRESPRWVNWLDDENLLPAVAPNARIMRYGYESQWFGKEAMQQSVSTVAERLL LNLKRKRKDVPFRPLLFIAHCFGGLVVLKMLLEAEQYQSEWPGVFRSTTGLVFFGTPF RGAGGMNQMEMLEAARREYDNDQVQPAALEVLQPGNAYLQDVVDGFLKKMRSQMSKTQ VACFYELKASDVGRIVGGQSRTVSVNECYTISRLTACTEICGHRKLWLS CC84DRAFT_1160179 MPPFSKEAELVHDYDPAAKRPTTATLPDYPLVKLNDPSQIRKFL EQELWCRDLEAMAPRLWMMTTFSGANINPLHRQRVKGREIVVTEEPRLHLVWIHNRIF VKPLPRYLLSQAFWKTFLEEGSDRADYSQSDLCKAATGFLRTYRYLIQHESDFCIAQQ AGLRLVPKGIDWLSFCRLISELSHVDDAAVSQRYCYGELRLTRLNFYAPLLLRKFHFE QVHGQYGDFFGRLYGPLLFVFAIVSTILNSMQVALAADQLEASHWEAVWHVSRYFSMV SLVGVAIISLWFILLWLWIFLDEWIYTAGQKLAKKREAQSTPC CC84DRAFT_166163 MVPDLRILVDGDARVYRRGDKVTGRVILGVEHEEDVVALKISFS GVCITTTNRPVYTPHRADATAPMQRYQERVQLFKFEQDLLSGSELASNKESRTFDFKF PELTVPRFSKWQHGPKYLKSPHPLPPSFQTDTSGGQAVVAYCLRATLVRGGSHRPLET QEMLPYHPTPDNIQLEPQAHSRVLYAQIWKPLSDSRTAMDKAMSKLSRRSSSATGPRI VPTLHHPETIAPGQNIPLYLSLEDASPAPNFQASQCTLDCVTVKISTHTTSMCGQSAT SPEDIECKRVTCLSKSNLAKPLPFNTKAKLAHNFRLLEDTECVPSFKTYTITRRYDLS VSVGLKYEGREFTVRCTTLLEILPRVPRDLLPGVLEEEEEDEPLPPYAPREPSREFAP MYESIFMLEQESSSETSLAYTTSRGSSTTSNMSTPASEVEGMSFGEAAVSR CC84DRAFT_1080491 MPPRKSNVSTAAAEDSPKPPPKAARDEDDGLSDLNLPKSIVQRL AKGVLPPNTQIQKDALLAMSKGATVFVNYLTSTAAEHAARSGKKTVMPKDVFDALQEL EFDFMLQRVEAEVHKFTSIQADKRNTYRKKVREEKKAAKGEPTNGAAEDEQPPTKRAR RSDGAAGAPSDEDDVDETVEDVEQEDEDVEDDEVDEEAPEEEPTEDLLEEREKEASDD DMADGDESD CC84DRAFT_1160181 MHARVPRKRTKWTSLTPPRTHADADGDSTMHSSPELDAADDDLF PGDGDAPSTPRNAASYAPGPASELSPPNSQGRLPEESLSALAGGAPSMINANGKRAHP SSVASAAPAGGSGDKKSGPVQTDSATGYQWSSPDEAPGYEWKSNRAREEEARALEAVV DKGSMVKARYGDPLKPSVPMNLNSKR CC84DRAFT_1212417 MPPKPRALADAWDDDWESLADKEVDAQQKNEPAPKVKLSQKERR AQHAEQQKMLWDSAENPEPMLFLDARNNVPVAPQATFKPAVTLLARKPKAPAGMAGLT LDDDDSEEERRKKSEAEFEERKARAQKERAEKERRYAEARDRIFGKDANSDESRGASP RRAGKGRGGRGGRDSQPRSSNEQSPARPSASRQLFEPAYSPKPGSKFVQRQDGSTSRP STPNDQEKPVREPRGPQATGRGGRGFAPRGNRTGTVS CC84DRAFT_166284 MKMNLMIATAILAWCESVDAAPRPQVPGLVPLVLAAGTTSGTAA SPTSADAVVPGGLAVAKPLVPGIPLPPLPIPGLPGVPPVAPGLTLPAPAPLGFPPVPL LIPNLPSPLPKLPVVDLPIPAVPKVPGPGDVPALPISLPPVDADSVDSYVKVGKIVLS NILNLLWQGAKAAKIPGLEIPAISVPAVPVKNATLPVVAAPALKKRQVAVSSSARPAT ATPAVGLPLVGGLTSGLTSNLPLVGGLTSGLTGGSSPLAGVTNTVSGVTGSLPVVGGL TSGLTGGSSPVSGVLNTVSGVTNSLPVVGGLTGGLTGGASDPLSAVGGVVGTVANTVG SVPVVGGAVAPVLGAVGGSTSGNPLGAVGGAVSGALGTVGQVAGSVPVVGGAVQGAVN TVGQAVNSALLGPYPLNQGVPGSDPVGGLSVSIIATLLSLLKKDPLSLFGGGLGGIGG LIKRDLPALADQLEIEKRSLSHAHKKRQLSLPSLSTGVPGVGVGALPLSGVPGVSPDI SFLVGSIAKNIAVPGGKGLGSVTGNILSVLNGVVPNFLFKLPVVQDSVPTFGCLQLLS SINPTKFGSLADLTNLAALSTAANGISSGDLAFIRTLPSTADPSNLITTITTLTDNIL SLPGNVANLKAAVAVLCLKNLGLAVPGL CC84DRAFT_1212419 MAFRSNLELLGDHEYTQAHPEPSTSIPPSPTSKDNAIWMEPSRY ITSAEASNLDSSLDPATQLLIDRAAASIAANMLEQLEQAALVSYQYTGNVALMQGRNN VDVYDRVVRDSFHTGLLHALSGMGGIKTPTIIIDVTGFLDGENELTAALKRSNFSLVP ARLIQTSERPLTREATASFAAAFSTAVRGAFASQIEALTDIRPGELFIRQQMLHKGKH DIGENVECCLFKISEEDYRMPFDKSTLDNNAYKYVKAQTKEVADEVFKHMVAEFSIKC STCRMYHDFKVVR CC84DRAFT_1171581 MAWRQKHSMRSFVIVLQCCEHSELQAEAAGLSIGSHAQKFVNKY LDVERSLKAVSGTRSQILSLPGTVVMEVPLAFEVVTSLWGLVFERLIADSVGGDGSGC AGVYGTGVRVTQLEYEGRGTTVRVACKNNIDETETTIEAALLLTGADGGRSTIRHQLL PFIHSEYAGHPSNFIGSESEELQSSGDSCENSSDENNNDDISKSEVTPLLLEAREINV PYVDLQNLPERVQNDALQRTDVGHLKDKPVPKIPPNACKECDTASKQENPQAA CC84DRAFT_1201807 MDSKPAFTFNSSSWSGSGLFGSDQGPAPTIQRTSDGRRIRVKLP SSTHIVGISTISKNEKRQKLENARNQRPSSWSIHTSENDEDDDGDDSNLVGSESEELQ SSDNERKKPRDDDFDNGEVLSDGDAEELDEQIPEEEVTALPPESKESCVPCGTPQKEA RTDILPGAVAPVLDDKEGTTRTWSNGVEEGDTAGTKDKPSEAWNTSFEFPLPECPPLN LSDELLFNEVFKIQEIDQTYHVCRGLDEQQAQCTSKVPSSYSFGIRFLQMSLANGENI RESKGKALAMYSLCGKHTSQSENLAQEWIRLANLTKPARKYWMERQKSRSVDRTTWPK LCAEFAAAEVRRALVQDQVGQKGYMESEKVIDSLRKKVASLESQANVPSNDPPKSSLT EVPEKISALEQRVAILMSENTAFRVARESNEIEFEKERERAQRDLSDAKNLYKESQET AEALRKDIDSLQSYLDRAETKAITFKDSLDATQAALTKTENRRRELEVRLEERMALGT KTEDPPETKLEKYLRQELERSQRQSLQQQKDCEKMREERVRLQITNATLESQVRQIEV AKEELRRDSDKDRKEFKEKNLELLSQIKHIEGIKEELKRVFEREAKELNEKNAYLSSQ LQNARAHFEQLKEVLPDMEKENKGEASKSKFSLRDIYRRPKNEPSRPQTTSSN CC84DRAFT_1109858 MSLERIDSVDPSDTYDYIICGGGTSGCVIAGRLAEDLNVKVLVL EAGPDNADLENVHMAGGWSKNFDSETDWNLVTEPMKTIDDRQVKVSRGRFLGGSSGVN GTLCIRGTKQDYDDWGLDEWTGDKMFAYMKKAETFHEKDWHKANMSVHGTSGPLHMEP HDLAPISERVKESMVDLGLPYHPDMFSTGETPHGCGDVPRTVHQGIRTTAADFITKDY KRENISIKTEVTVDRVVFSQDAGELTACGVATISKDGRKVEYQARKEVIVAGGAYCSP PILMRSGLGPKEHLEKHGIKCLVDLPGVGGNLMDHVLCFIFYEVSEPNLTNDYLAYHD NALASTYALYQEKKTGILSTFPFGIFGYARLDERLKDSELWQNAKREPGRDPMGLAPS QPNIEFWNTELYGGPKQYTDFPVDHKHVFSLCALLFNQHSRGSVTLKSKDPLENPVVD HNYLSDPLDMLVLSEGCRFANEIVMKGKGTRNVVKGSWPADLTHHENKTREDWEEHVR KHATTCYHASGTCAMGKPDDRNAVLDARLRVRGVRNLRVADVSSVPQVNNGHTQMVAY GIGEGAAEMIKEDAQRLLPKLVHQVAKLAV CC84DRAFT_1077982 MVARKRGRDEMETEAPVEEPTTLQKLRNMWQFANLAQYIFLFKG ALKIDEDFGIEELETECLTPQPSQMLAAIGLALLKHVSSHKGLTPEIFDEYTRRQFVA KAPARNPFGTEEVPNRFDDFDVFTKIRVLQQLSVWTLNNPNTIRERLNPTEAEQIDWR FNPFGYDSKGRTIFVLDDMRMYRRTDAPPPPPPQKAKSKAKARKSRSSRTSKRRKTST PEPEEPEEVDEVTEGNDAEAEDDGFGGMQWECVCITYEDYQDYLTGIRKSRHPDEKQL YKDLEADIIPELAKVAEAQARKEAKKMRELETLQKLATAKRSSRISAKMDRQREIEEA EEAARKRQEEIAMAKAEQEKQRHMEDAHESRRQTREQRIREREAQKILQEENLRKLEE DEKKLASEEARLSERHLTAQMKKAKRDLERLKEKEYWLFDCEKCGLNGECIDDGKPQI QCDEKDGCGVWQHSACHGISAKRAEAEDFKFLCASCTRKQQAAKEPKLALKLRLTSAS PNAQRTLQTNGTVPKEPSQQLNAVEVPPQPFPQSPERPFNPGHSLMNGPSLSPRGQAL GPPGIQRSEAAYGSPLIPAGSSSPTRPRQPSVHGGANGFPGSSPPRLPPHAISSFNNG TPGSRQNGSPFNTTNPFQSNFHPTYNSSFSRPTSAAGTTSFGSPVKHSSPRPVNGVPN GYNFTNSPYSSFPPSNVQASAMSPIKHSSPPPPLPQMSSPAPAPVSFIAPSPSQMPAQ ILPDPIPAPLKHDGARPPSSHEVSETHVFPPLKALSPIVPPQNLSPPTKKSSPAPLRT YDASTNGNPFDSSN CC84DRAFT_1255096 MSSPDPHNNNIHRRSQTHQSIPLRDLHRPPDDHDGERHQGAQQH RQHRRTLSDRGRQLLRHSGQLASGQPWNSQYAPIAETETSPSPTRTRTGGRPQLDTAA GASRRPTHVEDDGDFSPVDIGAFQAAIGFSGLGFQGETSPPLGPPMTPAQSYPQYGSD PYLGRSTSEDHSFYAASTYDDRDTAHLTDAQNLQPVSGAAAPLSSTPGNRSSFQSVRF LTPGGSTTGPSLGHDLEQHSPGGLRTSTGRKRSLSPGSIESPLHRAGTIMRNMSQRVV NISNEPEIAARTMRRKSSVRHPQDRLQEPPSFPALPTYLHDGPSSPLASPIEKPPSPI EAKSSAQWQRPSNPFRGRSLGIFGPDNKLRLKLSDLLVHPVTEPLLLLLIVVQTVLLA VDASKDVYNFPRTKEWGSNDIDYAILGLFVVYTLETIIRIIVSGFIINAPEYSTINRQ VGFRQAVLGNARKLFGPQRQPSIKRAETSIEQIPSVFRTFTTAQINPTIGPGNSRDQQ RARLAHRAYLRHSFNRTDFVAVVSFWISFVLGLTGVEHDKHIYIFKMLSCLRIIRLLN LTSGTAVILRSLKKAAPLLVNVAFLISFFWLLFAIVGVQSFKSSFRRHCVWIDPQGQS NFTNEEQFCGGHLENVPGIHPQPYIPAPGMPAGTDKGFLCPKGSLCIEGTNPYSGTQS FDNILQSLQLVFVIMSSNTYSDLLYTIADSDYLIGALFFAGGILILSLWLISLLIAVI TSSFQIIREESKTSAFTGEHIEEEDQDNLPKQRVSNLKKFYERTSWVWILIISYGLIA QALKSANMSPSRAKFIDRSEIGVTFLLLLEIIIRFIVDWRHFFRGKQNITDLLIAIIT VVIQIPAIKESHGGRAYAWLSVFQIIRIYRVVLAVPMTRDLIMIVLGNVGGLLNLILF VFLLTFLASIFAAQLFRGEVPPEQDGDTLVVSFFTIYNSFLGMYQILSSENWTTIMYS VTTSTETWGTSWIGATFCIIWFIFANFIVLNMFIAVIQENFDVSEDEKRLQQVKAFLQ NKEQGFNSSDGNLSLSSIFKLGHASRKDPLDYGSAATEMLLKDAVVRDFLDDGDDSPH DEEPQPGIRPAPTIVGSGAMSTFWQRLKRRISNREPNPFYAPLDFGRAYEDLDPRRMA KEVVDATEKRKKAQRDYLRKHPNYNVSLFIFRPYNPVRRFCQNIVGPGRGKDRIEGVA PSVPIWYTFSAFIYAAIIAMVLLACITTPLYQREYFKTHEFSVRNWFVWTDLGFAVLF TAEALIKVIADGFLFTPNAYFRGSWGFIDGVVLITLWINVITSLLNEGQITRTVGAFK ALRALRLLNISDSARNHFHSLIVRGWWKLISAAFVSLSLLIPFAIYGLNLFVGRMQSC NDDGSNIFDLHDCVSEYDSNPFNDDWNVLAPRRASNPYYDFDNFGNSLFILFQIVSQE GWIDVMWASEQITGVFTQPAPFASQGNALYFVIFNLLGAVFVLTLFVSVFMRNYTEQT GVAFLTTDQRSWLELRKLLRQVSPSKRPSSTKVRETWEEWCYRRAVRKTGSWQRFITG LLLAHLVLLCLEWYPGYGIWEQVRDYIFLLFTIIFIANVVIRIIGLSWHRFRKSSWDV FSIFAVSGTFVTSILRLTNNSERVFAQLHKLGLVSIALLLIPRNNQLDQLFKTAAASL ASIMNLLATWFVLFLVYAIALTQTFGLTRFGENETGNINFRSVPKALILLFRTSTGEG WNELMEDFASIDHPYCTDGERYFESDCGSPEWARALFVTWNILSMYIFVNLFVSLIYE SFSYVYQRSSGLSVISREEIRRFKQAWAEFDPNGTGFITKDQFPRLLGELSGIFEMRI YDGDFTVGSLIEECSTNSRRTSGLPVQGQKEPVEIDIKKLNRRLAELPIAEIRRRRMR MNTFYEEVIVSSDPDRGIQFTSLLMILAHHKVINDNKSLRLEEFLRRRARLQRVEEAV RRNVVIGFFDTLYWSRRFRRVQQQKEHGRMTAVPQFTVPEIFIDDEDAVDAERGQRSI TGSPMLSPVHSNTDTGGWRASGSDARAPSPPADMTLRSRANSIQTTPLGSPTRPNPLS PTRTSPQMSPFSPPPDGEWQFASALSRPPSPLEGEGELAAPSSTGNRSRQNSAVSAAD VLEVLDNSAWGESIRRSFTQRRSGGR CC84DRAFT_1160187 MANTRTNRFSFGSPVRTPSTSLRRLRTAFSPTRSSRSISPSSTG TTSPLARGRTGSPDSHRSSGSIESIRNLLMLRRKPSVLDLEMEEEKHLFEHELEVLEP RPSLGEAGATVGIFEVLDGKF CC84DRAFT_1160188 MPRKPPPTPTQDLDSTRRPSNTIPIPCPPPRGPPSSTLANPRLR QTAASRGLARANATTVPELGAIDRSWGALRNDVCMPDARTVFSSSRWICSTVWHAQSL CC84DRAFT_1136124 MSSPEPYKIHVPDASIEKLKRRLEDTDYPDELEIDDQWRYGAPT SDVKRLAEHWRTGFDWRKTEAEINELPNYRKKVSVDGFGDIDLHFVWQKSEVEGAIPL LFSHGWPGSFLEVMKLLPLLKGDGDSPAFHIVAPSLPNFGFSQRITKPGFALEQYAQT CHRLMLDLGYPKYITQGGDWGFYITRTMGLLYPNAVLASHINMIRAHAPSFASQPALA LQHALTPYSDAEKKGLERSEWFTSEGQAYRQLQATKPQTLSYAFASSPVALLAWIYEK LVDWTDGYPWTDDEILTWVSIYYFSTAGPNAHIRIYYEASHNPTAAVPSRERLSEWIG RVKLGLAHFPREITVVPRVWGKTLGNVVHESVNERGGHFAAWERPDVISKDLRDMFGK KGPLYNVVPGKSGY CC84DRAFT_1079899 MFTSQALSTLAVAILAMAPAVQSHMIMASPKPFGSPDNSPLDPS GSNYPCKMTSATAGAGPMNDFAVGSKQQLSFSGSAVHGGGSCQISVTTDKNPTKDSVF KVIHSIEGGCPGVNGPATFDFTVPDALPDGELVMAWTWFNHIGNREMYMNCANIQVSG GASDNSKFEKLPDMAIANINVGKGASCKTAESSDYTFAGVGENGDTVDRVGSGPFVDL CGGAATPAPVASSAPAASATGGAGGVFAPGASSAPVVSSAPAASPTDAVTSTIKTLAT VTAPSAAPTGPANGTAPAASAPAAAPTGGAAGSSCSTNGAVMCNGDSQFGLCDNGKVV WQAVAAGTKCENGQIAKRDAKFTHRVQRSAI CC84DRAFT_1201813 MVKATLDSPSPALAAGGVPRPFGACREASRKIDAARATAFTTIE GKAIATLTASRRFHTCGFPPLRTRQRAIATMFTSTGRTAPPQAFISTYAPRLRSYGNS LISPIVPPSNTLPVPRTTKRGTAVISYAEDGYDDNFEDDSDNPRRATGLRSLRRDETT LDKTAQIAALGKEIHAPVDVQGIWRDWMGRPKYTRTEKQVQVQAALPVTLIPIRIDMD IQAFRPDAPLPTPSNAREFGIDETLPAYRQPDMTPAYRLKDTFLWNLHEALMTPDQFA KIFVDEMDLPVDRKPQLIFNIATQIRQQLEEYAGVALHPLFHSTASPTVQGTTTAVPS QADLTQALPSRDGTSTPAATTTNGVATAVVNGNAPTNGTATPAAIPNGISASATALPS EDLHNPDDTYRCIVTLNVNLLNRLYSDKFEWSLLHPPGFAEMFAKVTCADLGLAGEWG PAMAHAIYEAVLRLKKEACENGGLVGDGEIDNDAVDPVIGAGWRYDPEHLCDEWEPKV EILSPEEIEKREGDRERQIRRLRRETARFSSTANMTGTPQNDFFANPEQTENMGRGER SKKKRRFRSLSPTGRDTPDVGSGYGGSGGGLTETERGNWKCAHCLIWGDAVWAVRDGP SGPRTLCANCGYLYERDKKLPPWSHNLFYGDWPKHFVPKFPTR CC84DRAFT_1212428 MDPSRNLALHNAKLQIILSNWQTSQSAPSPESESEIDMPPPPAY HTVADPTESLPEMMHRLYDAEEEEVKETEMPEININATTQIRGHGNIISIAQMDSMRV ANLLAILIHGGIPPQTQTNVQGREENAPAPSMTDRPAQERRELPKINININCGATVIG DRNIVGPGLGDIARHMQLAQQRQQAQQQQQQQQQQQQNQAKLDSRTAQPPRPVFGFPA PTQAPLVTPPMSRSSSFGSEGSGGVKRKAEEGRTEMPVKKEKCM CC84DRAFT_166891 MTGDTIRVTRTHVRVAVGVLDVFSVEMRAVVTMTSSCAHSLHMQ GRVACSCADFVRRNNRRTVYSQSNRLLNQSIGNVAGRNDGSRGASVTVDSRSVRARPT GPPFWGTGPGSGGTSFSKVASSNCRPAWATIGTAHQRRAFEMDGRLQIRWQAQPRPRR GRSKAKACL CC84DRAFT_1160193 MDPLVSWTIFLALIGGVCWHYGAFDKLIGKKPEQSGTRGRTLSR SNDTAWLESESKTKPTKATKKQGPRKSVKKAVQEVGTKAEAALSGVSSTTGADADDDL SPVQSPSLAAKVTNAIPSGKDVSDMLDPKIVPNVLKIGASEKPARPAKPQQTKPETQK QTKKQAQNAKKREAEKAAKAEAEIERKKLQEQQLRTARLARGEAPGARYQSSQPASNA WNNPAAPAAPKPAGGLLLDTLEAPSSASSATNGTAPTSESASYNNIPSEEDQIAAALA DSAWTEVPKGKKKKTKAEAAVGEGSDSGIGSETASAPVKATPAPAPKKESKKEVKPAS RYEVLSQPITEFSDPRDSDWPVV CC84DRAFT_1255102 MKLTTTTTLALLASTACGFYAPQVVRSLLSPQDPPIHLARRDDE PASDDVWNKAKCIGGQFFKAFPLSDKDAGQEYSPKKDSVQSKWKGDLKDGLKKWGWTQ NTLLKSVCEFDESALGKGWVDAAKQLNIGTEGWKDIWCYRFSHGTLWDVAADKTYNAD NKDYPYTGAYAQFGINDDDGVILAMDNLMPSSAIKKNKQRDPQDGEVPALQSLSDLLW GGWYRGSQPDTSNNENVKNLKYLISLSVTNSESLSIIRRALKAKNKVTASLWPGDNFD ISTAEGKALLGSPNGKRFGYLLAQRKDDVGIKKIDSVTVFRGKDHSNPILIFYVK CC84DRAFT_1160196 MAQIRGTAGYHLGNQSPFGNGGRSDSTTNDPSPLDQLREQTNKI EDFLDTLSEPVKPYLPAIGRFLIVVTFLEDALRIMTQWNDQLTYLHDYRHIPSGLTHL FLIVNVIAMTVCSVMIIARKNSDYGVFGLIGVVVLQGLGYGLVFDLNFFLRNLSVMGG LLMVLSDSWVRKKFAPAGLPTLDEKDRKMYFQLAGRVLLIFLFVGFVFRGDWSFWRIC VSLLGFVACVMVVVGFKAKFSAIMLVVILSIFNLLVNNFWTLHPHHPHKDFAKYDFFQ ILSIVGGLLLLVNMGPGQFSVDEKKKVY CC84DRAFT_1160197 MAPSKIIIDTDPGVDDVLAMLLAFAAKPEELEVLMLSLTFGNVE VQNCLRNVVTLFHYIEKEKAWRRENGRPEGFEGLTTRKPIVAVGAEEPLAEHMMVADF FHGVDGLGGIHHSHPHLSPEETWKSLFKPTPEPISPEEAADLQKVKETHSLFTPSLKP AHEVILQLLRDNEPDTITIVAIGPLTNLAIAAATDPEAFLRVKEVVVMGGAVNWPGNM TPGAEFNTYADSIAAARVYALTSPNPKTTLPPVVGKKALPPYPENLSRRLTVKLFPLD ITEQHLLPRTLYSKYIEADALKGSPLTEWASLFLLSTFRKIASLDSHLDADTIGLHLH DPLTVWYAMAAEDEGWKFVTEDLRVETSGQWTRGCCIVDRREREVKEGLTGSLDEEVV GDAGGWRDARRGNRIQRAVESPGAEKFAGLLLERVFGKV CC84DRAFT_1136133 MTVGHLIRDTAFGQILRLITKNRVLQYPEEQDPEHWKQYLNQEK SAYLAHHGTTEPPEDDKARHELQNARGVRIRDAEGDSPADSRASMATSTTMNEASGVM VDQEKGKDKNLVDWYGPNDPEHPRNWSKPKKFFVTGEIVLLTFSIYIGSAIYTPGLMG VMAEFGVSQVAATLGLTLFVAGYGLGPLIWSPLSEIPQFGRNPIYIATLLVFVLFQIP TALASNFGMLLAFRFLTGFFGSPALATGGATIADMYRPSKQAYGLSIWGIGAVCGPCL GPLVGGFAVQAEGWTWPIWELMWLSGFCWVFLFFLLPETSSQNILYRRTSRLRKLTGN DKFICEPELVAEQMTGKDIFQMTIIRPISLTLTEPMVFLLNLYIALIYGLLYIWFESF PIVFVEIYGFNLGQEGLAFLGILVGSLVAMVCLFFYLYFYQEKQFNENGEIKPEIRLQ PAMLGGFFVPICLFWFGWTSRESIHWMVPIVGSSMFGVAAFLLFQSVLPYLSDAYPAY TASVFAGNDLFRSAFGAGFPLFASAMYRKLGVNWASSLLAFLGIAFIPIPFVLYIFGE RLRKRSKHAKKDF CC84DRAFT_1160199 MPLILCPLTTADTLSWTRIRTIAYHGPLHTLTHTQPLSKSSILA LAHARKTEIGKPNAWHWKVVDTELSPGEDDPIDNRGRTIAIAIWSAHNVAGPNDTSKS SGEEKDASFVPPELRPDVLTAVLTPLRAAQDEIMGVSTPYLKLDSLATHPEHHQRGAG KMLLDWGVEKADAEDWKTYLDATPVGLKSYERVGFKIVREVRFDRGAWGGEGEDWWGC MVREVGRS CC84DRAFT_1160200 MPVTEYSRLTLKQGLNKEQIIFAKRGLSRAKKLMEDFTGHDFHI FQEVEDPDHIYIVGQWASVEQHMKSWIPSEANQGLLKDLGPLVDVDYLFHIVVSFGSI PPSEKSPVFSIGRHVMESEKRPDFESTFESRRHGLEMHAPGSISGGWRIEKEPGREEF VLFTPWKYVSRSIH CC84DRAFT_167455 MSELGTSKRKRRGGPRRKTGCHTCKARHSRCDEKKPVCSNCERL NLECKQSDFIAPSSWNNTPSEPTPPAPTPALQVTMDEMQLLPVQAQESPERTLFMNFE QPASTWDIFRTRIDGLDQVSDESPPNDVFTQLNLAVPRSPMLTSPSSLSPEAPVSLTA ETAFLLQTYLRTVARWMDLMCHSSTYQLIIPKLTLTSPLLFHCVCAFTAKYLALSNRR STNWDSTARHHYGLGLHHLIHALNTPNHQHALTATILLSSYEIIAGIASEHHRRHILG QTMLIKHHNINAQSTGMDKANFWIHVRHEIGFAQTTGRPLILDPEEWNVHWEEGETRE DVLGNHVLWILARVLCLIYGDEGSAASGKRKRIAFLQELEEWRSSLSDTFIGIPYGEA DAEGFRKVYFTVTAAAAAAFWYHVTHILLYAEPTLQDESYTPLIQDQAMRLTNIAISE FPDSLRVFATHGLFFAAKHIQGIARKARIWNILNDVEAELGYHTRSMVKRLQDLVEQG S CC84DRAFT_1183463 MDAHSKSLHIEPPLLNSANPWCSTLEQLQELYDCPSTGAVTTRT SLLEGFPNDEKIHQFAFFSPSTHEAGSANPTGQETADASGSLNTLGYSPLPLKQYLGF IKTISDHMTGTSTSTSRIKPFIVSVTGAVEEVVECYRQIHEQQNHVRMALAMEVNLSC PNIPGKPPPAYSSKALLAYLAALKQEIARQVEPVLPGKHTHVGTTHVPIGIKTPPYTY HDQYQAMIDALLGSAKAEPAHLPCPISFITATNTLGSSLLLHPIAEPTASSPAPHEYY HHVLNSANGTGIGGLAGAPLHPIALGNVYTIKGLLFQHDELSQIQIIGVGGVEDAEGY KRMRAVGATAVGVGTALGRKGVQAFADIAKGLRT CC84DRAFT_167442 MLDPITRKTQTILNNYFGQRFNNPDDTVSDSTGNIFFTDPLHES NLNLTQNTPFCASRSIAFVLPQVLWVLLMAISAFQKVLRCHPMCARCLSQTRLSPTSQ AWIRMSYRVTRGVRHQENPCTHSKLSTRLLKSTWSTSGRYGILKSLQWAVCTLPVTGI WSEHRDLALMC CC84DRAFT_1160204 MGKKIGDNHDEVTFAKKDLPSEHRVLQPDSMSTMDHKPDRLNIH VDEQGTVKNVRYG CC84DRAFT_1227702 MTDYWINNRASMSCEQRLNLATFLVKLASARVSKDRVCQIALLL FRWAFGEGRELTSAEDSDGKNTHRSISMLVVQHLIPSACAWIKEAGYNLILLSDVPWN DCPSTISRGGPMFVESEFGKRSPTGFTPWRWMF CC84DRAFT_1160205 MFITPAHSIPTRSINQPKKRDRNMHHLRDTLLSPSPKGPPELSM AGDTVRDQQDTRECIAHGELKEVRGAAFYHRTSIVTGRYAISETE CC84DRAFT_1255111 MRTPVASTKHSIISIILLFAVYCIPKMLALALVPLIVLPLALAH PTATTAFEVTNLYTFEPSGRDNVSVYRVAFNVTDPSDGSATACETTWPYAVWDTGYPH DFLANCTDKAWSFKFSDYQNVWDFVLDVKHVSRKTNGKKIKRFAKGTVDPENVLLCTH AGSGFSICTQREGVAFPLVVYKEVKV CC84DRAFT_167778 METLAVMKAIAKAYDLAREIPGQLKAVAIYTDSTSAIRVARNPN HPLGLHIIRKACMLTKLGLKLSLHWCPGHSGVSDMFSLEHIFCQAKQNRFRATN CC84DRAFT_167845 MPTQSGVTHKPADALEVSSLNPLSNGLLHTRNGANSKVHAPTSV PPHDLLVKNSAIGAQQISSSGAPNTVDLLHHNTPSSARSNGKEDLANGGVTATLLSRD SIRTLRTVGSREHFSISATRDSGDNFLTPRPRMSMNSNDGATASRHSIDPRDSNLVAT LAETLNMVGARSSKTVVIPRSAWASGWGLRDNIFDMKTDKRSATSPWQPIGNALTESK RDEMSPTSMQNPEENGSALPRALPQQSIIERAVVTLHEGIAASQRIEIPQNFSDISRT RPTFDSILPADRTLARETDLVDSLEPAFLARKRMERTDRREIQKQRMTHARTEKGERG GKGGKAGSRSGGGQGQSQELQFLEEKSCERATDRCRDGTDKCCSRDV CC84DRAFT_1160207 MQHVLEPPRRQAWVESPYLRSLIAGGLAGTTVDMSLFPLDTLKT RLQSSAGFAASGGFTGIYKGLGSAFVGSAPGAALFFITYDSIKRHMTPSTPKIAHNVE GKPYAQIEGGRGREAGVHMLAASLGEVAACAVRVPTEVIKQRAQASQHPSSLSALTYI LNQRHTHGIRHVWLELYRGWSITLLREVPFTVIQFPLWEALKRWRMHNSGKSEISGLE GGLLGSVAGAVAAGITTPLDVLKTRMMLAKEKQPMMSMLKQILEQSGPRAFFAGLGPR VGWISTGGAIFLGSYQWASNALGGLEDL CC84DRAFT_1136156 MSDGLGLRTWCAASTTAKKSLAREAADGEESNLSEDIRENAYDT VSCISDAQISPDGTCIFTTDYSRNFSVYPLTSNIAANEEQQRLTRYAQFSSSDPIWAF TVNPYFDLNDRNTTTVLVSRRDQYIGLHNALWDISETQGNVAQPDRTGPVDISSKLTS YKLVDKLTEAVTAPKSLLWSPCGAYFYAGHKNQIAVFDLTYTDDPITKIRTIPSTRNK LKGGGWGFKGDVSALAASAGSGNVLAAGTRTRYVGLYDVVSSQEVTHFALPGMINGQR SDNEKLQDVIGEGVTQLEWSPDGTYLYVAERSSNALLIYDVRKFGLALAHCAGRKAQT RQKMGFDVWAQRDAGENHEIWAGGTDGCVRVWRYAYLKEGAVDADEVISVGDDAVSNV RVHPYGHAAVVAQGRYEVGGGGEAKGIRRGDVKNPTYDEWGSLDILGLGSY CC84DRAFT_1160210 MDAQVKYLLSLDAIRDRAKIVGEAAKAGKLSHFNVHDEKLGAVA DYVTAVIKRDYGPDKYGQIPPHGRWQHFEVGNQPRIDDLLSQWKTEGHDDKELTRRLI DLFFVSVLLDAGAGDHWRYVEPGTEQMFERSEGIAVASLYMFKEGAFASLQANKMIVD GKGLEQLTSSALATGFQISDRNPMLGVDSRTNLLKSLGTSLLAHSNVFGPEGRPGNIV DYMLKTATDTSSLDILRFWDVLQELLIPIWPRDRTVVHGCPIGDAWPLSTLRTASSST SVPNTTDADFIQPFHKLTQWLTYSLTVPFTRLLKLTWTHMDSMTALPEYRNGGLFVDL GVLSLKPDTLKRGLASSGGALPQFGAGDDAVVEWRAMTLVLIDKLYAMVKERMNGVEL SMAQLLEAGTWKSGREVAKEKRPDTKSSPILIESDGTVF CC84DRAFT_1160211 MPSDTSFLAYGCSEWRKSSQIASNLYEDGVKLWSKDNLQHIEQQ LLQSMDLEYFTVRLIDGTEACIKNPMFAERRPIWRPRVTYREYWRLVTSQPDGPSETY RCSYLVHWENVCAKRFQGIIENADTVFEENRHTWSQSASCRLLRSRLEEVFSVRKVAK IICFGLGDMCRGPPEWYRRQTGSSGQELEAEFIRPSTIQHSVALTMADMCSANNIQLL AQDPGYTEEAKEMLKGKGFSIVGPFGAGGFAEIEDDSVIFSAFVEAPLKQIIADLARP ALIITTDRTTFNDAGKPWADPESPRTKKMWDEYERCDFPVSPEDAELLKSVRNLYMYV RKVSQVTSE CC84DRAFT_1160215 MHVAFTVNSIEQLYEWHAAAVRAGGEDNGKPGPREEYHAGYHGA FVRDPVLGVNFEVVYREPNGKSIRLGESTE CC84DRAFT_1081050 MLHLARRTSSVSLPPPSSPPSVVYEPNSAPAAREPLSPAQTLWT AHPSPTQTLGHSSPELPTLPSLSALGGHPRTPSTAARQDRTDTSYYTASWGSPYRHPP PPFNRQRHPSTQFGSDDLDGDSGLQFGLEHLLPPTLVQDNSPNRFNLEHLIPRLQDNA SPNQFNLEHLIPSRLPVTLDTPTQPNFDSGATPRVSKFDNQQATEEWVRQFLERRWNT ETNDWISEEAAGSGPESEPTFDQENGGSPSIKKHRSRRNNKTLNQQDFWSHFSQGQKE ELGKMMASRYANPDADSHSRQGSGTSLRSGLGLSRWAAQPASPAEKHSTEASQPSEEL SKKPLPSPPAQPAPQPVAPPRTRKKVLVKGKGVIISIARDIPRGTPGYPPKPMSPEAV DAKLQQYEVEGYNTQGFRTWQDVGVAGGKPSTQNRSIWPDDEYIRTTRSSAPIVRISR KEDWDAYMNHLVEVKLAALGVGLGGEEDTPSPMSRQASVQYPGLPFSPPLPTPSGGSH RGRQGSIVSGSFPLGPSPGHMSRQSIASPAAFANPRASMHMHRHSTFSPASFLQQTAS PTGAFSPGNYFGMQGARGGSPALPALPLSRPDLSGLASPSSPYGMRPNQQFPFPQPPK DDLLAQMQQQQQQLQAQLMQQQQQQLMGIRPSSTLAEVPEAESEDDELPLMKNATKNG SEIVVPSPQRGHRHNISANLERGARDAEYHLEEAIDKQFNEGGDFSTEPESTEDLRPS KPVAESSWQGSRPILHQPQPHSRAHSLAKQQSMFSFGGAAQENPRGDSDGAKTNISDV TNPSVEEGEIRETDNSAQHSKSASQVSNSWRDAKFAFGKPSSTTHSKHTSHSSISKLN VEAKEFKFNPAASFSPSMSSSFSSFTPALKSPADASASNRTSLDGMSNMSVTAPSFKP DAPVFRPDVAPFKPTFDVPAFKPAVNAPTFKPTFTPSNSFMPKSGFSFSSKGPGILTP DAPAFTPGSAEFNPSVSVSSTSSTIFGNVNITADDIIKPAKRSKAVPIVRPDATRQRT PEKEAEEEEREDEEGRIANPGGREKRARRGREEGDDVPRFALQPFLPSQALGELRQGR APTQSPEIEKEDVPAEKENVSPEANCAQSKSKSAEPLSLQNDKTLIEPSVPVEESSDE KTPIDDFSAHESDAATPTVEEIPKPNLRKHKSSLSATAKPFEFRPFAPSGGYDFGGIH ITKPSVSQDNDPDKSQVSPPRFASRSPATTYRPSDDGSFKTALESRRHVTYPQSESVD FDNLCDPSFNDIDAVMKHMNDEGSDFGVERDENSWVQSSPRRSVHDFERSDLPPSANK LRSDAPSPSPRRLYAPRNMNASSASGITGDPFDDDRAAYESPVHRLNNADEVPMSDWD EGILSEGEDKIQTRSKFFDTHVDDIIGRLLQDRLGPVEKNLQGIQDAIAMMSQRPGRG RRSMSTTERLDSDADDEDDEWGTDSHYRNRSPGKNRKMEKFRAIVKEALESHQPPVTS LPVPVPEPILPEKIRDIVTEALAAHQPHSAPADHMKPENVRDVIMEALAHHQPQWTPP KHEPPSTEHLQPDDIRLIVMEAFEMHAPPPPPMPVPEQIWPEDIKSIITEALEQKPPL APPVLPVIQEPVQPDAIRAIVAEALAAHKPAPTLEAPIDIPQPQVDMSEIYQVIGSLK ASIAQTTSHHLHAEDVRELIDDAFKRQNMEVAKREEVLAIQERDARIEELEERLKEAT LRSEAEAEARKELELREENSARLLEVTEKELVLLKEQAADDETKIRVLQDEREVSRRS LDSFQAEEDEVRSKFAKLQVEHDELRLRTAAIEGAEYEAKKKLEAVTAENEALTYTLE EHRLSANKWRDDLKHAHDEAERMRMAIEQSRLQAEEATRVRESMRAKFEKLQQDMTVA SGQAAAERAQWQKGDEEHMKKYEILSARIEAEGRTRERLERELERLEGQEREFMKLRF TLEQTQKHNARLEETVEQTRQESMEFKRAAERYEREFREAREAAHAEIRRMRTLMEAD IDKANNQVNIVRHDLESEVARVRTELDNVRMDADTAKEKHELDLEAAADARRAAVGEA LEKNTLALVEQQQTFERRLETIKMEHARVVEIAREDEERAVSFHNERIALADSKMDNL RDKIALLEEKLSVAKEAASAAAAAAQNSKPPTAGSTSYASGAEKISPQALRESIAVLQ EQLQERESRIESLEHKLAAVDTDAPAKLKERDTEINWLRELLGVRIDDLGDLINALAQ PAFDRESVRDAAIRIRTNLQMEQQEKERLITGGQKSLPTLSTLSNFASPKAVQLAAAF GNWRSKGRESAASALAGNSSNPVSRNQTPSRPTPPSAQSFLSGLMTPPTSNLRRTPDL PSSGVRPQPLLRTNSTSSRGSAAGFPSLGKQPVPSTPPLMRKASYDQDAEVERFSESG FYDDESTVDGDMTPIGLHFGQPLDR CC84DRAFT_1160217 MTEVSRGVKKADEELRSRDCASSEISVANEGVPANGGARGEELS DEKMEDVPPNGGYGWVCVACVAIINAHTWGLNSSYAVFLAHYLANNVFPGATPLMYAF IGGLSISMALVVSPVATLTTRLYGTRTTLLIGVVFEAASFIAASFASKIWHLFLSQGI CFGWGMGFQFVGSVVIAPQWFTTRRSLANGFAAAGSGIGGLVYSLSTQAMIKSIGLPW AFRTLGIIAFVVNTTCSLLVRDRNKQIGSTQLSFDYRLFKRPQFLGLLAFGFLSMLGY VVLLFSLPNYARTVGMTASQGSIIGAVLNLGQAMGRPPIGYFSDSLGRLNMAASMTGL CGVLCLVVWNFAKSFGVLIFFAIFGGAVAGTYWAVVAPITTEVMGIVDLSSALSITWL VLALPTTFSEPIGLEIVAFNGNYTGAIMFTGFMYLGAAIILWLVRAWKIGEEEENEAK VGSGVVVSPVPRADSDGHLSGILAKSPFLKRLIMWRKV CC84DRAFT_168364 MTSAIPNNETTHTSAPAEDAFTNYVSYLDLETESPRIGHYDLSN DNIQPLSFLSGTAISNLYQIIEAQSSHANRAGSQIIKSGDTYPATSVKILPPLTGRDV LCVGKNYAEHAVEFNSSGFDSSDKVDQPSHPVIFTKRYTSIIAHGEEILLHPEFTNTA DYEGEIGVIIGKPGFRIDEKDALDHVWGYTIINDVTARERQRDHKQFYIGKSPDTFCP MGPIAVPASKLEKVLSVQTHVNGELRQNATTKDLIFSIPFLIKTMSEGQTLMPGDVLA TGTPAGVGFGQKPPVYLQPGDEIDVSVSGLGTLTNRVASLSAPNRTLERFQANTSSFP LVTTSKLPQLKGLTTINGKPIYYKVSGKADGPPIVFVHGLGATSEYFSPLISTMNLNQ KYKVHLYDFEGHGLSPTHPLSILSIRSLAADLNCIFEHANISANATIVADSMGGYIAL QFVLSHPGKVSRLILLSPPPSPLPEALGKRLYRIADIARSYGMSAVVDSAASSTEPDS VTMLKPLALAARRISLLGQDPEGYAKACVAFGRATETLGSDDKVQPGVAYLAKNVKVL TIGESRQDRPEFDLNSVYGDTLSDGEWTRILDVGGHWSVFQDLDGVVKVLDGFLHE CC84DRAFT_168363 MAPALARIHQLVAKNAKVFKAAWKHTAKLVQDQLPASIRPTRAE LQPILVRTGPKHPIHRIALLKQSKGRWYSTHSTISATVRHFTSAARSGPKFDRASLPK SRTGDAVYRQTGRAPFASTLRPNLTGGTLGRTAGGYGWGSGRAGGARYFSHGPAAPAQ VINNVSQAVRAFMLGGQKAQFDGVDPRTGEKRFRSVSALQDKVNRTLNKAPKTTPGSY VSFSINPTVTALSPLTAVKGFSSSFAEEQNSLNKDGFLDILSIDFSRAVKELAGTLKD LQRLSALGDLPITYEHSTLKIHFPGCDAGTVEKICDEFGVQRGVVSQDDDFDSFVGTE IALLFPLASSRTPSECSFYEKDVAQRQFPIDWRPMMASSILSSDSDDYSTQSEVDLDF EDVLQDNPWAISDEEGYESLHTSDVDEFDAHSPLEYQGIQGIYRFMEQCEPSSR CC84DRAFT_1212450 MATTANSRTNSDAASVPFSYAQAAKGLVAAPGSSASKASSSGAV TPAKDPQSASTSAAAVMSWAEDAEGNESRPENTSGSSESRNKDTKSAAAPSELTAAAL ASPEIGASTASTVTKDDDVSSIPNTSSDSTWENKSQASTSVDKSTEPSEKSSQKGKGK KREQAPAKPLQEAPLPAVNIWQQRAELRKPAKQATAAASSNGSVNGTSAPKHSKDVEN RVAANEVKMRGAEEERTNPVRQESKEVDSDKARKNTRARPQERDAREAPGVVSLPPER DQESWPTMDAATAIDEDRKKAPEKTEKSDKERKESTGAKTKGQWVKVPFVPSVNFNTP LPSSGARRGGRGPARGGAQNGGRAGLSTSGPEKDTSSPAATANGDQPRRGRPDVPIRE TSPKAKRTASASSPATKDKAAQLNGEKPKSTPAEIDSQSKENGVLSESQNNVSGPNGH NTFPRQYPSNRPSKGKRTEWSGPDRRREGDSGASPKENGVPNDRASAAAQTDGPEDAE RRTFQEGQAPFQSKRGAGDRYGSYNSRERRGGRGGGRGGNFSNGHQFANGHMKSSSTF PGPMSPTAFNPEQSPYFPQSRYRNGPRSQSVTNENIYRMPGQFGGPQQMPPINTFMGG NAYDYPMMQPMSAAPFGQFGMDHFAMFSMVTTQLEYYFSLDNLCKDMYLRKHMDSKGF VFLSFISDFNRIKHLTTDLELIKLVCYQSRAIEFRVGHDGKDRLRARENWQQWVLPVE ERDSSAQNEGPEELYNPPIPHPNGFDPNGNPRYPDMSTPPPSAPGAYGTEGPHPTANG FHPGAPQHVTSESVPNGVNAEHVNGNAIANGQPTDDSTKAVSGEPDSFSNEQVESLTV IVRKRDDSQMPALPPPSNCSFSNTLHSRNDVGVESEERVGRRAIRTPNGVDSSSSVDS EVRDQYPRTPSPFASTSPMACSQYWVKDNDAPVDVAPPGCFHESYAVLRSKALYQRQQ SAPGGCSYDMSVLYQFWSHFLVRNFNKIMYDEFRTLALSDSHNKLSEVGVSNLIKFYG ESLLSGQNIVRNAVAHDFVDLIRSETQPNRPAFQQLRLALHNDNMNARNRVRINELLD DELKDSLR CC84DRAFT_1160221 MLKVGGWLGGAKNKITQGQTRSLNALDELTQIEDAMSAVTYIMN DDVDAAEAHLSKGSSPFHQLGKGVVTFMRATLGFEQEIMREASEQLSNAEASAYENQR RAHRNSSAYQSPIYPAGTEYAVCLAEAQLMSAIVGVMNESLTEAIKSFYKLRKAYLTL ESVLDAEKKFLKERSTSSLGSTSSTSLAGSSRPASKASSKTGSPFVGASLAPETTAAG VSGMEGPVQDKEIIEPVAIPAEKGKGKTGQDDDDDDFDFVDADEEHADLETPMEYMGH LNVPAEKGQTVELNNTEKEVNLGASSAKNLPSADEKLDTSVPDAVEDFEQLTLSETVK ANEDVSLFGDHPVDLFIISGSNFCFGILLLMISMVPPVFSTLLKIVGFKGDRERGIQM LWQATKFHNIHGAMAGLVLFMYYQGIIGFCDVIPRTGEGSYPRERCKALLAEMRKRYP QSHLWLLEEARMLASEKELEESVAFAANTKSSKLQQLEALGWFERALHTMYLHDYEAT SAAFLTCITLNNWSHGLYYYICGACHVELYRRDKNARPHAAKVHAAKAVEHFQRVAAN TGKKRFMARQLPFDVFVNRKIAKWEDRAKEWKCDFIDAIGVSPLEETIYFWNGYKRMR DDHLDASLANLAWSESEQNPHWARESLDEKAILALLRAACLRSKGETDAAKEILTRDI IAHDRTLFRGAGKDSWTAPCARYEMAASIWREADADGRPETRREILEECKKYLIEVSG WESYDLDARIGMKVTTAKNTLRRYGIEL CC84DRAFT_1255124 MSGAAQGSAATTKAVTDDKSKNGEPAAEKKPAAQLEEDDEFEDF PAEDWTEEDTQIPGGNTHLWEESWDDDDTSDEFAVQLREELKKLGQTK CC84DRAFT_1109924 MSLSNKLSISDVDVKGKRVLVRVDFNVPLDGDKITNNQRIVGAL PTIKYAIENGAKAVILMSHLGRPDGKPNPKYSLKPVVPELEKLLGKKVTFTEDCVGKQ VEDTVNGASDGQVILLENLRFHAEEEGSSKDAEGKKVKADKAKVDEFRKGLTALGDVY INDAFGTAHRAHSSMVGVDLPQKASGFLVKKELEYFAKALENPARPFLAILGGAKVSD KIQLIDNLLEKVDTLIICGGMSFTFKKTLENVKIGDSLFDENGAKTVGDLVEKAKKNN VKIVLPVDYITADKFSKDAQTGYAEDKDGIPDGWMGLDCGEKSVKLYKEAIDEAKTIL WNGPAGVFEFEKFANGTKATLDAAVAAAQSGKIVIIGGGDTATVAAKYGVEDKLSHVS TGGGASLELLEGKDLPGVSALSSK CC84DRAFT_1212454 MIEGTRRRFLYHLNSRWIYGKVPLLHAAVFLLQMAAVSLLTRKF NSYYAQRPVLTTMITNAVLGGIADTVAQTLTAVRQRAVRKGDKDDFLAIEIHEIDRRN PFSERDLIPDSKLLPPPFDFERLTRFMSYGFLMAPVQHKWFGFLTRTFPMSKTSAMVP ALKRMALDQLMFSPVGLACFFTFMTVAEGGGKRAVARKFQDIYIPALKANYLVWPAVQ IINFRLLPIQLQIPFVSTIGIAWTAYLSLTNSAEEA CC84DRAFT_1160225 MSYFFSTPVDIDIVLEDSDDRQTVDVKLDKNRKEKVPLYLDGES VKGQVTIRPKDGKRLEHTGIKVQFIGTIEMFFDRGNHHEFLSLGQELAAPGELQHPQT FDFNFKNVEKQYESYNGINVKLRYFMRVTVSRRMADVVREKDLWVYSYRIPPETNSSI KMDVGIEDCLHIEFEYSKSKYHLKDVIVGRIYFLLVRLKIKHMELSIIRRETTGAAPN QYNESETLVRFEIMDGSPSRGETIPIRLFLGGFDLTPTFREVNKKYSTRYYLSLVLID EDARRYFKQSEIVLFRQAPEGLTLAQEQNKLMAVS CC84DRAFT_168178 MTGLSLSCAATNMSIKPQHNILIVTGPAGCGKSTVAKYLAERYG FHYIEGDDFHPPANIEKMSKNIPLTDEDRWDWLITLRDQALNQLKSGAHGVVITCSAL KKKYRDVIRTARLYDEDPNANVRFVYLKASKEVLLQRVGGRQGHYMKDTMVLSQLAAL EEPGEDETRKLHDVDIIDVSGSPEEVEKLVTAAVDKALDISS CC84DRAFT_168220 MSREAARFLCRSALVGRTPIPTRTKACRLDVIQRRRWGQSRGFA ATNSLKVVKPYILADIGEGITECQVIQWFVKPGARVEQFDPICEVQSDKASVEITSRF DGVIKKLYYEPDDMAKVGRPLVDIDIQSEISEADAAVLDGAVENKTEEVPNKSESNTQ KIEVGRNDTAEATGDVPTQSAPSLPAEPVQEESQSRKPPGKHASLATPAVRHMIKAAN LKIEEIEGTGRDGRVLKDDVQRHTQAAKTGAGTTAPQPAPTQQLEDRIQPLTPIQSAM FKKMAISLSIPHFLYTDGVDFTSLNSIRKKYNAAREKSQRLTTFPFIIKALSLALQQY PLLNARLDTETNPGKPQLVYQGSHNIGIAVDSPTGLLVPVIRDVQTLSIEQIATEIIR LSDLARAGKITTADLKDATITVSNIGSIGGGVVAPVIVSPQVAIIGIGRAKTVPAFGK DGGLVKKEECTFSWSADHRVVDGATAARCAEVVKGYLEDVESMLIRLR CC84DRAFT_1080759 MATLASRPEPSQTPLSTATTAVTSSTPSTTTKASASDDAFTFPP IYAFPPFFTLQPNPTTLSSQLLSWSTLIQSYCRAHRLFTLTLVDALSSPLFANPTTGR KLTIKDARTVINWMASPEGGHRAEWITASGNGRAAKGEETGRCWVYWKRPEEWAASLE EWVERTGQRGTVITLYEIGESDATKREEFHGIDGELLTKSLQLCVKRGKAQIFGAEGS EGVKFF CC84DRAFT_1160227 MNVEAGAMPPTTTSPGIKDATNPEPAGPPASPTPAHRAQPRAIR AFDGRKVMLGEDLNLNRDLKEVLTGMIKAGGGTMTNNIQQANIYVCNYRKGDDYVKAS QNNKDVGNLSWLYFMITHDKWTNPMRRMMHYPRPPPPGIGNFQDYKISISSYTGEARV YLENLIKALGAEFTRTFKQDNTHLITAHRNSEKCEAAEEWGVNIVNHLWLEDSYAECK EKSLTSERYTYFPPRMNMGEILGATEINRDAVRKMFFAKSKKKEAEALSNTTAGGSRS HTDGEAHTPLAAKASRRTKTADDVATPARSRLDGKENETPGTTGSRGAKDRALSNLHN VMEDVNQYQKEAKRKGGVVHGGRRRKEDEIVDKETRKGGRDSIASKRSIEEVEADDAS TEDETEQTAQKSKKARKGPMTQIKHRMLVSGDKRWQGDGDKESKDKARLRELGLYILD EPKRVDFLCAPKVVRTLKFVAALADGPQLVGSEYLDYAIKHNKLPDAKKYPLDYSEFR KQHDIDLSDAITRAKQNKHRLLKDFTIFCTQKAKFDVYKDIVAANGGKCLKWDNRTTA VTASKRKIDSQPKEVSQNLEEDEGDVLYLISEPHKDELKLWTKFRELAEKHDMVPRIV SNEWLLGVAMAQKIHWKPEWELKEEDIKGAAKK CC84DRAFT_1183484 MSSEYFRIDEHVLDASHIRGFPRTTSTHQNEVLKLAIKQYTPLN NPNPQPGDITIISAHANAFPKELYEPLWDELLKISQQHSFKIRGIWIADVVHQGQSSV LNEDKLGNDREFFLAAWLDHSRDLLHMVNTFRDKMPRPLIGIGHSMGGCQLANLSLIH PRLFETLVLFDPVIQRMVSVSGNVGPAHASARRRDRWPSREDAAKSMLRSKFYQAWDK RVFDRWIEHGLRELPTSLYTNSTSQPREVTLKTTKHQEVMTFLRPNFASRPGLDSEPS SAEFSEANPTAINRRTHPDLVLSPTPQTPFYRGESMIVFQQLPFLRPSLLYIFGSLSF LTLDKTQIDEKMERTGSGPGGSGGAKEGRVANVMVEGAGHLIPMEKVEESALHVASWV GKEMGRFWDGEKRTAAEWGQKKGIERSILPDRFVQELDGMVPKKAAKL CC84DRAFT_1255132 MSDNWDRDNNGDYDENFARNAGYAAGAVEGEYNRADQAYDRERD DVRRDEQNVENDFQQGEQNFENRVRRDEQAVEDAPENAARWAEQGVDNTVQGIEDIPS DIGSGINKVAGWIGDKIGGVERAGRDAEQDVDRFGQGVENDYNQGRQDVDRFDQGVEN SYDQGENEGRRDGW CC84DRAFT_1160232 MPVEFISLAFPNASTELDPIPGAPIDPDYLKRYARNLDDYGFNY TLQPYDSGGFDPFTTGATIAAATNQLKVIIALRPNTLYPTVAAKALASLDQLSKGRAV VHFIAGGSDVEQAREGDFLSKDERYGRLEEYIKILKRAWESAEPFDWDGKYFQFKGFS NRVRPVNGKSIPISVGGSSDEAYRVGGSLADIFGLWGEPLKETKQQIDRIYAEAEKAG RTDRPRIWVTFRPIVAETEELAWQKAHKTLDALQGNKGAGLGRGLPNAGEPQNAGSQR LLEIAKKGDVQDRALWYPTVTATNARGASTALVGSYQTVIDSILDYVDLGCDLISIRG YDNLNDAIDYGRYILPGVRKELEKKTGAKNEGIVDKVTQAVENVTVRDG CC84DRAFT_1160233 MVKYAVDKYGGDSEKVFVTGSSSGAMMTQVMAGAYPDVFKAASA YSGVPDGCFFVQGATATQDPPGWNNACANGQTTKTAQQWGDATRGYFPGYNGTRPRML IWHGTADNTLRYPNYQESLKQWSNVLGLTTSKTASNTPQSGYTQTIYGDGDSNTAKLV GYSGQGVGHTVPVHESADIAFFGI CC84DRAFT_1160235 MSGVLAEMWAWFGLTVVVVGARFLSRRMLFKTFKALQADDWLML FLMLVYTALLGVIHIQTYTPTNLIDPEDTATIASLTAEDIKKREYGSKLVLVTEHLQM IVIWSVKGCLLILYSRLTMSLRHNIFVKFVAWYVVLSFILMEILWFIWCRPFHFYWKV PAPSLNCSAETNHMITNAVFNLSSDVMIIALPMPVLIGSQLPWQRKLTLCCVFALGIF TILAAILSKYYSLGTPYGIEWIYWYIREVSTAIIAANLPLTWTLLQRIFGMSNFYSRN KSSDPRSGRVTGQSKFRSTYGNLTSRTRDTGDRKPKDPHPIDISPSESQEQINGELGY PLKIWHQQEVQVTSEEVDPNDRSSLSDKSEKSVPVPSSGDPQVRNGDMGIVTKVSHAM CC84DRAFT_1077543 LKVPVLAGAAGTAALAAYLNAKYHIAHDLRKGGIIPTQADLDWT ASRGSRILTYHIFEEQAQNQPNHPFLIFEGKQWTYAEFLECVVKVANWLIKDMAIKPG EVVALDGGNSPEHVMLWLAIDAVGCVISFINNNLSGEGLIHCIKLCESRYLIADTDVR QNVEPIRPDLESTNVQIKYYSPSFLSSLADSTPLSPSLHSHITPETTKTLIYTSGTTG HPKGVVAPTAKELIVGRTTARYLCLTPRDRFYTCMPLYHGAAHSLCMTPAIHAGSTVV LGRKFSHARFWPEVATSRATIIQYVGELCRYLLNGPVNEYERKHCVKVAWGNGMRPDV WEPFRARFGIPVINELYAATDGLGAIFNRNEGPFTQHALGVRGALWNWRFGGEEVRVR MDVDTEEILRDAEGFAVVCGTDEPGQVLHRLDPGAPMHPGYYNNDAATEKRRIRDVFR KGDMWFKSGDMMRQDESGRVYFVDRLGDTFRWKSENVSTNEVADAIGRHPQVAESNVY GVSVPGYDGRAGTASIVLADGVTLSNFEFKGLAEHARAMLPGYAVPLFLRVTPALEYT GTLKIQKGRLKREGVDPDLITGEDRMYWLPQGSDRYVPYGKEDWESIKTKKSRL CC84DRAFT_1160239 MASKRAAQLLRPAVNGFKASSVSLQHAVQHQTTIRSLATRAGRA RKPARVSTTAVRFASTATPTSDGQLGRTGLYELHAKHGAKFVPFGGYEMPVQYSDLSI VDSHHWTRQKASLFDVGHMVQHDFSGPGAQAFLERLTPSSLSSLSENHSTLSTLLHPD TGGIVDDTVITRLANSFYVVTNAGCREKDLAYLTEQLETWRKDNPDKTVEWKLRTNQG LVALQGPLAATILERALSEQSASALKSLYFGQCMPGTIKGTDVEVLISRGGYTGEDGF EISIPPPATEAVTQFLLDSAKDELRLAGLGARDTLRLEAGMCLYGHDLDDTTTPVEAG LSWIIGKDRRANGGFHGDSVILQQLKKKSEGGGVTRRRVGLIIEGSPAREGAEIVDEA GEKIGNITSGCPSPTAKKNISMGYIKDGMHKSGTQVQVIVRGKKRKATVTKMPFIGSK YYKAPAPDPK CC84DRAFT_173264 MSKGTKYLLVSLPSSITPSNHHDEALDALRSTIGGDNGQTYPFA IPNFKIGTLDALVQQADDLAKLNNSCEQVVAKVGDSLKTILDGDEDRVQQHKTINDKP VDQYLRSFQWNKIKYRADKPIADLIDSLQKEIAGIDNDVKAKFSQYNQTKTSLAAAER KRTGNLSTKSLATVVNPRLIIQDSEYLDTHLIAVPNLQVKDFYKSYETISEKVVPRSA VEVAKDDEFTLFAVVTFKKTSTDFIHKCREKRWTPRDYKYKEGGKEEEAKEASQLEQD AKKLWGEALRLGRTGYSESAMIWVHVLALRVFVETVLRYGLPLDFICGLVQTNAKAAK KAKTNLDANYSYLGGNAFNRDNKGRPKKDDSALTSEMQAQGHLGDAEYSPYVYYEFEI V CC84DRAFT_1212468 MGSGFDLTLLALVGCVAYRAYEVRRIRQITEAGELKEQILAMGH GRLWPTCPGARFEIITQTAFQQYRSKFFASLVMFTDDGFKIIIKTRTGSGDVLEALEG LLEELAVYVIEHEDEIKKAFDEAVSSKVRRAEGVDDVIPQRKVPYVDRLDGRVIMRCA AM CC84DRAFT_1160242 MVWYLRGELEVKVLVCKVNRILLYTLLMPSAASLPPRFSAGSSA SPDWGSSGTDRYYGERPLNSLACAERGWQGFHHPFDSTNRHASPWCLIWWFVPSLVNL VKGRA CC84DRAFT_1160243 MFSRLFGKRTKSSAEEKQQPQTTATHTVEVKWDNWSRPGEKDVR SPCPAINSLANHNILPHSGKNITKAMAVEALTKAFNIDPKIANVFAASGIFANPHHDA TTFDLNMVTKHNYIEHDASLSRDDAAFGGGEKFDQAAFDMVLKTYREAHPGKSDEDIA TDWVTAGHARWARVLASKEKHDVAEKTWIYGIKEAIMSYGETSLYLNLLGKDGVAPLK WVRIFFEQERLPYAEGWRPPVKFDQADLNHGYAEMMKGGEHGAEEAKLVGIGTLVGLK AAILSLGAGFIKAPTAHS CC84DRAFT_1109965 MRIFITGSSDGLGSLAAKSLVKRGHNVTLHARNSQRAEDAKAAC PGADGVLIADLSSTQATKSLADDLNSKGPWDAIIHNAGVMRISSSSRGPEGLPTLFAT NTLAPYMLTCLVQPPPKNLIFLSSSMHNSGDATLRDIKAASYSDSKMHNTMLAFWFAQ QPAFQKTVVSSLDPGWVKTKMGGAGAGDDIGAAVEDYVKLAEGALGASGKHWYHSRER SVHRGAADKGAQEKLVKELTEISGVRLLE CC84DRAFT_1136227 MDDARLKFAIHEACREGQTSKVESLLSANPKLASLRDDDDRLPI HWAVSYNHLPIVQLLVQSKSFDPDVVDGSGWTPLMMACSRKEADAIVSLLISKDADVN AKNSNGQTALHFCASKNHLDLARTLLKEKATARVKDKRGQLPLHRAAAIGSVPMIKEL LGPGKSPLNATDADGWTALHHAIAEGHGDAALLLLTGGAEFDKKNSSDQVALDLVPDE KTRKFILQAAEREGIDLI CC84DRAFT_1077593 MRTALLFLPAIAFAAPDFTSPFQPAQLQQSPAQSNDTLVDDAAL DLLKRQTGSNECASGYRACTNINQPGLCCRTTEVCSIDGAGHPACCPNGSACTGTIAP ITQGTSPTSTGTQTTTPTGATTTITVTPGTSTDFVQGSAGATSWVPNTFFPFAYLPTT YRSAAACSSAYSRCQSDAARCTAALAGGQNFGVTVSAPNGGVTITAVPSVGTAAANSI CSSLSQAACSGDWNVQACSTYGPGDGSSAGRCGVGRYGVGAGVALGVVGGMLR CC84DRAFT_1160249 MVYTQILALAALPATFALPNPQIFSPPPETRYCAVVDKTEETNG PSWQTGRSVDGGGGTSTLLPSPTHLLTPNPAVSFESSHSTGYQWQIGGEIGLSAGTPV SLGAAAGFSVAEQVTDSIAEASDAECPDGPWHCSAIYYPWGVKVSGHMQKQGLNDGCE TESLGSFTHDIEDGQPWEAWVPKKDEGGVGFGTVEVCTCQNLEHWADEGHPALLCPEQ CAKGADS CC84DRAFT_1109971 MGRDSDVPQPGPARLSKGAGPDEWLEQAKQCKYLPEAEMKRLCE IVKECLMEESNIQPVRTPVTVCGDIHGQFYDLLELFRVAGGMPSDAPTAPLAPTIPPT IKPEDIEPPTTIKDPRAKRKMKRRFQSDERYTGPSSPNEGGDLEEEEEEEEERGRSRS ITGRRSTEEESATRNIPAGNGQQNFIFLGDFVDRGYFSLETFTLLMCLKAKFPDRVTL VRGNHESRQITQVYGFYEECQTKYGNASVWKACCQVFDFLALAAIVDGKVLCVHGGLS PEIRTLDQIRVVARAQEIPHEGAFCDLVWSDPEEVDTWAVSPRGAGWLFGDKVSSEFN HVNGLQLIARAHQLVNEGYKYHFKDKDVVTVWSAPNYCYRCGNVASIMNLGEDLKPEF QIFSAVPDHRRAVPAGRGTRGEYFL CC84DRAFT_1255146 MAPQAGVNGVLPVTALQKNAPASAPRGPKIPAPRLKLICRRLPP GLTRTEFETFLGEEWKVGAGRVDWLNYRKGKVSKDLAKPSKPSRAYIHVTQQPFVSAL GDRVREITFHDAAKSFQDSALIGPPVLEYAPYMKLPANRRRNDARQGTIDQDSEFKEF LESLTAPITKPAAPEGEPAKEEKVKTTPLIEALRERKANKEKPQKKGRGEHKDDAGEK KILAKPGKENAPGDKNRKPTRAEKERAQKEAAKALNKEAAQKENVAVDKGAAGPSSAT SERKRGNVNIAKGILQRDLGLGPAPNRRRGTKREISSATQESNPKQEENAVPKSKGKE PVSAPAAAVIAAEKAAPASPKKERPSRAERRAFKASMADKTNTKTEGESSKASAKQGT TPAPIILKKPQTPQTQPTAPPKGPATARVPPTGPAAARNTNTVTQATPLKAEASPIPR PANPTPAHAAAGPGPAPMGKQAFLKHANASQGITEPLIEEAMKAFGAIEKVEIDKRKG FAYVDFVDPEGLRNAVAAGTVKVAQGAVQVLERKEKHQVPRGFPAPRPQPPRPAPGRG GFGPRGRGGRGGTRGGHPAPPPGAVPAQAPIAAPTADVAT CC84DRAFT_1078477 MKLQRAPPLSATDDEAHVPVTERKRSRSPSRERKVNRQSPEYIW KSGLAGGLAGCAAKTVVGPLDRVKILLQTRNPAFVKYAGHWSGLHLALRDIHRENGIR GLFKGHSATLLRIFPYAGIKFLAYDQIRAVFIKTQAQETPGRRFLSGSLAGMFSVFFT YPLEVIRVRLAFETSAQKRSTLRSICAKIYNEQSAPTTHHPTNPLSAAAATVVEKGVP QTGLANFFRGFTPTLLGMIPYAGASFLAHDTMSDLLRHPVLAPWTTLPHTSRTESETT TYKPAQLQGWAELSTGGFAGFFSQTVSYPLEVIRRRMQVGGVVGDGHRLGIAEVAKRI FLEKGWRGFFVGLTIGYVKVIPMSATSFLVWERGKYYLGI CC84DRAFT_1160253 MQGLKDEMQPNTAILFQNADRDVTLIDIPKSIAMAQGRSDTLLS TAPLEAPYQLHEEPKTSQAKKNHSSHFTDLHIEYRSTIHAALAEIRSHTSGPWCLPRK LMTQLPKHGEMQLDDPEKEIESRLHEWSALAESKEEDTHFDFQKMMASISATPDPNAT DIEAVSHKWLMSYTPPRDDAQIQSNQASEPWKSSFHNPEDHPLDIHVREGVTQAGREA PAYRFTIPPHATFFLGDSTHSDMFRASFRQLTDDYVLPRHFDLVLLDPPWPNRSAKRK GAYEQAGGMRHMKKVLLGMDIDSYLEHNALVGVWITNKEAMRTQVLGPGGLFETWNVG LVEEWIWVKVTTSGEPMFDIDSALRKPYEVLLLGRAAPNAWTTMSHARVTKRKIIAAV PDVHSRKPCLKELLEPYMPDARKYSALEVFSRHLVVGWTSWGNEVIKYNWDRYWALS CC84DRAFT_1160255 MPLPLSLLTMPLPLPSSPETTHPSPEPLTLTSRPHAPHTRSRHA HEKTRNRLTAKRSYTQPTVHAVVPHNVVALAGRAHAYAGSRSTKRRHPT CC84DRAFT_1171633 MADSSATLQITAVHADVALGSSPMRQQRAALDACRALGGRVHGR SLAPGQHQHHLDSDTVLLSSILQTSSAQAWVSIAFRYPRYPPLRIGTVLWTSDPSPHA SISNRCRDTGYISICQRSDSGAARPQRGVHVCDATSAARSLAVSRPRPQIYNVLRSLS LGHASDLMYPISISHFEQRHVVSGYDRCEMRTVIGAYEADSHGHILVSGAPNVLLRSK TVRCAGGSGRGDRFRGGDSPAARSASSRFCPRQLLTCNACTLTVSVPFHRRSPSICIP LSSGLMTVSRNLLCYSTPQQKHPHGGEAS CC84DRAFT_175002 MGMRWRKKRLPAVVAITPLRERQATARRQFLRLGISSFHLGILA RGLSSPGWWRRKRSPSWQPDIAFAQVARAPSSSVDHRGRVRAPAGKHSEIGSLDDKRG AVAALSVIFACNSGSVSSDG CC84DRAFT_1227806 MPTPLRNPGLRDRHSPCENRSLDALEMTRRGLRSNAATVMLSCV VLCAGSAPCWQRLLCCASSHSQPRGDHFGRAPPSFIRPFTLPNGDAQRAPAGCYPPIR HVSSSQTQACDAEHTPTRAVTAPRVVAAAPSLSPPLARHAAKRNTSPNFPEA CC84DRAFT_175049 MHFETSPGSSPSKSLPISIKTMSSPTDSLYSNCSIESSTSSRGS SCAYPSWPTGSALGYRSTPSSYISDADLFGEDLDDDFSCPYLQEAPAPPRQPPMAQAF PILPPLFASEKPKKQQRRRSSGRKPRRSSKPMTPISESPEAQRD CC84DRAFT_1160257 MRCRDGLAPPTPALLSTWRGRRTTKLPAPSGLDDDRWGLRGPSA RRGPYAARDPPFARKRP CC84DRAFT_175054 MSSGLARQRSASRGSSVRSDVQHKPPTPSITPGSDEFAFEPCAA TASFLLYAQRNVILCLHHDTLAVERRFTRHREDVSWISADTVSERGAGRLIVSYDAGS TAIVWDLLTGDEVARFASYDQIRVASWMRNGNVAFGNAQGNIILFEPSTSEHISARTI FDPITALAPAADCRTFAIGYLNGSILIATLQPSFTILHTLTTPRAPSPIAGLAWHGSS SKQKSEMLAAQTSDGDLRVWSIPKVAHGGDTPCVIRILNKSDQREPGPCWFAWSKNGR IVQYTEGQTASWDVRTKRVSYESVPTVDNVAAICNYGPTATLFTMSRNYGVQQYDLNP SGTPTIVQNVQHPPANTPPSPPHSLDEQHEKSSKTPLTAQQPKSVAHVLIESESSEGE GQAVLSPLQKIAQEMDQLEEERRDRVGPLSPVSSRGSQSSRSSGSGRGPRYRYDKPAN TRSSKSSGGTVFSSGTSSMMGASRESISIKSTSSATSSRFTSSHLRKEVLRSPDESHR TKHMDLFPFTKARLGDVPYRNSQLGPERSPDDLRQNMLRVVFGWENDIEELIRDELAR HPHGSAAAVLLSKWLGDAGADLMASMVGSESMTSSDWMLLALSSMGQGTQKKVGETFV QRLLEKGDIHPAVAILLGLGEHNDAVEVYVSRKYFMEAILLTCLMFPTDWQRQSFLVR KWGEAAVSRGQAELAVKCFSCMGLESSEPWFSPRAQDAVFSAQKQHLLGSQLSPPLSP PSAGGSRITAKMSSLKLVTNFGQGPQPQQMIPEDDEQTPMHVGATPIAESAMSPTGGR NPWLGASLRSARARDGSREPSSARTATPGSYNRKRLPSQSGTERSTTNNEYLASLAVP NRGQRDEIPRTAIDNIGREAETLPFSSRRAASTGASEKEIVLTAATYDPAKPKEHLPS PAAGVFDNLISRDDDPRSHSRSRNVQDLHLEVVETIMENGPDTGYINLSPPLTGASVK SAKARGIDQYISSLEEANYYSRNRGDSKPEPRPGSRTEPRPDSRTARTRSRTRDVSES RGRSGARYIRPAKRSPSSPVPMSPEDAGIYARTSKEAATNTDSFQDERYYKVDVGSPI ATESIGSSRTHRSRARTGASKARSSSKTARRAESPEAVPPVKSRGVSRTSSKRRKDDR GRSSTRDESSARRSPGSPKPMYRDDAAGTESYEPVRPRQRSTSRRPGERAQSSRREMS PDRRLPRDRSMSRKAVAALRETSRPRAAIRDASPDRVPELPTESRPASRHSNLSGRSG QRRPTTLSRKELAAQELEARRLSLARRPSAPIIPHPGDLAHRPVTVERSHTDDSESFA TISMHRDPIQRSQTADPEISKKYSPTNRMHMGATSTMSVPIGLPATPRAMRHPRYMSA DPHEREGIPAVPTIPDTMVAGAQQSQDAQDDLGPLLPATTFGQPTLARSASAPPEDLS PVNMAPRISPTYPLGRRGSLGGNRGHSRNTSSDGVTGPNYKRVSPPPITASIDETIHE NQVVILETDPAAPPLLAELQHLAGPPPPPPAPLQLNSSPKSSMLITMAIDENPVEKAQ EAVSPVAASPQSHRRGRGSVSENIGMTFKRVTERMRSTSRSRNKSPPMRAEIPPYESV PEYSFPRSSSARSPVDGHGSEYFGNFSLPPPPPPPQPMEQIVSPIEGPHNPFSGYKHP KEIRANMPPDTLQAGVYQPETPMI CC84DRAFT_1080222 MGATSKLILFPAELILEIIERLPFGDGSTVSNLARTHSRLQTIL SSYEQSLAYSFARKELRHAPVDFPTKQQGFRWMQSCVKRYDCVDDLMAMLVSEHNVFP VRKHNMGLVNTGLLLLYHLQSFESHPAKLAFLKTLPKDPLTAMYLAVHHATLTARYHG EGIIHQRTYGRFMDANTIALRSDIEFCFAEASLQLGPAFIQSSLLPTDPPATSLSAEV TLLNFYHDHAIHDWFLESVLEGMEGIGMLPITQGPRKGTKEKSLWTTLLERVAGLMEC PLENVRGAVEEDAAPHNHGLAWLDLEGKAALMKGEDVVPRLVEA CC84DRAFT_1171638 MSPYDQAIGQICSTASMTHNLSQCTSLIRRASAAGAAALFLPEA ADYIASSASETVSLARSVETSPFVLGLRAAAKSHRLPLSVGIHEPSTSGDKVKNTLLW IDGDGEIAHRYQKLHLFDVEIKDGPILKESNSVERGPRIEDPVDTPLGKVGMLICFDL RFPEPSLALRHRGAHILTYPSAFTVPTGKAHWETLLRARAIETQSYVVAAAQVGFHNE EKTRRSYGHGMIVDPWGRVVAELGGEDDDKGRGLDEGEIALAEIDLEYLEKTRREVPL LRRTDVYPEIA CC84DRAFT_1160261 MAQRKGGWGSFLGSAVSGLESRLDSILTDDDQASAKSRAAEAAA KLEAAEKQRLQVDQVSRSSSRSRPNSRLQDRLAKAVNKGKEGADSRPSSDLGSRPESP ALKNPSIDAPRTSLDSRTSEAVPPEIPLDSKPELPKVDHPAAGPSPRPSVDTTPVSPR ASSVVSEQPPSTPAPTMAIPAILTPQASSPRQSVDSSRSRPSIEIPTPAEPTAASQDP ETLQVELSALQTAHDEMLREHREELNAHLERIDALQSKLTYLSQQLASSAKASDSGAT PADKKLAEKDAQIAALMEEGQKLSKTEMKHMTTIKKMRAKALETDKDMTNLKQRLSKA ERAIAEQTDRAKRAEAAEKAAQDKLKVVAKIEKDIELIRSEREEAGLTIAELRRQLND ALARAEDAEKRAQTGALEAEKRATASLQEDIENLRIEKKLAEDRAKKDLQEARDEATR QQERANVSELELRGEIANLESKLELLRSRSEEVSSSASGDSQAKLLRQIETLQTQYAL ASENWQGIEGTLTSRVAALEKDRDETAKRETDVRRKAREVNSKARRLEDELESINDRA RTLEQDLTEQRNSAQKLQARLTQAETVAQDARADFEREKKIMEADFSQRLEEEKNKWR MEMQSQATLSENHLRTDSPGPSLRRHSPDPLGIYGTNRRNVLPRSISHGMETPIDRML EEARRPSSSRQKSTSTHPRTPEIGSPQRQDSFPSISSNLMSNGHSVSNTPSIHTPSFD DAGFDANSSPHRTINDMISVSTVGAGPSVQLVERLSTAVRRLESEKATNKEEMARLIS QRDEAREEVVTFMRELDEKREQGEKVERLEKEMQEMNERYEKCLEMLGEKSERVDELE EDLKDVKKMYRDLVSSKY CC84DRAFT_1078143 MLRVARMSKIETANILIRAEYIRRLSKVAGKKIHLVNVHDYTTP SLRFQYIPEYVLREGVFRADSATQEGCQQCSPHMGRNIGCEYTKKCGCLEYAAVDVNR ITTAEMKQKYENALATGGSTLGFPKKFPYFTDGTKRQRTGTLVPFYLDSRHPIYECND NCRCGPYCRNKNVQFGRQVELEIFKTGSGRGWGLRCKEDLFVGQFIDTYRGEVITDAE ATRREQAGGQLKASYLYSLDKHQESEGLAQEEIYVVDGEFMGGPSKFMNHSCAPNCRQ YTVSYNKHDNRIYDLAFFAIRDVPAGEELTFDYLDKEEGEGEGEGEEEEPPDGAVPCL CGAEKCRRWLWQ CC84DRAFT_1160264 MASLATALQNVPYRSIEHVGSTLIPDLAEKPIIDIGIVIDPKYC PMAASALSYNGYGLTPEPTGNRTSFR CC84DRAFT_1160265 MADDAQSSPFIKHLASSDKRTRDSALASLRAFLSSRSEISELDL LKLWKGLFYCLWMQDKPAQQQALSRSLASLPSSLKAPVVLPFLRAFWATIAREWTNIE ALRLDKYLYLIRQYVNASFRFLAANSWGNEEGIKQHGEIMAEFPLSAQDTKIPNGLRF HVLDVWVDELEKVEGEWRVEKRGVLEQLCAPVETLAKEGRLKVVRNAAKETLGDERLR AWRGLVAEGGKEDADMEDDEEEEWGGIED CC84DRAFT_1183506 MAAEPPKRQKREEYKRSLAADDGALPKKKFFRQRAHANPFSDHA LTYPKSPAEMDWASLYPAFAVKKPAQGEDGKEIDESRADGQAAHEEEQREARAITKDV EIADIGCGFGGLLFALSTTFPDTLSLGMEIRISVTEYVQEKIRALRSQNAENQGYQNI GCLRANTMKFLPNFFRKHQLRAIFLCFPDPHFKQRKHKARIVSSTLCSEYAYVVRPGG YVYTITDVRDLHEWMVGHFAGHASWARVEGSELEEGVVGQCVATMSTETEESKKVTRN NGEKFVAVFRRIEDPAWPGEH CC84DRAFT_1183507 MPIDDIATVLTPPVDEHESILAGRSTCPLEELRIHAAHTANAAP SSRDTLSDDGPIHAPAQQPTPPPTDDPASPARTHSSSETHPKPIETSVEGPLRDIPTP MSTVDDGAEEPRHAHASPLSATAPTTAAWSQQRYPTPPYSAHRFLPNSSSSLLRPGSR FRGNQTSDRQQYEVEVEIKYVDMRDSFLCGYLRIKGLTEDHPSLTTYFEGEIIGSKYT FITQHPEWGSNEKVDRQHWGRFSAYKPLSKYSSRPELVSKDWMQKEHLFMRWKEYFLV PDHRVKTINGASFEGFYYICFNQVSGSIEGIYFHAKSEKFQKLQLEHVEDHGCQGAIE FR CC84DRAFT_177071 MASENKVNNEPFYLRYYSGHQGRFGHEFLEFDFRVLGDGRSAST RYANNSNYRNDSLIRKEMCVSHAVVNEIRQIVKDSEIMKEDDTKWPQKNKDGRQELEI RLGNEHISFETAKIGSLQDVSESSDPEGLRVFYYLVQDLKALVFSLINLHFKIKPI CC84DRAFT_1160267 MATFLESAYSLVHQDNAADVPSQNDLKNSLEKGSDEQKIETMKK ILSIMLNGDPQTGLLMHIIRFVMPSKSKPLKKLMYFFFEVCPKYDAQGKLRQEWILVC NAIRFDLQAPNEYVRGNTLRFVTKLRDAELVEPLLQPVRQCLTHRHAYVRKNATFAIA SIFTHLPELLPDAPDLLVTFLDDENDATCKRNAFAALVSISHEKALEYLSTVFDSIPN HDELVLLAELEFIRKDALTNPSNKARYLRLIFDLLESSVSTVIYEAAHALTTLTSNPV AVKAAAGKFVELAVREPDNNVKLIVLERVDQLRQKNEGVLDDLTMEILRVLSSPDLDV RKKALHIALEMVTSRNVEEVVLLLKKELVKTVDEQYEKNTEYRSLLISAIHQSAIKFP EVAASVVGSLMDFISDVSSNASAVDVISFVKEVVERFPDLRASIVQRLVTTLGEVRAG KVYRGVLWIIGEFSLEAKDIRDAWRGIRASLGEIPILSSEQRLLDEASEGKEPAEQVN GHAKPAAPSGSRKVLADGTYATESALTSSAAAKAKLEAVKNSQKPPLRQLILDGDYYL AAVLSSTLTKLVMRISEISQDTAQTNRLRAEAMLIMISIIRVGQSQFVKTLIDEDSVD RIMSCVRSLSEFAQKKELEAVYLEDTRKSFRIMVQAEEKKRAAKEASEKAKSAINVDD SFSIRQLKKKDAEGLDEVAEDLERATGGDTATEDLTSKLSRVQQLTGFSDPVYAEAYI KVHQFDIVLDVLLVNQTTETLQNLTVEFATLGDLKVVERPPTQNVGPHDFINLQATIK VSSTDTGVIFGNIIYEGEKGVDSNVVILNDVHIDIMDYIKPAYCTETQFRTMWTEFEW ENKVNINSKAKTLRDFLKQLMASTNMSCLTPEASMKGDCQFLSANLYARSVFGEDALA NLSIEQEGSNGPITGFVRIRSRSQGLALSLGSLKGLNK CC84DRAFT_1160270 MAHGVGEDAIDPFRDPSMAGNTPNTPRADVPATLAVGRNASLTL GTDALIVLDELLESKGAGCCGMSLGGGNNKTTRSIPFYNVLWAETADDGEVIIHYAHA VSKQVVRRQIISYKLEKPDSDLAAAWVERLLEKAYGASQRQKRIKVLINPFGGQGKAT QMYHKHIAPILAAARCELDVESTTHNGHGVEIAQNLDIEKFDVVACCSGDGIPHEVWN GFGKREDAQRALVKIAVAQLPCGSGNALCLNHTGTDSPSLAALAVVKGLRTPLGLASV TQGDRRLLSFLSQSAGIVAETDLATEHLRWMGSARFTYGFLVRLLKKTVYPADIAIKV EHGNKEAVRAAYRAEAAKPPRTQDERTLPAPGEPCAIPPLKYGTINDPLPDGWELVPH DQLGNFYAGNLAYMSSDANFFPAALPDDGCLDLVRIKGTLPRTKSIATLLAIEQHKFF DLPHVDYQKISAYRIIPKNQKEGYISVDGERIPFEPFQVEIHKGMGTVLSKNGHLFEA KGV CC84DRAFT_1077908 MVAIHSAVVALLPLIPSALGQTCTNIAPVNAATFAPGYEGRVVV NGLKGPRGLIFDIQGNLLTSEQSAYGVRYIQLTDNGGTNICVKSQKQLIADSSLNHGI ALSANGKKLFASSKSTVYSWDYDGSTGTVSNKKTVITGMSTSGHATRTLLVPKSQPNI LLVQVGSNGNLDTGAAQPSSGRSQIRIFKLADIDAGPKAYTTGEILGYGIRNNVGIAE DPHGGIFSVNNGMDDMTVSGKDVHNTNPCEELNYHGRINDTSSAERGKSYGYPTCHSI FDPSVLPSPFNTQFKVGDTITNGASSAACTRVAPKLCFPAHTAPLDLKFNAAGSAAYV TFHGSWNRNPPDGYRLSRVAWNPQTGQPVANVTSGAGAAENIMVNANNNAGCPNRCFR PAGLAWSPSGKLFLSSDTTNEIWVIGGAN CC84DRAFT_175350 MPSITIPTRATPPMGTVSAHNGCRHQRTVVLRPIAPLHACMSLR LQSAEAQSLVAPCGPITSARKPDSGASDRSTRRAAGPHARPVEPAQDSGCVAATVEGG ELFETNYEDPGRQQCSLHWPESNDVHCSLVMRKRAVFSEAYCSGSSLPLHSVWHAYPP KCREPRCLLVQDMTTFRKPTSSSQDACSQAISHTYQVITEAIHNFHTKPSRAGTLSKG LT CC84DRAFT_175543 MRDVSPASSCGPLNLNQRPDMIPRTASYTTESSPEPSDPFFFGP ATPEYHFQDAYLPNAFEGGHSPFSETSSFINGWSNDDHLMFTTPTEMFTSMPQLSTSP YASHVRTSSMHSQPEMFSPMEGLNSPLASPTFFGMSDQQNLPMFAHDKTTVTSPAMSR SPLPTPPASTTTSNHDCTQFAFQTLNSLYSPPTSPPSAGAFNGSSHGLPTLETVISTN KSAVDKLFVLLGCSCSSNPHFSTTIAFTIVKILSWYQAISGASSEGDSIMNTQMEVFS QSPASMGDYSPMSDNEDSFRAQLVLTELRKVEKLIDKFSERYTKNTNHAETGIDGGVY SALESLLRTRVRDTFKVTMRNAPDDIKRQVASRSHQRSRTHTL CC84DRAFT_178322 MYQGGLRRGVKADAGACKTRVGAGLALLAYSTGAEILQATVCSM RPSVRSRWPASRVEHLARAVAAVVLCVAILSAPGWLEGRIVLPKAMQFGSVWSPATIR HWQPVGAMRLISSSTTRARRTTGHVAVPA CC84DRAFT_175961 MLSASLLLSCVILLVRFRASIVYIQEDCTPMLSARRYALPTALA QRIPDQRTNMAKSDRFCKIDWLTQATHLPTGLACIMQSRVHWSEVRSLSTVGCTEYAQ GRRINVDPPQATRSADPSFDGLDVASARRESSTFLHHSSGSSYRLCRVRISAPTPTSW TWWLIVGPVV CC84DRAFT_1255161 MPRNDDDSESQLPRASSHESHRLEPELDDTLSEVVMAIDLQQKD TVGCCYYVAREERLYFTEDIKLGGLAVIDALRVYIDPTVVLVSTKIDDTVIETLENLA EDRFSLPFLLEVRPPSEFSFDAAKGKLATLRIEDDVVPQMNFVVPGDMNLLGEGSLEN ASGHQGRLLRLAGLVDMDSRLTVGCAGALLSYLQRRCAAAYLPGDEAAHEMFRISSLE MFTLKETMLINADTLNSLQIMENESHPNTHNQGPSKAPSGSKEGLTIFGLFHHLAHTP QGKHLLRQSFLRPCLNLNTIRERHDTIQTFLRPENSAPFDTIVTSLKSTGNMRTTLIS LRKGISARSGKNGKGTSSSLWLSIRRFVFHALKIKESFQDVLGGERLAIKDKLLEKFE GYHLAQIGRKINDIVDFDKSAEESRTVILEGVDEELDNVKRTFAGLDDLLGQIARNLS EKLPANFQGSLNVLYFPQIGFLITVPLDPTTGEAVYDGSFDNPWERMFSTEDQIYFKN SQMREMDGHFGDLYGIISDREIEISHELAQYVLEYEKLLMAASDICGELDCVLALSQG ARLYNLCRPRVSNDNAISIEGGRHMLQELSVSSFVPNNTSLVGATNFDTVDDDSMFDS SPEHHAHARQSGPSMLILTGPNYSGKSVYLKQVALIVYMAHIGSYVPAESAKIGLTDK VLCRVTTRESVSRAQSTFMIDLQQISQALSLATPRSLLIIDEFGKGTDTSDGAGLACA VFNHLVGLGRNRPKVIAATHFHEIFENGLLKASPSLALAHMQVQIDEQTSELDDQITY LYNCAAMNGVPKEIIERADQLIEMTLKGQDLIAACSIMPQSEALELEEAEHIARDFLE ADIFTDPKRALSDILTVSGTTESPASNRQ CC84DRAFT_1212492 MNLGGEPEKPALEQYGVDLTTRAKDGKLDPVIGRDGEIHRAIQI LARRTKNNPVLIGAAGTGKTAIAEALAQRIVQGDVPESIKEKRVISLDLGQLIAGAKF RGDFEERLKKVLKEVEEAKGGVILFIDELHTLLGLGKAEGSIDASNLIKPALSRGELQ CFGATTLNEYRQIEKDVALARRFQPIIVSEPSVPDTISILRGIKERYETHHGVRITDG ALVAAATYSNRYITDRFLPDKAIDLVDEAASALRLQQESKPDPIQQLDRQIMTIQIEL ESLRKETDVASKERRERLEETLRKKQDEVNVLMDKWEQERGELQKIKNVQEELEKAKF ELELAQREGNFAKASELRYSKIPELEQQLPKEEETTTGKSPDSLLHDSVTADDIASVV SRTTGIPVSKLMRGESEKLIRMEDALRSHVRGQDEALTAVANAIRLQRAGLSGDNRPI ASFMMLGPTGVGKTEVCKRLAEFLFSTQQAVIRFDMSEFSEKHTVSRLIGSPAGYVGY EDAGQLTEAVRRKPYAVLLFDEWEKAHRDISTLLLQVLDEGFLTDSQGHKVDFRNTII VMTSNLGADIIVGDDALSQASDSGEISPDVRNSVMDVVSASYPPEFLNRIDEFILFRR LSREALRDIVDIRLKELQERLDERRIALAVSDEAKQWLCDRGYDPRFGARPLNRLIAK QIGNGLADKIIRGELKSGDTAMVTIKEDKSGLDIKISA CC84DRAFT_1136283 MGFSDLVSDAGLTILNNWVKTRSYIVGYTPSQADVKVFQQLKTV PAPEKYPFAWRWYNHILTWESEFSSLPGDPTKDHTAYGPEQTELPVNPAKAPEAAAEE DDDEVDLFGSDDEVDEEAEKLKAERLAEYNKKKAGKVKPAAKSIVTMDVKPWDDETNL DELKANVLAIEKDGLVWGASQFVAVGFGIKKLQINLVVEDEKVSLDELQQQIEEDEDH VQSTDIIAMQKL CC84DRAFT_1193016 MDQFAPHPTSCFEGWYSKFDLPSGSYITLVICTVPKATTLPPHM VSFTYYPASGTPIFQREHWVSHIECVTTGPNNAFELRTDFGSMRVAANGDTTYSLSAP EWSLNATATSRTPWFPSQNTSTPEGWLVHLPLPLHWHVHSLSSRAEYHLKIPSENLPL AHTWVQAREPDTARGICLAGGKILGMHAFLLGYRSPKLNLDFAPPFALAVPIPIPLLS WLISPFMTHVIDYASRSISLTVRNLFYKIEVSARAPKEVGWFGLGSPFPEGHRKNFCS ESFLATVDVVVSERSMWGWTWREVERAIFEGASLEFAGEYFPGTGEKGK CC84DRAFT_1110027 MWLPPAVAALFYAIALGHSTHHVEQDFSPERLSKLQEKWGTDWG FSGVSTYAHLPHTRCLTHPETAFDIGIIGAPFDTAVSYRPGARFGPRAIRAGSARQTS FRGFNPRANLNPYTSWAKIVDCGDIPVTPFDNALALKQMSEAFVELGTRPSSEKSQAS ESTYLHKPKLLTLGGDHSIALPALRALKQAYGQPVAVVHFDAHLDTWHPAKYPSAWID PDDEAPQSFFNHGSMFWLAATEGLIANGSSVHAGLRTRLSGDDTTDYDDDSQQGWIRI ATDDIDEIGVKGIIKSILDRVGTETPVYLSIDIDVIDPGMAPATGTPEPGGWTTRELI RILRGIETMNVIGADIVEVSPAYDSAAETTGLAAAQVAYEIITSIVRKGLIEQEKAGE KTANTRDEL CC84DRAFT_1048131 QIAEIARIVHFLKKYAAGRVINAVQTQEDTIVYGKVGTSASEFQ RAMTGKKIVDAKQQGKYFWLEMDSPPHPLMHFGMSGWMKFSNDDTAHYRPTKVEEPEW PPKYWKFALELEGEPDCQIAFVDARRLARIRLIDAKAEDMRKTSPLKENGPDPVIDPE ILTVEWLSNKLRSKKVPIKALLLDQANISGIGNWVGDEIMYQAKLHPEQYSNTFSDAQ VAELHKAMMYVCNTAVDTLAESDKFPEDWLMKHRWGKGKKDGQKLPNGAKITFLKVGG RTSAVVPSVQKKTGPVMGDVSEDMDNEENDNEEPKPKRAAKRKTKAKKENEDSEESEE ILPVSTKRGRGRK CC84DRAFT_1078255 MPQPLPSKEASLFRQLVKNYEAKQYKKGIKAADAILKKVADHGD TQAMKALILNSQGHGDEAFALGKLALKNDMKSNICWHVYGLLWRSKKNYEEAIKAYKM ALRLAPESQNILRDLAHLQCQIRDYDGYIESRQKMLSDRSQLRQNWTGLAIAYHLAGN YKEAEHILTTYEGTLKQAPPKSDLEHSEASLYKNTIIAESGDIERALEHLETILKNNL DRTAVLELKAKYLLQLERYEEAATVYRTLLDRNSEYRAYFEGLEKALKLDRSDSASLE KLTELYEVYASQNKRNDAARRIPLDFLKGDTFKTAADEYLRQKLTKGVPSTHANVKAL YVDSAKKAVIEELVLGYASEEKKQNGSANGTTNGAASDVFDKSVLLFLAKHYDYALSR DLDKAMEYVDRLIELEPKNVDYNQLKARIWKHKGDTQKAADLINFARECDLKDRYINT KCAKYQLRNDENEKALETMSKFTRNETVGGPLGDLHDMQCMWYLLEDGESYLRQNKLG LALKRFTAIADIFEVWHEDQFDFHSFSLRKGQIRAYIDMIRWEDHLRNHPFFTQAALS AIELYIKLNDNPDLANNGKPDLANLDPSERKKALKKIQKEEEKKKKAEADRKQAAQAK ATAKGDDGEVKKEDTDPNGETLLQTKEPLEVALRFLKPMLELSPRNVDAQNIGFKVYL RRNKLLLALKCLRAAQELDPENAGAKENAAALREHRE CC84DRAFT_1160277 MEPHYGVAIIGLGAMGASTHYQLSNRGVRACGIDRFSPPKSKAP ATAHPASRASSLAKAPPTSRYSSSAACSS CC84DRAFT_1183520 MASNSTTSCSVVPATTPTDAGVAGAGTLLSFIITNFLSLFLSAA IILLGLRKSTSAPLCRKLLLSFSDQQIITGIGIQSIALAKMESMVPYHFFIVWLLSLL STATNLATLLALVNDFRRDWVLRWMRQFFMLVNMLLGVLSGIFILQTVMKDMQPRLPI ACVWEVEGRGTSSNAALSIVGTIAVIAGQVVVFVFSTLYLHTRTNPTWLKSVQVAGLA ICTAMGVGATVRVIMVSQAFGSAPDGVNLVGSSEKDWSYGQLLPLLLLMLPLISMLEV VRGEIRTPPSKVDDETEGLLGEMELQYQPNPIWGKR CC84DRAFT_1183521 MNSKSLRRLAADHGSLHTAGLPPNYLFPPSLAGELPSDLTSLDI LLAGPVGTPYAHGVWRLHLDIPPTYPTAPPTATFRTRLWHPNIDEATGAVCVETLKRD WSSTLKLRDVLVTISCLLIQPNPASALNEAAGKLASEDWDSFCRRAKLMTEIHAAIPS NIVDQVKEAQSRGDEKEVVVESKATEAKLNGLGKAKTTPTATKARPSSNEDEENLRQG DTNSKPDSDPEDDWIPGPTKSLETPRVGRSNVFGIQGLNNGMQLDSPEKSRVTRSFPQ IDDSSLLEDKENDDSDPFIQDPWKRIAQQSFSLRLPAEPKSAPEVADTPELLKFAGKN PFSVIQPNNQRHPLLREFSWTWEESEIMHETGTIENGESKPNMRKRLASDEFEKKHRW EIKKFKKAGYDLKKYNRGDFGPRTGIRRL CC84DRAFT_1193020 MVRNAGTCLYKEARLNIEPAFPGSTISITLPAKSANAIGFSTRP KRTVYDEQDIGIDDDAFTKRHLATDGSVYFRRRNTYPRSFLWRVLDERKTLEIQAVDL EHDERSTHEANLTLLLHFSAPIRPFCVAFAEPDNDQNSITVFAITNTNELYTIAIPCS FFSKEVASEVDVEHWCKRSTPALLHANIPYRLVAVSTDQLLVSLDNGSILRLLRDNKD DILWTEATFQHSNWSLTLRGVLPWGGQQTVRFGNTDLAASTAANMSLSPDGTHIISVC LDHTLRIFNLQSGKLTRQQDLLDVADGAQDRNQSHLLGPSQPKLMQIVEALGVAHISY FVVIYSPIQHEFKFYGVRSDDLADPGAFADIQPDFSFVPPIDDLMNATVWTLEEFYIV PSASAWKGSQLWLRARSGPSSRVFQIAFDPSDDVERLSRVWKNDWTSVDSGPLTIEGL RKEPTNPAEQEWDASELFELGITESWLKFLFLPERFTIATLETALFILQKGIEGDRSS TRAKGSLKDRLCVTIAKAARRHTSEDTPVDYERSIAEQWEAYYGLVKDLHKRRGESLS LAYDPVSDQPWVVLSDYLSAVRKCSEPEAILSNADVLTNRHLPSGPLRKALQKPDAQS PEVRRLLSAAASFRRRLPSSFQHDLKRYLHADLLQSRSITVIDRMEQMEADCDLSTTM SDDDLASLIEDLGDGVNRLSTEVFQSALRTLKFEKKGGQPRSTQSARYGLKALLRIAS ETLAFNYETLLDLLVLILFMQFEEDISDDFDASAVFFDLMNEFKDWIVLDWIATTVWS HQTPTGRSSTRWMKEFDDVSKTSSRFPITQTALEGMYGYGATSIPVSSSLKTEYLTYW SQAWLASIFAPQDQTFDSIVEDMMGKLLFQKEYELAKDFSKFLPESSWASYLKGRMHI ALGENQLASLCFQKPAYNLALGIGFSVDMADTARLVPETEQDLFSDGLPKYYNHVLGL FEKAKVYSFAADYARLGLNSMMGFEDENLRTELLQRLFTASIQTSRFEEAYTAMIRHT DIALKHSSLKSLVTSMVAQAQTAALLKFPLVGLVEEMDTILSDLCQKTLNIGSGPPYH QILYAFRISRSNFRGAATILYERLQRLKTTSSQVHDPADESLIQCYLMIINALSSVSP EDAYILADQRIDEGIPQFLHGPIGTAKKLLKRHIVTLDTLRKEYQAELDRVAAIEHGH FAFMDPADEMDIL CC84DRAFT_1171659 MGKEFFTGWELWQKMTFVLACGIVVTVFIGLIKLQYDRYRIKKY TAVEKGKQAAPTPEMLEAQREETKDDVPFGIRAIESGIEIDGVWISRSNTPVGSSRSS MTDFKLPRSYNSSQLELPQALPPSNSSSKAPSSFDMAVGAERIPTNESRSTSPGRGRP PVSMNRYSQHVNRTLNALEGGPSSGPSSSRREPISDQPSSSSSRENSGKSSRRTSDES EYPDGRPYEPAYINPKQQSHYMPVDPRTDLDLLQSHRMSHVAETGQLTPRPRFRPGNS GEWASIADTPKLPEEIGTVNGVDYFVPQQKSHSPPAAQAATPQDVSPATESPSANQTK QAVPLLESYAPRPFYLPDVYQPKGPQHQFSYDEMPIQVNTSQNEQRDANGQVLRKVNS GFEILRPGTLPTPEEEQPAPVEKRQSKRLQKKRRTSSSGSRTSHFVEQV CC84DRAFT_178573 MPIRNPFRRTPGAEVVDEVKSADGTATKPLQIKEPAEYKLSEIN DSGVYLPPSPTEKPTFWHSKSNVSTTSSNHRSLLNENEPFSISRESFDSYRRSFDISA RSPIPDCLDPRTRQSLDARRSLDTRRSLDVRRSRAPPRASLQEHRPASSAHEGVPEEG GFEDVGLNDEPKPQPKKRGIFARFGDNHDVDAAKEDKPASHHFSFTGRKRAQSGGQGS EMKSMPKPSTPQPPTAEAVEAR CC84DRAFT_1227916 MPPVQDHHPQNHAQHNPGHQQYRESSIEAERAHRFTVFNHKCPL RHPHSTFCIPDAYRDSLAHAVTPAYSDYSSYRAAMKVAAPECGESSSSLSTLLSEASP PRPNSSKSNPYKARRQRSKRFQLGRRKSTGALVEASPWQPECPPSSLPQPTPRISSLP PTPEASQIPTTPSKAPQIHILPPTPEARQSFPQLGGAPSVNVLPPTPVPSKQLSRPSK GQQLEALSSRPSQKEVGKRPAVESTEPNQPLQPLQPLQPLQIHCGLMLQPAPENLLGT YKGSPYQPFAGPSHTAGHKPRLQRHASFIDLRDCWRTPEAELTACVLRRWSPGNQPGS GARRPRSPLAQDASSAQDEAGEEPEADEETPLLVGVRATSAEALGEEDDGHTATHRES DGETETEATSRLKPLLFPFVVFYTYIASAITSYLHDRDAHSGSLGSHDTETARWLRRV DSAFPVVKDTHSQRSYGSFSSLSGSPLVSPHERSASRGSLGSIPIVPSHSRKASRGSL APEEMRGCLGW CC84DRAFT_1160286 MAASETLGAVDLVRTSSQNSHVSNGRRSGTVRVRPLKRASNTSL GRTPSPAADDKSLTSFPSLSPTPDSSPVQSRVNGWFRSAVGAGEPGDKQPENIPRSSP DTVIVPSPSPEPNKTPAPAPPSTVRKVTKSTQQIVGSLVQRTGSVRDRSALFDDTPPD ASKVPGNVHYSSDQNIQDMIGQTGAVALVRQMAGDLAQRDAQITALRRRAEERERILR KMLQECEVSNMDIENRLRELERGREHAQNNGLAGARKRDSESNLPGLHPDDPMDQRIA RAMEDEIVEHPDALGFDSGNNALEQDSASISSVSEAPKEPRGNWRSLLFSGASRKSSR APSVASLIDRDAESMSAHTRSRASSGAKTTRKPISNNLFVPPGSDQGAIPTLRRLQSQ DPIQSDQSSRRSSGSIMNWTLKMVAGNNQTRVSDKGNAVRGRKSATSDPADRTPSIDS ARTTQSAKSGPPAAQRRAGRVLGPNGTIKSITGDIPKSGSVNPSPQPPGRGLSNLGPV EMDRILPEDSRPPTLVQNHNRFMTNNEYLTDRFGFIYDQRRKKRQSEAAAALTKQKRS SNVESLGHHRSALHNMGLEDDEDETTLQRTRTNDSPSPSRPASSGSEDQLNRVPTKTW ADYLKLATHPTELLSHTPATGPITRVEAAEAEVLAPKLSQVVVAKRGSMPSASANPEP SPSRIVSARGEFSISAPSGPTSPVSPLPPQIDPVKALLEQLTELHDNLQKEKTIKWNE FLRKVRAERKRQGEAVGVEGRGKGLDMPESQLADGEIVGVAGLGNKGKVGRAKWQEFR RLVLGGIPVTYRAKIWAECSGASALRIPGYYEDLINNGEDNPSIVTQIQMDITRTLTD NIFFRKGPGVHKLNEVLLAYSRRNPEVGYCQGMNLITACLLLIMPTAEDAFWVLATMI ENILPQNYYDQHLLTSRADQSVLREYVVELLPKLSNHLELLEIELEALTFQWFLSVFT DCLSAEALYRVWDVVLCMQDGSTFLFQVALALLKLNEKQLLQCDTPAAIYHYINHQMT NHAISIDGLIQASDALNKEVKRKDVEERRARAVAHEIELMRQREEARTERARRRASKI SEEAAPGLDAALAQREPSLSASLDAELSLQTSPMRTPLSSATRRSEEEDAELLNQSLD SLAARTPMPIEEESLWRA CC84DRAFT_178691 MPVVTDEDAALEAIGTLDNLSAEQQQALDAINAILGDGEPFVDI HELFGHYNVLYFRKLLLPRVEVLWSPRLTLCAGICELSRDPATRKFTRIRLKMSTPLL QYRPRSDTINTLLHEAIHAYFFITTSWRHTRGNDGTGHGEGFRLLADAINSHGSYEVT IFHSFHDEVDSYRTHVWQCSGPCKAQPPYFGLVKRSMNRPPGEYDSWWAKHQSECGGT YTKTQEPAPTKKKIEALSMKERAGRQKNKLDGWLKPKEKSSLENKLSDVSDGTKEKLH ADNRVPEGPERAKDDDGTSSPASNAKRKADAIS CC84DRAFT_1255176 MSLAPVSKKIVAFGSNQVFMPKFTVALIRTPNLSPYQAKFRVPL NFSKYDLRDYLYHAYNVKVHSIRSLVKQLPVRDTIKQPRHWFRPENEKYMTVEMEKPF VWPEDPESWQPWGRKERDASILQNRAAQGLKSPHDLRVDARKLRLQALELLNKGPEQS VKKWEKRRTPQMLHNELLPSENFSSLWNNKPKLKEGEEKSATLSPTQS CC84DRAFT_1227927 MRGAYRGRGQSNGNNSDNRRAPNRVILVPAEYRRETKSSERMRI ITTWKNETGCEVLPQLLSEDGSGRSAGVIVKFELFGTTEKLDKATQKIEEWIRYSVTK TSETTKWVKLPAHIPKDWYHEHMRREQNERRKKFLRDMGEDEVTVDWPQDLRGSEFTM KDAFGAGLGKLDPIRMDDEVFIKGVGLNQLEIHGADIKNARSAEQHIKVLIEKVKLTI NKKMVFPKYLILDTREDSGEGVLYEKAETWWPNHTTHPIVPRLLRCAMTSSEPGDYWQ DLQPAQHNEIQKEIQRALDVARYEMGTYDLSIRLGCLAMKQPVSDTGTKPDLEKFLTG VTTKKNLDFVVKHWLYEDKDGEELLARLISANHLLALSDADGAENWFPILRGTWVFQD PNASQFTSSPYVVQILWMVDEDGFYEKRTEHTKYYRLETGRTAPKENMDIKLVELGES KGWQFSLTSMIPCKMALVPTAIRRFADGTQIKPKDDTGWKQPFVDFTAGPSLRLLTGR LDKLYTFDIKKTGYKVNLTSMWYPGAKVPCWGLNVYHGDWRSLLATTEQLRQGERGVW ANTLGTFLPDDGLFCEPNAPEQPSKADHTGKPLPGDGVRLLTTKLMELSQVIHQSGRV KVPDYPAIAPSPRVSTLSQESLI CC84DRAFT_1136322 MRAHIWRNAHRASLATRPNYNARFLTTDSRGRLSKFWAPTGGIS PQDGEQDDSHALLLKGGFLRQAHSGVFHLLPLGLRVQNKLESLIDKHMSSIGASKVSL SHISTEELWKQTGRYSSNSELLRINDRRESGFLLAPTHEEEITALVANMVHSYKDLPL RLYQTGRKYRDEKRPRQGLLRAKEFMMKDLYTFDSSHETALKTYDEVRQAYTNLFNEL KLPYLVADADSGNMGGKLSHEYHFVSPKGEDNVWSCSSCNYVANEELVEKPTPQTDLD AIAAPAEPSPPLVFTGISMDRKTAVRIVIARPSDMPTEAQPPWDTISSVVNLHAVKRV YPDLDTGIEPATLAKLLPATETQQTLYSPTTPTTNEAPASAEADISLTRPGDPCPRCP TGHLAVQKAIEVAHTFHLGTRYSAPLSALVAVPHATQKEAIHMGCHGIGVSRLLGAIA SQLADSAGLNWPRAVAPFEVVVVTAPKVDDADAVCVYDALEGIDAVIDDRAGKSLGWK LRDADLIGYPVVVVLGKSWKSGQVEVQCRRLGVKKVVERGRLKGEVERFLGQL CC84DRAFT_1160291 MPPRIRLRPCALRLRNAAPLNNPLCASYASLTTATTPAPAIDPT QAAAPILRYPPTQPPSHKPPEVRKTQLHRTYQSVLKSSPLILLFQHNNVKAVEWMSIR RELAIALRKVDAERAKNGHEGLIGDQIKFQVITTSIFASALRVVEFFKPEENTMDHVQ QPTDPRSATSAQIPQTTNSPEDEAFKHGLSRRAWEVASNRKLKSELEPLLSGPLAILT FPDVSPQYLKTVLSIVAPSPPDFPAPKRKANPSYFEPEVQSGLQKLMLLGARVEGKVF DTEGTKWVGGIDGGIDGLRAQLVHMLQGVGGQLTGALEGASRSLYLTVEGRRMDMEEK EKGDKPAE CC84DRAFT_178746 MNELDLHYPADTCPFCTIAAAYPPSSSPLWSSKQEDLLSCVPSG DVDPERTTPGSFVVLASKDVVAFLDILPMVGGHLLVATRGHRVKVGDMGGTEGREIGF WLPVLSRVVSRVAGVTDWNIVQNNGARAAQVVPHVHFHIIPRPESRPEIKSKSWTMFG RGQRDELDDEEGVRMAGEMRRVLREEIAKMNERPKL CC84DRAFT_178849 MPPAARNLRQRAVTNENDENVGTQRLTRAKSAAMDSVGDVPKKT QALTTKKSQSALAPAGQARKRVALGDRTNSIKTEGVGAGAKDAKDNKKPSVAKSALAQ AAHPTKVTKSSQTKQARSVLAPKEKNVNSSGSKRPAPGSGIAGPTKKRQHIAPDSVKE DPEEEENIEPPTNVKTEESKAAPKTKEVPVAVPEPVVEPEEKIPDLDIEDVDDPLMVS EYVGEIFEYLKELEISTMANPDYMDNQNELEWKMRGILVDWLLEVHTRFRLLPETLFL AVNIIDRFLSTKIVQLDRLQLVGVTAMFIASKYEEVLSPHVQNFRHVADDGFTEEEIL SAERFVLSALDYDLSYPNPMNFLRRISKADNYDIQTRTLGKYLLEIGCLDHRFIAHPP SQVAAAAMYLSRLVLDRGPWDATLSYYAGYTEEEIQPVLELMIDYLSGPVLHEAFFKK YASKKFMKASIVLRSWCKKYVAQYGNALQDSSSKA CC84DRAFT_1255182 MLQPRICSLQASRIGRALLQPARSYATAVEHAPSPAFEPTVQAD GGVVQSREPRRDKFIPLRTYKPRTPGLRHLKRPVNDHLWKGRPHIQLTIARKGQHLGG RNNTGRVTVRHRGGGHKRRIRIVDYKRYLPGKHIVDRIEYDPNRSAHLALVTRVATGE KTYIVAADGMREGDEVESYRSGIPKDLIADMGGVIDPGMLAAKTAARGNCLPIHMVPL GSQVFNVGSKSQGGGVFCRSAGTYAIVVTKEEVEKSKGVEIKSVTVRLQSGELRKISP DACCTIGVASNPQNHFRSLGKAGRSRWLGRRPEVRGLAMNAADHPHGGGRGKSKGNVH PVSPWGTPAKGGFKTRHKRNKHTFLVQDRPRNQGKRRPR CC84DRAFT_1077212 MPSADSESPDESFFNDLSQQGPDSNENNATTDAPGGRKDDAASK QKRIACVLCRKRKLRCDGTRPTCGTCKRLSHACTYDEVRKKSGPKRGYVKLLEARLQQ VETLLKSQESTEQTREPARPDATTAYVASTVQQPLPNSNEFLGASDARLSISPGPDAF SNNATSPEGEFQWEMIGLGLDEPLPPQDVQDELYQIYFTKIQPSLPIIHRPRFMAAMN LAPHMRPPVCLRYAMWTHAASVADKYDALQEHFYQRARKYAQSDEMKGHGEGTITLAH CQAWALISTYEFKQMYFPRAWMSSGRATRLAQMMQLHRLDGVGLDVKQCLPPPKDWTE REERRRTFWMAFNIDRYASIGTGWPMTFDQRDILTNLPASDDAFEKSKPMATGSLEQA LAANGASNLQSFGGVVLTAALFGQNLLHLHRPGPDDNDDDLNGGFWTRHRSIEGMLLQ TSLGLPDHLRLPSGSADPNTVFMHMCIHTSTICLHQAAIFKADKYRLPTNVSNESKIR CVTAAAEIASIMRMISHLDLAAMNPFISFCVYVAARVFVQYLKTRPKDQQMHASLQFL LTAMQALRRKNPLTESFLVQLDLDLESAGVLGLGQKPYRPPQTGGTVSETMQPPNIEK TYPFQEHHIE CC84DRAFT_1160294 MSRDSYGLPMDNTVTLDNSDMEITPDSNAEQASPATTTSNPRSQ SLSHSGGGGGGSHSSYSPVQNTDQIPYRPSPRMTNRIPNQPNQATSTLNNIFYSTSND MMNANFAYGTTTGGIPSVGGDVDFNMGILGNDWDMGHLSGGATGTGMTPMSEGAWNDL LQHMNMGWDSVGPPHTDFGAQKE CC84DRAFT_1212515 MVGTVWACLLDVFLFWQLKLSSWWSQKNPRDVLFEALAASNLYE EYEAAAFQLDEVLGYDLWRQNPTSKYYDYRLIYQRLQAIITAREDDDILGLVSLLRSG LVRNLGNITASRLFNRAYAGTKLLIEDYITQVALAVDYVTSYPVTADSGLTTQNKLDV LHDTRQAFGRSVLVLQGGAIFGLCHLGVVKALHLRGLLPRIVSGTATGALIAALVGVH TEDELLDFLKGESIDLSAFTKKVEASSQSTWYQTLMRRIRRFIRDGYFLDVTVLEQVV RANVSDLTFEEAYLKTKRVLNITVSTTGGGGVPDLLNYLTAPNVLIWSAALASNASSS PLYKHVTLLSKDDAGTIAPWSPSLATQFRPWTHAAYATNARESPLHRIAELFNVNHFI VSQARPYLAPFLRSDLHHPNPRQDGRRYQLSMPVLRLVVMEIQHRLAQLNELGVLPHS IRRFLLDENIPGPSLTLVPELTPGDFFRLLENPTKEALDYWIRRGEKSVWPAVGALKV RCAVEVELDRGYQLVRRRRKTGRQGEWNSPTEGGSSVLVGGERLRKSGSRGEVVESER RRRRAASFGEELGR CC84DRAFT_1227943 MKHFLNGVSAAALACLTIAANARAVGYRSPTDFIVPYKRQALQD IVTWDKDTIFINGERLFLYSGEVHPYRLPVPDLYIDIFQKIKALGYNGVSFYVDWALL EGKPGEYREEGVFDLKPFFDAASEAGIYLIARPGPYINAEVSGGGYPGWIQRVKGILR TRAEDYLNATDNYMANVGKTLAAAQITNGGPIILVQPENEYTNSKEKPFPDGYYMQYV EDQIRNAGVVVPLISNDANNGGHNVPGSGEGAVDIYGHDGYPLGFDCSNPEVWGDQQL VTDWYSVHLQQSPNTPYSIMEFQGGSYDPWGGPGFDKCLQLVNAAFERVFYKNIYTFG VTIFNIYMTFGGTNWGNLGHPGGYTSYDYAAPIAEDRQVNREKYSEQKLQANFFKVSP AYLTASRGARSTTQWTNNPAITVTDATNNSTKFYFVRHTTYNTLDSATYKLTIPTSAF GNTTIPQYNGTSLTLNGRDSKIHVSDYDMGGTTLVYSTAEIFTWHKYDDRTVLVVYGG PGETHELVIGATGLDVLEGDIKSTSTKGYTLLNFQADGTRKVAKVGVDKNFIYVYMLD RNSAYNYWSIDQDPHSNADAIILKAGYLVRTASVDGSTLALTGDLNATTSLEILGGAP SPLSKLTFNGAELDFDTTDEGVVTTTLGFTAPSLSLPDLSKLSWKYIDSLPEIQSAYD DSAWKAADLKETYNSHRALNTPTSLYGSDYGFHTGTLVYRGHFTASGAETSFFIITQG GAAYAASVWLDATFLGSYRGAKGVDFASSNFSIPSTLAGNTTHVLTVLVDNQGLDENW TVGDETTKNPRGIMDFALSGRAKSDVAWKITGNLGGEAYVDKSRGPLNEGGLFAERHG LHLPGALSASAAAWTNSKGPVADGLSRPGVGFFGAEFDLDIPGGWDVPLSFTFTNTTG NAHRVLLYVNGWQYGKYVSNIGPQTKFPVPQGILNHAGTNYLGVAVWALEGGETKLGG LSLGADAVIATGLGKVGNVDGDTYEARKGAY CC84DRAFT_178811 MTADAAAPPLRSAPSPPRQPAAPDLPLPCPTMHPPTSPSTVQAS PSATPHPASFPHGGARHVRANDAPKARARLACYHCRKSKVRCGPSTGEIVRPCPQCVK GCKTCLWPDDNNPSPNPVVKRTDPAAHEASPDHRPSESRKRRKPPITSFEKLSMADGD LIDPNRIEDAMWDELYTIFRHHFATELPFLHEITFLRDVKLKDNQKPSHRFDALRYAF LALTVPFYEGVGRQLFQDSPRTARKCAEAAERHINFYALSSPSIEIPQALLMLSLRAH GEGQGSRSFMMLGMAVKTMQLLNCQFDHELVDKEIDDKKRSSTKQAARDESGQRFVME EIRRRTFWSCFIVDRYISSGDYKPRNISLEDPLVLVQLPCSEDNFDIGTKTKTRKLRE SDEDFKRREKKYPHDHPEDANVAWEDEDKQSPLVWFIKALDLFGDVMRYTCTVTRRAE RKWPWDEKSEHCRLDQRVDDLTRKLPAVLKLTPTVRDVAMSPPYRRRATPYILLHSTL LMCKIALHREYLPYITHPKSTPQGPTDGPNCEALQKACEREDPDFFKDSARTFFQATK NGLDLFTSSKKAGTLVESPLTAFVSHSVTFNIMWLAFFPNFDQDGVLCQGHGRPDNLV VDNFKDAFAILHHLQGRLILARSFGKRLKNLFNDLLKCKSLCTIPESPTEMKVSHGLK AYLEMENDHKEFGDEVTETDNVAFEEAPDIGILVKEYQKVYQSGNSTDSHYSPNATKS EVKNEVETPVERVPEPRSTPAASPTFTSVNQGYGVSSHTSVPYQQYSPSYQPPYQSAD RPSSIRAAPELQSHRATYSHPPAVTDSIAPSTVDLHPGGTASVNNSLELYPELRTNGD YTQGHVLVTDIGSWTANTFLDEYSAPQPIYWSTWGDAGQGS CC84DRAFT_179029 MSIKVFATGVTGYVGGDALHTILQAHPDWQYSFLVRDRTRLGSI ANEYPSIRVVVGDLSKIELLKSEAAAADIVLHFASSDDAPAMRAILEGLGSDSKTRFL IHTSGTANLLYDDFVNGSVGNKSNKVYDDLGDITTITSFPDAVFHRPVDKAVLEASIK YPNIKTAVVCPPAIIGIGRGPGNTRTIQVPMLVELSRKRGKAFQLGKGEATWNFVHIH DLSDVYFRLTEAAAAGGGNADWGLEAYYFAEAGELEWGALTKTVAALLHEAGALGSAE VDALSAEEAGKIDPFFGMAAGMNSRCRASRARKVLGWIPKVEDFEESLREAVEVELKT KVGRIEEMAQGHSPAMAME CC84DRAFT_1080277 MAPTDETVREVQKKIDKEKALINAANTMRQSTNNPAVLSRLDGQ IRDGRRHIEYFESKLRDIEMQRTQTGIDNMSLQPGTSSKGQNPVTPPPKDGWNGYVGS DQGGYGDPPGGYSNLSGGQGLMPPRAPYAPQGPGSRPQRPNYSKLDLIKYDTPHLGPR IQLMLSQLEFKLSVEKQYKDGIEKMVRLYQMEGDRKSKADAEAKRIESNQKIQLLKQS LKRYQDLHVDFETADDRDDDSLSVPSQRKPLSGHLSLRIHAVADVDHAATGRFSRGPE TFVNIKVEDSIKGRTKPSRNDRWLDEIHEFDIDKANEIEVTVYDKTTDHPLPIGLLWV RISDIAEEMRRKKVETELQNSGWVSADKMGGSSGPQPDLQFQPPPGQNFASASGPGGA GMPPSGATAPGAPQPQISQIFIDDWFSLEPVGRVHLTMSFVKHTRNKQPFDAALGRKG AIRQRKEEIIEQYGHKFVQQQFYNIMRCALCGEFLKYAAGMQCSDCKYTCHKKCYPDV VTKCITQSNAETDPDEAKLNHRIPHRFTHFSNMGANWCCHCGYVLPLGRKQAQKCSEC GLTCHVGCVHFVPDFCGMSMRKANEILKEIKKAKRTQSATTPGGLSDKTLRKNPARPA PAASLPGPQTQFQPPPGQEQPAQPDRYSYGKEPRPQYPPGQQSYAPSTTSLEAARTSY GSGSTPTPTSPTSQRPSSGPRSQSQQSAAGAAAAAAMGKSAAPTYGRAQSDYPPQGPR TSGGGYPQEQRVPQQQGPPQVGYNPQDYANIPTYPTAPPQPAQAPYPQQKQSYAHPQP GPQSPQHQPQPPPHQVEVRPQQPSTQQAQPVSAMTKAPSDKVTPPANTQGTGRRIGLD HFNFLAVLGKGNFGKVMLAETKSTKQLYAIKVLKKEFIIENDEVESTRSEKRVFLIAN KERHPFLLSLHACFQTETRVYFVMEYISGGDLMLHIQRGQFGTKRAQFYAAEVCLALK YFHENGVIYRDLKLDNILLTLDGHIKIADYGLCKEEMWYGSTTSTFCGTPEFMAPEIL LDKKYGRAVDWWAFGVLIYQMLLQQSPFRGEDEDEIYDAILADEPLYPIHMPRDSVSI LQKLLTREPELRLGSGPTDAQEIMSHAFFRNINWDDVYHKRIPAPFIPQITSATDTSN FDTEFTSVTPVLTPVQSVLSQAMQEEFRGFSYSADFA CC84DRAFT_179519 MAPPLTVRNLTAATITLKSLERFEDPNTKQSKATAFPSALKNAQ AIAPSAPELGLHVQSFKRQDVDVELAPFESYTLQFQALEQPDAKPASSPTSTTLRLTL EDEGKQRFRIDTNPTYTQKGSRILTPLTPNPSTSYTALYHPTTPIAHLTIHTHHLPNY ARWMQNLPDNLPLSAISIPGTHNSHTHYRALPSVRCQVVEVKTQLENGIRFLDIRVQP ASATDTSKRDLYLVHGAFPISLTGAKYLDPVLNACYAFLSANPSETILVSLKREGVGS ATDAHLAAILEKHYFAPNASKWYVDEAIPYLGAARGKLVLVRRYKLTTSASPGPDTDT DTDEAAPGLGLDATAWPNNATHALHGPFCIQDFCEIMHPSFIPHKLTHANAHLVRAAS TTHFIPGVNTDATNPVPPGPLYLNFLSGSNFFNRGTWPEKIARVVNRGVEEWICTGHH LATPVGDPQTPGHASVEGVEGVRGVGEGDGGAGVVVMDMVGDGGNWDLVRLVVGLNMG LLETVRCAM CC84DRAFT_1160300 MDWLTTQAPSSPLSSVPSSAPSPAASDSSLSDLSDSELSEMSRA LAGRRSRYPSPSSSNRSSAKASPAPETMPSPPSSNDDDDRPHKKRKLEDPKERSTERL DLRRDLTDKDKPQLDRLLKTLHKKRKIVVIAGAGISVSAGIPDFRSSTGLFKSLRDER KRISSGKELFDASVYQNDSSTSNFHEMVRTLSQQTKNAAPTAFHHLLATLAHEGRLLR LYTQNVDGIDTSLPPLKTQIPLPKKNPWPKTVQLHGSLEYMVCSKCHTVSEFDPELFD GPAPPACPSCQSDDLARQAVDKRSHGVGRLRPRMVLYNEHNPDDEAIGACSKADLRTR PDAVIVVGTTLKVPGVRRIVREMCATVRDRKDGMTIWINNEPEPKCKELENIWDLVVQ GPCDEVARHAAMQKWDDPVHKQVTEDELPKHKPCVVIRTPKKVLKVQGMPTPAQSPRI GPQSQALPGEPETPSKTARGTKRATQVVAKVNAFDQMTGKPEAKPATKRAKAQPKKSA GAKSKATKVKQETNVAITQTFKQKKSTAKVVPPKLKGQLAAVPRDENADSIQFPKRSP LTPRKCGAKVTAFKLVSDFDFRYNNFPEDQRPPMEPFSSPVKPRTPSEQIRQENDFFH AAQATSESRPSTADSTSSRMSIGNLLNPQPISS CC84DRAFT_179583 MEDQTYDSMDVDDLFGDSEQVTLPSMNMSASPPVKGLAKRLDEL ATSGCCSRIAWSKNGCIAYIVPDGLGVNLRVFSRTGSTEKWDLGTDVQLDIPHQSDEF PLVHLSWSHLGNDLAVVNAAGHVMIFSCAMVLDRMNFTRTELSQPDNEIDAVVGMHWL AIYPYEQKNHIAWSAHKSGDKWNFNITSQMFRDMHHAVDQKACLVYLMRKGDLKLRFQ QPDSSWSEVSVSLRAILHTNDTFTHAAFASNNDNKLLMATYDVSRRLHLYRIEAVWNI PQLPDRTQLKVYEKPELQVTEITTEDNCHPAMIDSSGLPGGSESKVPVSAQLTHLDFL PITPEDGDGSVPTIQAIFVTPPNIVAVDQTHPQPSPSSIVAKWEVHQTEQNQLHPSLD KVTSKKKSVGSVSARTIWQLRRQPDTMTHMNQVILSCIPLWYNMILAFCYSDGTIELK KRKTQETITPDYNTEVVSSMAQAGYTFPTLDSALNVALSPNHCIAACMQADCKIKLHP TQYNYGSLATDDNDQDQSASAALAALVLQHTTAANQYFCSDDIFSVMGPLSEERKRIF ILLMFQALNVKIDCGIVDDGTNQNHLILLGRSPFFVKTLSALHLLGLQGSVDRALTSK MAWMVLNIKYVTQILTTIARMHGNIDKNAVRPEVVPQFVGICRWIMHFMVYLIDELLQ IGQAFQSVPSSSLTPQLLQQKLAAMNKPALLLLLSSFPRMMMKLWANPIQWVQRTAYG YVQNANASPEMRKLYYPLHMALTEAPLDWRHFEALISEAQHLVRSCYKQANASAEDRD QVERELLLGRIPPILFPAARRLVTDTLFAEPSAQGTCLADKVDMAKILFFDTTWLGLT TSKRAAHWFDSHVVDVCQKMVIRGTGAHTHPLVGRDAQNGRGRSDSIQSVAGTEDPKR RKQLRKCVRCGAYMEDVTMGLPGYAPTHVSWLMGVAKHCVCGNSWMLAPEKKS CC84DRAFT_1255191 MPPKKNVVEEKVLLGRPGNSLKSGIVGLANVGKSTLFQAITKCH LGNPANFPYATIDPEEARVIVPDERFDWLVEHYKPKSVVPANLTVYDIAGLTKGASTG AGLGNAFLSHIRAVDAIFQVVRCFDDAEIIHVEGDVDPVRDLNIISEELRLKDIEFVE KSLEKLVKETRRGGQSLEMKKLKEEQATVEEVLQMLKDGIDVRRKNWSPKQVEHINPL FLLSAKPVVYLVNLSEKDYIRQKNKNLPKIAQWVKENTNGDPVIPVSVSLEERLGAMT EEEAAKECEAIGTKSALPKIVVTMRKALNLGSFFTTGTDEVRQWTLRNGTKAPQAAGV IHGDFEKTFIQAVVYNYKVLREEGDEASVKAKGKIMTKGKDYVVEDGDILLIKAGAAK G CC84DRAFT_1110099 MSSSTRPKPTRKSSSNHRNTLSLQKTEIKIHIYDLLPPGKVSTM LWAIGSSLLHSGVVIGDREYAYGGHDQRGLSGVYYTKPGQEPPGGTFRQALLHGFSFR PQEELDAIIHEASQQFMGTSYNLLTKNCNHFTSYLCDKLTGRSAPSWLNRAASIGVAL PCVVPREWISPPDHDTADGELLDEDFEDERSSMLRHDERRRRLRATEDDAQDWEDAQT ERISGASGGSDQRGPRGHPRAIPAAERAPLPRRIT CC84DRAFT_1160303 MANSTALRAFRSVCASCRTSLSTKTPALARPLSQSHALAALKGE SLMDKNLAAIDQNMFSRANSLGSVIPNNPNAEFGNLAKGEWEEDNRHHLHIYAHKHNT HITLTDPSRNPMVSVSCGNIGFKKAGRGTYDAAYQLAAFVMLRIKDMGWLPKIKKIEL AYRGFGKGREAVTKAILGSEGKDIRPLINKLSDSTRLKFGGTRSKKPRRLG CC84DRAFT_1110107 MRPSAANTLARARWSAQPSPASITGRAAAYRRISTTPLTARSLA TSQKSAGSSTSPLFFLRSNFLPQDVLFQTTSTYARQFSSRSAQFQQAQKQPEPEVNDP KSQPKQSATSEGTAEEAAGEQGKEQAGEKKAGEEEAGEQKEGEEGKKEKKDDKPPPPP HGDKTPWQVFTETLKTEFQASKEWNEGTKQLSGSVHDFTQNPRVQKATETYTKVTGAA KTTTAEALKTTGKAIGHGAAWTWDTMPVKGVRKVANVTGTGLEYVTRPVRQSKAFQAV KETVDDGSSSRYGGWVEKEERRKRRELRELNELQRTGGKPLEPMEEDPEAGTNVTLHK DAKYKEVWRDFKDNSPIMRRFSGMKDVYNESENPLISTARSITDRITGFFAENETAMV IKKFREMEPTFQLEPFLTEMREYILPEVLDAYVKGDVETLKLWLSAAQYSVYAALMQQ YTTAGLKSDGKIVDIRGVDILNAKLLEPGEIPVFILTCRTQEVHTYRNKKTGELAAGM DDKVQQVTYAIGVTRIPEDVSNPETRGWRFIELQKSARDYY CC84DRAFT_1160305 MYSSARSAACRHAAFCGRRSQGASVAPVRKFFPNINTAPRVGDV TVRNARVRWQSTISEASQSTPNTTAEPLLKAKRPIYLRLPLTIALSLLTFTLGFTMAA APAAPALANLAAPPTDEETMSLFAPATEQAAAIEKAIFEHPLTRSLMQDEKYIASRPH LKIPAQLRAQSLTGGTLMGEDKIEVPPLQFGTEDGSSFVSFQYIGNALCGHPGIIHGG LLATLLDEGLARCCFPALPNRVAVTASLKVDYKAPAMAGQVLVLRAETTRVEGRKAWV KGRLETLVDESKGEKPVVVTEAEALFIEPKMAKSMNLLKVH CC84DRAFT_1255196 MANALPLTTLTHTSSATTSLRLLALEIALLCYPYNSPKLSHHSK TRLRTIMQAREAQALGLDPDLFVRLLASCKAEYQAHWEVWRPVVRHPSRHFNKKDLGD DEGDDKEKKRESLVRFANEVVVGEWCVADAGLDTSAIRVLVQTVETCRALGRGVNAAA WDVVLESVRRAWVEHWVEWASVDEEGRTEYGVLLESGGREEATYTKSRLVRLRLVPDV LVRFPHGPSSNKLSSSIMVGRMVLTRRTEDGEEEMVEGHALAERVAGEYERVRAEIRA GRR CC84DRAFT_1136370 MHIPSSTCSSPPRSITSSQIWAIEAVTPAGVNEVVTFINNARHD MFPTLHTQLTDDVARWVRSGTFLAAKDTASHKLIATIGFVPYDHRFPSLDYQDVHTVE VVRLYVLPRYRRGGLGARLFEELRGRAEEQGVRRLYLHTHPFLPGAVGFWEKRGFGVA GVEEDEVWRTTHMEMVVGRGKC CC84DRAFT_1212529 MEMLSATLISVIAFTTLASADAIPRKVSNTTIPTSDTFTYPPTC TLSTSYPSLPYSMYHLSFVTPNLTRALHFYTHALGLTHIFTYKPIPRYYIAYLGQKT CC84DRAFT_1212530 MRRPNTFSHFGIVVPDVEKAESRIGDAGGRIVNRVGKEVDISDD ALANAYGLRVEAIGELDEGELEAVVEAFNGDRKTGAIQSAFAEDPDGNLVEVQPMCVE CC84DRAFT_1136372 MTDASSHLPLFRPSVYAPASFSPALSAPNPTYTWSTASKKRSLA PGPRHSMCPPNASNERHGAPDGLLFITGTSLEDFKSRKNMTSVRKKAMGSYLKEKKPK ATQKEQQCTGRSSIDSAGSRGSIEGDQNDVISNTEAIKLMNGDGRRRRKSSHSTAVTS SPETIRRTPEAQVSRLPRITMDFVLPSGPIVVPSRTGVPLPYDETSPKPFQSIGKPLD PFRTMFQASHPQVSVEELKFHCSRAFGTKAMGMHWIPTLVKSPHAFLSTLCIASAHYD AIHEREIESVQTLALRQEVMHLISQSLLNPETRGDDYNVVALTQLIASEIIAGEDMTL NFHETGIEAMVRQRGGLGKLGVNGRLATTLSWVSLESAILREATPRPQYVAHASTTST RSYPNTATIPESPLYCPRSEFETIKRSSRCSPQAMDLLKDMRMMMDLFLHETKQSRHN SLSLKNIHRKITTQYPPVSETQKNVVLTPNDWRYEAIRIGAVLTANAILQRMPLSEAL AHIGEIELSRTLEDIPSTTPIESITRQDSPLALAHPQINPFTFSPSTPTYVRRPSNPT TTLLKHLQTALTHSNLSDCWADMAGVLLWVALTVGAASRKADSKVLRKWFKALALRVS IILCFEHPEAMCASLLRMGDLISAIEEGGKGEDRGKRRRG CC84DRAFT_1193043 MTYWPISSPSVFAATKETRTRATAVSHDGADDAPDSSVAGSEER TESEISESAEAGDGVGETGTEAQPHPPTPTEGEHVAEDDITGDILAIKVTRSGHMFAT ITRSTLTIWQTKPTAVLASVLRSDNSLRTYGPNVNILLRPDSQIFVVQTALGYLITYS LATDPSSRVYKTQFAHTSVGHARNKSSVSGFKIPRQHDQNAGPGEGSGIKEASLKFRM VIRVDAGIAWALALDDELIVATTKPAAVQCIRWAPDSSGTQTSTELLSRMPWLDKNVS VGDMIHDRPMNLSAWITRDGKAYAVQRLPPNTLQDGKSHPKLFRGYAFHCPEKEEEHG VKAAINARFSLLAIGCENGEIYVYTAKDYKGDIPLSHKLKPNATSTGKLTVLAYSPDG YCLFAGYENGWAMWSVYGKPGATSFTTDRTLSATNSEGWLLGIKDAFWIGGGAELLLL GHNDNRLFLLEMARSAVTGCFSSANVSRSLMQTSTGFMMYRGYELPDLTTISADVSLW HHVQVPSHYLVDQWPIRCAVISNDGRYVAVAGKRGLAHYSVNSGRWKTFDDPFVENEF TVRGGMCWFQHVLIAAIEAQDSHQIRIYSREQALDNDHIMHIQHLPAPIVLIAPSGED SLLVYTYENILYHYVIGVTNATVTLVQVGQIALHGIIRAPPRVRALSWILPEEQIHNG DPSQDVAVATILFAVDGKLVLLQPTTTEGGELKYEMRIIAQNVETYALMRDHPAFALT MQDDSLPPSPSAGLAIDNVHGHDLRDSLWFFDGHDVKVWIDIQDVLRTASAELGRELP SPVAIPVDFYPLASLLNKAIIFGVEPDLVQRRDTSFAHLRFATRTHLFLPALLRHHLA QFNHPAALHLSHHYTPLAYFPHALEILLHEVLDEEVDSQPAPEHALLPSVLSFLASFP SYLDIVVQCTRKTELRSWRTLFSHLPPPEDLFEESLQKGSLKTAGGYLLVLHTFEELR STGDQVVRLLQRAKAEGDWELCKELARFLMALDPEGATLRKTLEMVELKSPTVEGGPT AFSFETARLGVPRRGRRANGGGLGIGFALGEGSSEGESSRERSPGSAVSPGESAGGRG YFDP CC84DRAFT_1255199 MDTSDVSQFARRAVLSAPASPQQIQILNQVILAVSALSITGAGW IILSFCLFKEVRTFRHQLILGLAISDFFMAVNFLSSCAANLSGYSIGKHQAFCSFNGF LTQFFVVQTDYWVITIAICTFLILANYKHQASWIQEHRILLWCIPWCLSILWAALGVG LDGYSNIGAWCWFGSDKTRLLVNFVPRWVIIIAMLGLYVRLYRIIYRAHEQFISFQDE SSSHGIPGISSETGSSTRRIGRPSTSMHITSKDGTIEVERHGTGAVTNGQTHLGRPST VLKKLAKQMMYYPLAYMVIWTIPTTIRIYQSVTGVPAPFGIATVDKACIVVQGFADAI IYGLNESSKSVWRNKFRKTSPTAPAPMHVNVEHEIRVERLSVIQTDSGRSSVHIV CC84DRAFT_179696 MALFSLIGLPRPALGRARYLHQRTTASRVGQHIYRLRGAPTSAV PISILIPLHLIADGGADPPRDGTQQESITQGRSGTVLKDWGEDKDVSACLLFWHYSRI AREPAAASTPSFPVRTKMFMLA CC84DRAFT_1136379 MALKRRDVVLAAVAVFIAWGYLTHYSPSLRFLPYAFVAGVVATV ALQAWLILTTAWAKDLRTGGNAHYGPRHVAFLAPDKWRAEKAALTKRSAYMMEPVYPA SFVVSDSIDVLLGLILRDFVKSWYGNISKSPTFVNEVDRAVRAALGEIRDRLLAVDMV ETVVSRMIPLITDHLRAAHEAERVVRGKKLSRNVTESEELDMAIAAKYKDGRLHPAAS LAYSNTKLNQQQHLRSIVARLLPSIMPENMTTSPAVNVLIKEIVACAVLSPVMQMLAD PDTWNQLMEGYGRSLLQERKTVRKLRAELDKHAPPSPKTPKNVQFPKLAPGDNERKFE RFVRAIRQTNTLAEARRFRSEISGQLRKDSMIEGQDPLHLRRLETARRILDQKINNLS AGGSVRDKKAAAQEKPKHKRTTSRFENASLRDVLYDAAGLSCFMEYMDQVGLMRLVQF WIVVDGIRNPLEQDTDEPVELSGSLSAWTDSDRADMAQINEGYLSQPDLEISPTARQA VTAFLKSGRAATPEQYHNARRSLLHAQTAAYDRLQEPHFRRFKRSNLYYKWLAMDEAA NSQKSAVSTKMVAQTLDNPSAGASARMVRSQSSQQNNLNVPDLRRAVASSSDLKTLGK GRDLDPPPRRSLDGGAPARAPLFDDDYDSDPMANSIASLDSDFGTSRQPSDNSRAVDA LQAAMTDIMGDEPEGSLFAETNTLASPQDNDSMRASLELPRALSPGPLAMQGKDRLKP SIASLGLVGEPRTRGVFNDDLFGDEEKFLEDEIEEPHRANEEEEIHEAAPGDLGLAEA IDALTADIERLVTQESIVDSLTSKAELTNNAAELRILRKSKSSLQREIHRKELQRQQY IVQESDNSLYGRATVFIQSIMVGTEPDGKEFAMYVIEVRRRAGDQMPAAAWVVSRRYS EFHDLNKRLRSKFPQVRNLDFPRRQMMLKLQKDFLQKRRIGLEKYLRDLLLIPAVCRS REFRAFLSQAAISATDPSANQQASNDFVSRIYSSVADGMEEFLGNIPVLDQLSVAGQN LISAATTQLSSSNGTPATLAPGAVLGGEPNTDAEAEAELLAFENKELEPFVKPICDIF LETFELNQQNNWLRGRAVVVVLHQLLGGTIERKIRDSFNSVMSEDNIAKYIDALKDSM WPNGKMKQSVERTPQDRAKSRKEAGVVLSTLVPELAASVVGRSNAQMAATKLEATVNN PRLNTHLAFTLLDEMIQVLFPDVGIR CC84DRAFT_1255201 MDSTSPSDSAATRAPVPPKQPSALLRLPSEIRNRICAHVLDTHS VNDLLFEEAHALASTSRQLRAEFWPYFLARAIFRVDLRNYADLLSIFYPPTSPDVVRA YVGTVGAKWDGARGRSLDLLPLVGVMRASPNLRFTLDAECTWLCSRKALTSFPELRPH QSHLGRCATALFTYAALARGADGGGLVEDELDCGCGTEEGFETGV CC84DRAFT_1171689 MPTLDQSHKYAHISVLRTINEQLIAPGAALEVRFQCLGFAEGLQ RRCSDSAQGFPAHRPDMMRKTRQALEKEEVSADDFVTIVRSMFCGYHDRYPKHNPSCR DHLRQLWTTANPVAKMNFKNAISRGHNDIFRYYAGLQGCHVDDVEDAIKTEGLVPTAH KDSNDSQIIVYDDTQHTLLANIRELVGLQSMYSKNHPDDGESFSGTGATTSGVSGPTV STPSHVTPASQGFDFSFHSRASTASRSPEPGVEKSRASKNLTGHTKFPFFESSKAGPN SHPTPSVKPSRSSPIQDDLEQAEGGRNTRGHTPEGTNIGSKPGKSSSAQASAEDTPTL FTKKTGQFRKEGSLFPKFYEQGSPSPPQPFSNPTTPNQSSARVENAMDTGTPIKRGLF SSGISRNGTPRPKSTRGVAEDIRDLLRKPINVDNIGYIYVLKAPLFFDQFPPSRDQAE PEHWVKIGITQNLNERLDSLISQCGMLDLIECGDDDMYQDRKPMPILRRVEALCHKEL EHYKRPLNCNLITSTPCGTTHREWFNVTESVAVRTVKRWLRFVSMNPYTASGVLDTGF WDDRVSNGEYLRTSRDEDVDRAHERYQSWLDASIQQYVTKMTEEKLNKLSVGNGVPFK CC84DRAFT_1212536 MFIALRFIADGNVGRFITSALLKTGKHTITAITRTDSQSKLPDN VTIAKDDYSNHTSLVSALKCHDALVITPSGHAVARRKLIEELGQSSFVVVVTVLLIGR AVAALISLPIKSETEACLDKFRNKIVWVSSFTVNQEDMCASVL CC84DRAFT_1136381 MKASVAAAILVFTASASAKSLFNKRADFPIPASQGSVTYDAAEE ISGTFDGGLKTYGRGVSCTGQEEGDDSDAVFILKDGATLKNAIIGADQIEGVHCEGSC TIENVWWEAVCEDALSLKGDGDATVTGGGATGAEDKVIQHNGAGTVTIDGFTVVDFGK LYRACGNCKQSAERHVVIKNVVAESGKLLAGINSNFGDTATISGTCATGVKAICTEFE GTTPGNEPEETSSGPSNACIYTESEIATC CC84DRAFT_1193046 MAAQNTGPVLCSLDEFISRDYDYVVIGGGTAGLVIAARLTENPS VKVGVIEAGQNRMDDKQISTPSLYPTLIGRPEYDWCMQSTPQPSAGNKTYSMPRGKVL GGSSAINYLMYVRGSRKDYDSWAELGNKGWGWDELVPYFRKHQNLDIPDEKSLPANKQ FMPFAGREKYHGSDGPIHTSFNDHYMPLEEDFCQAAYEVGGKDSTLSDAWSGDHMGFY SSLAAIDRSSDPGNRSYAATGYLRPNLQRKNLRVLTEAYATKILFDEHAAAGVEFSHS GKVHAVKATKEVVLSAGVIQTPQLLELSGIGDPDVLQKAGVKCAIENKSVGANFQDHV LGGMLFDLKDGIKSMDSLHGKDYAKAQLDIYEKTHNGPYGSPGMLMGFVSYASIVNDY QLQETLKEVEKKSHAKTKFEKAQERVIVEQLADPTFANLQTFCIPCQLDVSAGHSQIE FFSAPPDGKNRLSLLICLEHPLSRGSVHIASSDPTKPPVIDPGYFRHETDAKILAAGL KWLDEVSKHPLVAKSLGERVLPPPENSLETEEQRVEYVKNHISTQYHLIGTAAMGEVV NDRLQVIDGQGQVIKGLRVIDASVFPGHVSGNIMSSTYAVAEKGADLIKQDDDRFSSE PRGETPRS CC84DRAFT_179746 MHLCELTSFLACDLATGRSSSRRYTPATVASSIAPTLIANRLCA RTGTDCSTGYIRCRNKFREHVCMEKGCKLNQVGILLAYVPADTVADYFSPKSISTSKH RTLLCASEIVDPCANVVFKSIRNQRMLWHLNDN CC84DRAFT_1171692 MPIIDTTKDLSALFTQQARATPDAVALEDDKHSYTYAELHEKVA ALADRLRAHGVGRDGLVGVLLPRSADYVIACLAALRAGGAFLVLELAYPPDLLADVID DARPVVVVTVRAEVDKIKQGVPLITLDEEASNSNGHVQESELAQLPAETDLDKLSFVA YSSGTTGKPKGIANPHRAAVLSYDLRFGLSDLQQGDRVACNVFFVWEIIRPLLRGATV VAVPDEASYDPRMLVDLLSSKKITETLFTPTLFAAILSRHPNLGNRLPNLKTIWLNGE VVTTDLARRGLKILPNARLLNVYSACETHEVACGDIREMLEKIDPKALYCPVGPPLID KKYIYILNESGHRVEDGTNGELFVGGHLLARGYLNLPETTEKAFTPNPFSAKSGARMY RTGDKARLLPSGLLEITGRVGAMIKLRGYSVVPGKVENDIVQYLSVSRCAVVAHGEGI DKQLVAYFVRDKDASSPERPNIEIDSDARSMSARQVLKPYLAHYMIPHLWVEMDDLPT HEVSGKVDLKALPPPNTRTKSPTGKRAAYEQDPIDIGQITSVWASILGIQPSSITPEY NFFDLGGHSLLLADLAARLSNRFGLKIPVARLADPATLNGHLDTVRAVRDGQTAAVQA DLPAVLRADSVLDKEIQPTNTKMNALKDAKTVLLTGVTGFLGAFLLRDLLDSTSAKII CLVRFSDASQDEIPAGIARIRRNLLDFGLWSDLIMERVEILPGNLSRRRFGLPPDAFA EIAKRVDVIVHAAATVNLVYPYAALRLPNVIGTKEILRLACQGGATVQYVSTNGVLPP ASGEAGWPEDAMLPVEDVPEKLADGYGQTKWVAEQLVLEASRRGLPVKVHRCGTISGH SQSGSSNAWDLLTALLVESVRIGHYPDVKGWRAEMTPVDFVSKAIVHLGNQLDADQVI FHLGDPTPVDTSAVFENLNNLGYPTQPLEFDKWVELWDDKRGSAKGGDGAFTIDILRS GMPSVEFLRDIVVLDNAKTRPFRAAVERPKVDETLLETYTRHWYARGWLPKPPATSNA TGGTGQLPKRGPLYNKVAVVMGASSGIGAATATALAREGCHVALAARRIDALEDLKKR IVVREGKVFCQKTDVTDREQVQALIAATEKKLGPIDIFISVSGVMYFTMMKNVQTEEW DRTVDVNCKGLLNCISSTVPSMLSRGKGHFVAISSDAGRKVFPGLGVYSASKFFVEAT LQALRVETAGTGLRVSSLQPGNVATDLLGMSTDAEALKKFGEPTGAKVLDPEDVANAI VYALKQPDHVAMNEILIEPRDEPI CC84DRAFT_1136391 MATETHSLEKQTVLTDAAPSPSLDSSHERPIDRAAERRLVRKLD LRIIPVLWILYLCNFIDRANIGNAKIAGMEKELNLIGQRFNICVWVFNLGYLVAGIPC TILFKKYGPKSLCVMMLLWAITVIGCGLVRTWEQLVITRLLEGICESAFISGAAYLIG AYYTTAEYLGRYVIFLTAGIIAGAVNGFISSLIAKMNGVAGYGAWRWIFIVEGLLTIV IALACWFFVPPFPEHCTFLQGEEKELMLRRVKEGGHNAHDTITIKDVLKELGDWKIWA GVAMNLGVTENANSLANFQPTILRGLGYTATSAQVHTIPVYLTGAAVSVIFCLISERL NHRYGFYLLGCAILAAGLAIEINYPPSASTRYAGMFLIACGAYVSMPISIIWVSVNAG SGYKRAIAIAAIINFGTAGAFVSSNVFLFKETPRFHTGFSTGLGLVCMGAAAATVYFV GCWWTNKKRDESSSVMVEPVTEQSEMEKLGWEHPDFRFVL CC84DRAFT_179751 MIPHDAVEHRNAQTDPCMHSMMHFDLLRGSEVVFGTSEILPRRP QDNTPFHHHGTLARRGFRRFSRV CC84DRAFT_1227981 MGTVVFSAYANEQRDLRWVSISLSAVALVLLTMRLTTTWQNRGW FGLEDAFVIASTHVADIKATGGDVREALKYFYLTVVFYILTNGMNKFAFLMLYHRVFP VAGFRKVLYVMMGISGLWTISYLIVGIFQCNPVARVYDRTIPGTCINFAWHRWTNAIS NLVTDLIIFFLPLPLIMKLNMSLGNRIGLIILFSIGFFICLITTLRMATLPQTLKLKE PTWESAPTNLWSFIEAAVGVICACLISLRRTISRFWPQRWRSTKGASAGYYARYGGDS TTGGKMSRGTFGKGQAGSFHLDALKSGRKDVKSEAVISLVSRSESQERIFEGITVTKD VQVTRD CC84DRAFT_179760 MGISSTQKSFNLAIIGGGISGLTCAIALHKRNIPVTVYESAASF GEIGAGLGFQPNFVHTMELIAPGIKEGFLRCANNEETDPPKWFDVRIGDTRKADREGF VRKKDGRKIKLGERIFMIPARPGPRGGVHRAHFLDELIKLLPGGIAQFKKRLLDVTKA DNGDAVLHFADGTTAQHSAVIGCDGIKSRTREIVLGKEEARPLFSGKYAYRAILPMQK ALEFLDDKQATIPQMYCGYKRHVLTFPIANGTIFNVVAFSSRDEWTNPEWVVKTSRED MLADYAHWDEKVKTIIANVKNPDIWALYNHAPARTFYQSHPRICLLGDAAHASTPHQG SGAGMCVEDSYVLAELLAEISGADELETAFRAYDAVRRPRALKLVETSRQAGMLWELE GPEGDDLDAFEKNANTRMSWIWDHKIEQDLERARELLRV CC84DRAFT_179763 MDVGEEHYGEGPPFMSTLTKFKNWVNVKLILDQVSSCHINRSVG SWDIPQPVSQGIASQYNALRILRITVIEQHHFRGKHEAFGCPAPYCDAWFERPENTRQ IWRRGNMTKIAMSYQNVVVHYLWMARGDWNAYGRAKVRSPKRSRCGGKFIDLRSERLP RRNCIVRWSLIRYRFKMGYFSSKDGWIQYDFSTGGGTMNSSLKHIYGKACRIGEHCGM H CC84DRAFT_179758 MAAKRKSRAEEGPPDAIGARKQPRRESSQRPSQEQQQPARKKLT PKELRQVMEKYIYNPECASECTLCATGMWQRLLMSTADDGGSALQMALDLVEGPWELT PIRIVHRFKGFACDDIKWIIRHLWQLCFRTSGANELSWTEVLAVLKISAQKNKTTPGT WSREAFIDAQSQGIDEAVDKKKKSAAKKAKRTSADHIEQIKPRSSGHDTAVLSLSSAQ RLGGTRGTIESPPFPESPAKPPSASAVSPTARPRGIIDNGKSPLPTTLPGNTAPLGRR DGSVMLLDRETTPPSIYTGETRVPRRRVLSARIEHSDDLRSESYQSALSETNQSSSGD STAPIELEADARLRAAAILEEFESRDPISTATLRYMLAKLGLAQSKVDLGGVRVLDLG DLIQSSVGQVAGLASGKLHPVSARDIETWTPSDLDCDGIKTFVLPFTGQPEVPSAFYV LKDSICHAYLTTPAQENIMLTQTLPEKLIRAVAGLEGAKNWKMKLLKIQDCYDRSHKD HPREEDCGLQALAVCLACLDNLFFLFKMDISFWRAVLATAIHESAGTRFFNDWLQGKW SSQQFESTPVRDKNHHPYYAGAVKAREARSKAERRQSRLARVLKDDIGELRAWIRYAQ QKFIDVKKTMAAQREEIRIKTGPDFQELKEKTEWNAKRYLGADAFKQLGPEQVYGPYL SRMKLLYEQRSKKEEVLLQVQEHAAEDALKFHDQLLAALEAGEGFAQRMKIEAEDDVQ KAKDFLQSELHVAKAKFEKDKARRAEEKRRIAEQQQRLAEEQARLELEEKRAEDEFEE ELVDID CC84DRAFT_1227985 MLSYLIPSVLLAFARIAIADTCSSVEALRYINVTRSLDLAYIEE QTQYWSTSCSALLPSCIIFPKSAEEVSTVVKILANTTERFAVKSGGHNPNNGFSSVQG GPLIVTEHLDQANLNQATGVIDVGPGNRLDGIAAKLQGSGWTFVGGRIGNTGVGGLVL GGGLSYMSAQYGWAASQVLEYEIVFANGTIGHINKDNYPDLFKALKGGGNNFGIVTNY RLQGQRQGNIWGGNLVYLRTPEKDKKLLKAVRDFTEYNDDPKAAVIVTAERTNVNVVD SWIIFLFYDGPSPPAGKFDNFTDVNPLLDTTRTRTYADLMALSNWVVLKGEVVDIATE TIPIPSAEDEVKVMENLHNHWRNITDTTLLEVGIVASIAWQPFPKAIAREARARSPDL IDADDSVDRIIIEMNYAFTLQSSYDRMADTMEATYGGVRERVLGWQEDGTLPESYNPV FMNYGFFRQDYFGRLKPENRALARRVQEQVDPDGLFRARTGGWRP CC84DRAFT_1212545 MMIMRGTIIALSSALASAAQIRTRVIKAEQGGNIGGATFDYVIV GGGTGGLTIAARLAEVASVAVVEAGGYYEIEYGNASVVPLYSLTKIDVIDPSENFPHR PEIDWELTTVPQVNANNRTIHYAQGKTLGGSSALNTMSYVRSSAAAYERWANIVDDDS YKFDKLLPHFKKSVRLTPPNLQKRNALNATPEYDSSVYGNGGPLDVSWNNWVDPSITW LAKAMQAVGMNINPKGWSAGNLNGGSWVPSTIDPARATRESAETSFLQYALANTSLKV YSHSIVAKILFDGDSARGVKVATNGTTYELSARKEVIVSAGTFHSPQLLMVSGIGPKA TLDQLGIPVIADLPGVGQNLRDPISIGTSHLVNTISSQTITSNPITEPEALRQYEEEA AGPYSSAAGWIAYERLTSKLRSTLPQSTQEKLAALSPDSPELSFIAGAFALPNGTSQG AMSAIICNTFSKGSVSIRSANISDQPIIDLGWLSNPADTDVLIAGIKRLRQIWASETA RNITLGAEVRPGEGVCTDAELLEYVKANAQQIWHPSSTCSMGKNDDKNAVVDSEARVF GVKKLRVVDFSIVPLSIPGHPQATVYMLAEKIADAIKRG CC84DRAFT_1212546 MTENIKNAGCFLKPPLDSAESRFTYSPAWQDLARWGYQYCDATT LDEWYGEFRLNWGINKALQSNELSEVDIRSAPPTRVRDQLNQEDVVCDDGHWRDVEEL GEDQNAVFYVAHCNMNKRFSSPCEQSYVVDGKRYMYTGASCAVSIDPDQGVIISTDRM SPTHMVKCHDGLMAPPDLVHTSDIMFALWKDIAGADRIANFLSICIDNDISEHVIKEV MQGNMQSYPGLMFSTKDPEGQALLGTPTAVAIGYLLAQHTGAMGVRYVDSVRVFKSDS QSRSPCLMFYIFSDDDGQSEDEEEQEKKKMQLL CC84DRAFT_1160311 MASSTPFLTAIASRRSVYALSKETPIPNTRILELVTEALKHAPS PFNVRSTRAIVLFGAEHEALWEHAYKVTEESTPAAMGILGPKIKGFVAAKGTVLFFDD PSAYSLLPPRFQAHAKLYPDWEEHSSGMNQLIVWTALAAEGVGANLQHYQPGITPYLQ EKYRVDKSWRLKAQMVFGGVVGEVPGEKEKTHLEKSLMVYGQ CC84DRAFT_1080431 MPCRHHDVQVFDGLRCCLACGETVFDIKPDPEQELPRLQNEPYR YDSLDYSLGQEIRLCVLFAGRTQDDVVVDLVHVDIRDQPPYEAISYAWATETGDDRLS QKVYCRGGTISVTQTCEAALRRLRFSGRNRYLWVDAVCIDQSNVEERNHQVGFMGTIY AKASQVLIYLGPGNASTNRVIDLLKDETYAFPGARQGFRSSIEDFLGHRWFDRVWILQ EIALARLATMVAGERTVHWTFHTINRLLGLCASLSIEPPSALRWLPASQPEQDVLSVL HRCRNCSSTDPRDKVFAVLGLVQADFQNSFPIDYSLTAEEVYTQLLLQDFLNDLPKDQ EPLSSSAWLQSFDRWLDYTGKTFRARERRRSNVGKTHIESIALSLTQIKSPGFHSAII QSGTRLHIWDEHLFGTIPSPRIPCLRVRAHLLDIISKIIGVRSYHHIHHFDKNLPHIL NRRRLCASCSEHFVATPICQHTPTISQTMSEEFDRDMKRVLGDGKTMFETERSVGVAR ADVQMHDEIFALDGADVPFILRRVWQHYVLVGECFLYRALRQTLCTCCGYKTEEWPIV TQVIDIM CC84DRAFT_1160313 MNSSQLPLHHLPPQALQLHQIPPGLQPLEELSPQANDAFFQHRY AQQLQAQAQAQAANPHALHFHGLPQTAQPPFEGFAGGYARFDINAPNPAPPAPQHHIQ APPPPPRVQAAAHIQRPPPQLQELEHRGSFVQTQEQPVVQEPPADLDEAPVQNHGQIE GLRLIANPPDLDAWREKLFHVDDTITLTEEEFQTYFPHVDNVYSHRSTQRHKRRRFVS HYWDCRLKGRPPGTKKSTDPEKKKRKRVARERDLCDVKIKITEYFDGAELTEQTGLQP PAETHTAFGASGSGSQFFGQPHGGMSWPVTPTLNANGQPKKFYTLQRVNGNGGNGKGD GVAGPHKHTLEESDRVKKNSVQRWLMKNEREKKKAQGGDPTKKTYHKKATGNALVTVK NHSKEEDLKLFGSCFCPFVQRVWISLEHKKIPYQYIEVDPYKKPQSLLDVNPRGLVPA LRHGPTWSTHESTVIMEYLEDLQAGPALLPPHPQTRATCRLWADHVNRHIVPEFYRLI QAQEPQDQVKHAEELREQIGKLVDAADPEGPFFLGQDFGFVDAQIAPWVVRLRKVLGP YRGWPEPEEGSRWGAWVDAIEREPSVRATTSDDQLYLDSYERYAENRPGTSEVANAVN AGRGLP CC84DRAFT_179827 MSFPQPYPQAPSHANMSNNMADHHQRQNPSYPSPSHSYPSPQMP AYTYPPPQGQPGAEPYRSPQGTNMNLPPLNLPPIRVDGQQQQQHMPHQQHQQGPPMGS PLPQQHQGVPQYYAHPGHPPPGQMQMGSQHLAMRYQLPPQPGEQRILSGGRHKKEIKR RTKTGCLTCRKRRIKCDEAHPVCRNCQKSKRECMGYDPIFKQQPGPANLQPAPNTTQH QPSVPATSPPASASSQYSPQVPQGYAPASTVGYAPAASTASSTPSHHDNFNNPAIDPA LAATDPAMNGQPAYNGAHALQAGLRGSPYSSAAPEAPLLKGRPLRIEEIFSICGYNPP EVPPRHGGFPRELDDEFSFIFSKDYCMGLDAMLETNWFSSNNNAITRVLSDQSLHEEA HFFVETVRTKTGAADVSQIFSQETRLIWHLLGTCRIPSNGANDNVNNPEHDDLSLKEA RARYEVLEALLTNQTLEANPIRQLSYPGGLSEEENDKFVFWDSVGEFVVHASTDAAIE GNAEVALTRMRNVLKAREVRDALYSIALARQIGNRVRGFPDAVAHPNTHDPENDVNKL TVAMSFISHECRSGTQQVIARICDMACLSWRVSRTS CC84DRAFT_179841 MASTLNPPHQQLARQHPRYKHARNLTAIPVPPQASPRSPPSSHA ISTPRSKLRAFTSMANPPPEKTHARRNGKEVAAPARVGLLAVPQPGSENIRIDGSRAR HLCCAEGIKRRRARRGVRRWQRHGVQHGWRLWKRGQQGRLRMCVAARRARVFRRARTC GLCGMRGVGLCLCEGTALASGVVSAGTAGGATACRECRAVRASRVRFQTGWQTVPFGG LDWLALQTQAVRARWHWAEMAWYGQFCMGVNFMYMRWYAVHERFATHSADCGLRESTP QVSTWSI CC84DRAFT_1255211 MFSKALWASALVATTQAVTVKVASSGGNATSPYQYGIMFEDINN SGDGGVYAELIQNRAFQGNTIDPSNLNYWNALGGADLKLRNLSKPLSSALPTSMQLSA GNGNTRSSTIGFSNVGFWGFPVVSSWEYKGSFYVYGSLDTNITVCLTSLSNEAYAEAH VEVKSTSSEWTQYHYTFQPTIDAPNSNATLNFTFPVSDLSSPVNFNLLSLFPPTYKSR ENGLRVDLMEAMSDLKPKFFRAPGGNNVEGNSAPYWWNWTETIGPLTNRPGFPGTWGY ENTNGLGLIEYMLWAEDLKMEPVLAVWSGFWLSGQAVPEDELKTYVNSALDELEFLMG DVSTKWGAYRESLGYGPFQINFVEVGNEDSLNGGAPTYAAYRFNAFYDAIKAAYPHMT IIASFYDVDGATPPFDAAGDFHEYAIPNQMAKQFGKFDNYTSEHPLLLGEYAVIEYNQ PHTTGPQWTPGAPRAFTPFWYGSCAEAIFLLGAERNSDKIIGASYAPGFQNFNRWEWI PDLIEFDAYPGHTTLSTSYHMIKLLAGTLITENLPMTISDGGFGPAFFVAGRNSVTGS HIVKAVNYNSTGSTQFSFSFEGVGKGATGNLTYLTAPMNASNPIGGNVVQSHGSSVTA SHDGVFHFKLPEYSIAVLEIGAESAGEGYNYSDPGKRQGWKGWKSWGGPLGHHGN CC84DRAFT_1160318 MGTELGISSDELGQIAFQVCCTTAREASRTVSDVPLVFLLHGLG GTRLLHSALAQELASEGFAVLTMDHTFESIAVEFPDGSVVPGLNASDPTITDPERLDP LHQIRIDDVRFAVSRLASKEVAAKVAPDASCGFNTSRVVALGHSFGGSASIQLLMLDS RFVGGLNLDGNQHGNISDAQQPAVLVGAAGATAYPHNSTTDPSWAETLRHLKGWKAEI GVTDMAHMGFTDLAYLSGIGALTLEKEVADPLVGSLDGRRSFEIVSTYVKEFLGFVLD GKNTTLFDMPSPEFPEVVVV CC84DRAFT_1255213 MAPIAITPPAVEAKSQPAFPTSQAAPVDPSNIGTKRKIICFSDF DGTIFMQDTGHILFNAFGCGTERRQQLDEQIHSGERSFRDVSEEMWGSLDVPFDDGFE VMKKALEIDVDFKTFHQFCINNEIPFNVISAGLKPVLRRVLDHFLGEENSKHIDIVAN DATISSDGSQWKPVWLHDTELGHDKAKSINDFKDSARLESDDGTIPMIIFIGDGVSDL PAAREADVLFARRGLRLEEYCKENSLPYIPFDTFADIQREVIKIAKIDDEKTKGKGLP SNFNPRANMWRRASSKKNVPLFAAMTPKEEKVFIWPETFSELKPVAENVPVAA CC84DRAFT_180025 MKVEWGAQLACLRSSLAGYVSVLAFNGNSIVPTPVSSEHQCHRE DVYESIRCTGSVASVGRLLKRVPCTYTLTRTQ CC84DRAFT_1171706 MVGGGEDVVDLGRRETLQCCAAISQLSWTLALAVRPNCGITTAP PSHWTDFPCIDHLLSKALGKMRSRASVAGLLRTGPSLMVDVTARRGAMGVLETEANRR SLDTEGDKQTVGNEKQTLEKEDVPNGRIGGEEEAEAETEATPTAKRSEEREATAETGT AQGEKSPAQAEADEVPEEPEDESKYLSGFKLGVLSLGLCLTTFVIALDNTIIATAIPK ITTVFNSLNDVGWYGSSYLLTTCSLQPSFGKIYTYFDVKYTYLFALVLFEIGSIICAA ATSSPMFIVGRAIAGAGAAALFSGGMTIIGYSVPLRKRAIYIAALSSMFGIASVVGPL LGGALTDKVSWRWCFWINLPFGGISLAVVFFFFSNPERQYSHMSFKQRIKEVDIIGAI FLICAIVCLLLALQWGGLVYPWKNSKVWGNLLGFGLIISVFIGIQIWQKDRATIPLRV MKQQTVLVSCAFTAFLSMAMYTHIFYLPFYFQASKGTTAEGSGIRTIAYLCSLTLSSI ISGGLITVVGWYAPFMWAGSALFAVGSGMLYTLKINSTASQWIGYQVITGFGAGAAVQ IPFVAVQVVTTTKDMPVANALVMFFNSLGGAIAISIAQNIFINSLAKEIPRYAPEVDP RIVINAGATYVRQVVPVEYLQGVLEAYTRAITTALVLSIATACIAALVSFGMEWRSIK GKKIIPAGGG CC84DRAFT_1160322 MKPLSIAALLTAGVGLVTATTSPSSNVLDDIIARGYLRVGTTGD YAPFTHVTKLTNSTTGTDLIGADIDMAESLSSALGLTSPPIFVKTVWANLATNISTGA FDIGMGGISITLARALKAFFSTPVLRVGKVACVPCSRVSEFSSLAAIDRAGIKVATPA GGSNEAFDRANFQTAEIVVYPVNSDIFRALLNETADVVVTDRVEAELWAGLYPDVLCA VHAETPYSFEELGYIMPRDVVWQNFVNEWLRIQQGSGAWNSTMAAWMKYDWGL CC84DRAFT_1077344 MCFYHAYAHRCGHTEMIFIQMCAAAQMIQRKCPRGQEGVILTTV KVEHPCSGCPKKVSLISARDDAAEADWV CC84DRAFT_1171708 MLVFESYARRRSARHTQPGNSAIAARRSRARPCLEPQRGLLRLA TAGLVLRTSASHAAGARSDNAATALRWLTCQAATSPLTVSVSCIPACPQKVQEWGVVA SWHGGDEARCQLLQIAAHGAILPRLASTQWQASSTPIRTTATQPCNARLSVFLFPRAS HCSGSHQKCASTSSSGERSHVIGQATAPRARKHTLGSIFRQTKGCCCRSAHGQLASRK KTPLTCGYVILLQLPARQLNSCGTERLSRTPSLSMTYPKIFPLAEQQFGLEVVATGLT SGQFHALLTFIGGAVVTRRQDAHAECSVACRR CC84DRAFT_180038 MATLDFDQKFLGRIAKQTADSHPLLSASLYQILGLSVLLARRLV RARKLRKLDTSRDTPSLALYQHILWLSREGLSILELCVLPYAQDNQHGPECRVLSVKL RASFYHIFCLFHNQPPVTQTNMTTTDPRTLGVPSLPLSERNGNAQRPSDASNLSPSSK RAGKQPMLREPIDSIVSETSFVTNPYATGGPVGTPSPAPPGAPPGFHHVPIPQPSSFI LPPLNFVPLAGGYFTTASGYATQYLPGSHPLRLSVALEHSAFLWDCVHDHEESRRIAR RAIKDVYRAQEAMDDSEFEDAAELVGILGRMMKRKSWEATPRVGGMATPEPASAVTPG GAQPRGDGVQRSDRSNLPSQGSPQTTRRPVDAPSTAHPVTEVPPAPVRSRSNSATKTS RRKEGGSHEGTPRSDAQRFTGGTSQNTTPRTKHISVDSGASTTPRAPQGGRSPATPST MRTVKQHGSGSAKTTPVIGKSAPISPSAAAVRASDAVRTSPLHRSPPLRRSPRGSPVQ EYSYSRPDR CC84DRAFT_1160326 MESSRGPPRVKNKAAAPIQISAEQLLREAVDRQDEKLKAPTQRF ADMEELHEFQGRKRKEFEDYVRRNRINMNNWMRYAQWELEQKEFRRARSIFERALDVD STSVALWIRYCEAEMRNRNINHARNVFDRAVTILPRVDKLWYKYVYMEETLGNIEGAR SVFERWMQWEPEEAAWSAYIKLEKRHGEFEKARAIFERFTVVHPEPKNWIKWAKFEEE NGTSDLVRDVFGTAVETLGDEFMDEKLFMAYARFEAKLKEFERARAIYKFALDRMPRS KAATLHKAFTQFEKQYGDRDGIEDVILSKRRVHYEEQIKENPKNYDAWIDFARLEEAS GNTDRVRDVYERAIAQIPPTQEKRHWRRYIYLWLFYAIFEETVSRDVERTRQIYTECI KLLPHKRFTFAKVWLMAAHFELRQNALPKARKLLGQAIGMCPKDKLFKGYIELEMKLF EFGRCRTLYAKYIEFNGANTSTWIKFAELERGLDDLARARAIFELAVDEPLLDMPELL WKAYIDFEEGEAEYDRTRALYKRLLEKTDHVKVWTSWAQFELSVPDADAPPPEDDAPP SPTAIARARAVFDAAHTRLKERELKEERVALLAAWKSFEDEVGTPDDRARIEKQMPRK VKKRRKLDDDSFEEYVDYVFPADDQSAANMARLLANAARWKKEKEAQAQAQGQTAEE CC84DRAFT_1110172 MATLEQELLADFADVGSDEEQVDMGGDFGDDLADLENDFGGGAS ADEDGDENMADEEAEYAAKQKKQKGPADIRGAANLIAKLKPILEQIEAVKDKMEEVMD GESIEDNPEYQLLKDANENSTQIDDEIMLVHKFIRDHYSLRFPYLEELIKNPIDYAKT VAIIKNGPLDNIKEIAQSTDNLVGVPLKTILEGPQLMVVTVEASRAAGRDLNDAELQA ILDACMMLLHLDKAKGILIEYVQSRMAAFAPNLTILIGSLTAAQLINYAGGLAGLAKT PACNIPPLGSNKATGLGFATNVGIRHQGFLYHSPLLQTVRQDLKKQAMRIVSGKLILA ARVDMVHQSPDGSTGRELKDECERRLDKLTEPPKNQGVRALPAPDDKPARKRGGRRAR KAKEATAMTEIRKAQNRMAFGKEEKEVGYGAGDSTKGMGMIGATDTGRLRAHQIDPKT RAKLSKKNPGWGGDSSLNGANTSLRGFGAGGTATSLRASGLRTGGVGLPGAGTSSIAF TPVQGLELVDPKIREEMNRKRKAEEDRWFKGGTFTQLNGTSTAKVDSGGFKVPALPVK KQKQG CC84DRAFT_1160328 MADALKDSPIKAVQVEALVVMKLVNHAAKTFPTVATGSLVGMES LDQPGLLEVTSCFPFPNVDVPASDDHRDNHNHKDLAAAAPRAKANLAYQNEMIKFLRE VNVDANNIGWYTSTSMGNFINLNTIENQFFYQKDPNEKAIALVHDVSRSSQGSLSLRA YRLSPAFVAAYKEGKFTTDNLQKSGLRYHDILVEIPVTIHNSHLLTSLLHQLPSDAPK EELSFPPNLAALLQDPNTPEPPFFPNYDSLDLAIDPFLEKTCDLLLESIENHHTELNN HQYYQRSLAREQAKITAWQQKRKAENAARTASKQPLLPEDEWQRLFKLPQEPSRLETL LNSRQVEQYARQVDGFTAGVTTKMFAVKNNLLPGES CC84DRAFT_180063 MWHTPILLSLFAATLFGAKADDLNVERITAQRSLAAPDHLVDLG YALYKGYHDAGTDLNVWLGIRYAAPPRGALRWKAPQTPETDRSVQDASEYGSVCFQSY PAIAGAPPMPEGDEDCLFLNVYAPSGPRAKKLPVLMWIHGGGYGWGDGRTDMSAMIND NDNAFIGVSIQYRLGPYGFLSSSEVNAKGVPNAGLLDIAFALEWIEKHIHRFGGDNTR ITVSGESAGAGAVLLLSLAPSLKSKFQSIAASPYLPGQHAYDGDVPTQKYYSFAAQAG CGSSGPVLDCLRAKDAAVLQLANFNVTTSGKVYRTWAFDPVTDGTFTTGLPSKMLSSS RTKLNGRAILVGTNANEGALFVPQDEISTLEDLKAWLALKYPFFSAQDVEKVLAMYPN TDAPDDPSAAKFATNGLTGAANTNMSQVATGHLQRANAIIGEATFICPSYWLSTAYTA SSRPSFHYQYSVPFAAHLEDVYAYFGPNQPQQPPSFSRAFRNIWGNFVTRGDPSVAGE PGLDSWPRWKEGREAQMVNLNTTGGTAYTATTQFGVQVTQFEEPGVRNQFGVVGAWGW EGGRGERCEVWRELVGSVPT CC84DRAFT_1160330 MWTRNAACLRSCCSALARGGRARRADEPRRRVRDAIASSHAVLR RHAHAHHGPLKAEGAIGDAEFLGALRQVLPVEKLQPTSAPVGLAVSGGVDSMAIATLY SRCKQSHRLPDLHGIIVDHKARPGSGQEAQWVAEQLATLGVKSTIIPMVWPEGFDLHT STRFEAEARKRRYEALGKTCRDKGIGSLIMGQHKDDQAETLLMRLSSNRHRSGLAATT RIGWIPECFGVHGVYHSGSPASDKSSVAPFFEHGGMQVLRPMLDFEKSRLIATCEMHS TRWAEDATNHDRTLTARNAVRYILRNHKLPEALSKDSVVSVGQSVQSRLEKHKAAAAA LYSSCDFKLDIQTGSLVVRFPPVEAFFPDSDPDHVPSPSEKLQARATAQYLVEAFLRL ISPREHLILETIANSVTWFWPSLHPDNLPPRTCQYNSTNDCLFSHVSHVSQNQDDPST KHLGPWCVSRQPPSGPNFANIREVFPPSQTSDWRLFDGRYWIRVHNHTTRPITLRFLK DTKLEALMRLDPTVKGYRNLLDSGFDARASDRRRHLRAALEAIKPHRLRRHLPALFLE PELEGGDPELLALPTLQASPDPNDPSDHWECRWEIRYKKVDPGAGRCLDDIATRPVFH PLESDPLTLEQEATRAQLDALGLLNSIPEPRDLAQEKRDKKKRYRERKKLHREQGKKD NEHATHQQLAHDQGVLLTQDMREMLHMSTAEEKGKGKSKSIAWGADVGLVKDRDENGE HIVRWKGLPPPVAVKRLVPTKVWRRTWCSD CC84DRAFT_1160332 MSAIKSIRSIAPLLDRILVQRIKPEAKTATGIFLPDSAVKELNE AKVLAVGPGAYDKEGKRVAVSVQPGDKVLIPQFGGSPLKVGEEEYSLFRDHELLAKIN E CC84DRAFT_1136442 MDGLSGAASVIAVIDISAKVASLCFQYSVAAKDAKRDIERLQQK ATNIKNVLEELQQLVDKQGSQLPSTHKLLELLKECHQQLQDLEGRLQANLEPSGRRKA MSKLGFRALKWPFTSKEVEKAVQYLGNYRNTFSLALQVDQTALILRVDQKTDTLSLPT AKGASFDSHLEEHNSTCLPNTRTELLRHIQDWANDENGKAIFWLNGAAGTGKSTIART VARTFADQQRLGASFFFKRGEGERGNATRFFTTIATQLAHHVSGLEPGIKKAIEADPA ISEKTLKDQFEKLILHPLSDMAHPPALKLLVVIDALDECETDNNIRVILQLLSQTRNL KSVSLRVFVTSRPELHIRLGFKQLPNGTFEDFILHEVAKQTIQHDIHVYIEIELTKIR EERCLPLEWPGEKRIQTLVNMAVPLFIFAATICRFVGELTGNPRRRLEDILSYETEDV SKLGMTYLPILESLFATQDKKEKMKLSREFQDIVGSIVVLESPLSITSLAHLLGKAKD DVNCRLDSLHSVLNIPNHDDAPVRPLHLSFRDFLVDMSNQKSLFWVDERARHKELASY CLQLMSKPNGLRQNMCDLQPGTLRSEVDEERIIRNLSPELQYACRYWVYHLKQSQRLI NDNATADTFLRKHFLHWLEAMSLLGETSKCVSLLETLSTLVEASNGALSALLHDARRF TLRFRHILQDAPLQIYSSALIFAPEASIVRKAFVDEMPEWIELLSKREEDWNACRSVL EGHTSGVNAVAFSPDGQLVASASDDNTVRVWEAATGSCRSVLEGHTDGVTAIAFSPDG QLVASASWDKTVRVWEAATGSCRSVLEGHTSYVNAVAFSPDGQLVASASDDKTVRVWE AATGSCCSVLEDHTSFVEAIAFSPDNQLVASASWDKTVRVWEAATGSCRSVLEAHTDG VKAVAFSPDGQYIQTNCGDICLHSPTTPLLLSQRVQPSQIFVQDQWISLHQQQMLWLP SEYRPTCAVVHRSVVFLGHSSGCSTFLKFDAQV CC84DRAFT_1160335 MRYQELEEARAKRETKDQAAAAKGKRDRKHIETEEDAGSSVPKS NAVVPKGTQLANTREVSWRAPVAKMY CC84DRAFT_180496 MATYNSNKHHRSILLPPGLAIYPPGEKEQDHTMEASWAQVLDDI QGSPGYQLLFGPGGAATRPPRPNSGNPSTSAPASHQFGTEHSDIPATSSPTGIQVAPE RSVSPASSHDSDATIKPGGVLESGGIARTPTRAEPQLDMSTSQVEKTDRSSVPQLSAY GPAFEPAAHPASFATQGLPRTRKEDRIASHAAVSTPRWYAGALRSKPENHFEPSPSYA VGVSEMGSPLKNSPVGDTMCRFHLASPAQSVAQTTLPTRGPIPIHQRRASSKFAFVPV LPSPLGPGHYTDDLGSDGSGLVTPAPKTAPLPTTRSAGRGNITATAPAPQAAVPLVWA QSTPGPGMYAPFDTRSASDPFVERSVPGPSTSAGFAPLQRSVPGPSTPAGFATLQRNI PAPNSPAGFTPLRSKAITATTPYFARPLAHTDALRHPVTGLYPHQTPVSIPPPSFAAN LGVTAPQPSSMRLAARKEWFDRNTARMVATSRAFALAKEKYEASGHPSDYTAMLAAQQ AMSDAWDGDRLQEERRNLTMPEGMRAMRVEHARDGMGGEKGRLLGGGMAVLERICAEV RGREGQYEMRAEELSKEEKRAVRQAAMEDVKRGVERMAGRE CC84DRAFT_1183574 MSGNTNSDILLAGAVAAFTVDLLVYPLDTLKTRLQSPNYAKLYT NAATQKPNAAMFRGLYQGVGSVIIATLPSSGAFFTTYERTKVFFNHINPPTSSHPSGF IPTPIVHAGASSIAELVSCAILTPAEVIKQNAQMVSAGSKTNATVQTLAKFKSNPLAL WRGYTALAGRNLPFTALQFPLFEKMKETIKDYRDRKGVRTGTIAESAWITAVSAGAAG AVAAVVTTPIDVVKTRIMLSAVDDAAHEVSSQQKNAKASSQGLVDALGKTVQSSKDTA KSAVKNLNPLISPEQKGKESAWKIGQEIAHEKGFKGLWRGGALRGVWTFIGSGLYLGV YESGRVYLAGRRGEEVDEADLT CC84DRAFT_1078602 MGKDYTTVAKLEDKDWGLGDNAYAAIFDVYHQLHCLNSLRKVVY GGYYNMSQARADKVMQRELHMNHCFDILLQSIQCSGNLDMIPLVWMYTQAYPFADMSI NKKCHNFDKFTDWRRENSIDMDKYVEVMKQPEGDKPGIKQLPAADKYYEYWGYDNPNH KPVSEGGLGLPLDQDSNL CC84DRAFT_1255228 MRLPNLTAIVMLGLGLADALAIPDSSANSGSALELFNRAAPACK IGDKACGAGGCPSMKSTLKQTCKAAKKKRPGKVGRALDVADELWDRATNGGKKSKQCP TDKPKKPTKKKPKTKKPKTKKPKTKRPSKVRTRPSRAKKPKACKGRRCRREDEAELLE SPTYFHVKDSRDVVNDGVEKLNGFGEELSDEFEGLFGLVKRAGAFIEKRMPQGVKMDE GKGRKWKEGNTMSTTGISACSVLVVYSNVEIAMAHIPPGRLTNGVYTPGEEVTAEHLR KLDGEFSFFSGGAKAIFYYNSQLDPDRVQQVENWLTEKGITASERDIKDHVGGFGGSG HFEVTHRGNGKKATVSFG CC84DRAFT_1255229 MSASTETVEEAATYLARLGYENKPISEFQSFFARWKDPLNASRL RPDALKEFNEGPALRDVVENNRDDLVQLMLPLGFEISNSVMSASLRQTRETRRTEILD KLFDNGWDINRPVNDNCPPAISLLLEHVDLVKHCLSLGASPNANSPSGHTVMQRAVAY APLEVTKLLVAHGATIEGTNLLAHAACACAQAEATTGSQRPHFEDRRETIHYLLDQGA PIDADYSATLDANMQTGDGILFGTMTALHFAIAGNQADLVQLLLERGARTDLKGWSAW KTEGQQVNSVELARMCGFEDIAVMIEDWATTRACP CC84DRAFT_1160341 MGIQAKYVLLIALAVLVLLPAKAHAFGAGNIASISAVEGKNWRH GDIEDMLATVACLKGHKWKSMMIKRVYFGNWLRDYSQAVDTATLSKVQGDTIRVLVWI LSFMSFGYATGEFEVTADRLGVYRPEEHIDNPKDYADNQDARKFDPRLRGPVTDIELA VDPETGMKNYIANERGNWATSAGYVKFSFSRAIHYGRMYTHGPDGERGNEANLAEALR CLGQGLHCLEDFGAHTNYTELALRELGYSSVFAHTGTQTQINLHGKHVYPLVTGTFGG VDFFHSVLGEATDHITQSEVDEMNTTLSDALTSNTKGSGGSCSALTDVLGKVPGTSGL IKEAQQLQAQSDAQAAAISGHGYRSGGYDDYSSSRAGGPGQGAPTFDAPPGSVGGPPG PNIPGTNTDPATIIPKIYPILEFRDKVVRNISAIIEKIPGLEKLIETITERVTLFVLS LLAPFVMPIIKQASESLKQGSSTVIDSAAKHQFEVWTNPHSSDPTHSMLSKDHFSNVL NAPAGQIAVAILQFVAPRVVYAFDHPDVPVDQVLNDCMQVFHHPAIRNHNSEIHRNMF SVVEKWAQSHRGGDLNHILSSESVRAGKNHSGGNDHSHGAGHGAAGVSSFTSHGHGGG HAQQQSHSSGYGQQSHASSSSGGGLLGSLAGNLPGGLANKLSPALNMFGGSGKREVDD FDASAPQSSYDHSQSAYQPSPSPGPTHDWGSFQPYQSSYAGGQSHGVPEYNGAPPGGD VGGWGAQGQYHGGQGAEPSYTVPTAYQQGYEGYDAPQQQGGYGGAYGQHDQGQSEGGG RQWGAGGGNNLY CC84DRAFT_1079430 MPADPKVKQQGLSRELSEGPQDPGMFEDIPRVREIAGDLGSQRV KDKVIIITGCNSPIGIGRASAHQFARNGAKAVYICDFASTHLDTHKRELGALYPAVDI HTRVFDAADEAAVKHVCQEALDTYGRLDVFFANAGISSAKVFWEEDTQGFMNMMRVNT LSVFLAAKHASRAMLKTSTHKPYPQGAIIATASVAGLRSNAGPTDYSASKAAVVSLMQ TCAFQLAGTGIRCNAVCPGLIETGMTKTTYDAARARGSTGKIGQLNPLRRGGVADEIA RAVLFLGSDEASYVNGQAWAVDGGLSAGHPFVPGKLA CC84DRAFT_1171724 MDEDEDLSHLRNPNPDPAADEDPEEETLDYGAAFAKIANSDNAL HLPKRGEKDFESHETNLQLSKLDASRLAMHNVLSWQRTHTQKGHIPAMYDPDTNMAYV PKPKSSMFLTMGRSKGGKEWLLPEEALFLIERGSVDCRWPVKDAENGGNMLEGAPMSL QAAYAAFLGYEAGVGGKLTMEMYTVYAGLKRSGYVVFRHGSWDDARAPVQPLTPNSPD QNASKAGYWSSFWSDVWRRISQPSHTASPESLAYGPLVRPGLYRSYADIYRALALIPL HDRTQPPAFALPPNPNNPFRIHFDVWKTSGSQRFRKSARPPPDFRICVIDARSTSVPT AAELNDLLATVPDDGPKENVHIMQKLKHGSRNVLLAVVDNGIPSYIRVADVDFGREKV YERTAPRGGKGGRGRGGRGRGRGRGR CC84DRAFT_180582 MEATMCSVSFMASLDMGWAPSSDLTRGTTLCSCWMFTLVEARIP FFVNAAAARDTSPPLAQPWTPAIQRRE CC84DRAFT_1136460 MSPPLPAPRHGTSPPASVRSNAPRTPGRHEDPTRSSPTRDEVTP KSLAQTGRSKTAPLDLNHLWTPSIDQPQLSERDSIFATTYLPSDSPAPSPRTPARTEH ANYNGLDPGPSNTAVNAPAPPPLTAAPAARQHQPHQTPSTLEEPQSLLSARFSSSKKH RSDMAPDGTGESPPPFMSPVDSPVHRPATPPGTVQTTGSLDDALAESGYTAERLKNRI WRVGNVEPYLPHGGHVHRREGHVEKKIEATLAKTEVPAAARSRKASHYLRVFKENDAA EEQKKREGRGKDRRQAEKLPPTVQEEDVARTPASALTEQIQRASRASSTAQSPYNGPT KSYFNSTTYDSHDDGSIQFSVDGAGQAQELPTRLLEEIRNFHNLTPGGPRGSSFSKSL PTAAAEKLRSHVAKARTPHPREPSDYFQTSREGSPDRSPGSEEDESEKEHISSALYFP HRQLKSPEPIPQEEETRKAEVHSTRRLSTVNAGKGPMGGTTEEAVKTPQEVEISLQSQ DTNECLHGDIRTTSSQRQDDSTSLASATTDATTSAESEYDSLAESSHSLNDYDSSATD DLGTTPTATPRKHKQEPVAPAQPPAPLGAVELKPFNHQVGGHTTVYRFSRRAVCKQLN NRENEFYETVERQHPELLDFLPRYIGVLNVTYRKAPKRKKTKDKTKGDAVAQANADVS AKQDSGEHGLPKPKEDQPRIVSHSQQTTAVPQVVFENNRHIIPENLFRLPPRRSATPD PWIGSSYSQYHRRNQSDIGFSAVDDSPNRPPLRQQSSWGVTTINRKLQEEVLRQVFAP PPIHHRHRHHHHNSLPHRSRVDPHAMMNSVPSLRRNSADISEISMHEESTRKQVLKAE AHRHMARPASGSLPRDSPYPSHESLETPPTPTSSRRRHSGGGLTRRPCGVDTDHRAPL EYYEEDGYGGDGEEEVFAMDEDARLQQAKAKYEQMHIGDASAHQGTAPEATMLPAPVL KERAPLALEEPPANPKAAQQQPDERVQHFLLLEDLTAGMSKPCVLDLKMGTRQYGIEA DDKKQRSQRRKCQMTTSRELGVRVCGMQIWNVKTQSYVFEDKYYGRDLKAGKEFQDAL KRFFWDGSGHKAALKQIPIILEKISALERIIRGLPGYRFYASSLLMLYDRGEGEQKEK EPPSLPNGLSSSSNEDVTAISPNPAPTSNPSSRSTSDIKLKIVDFANCVTAEDSLPDT VPCPPHDPEGVDRGYLRGLRSLRLYFQRIWRDVNEKDWVERGEGEGMARDDHHDGPGM GVSGPGWLDEAVNHDTGYVSF CC84DRAFT_180586 MPRVHAQLRHVTDTDPVSIQPEDIVEPEIEEDEDAGRRARKRRR IETIANQYIKLGRVPLIVSAGLRGPFDNGWKNPWVTAPSRPTNETVQSDAQRTNAQED KSANPARTRTRRAKSNNLPPVSSVPSPEASRAPDVAQDSVLPPEDLPDAYYGPPDALA AQQDDAGATEFFSADPDPSVTFDNIANNPFWLKRPQFKPAAFRESINGGHDPSPTRAR LGHRPVDRNGRLLLVTPRQPVSMLQSDPGANPSPEPDWMSAASASMIITSPANLTNII QENMSSVPSTRRKRTYSKFERNNTKGDQSATRAAADMSPPKQITRRNASMEPSPQGYG DAGGRSAPDTNGSMAHDTSGVTPPNGPLQGAKSSQARAKTLRASQGQKGNAQQTSLSA PRTEKRNPNNDVHDSVEPQLPTSSRRSARTSLKKKKHVEGATPSLRHDHITSPTLAAS TGFKYRKVGEVKRAKTTDKRKPRPGSLSSPAAVSQLPAETVEHETEPLDEATEQEAVA TAESRTSRPDIWEVPGSPPQQEQQSYRSSRTSGFSTQAALMMAQMEFQDGTMPTITED APTPWLASTANEDPIVPSPAFTPFHKFNATLEEHYAPEPTMPNMPISTQDLFETMSPF ANSTVKKPARAPASNLRFSVFANREQDSPSHGDARNRDRSPTSQQRKPLREKNSRVSF LGSQSDKGSQSERASQESVTPRPSKAPVVQAVGLPQLDFCTSNGELDFTDRFLINMNE MT CC84DRAFT_1201938 MSQPWLEDLSEEWIAQPIPSSAPEAHDGPSPQTSQRVSAKLQSR LPRMRSSSGSFSEIRVRNVANDLRPPKRRSALVERSLSDNNIPSADASYIASVRGASR SFSAASLDSFACEGTVAQKPVLKSSSNDKSSNDTPEWRRRLLEGKIGYGDQKDLFSPM GLENIFQKPNGPASEEKMPKRRGGMLKGLSAMPSSPPPWSAQEEDSVQDSSAQDGSVI QHAGPARNGACEAPAQERSDSIVEDSDLKGIELSADSLQFEQGPPRTVSGQIEYENEN FSPVYLSQHFGVSAPRDPIPNFRGSELANRLRHLGSPPEQHNLSVPEEESIMQSHQDS SLSRIQDDSLPEDLPAGTPSIADITDGVGRFVEMKRGGYSRDGSFRRRPLSPSPERTK KQSGLRTETIPTVSVSATAAPECAIEEDAVSPKTPRRNAQSNNLSPERAKGSGSPLKL FDAHDTFTSNRLQRRLSQLEYKPDKLDGSVTSSVRTNKIEVTKVHKEVRLTSVEEVSM QNDGTSPAYPQRRQVSTFGEGDLNQYQFSGDFSIMSSNDGEDSAPDESPSMDVAPPGS RPALRFEYNASPISRAPSQARRPVSTRVASHYRVPSRSIPQIVRQTSSSTSPEDFEEV SHEYAEGKRGPTSPFKNPTPKRRRTIQKDDEDFIPAIGLQPKPDSRAATPSLSGRKRK DARHDTSNNVADPEILARRHILRPRNPTPSQRRLDELQADILEATEAFIHSSPKMNTI REHIEPMNEEDSLADEDRAAIVAEEVAAFSLERQQGMRDESRKRSVTTQDFLAEAVKI MDFIRAKGKPANGLGSLEESESENPAAFDKPDYPSSSFTFDRPPSREGRESAWKDPNK PELDSQKMSHLRKYQEKESDEFAGSSFRSFRVSRMRGVSGQEENSVIVEQDGIRITDN LNRNMDPTPESNHANSQGRTNHTMMSSMGNTVATSTSRKSDHVNTLAPQAVAHLIPQE VAGMSFDPEKNIWVRQKSPSREHPFVEEHSGTNESEDDPFGNIPDLTVDETAERMMDK PSPVRPQATAETFLEDTEETPRAFDDKARPVTRDGAATVMTDSSSAPSKVSNFQWSFP KTETRATSYSDQETVKGGTQKIHQLPPMTYTIPESDEDDIEHEIKYFEGRAAQSSARD ARVRNVTISIAEQNFNDLRRHADNTASPPKQSARTWSAVNHRQQSSPPKTFWRSKGVH TLPHRPTPNAIFEDADEFPILDERPAKNYRMQLSMSVSAPVLSKNDALMPAPSSPMKG DVTFMLSDLPEFTLNQVDECEIPDRVVIKHDGYKFSKALEDRYALGTADLVKALQDVE PNEPYWEDLREVDLHGKRLENLHRLDDFCYRLEELNVSDNKINQVKGIPYTMRRLWAQ NNSLTGLTSWVDLSNLQHLDISGNDIDSLDGLSDLVHLRTLKVDDNKIQSLHGISYLD GLMELSVARNEIKFVDFAQANLKSLTDLNLRGNKLEEVRNVHRLPQLQHLDLDDNSIA EFPIFDETYECCKALRSLRICGNGMTLLDVNNYFPRLESLYADRNSLTNVDGLEDLHH LRTFSARDQSPRADPNAVTCVGNFLRNPDVRNLYISLNMTQGFGLSQHLLNLQRLELA SMGLKELPDDFGQLTPNLRSVNLNFNSIKDLRPLLNIKRLNELLVAGNKLARLRTNAM VLGKLTTLTKLDWRDNPLTVRFYAPASENRIMSLRRKPSDEQTTDRFVLPSGDVKEDD QYLSRLDYETRIRRRVTEMMLANFCRNLRDLDGLPFEKARVLVKDDVWERLLYLGVIQ RKQPDTTDEITD CC84DRAFT_1136464 MSSSSEADRAIQLAQLARACINSGKLSDAARQLREATTIAPEHP LVKQTWVALKEEEEKSELLGVCKAWVKSRDEADGDEALKLIRAKGLGEKEAEQAMEIL YNFKGEEDLLDQVTGELLMHVGAQRWLAAFVKEHPTQAYYELFERGDDSIDGLLKVLL NRAIWESDEAFVQGHRDVFMLSLAMMMEEALEHPERAMKGVAQLLAHHAQDLHGIIDA DSFDVILASLDIRLPNSLRSQATLATIKLFELAPTTAQDLVSKFVINRVQKSIADELV IAFSAAAAIFPITVQAAAQLFLSEGFVSTLVPLVEGKNSTKLEQSALELISAACIDKN CREAINRHCRSWLEDHVASCPDKKRANLAALVLVKLGEEQVTADGPQIVTPGKVDQED LIASFKSMVISSDAAGKQDSIEGLAYASLQPKVREDLSRSPKFLKRLIDSMKDASTSP NIVFGGLNIFVNLTAYPPIQSEEEKRMAQLKAYANVQKPSAPDPLLDEAHTTVRCKRL LEAGIVPLLVGISKKASPAALSHTTSILLSLSKDAKSRPTLAQQGAIKLLVQIYDTIT SSPHSDKYPPTAAPQAAQALSRILISTNPLHTFTQAFPSHSVLRPLISLLSQSESSTW QLHAFESLLALTNLASMDTPTQDAIIRAAFDTVVDDLLLSPNAMLRRASTELLCNLMA SPVCAEKFADGSPRAGKRLHLLCALTDVEDAPTRSAAGGALAMLLSIDLAVLELLKLE RGVDFLLGLCRDESEDIRHRGVTCVREVVDIGPKGIDAVKAKGGEGALKALLKESRSQ VVLGLGVETLKILLGQT CC84DRAFT_1136467 MADQQPAEQSAAAPAAQSAAENLHKDPVTGEMISKSELKRRQKQ RETEEKKKAKAAKQATLPVQPKKEKAAAAGAGEEELNPNQYFEIRSRAVNQLRQTKQP NPYPHKFHVNYKLPQYVEDFKHLTRGQVEEDKEIRVGVRIMSMRVSSTSLRFYVCKAE GVTLQIMCQKENASESAPWDQQPHELLRRGDWVGVVGFPGRTAPKKGGDGELSIFAKE ILLLSPCLRQLPTEYYGFKDQEQRYRQRFLDLAMNDATRETLITRTKIVKYIRNYFDT RDFLEVQTPMMNKIAGGATARPFKTFHNELGMELYMRIAPELYLKELVVGGLERVYEI GRQFRNEGIDLTHNPEFTTCEFYQAFADMYDTMDMTEELVSELVYSIKGSYKTQYHTQ SGEVFEVNWERPWRRVEMIPELEKATGEKFPPADTLHTDEANQFLRNVLKKMNVECSP PLTASRMIDKLVGEFIEETAISPTFIMGHPEIMSPLAKYHRSIPGLCERFEAFVCKKE ICNAYTELNDPFDQRMRFEEQASQKAQGDDEAQLIDETFCQALEYGLPPTGGWGMGID RLVMFLTDHYSIKEVLTFPFMKDVEEQKKTAAEVVGIEPKPEEGIPHK CC84DRAFT_180630 MDGTLKTFCLRNHCVVFLLVLPETPRPKQATGSNGFGFEEARLE TLLKNSREGGIRYASLSHTLVVEKRGPQRAMHSMTCMRSNEREIRGLVFFSFFTFLLV SSYEPHSRI CC84DRAFT_1136472 MSYKRPRATSPSGAQKRPRGAGATPSTITLGTELPPDEDGDDGT FVPVWKQTVTDERGRRRLHGAFTGGFSAGYFNTVGSKEGWTPKAFVSSRSNRNKDTTQ RAEDFMDEEDKAAAAESRELETAQSFATIGRVGDSQNDALFGLFVTEEETMGVKIAQK MGWRRDQGVGRKVRRPACSDDAAGATAHTFAPKDSRMMSAAREEVNRKGLGYQSEARL GATEEREPEDRPMLALPWLEGPSKTKAPKKAAPKKSSFGVGVLNDTGSDDEDPYELGP RIKFNKSLGKDKKPKKPSKFAKAGVEGIVFKPKKASGETSARPQLSHDGKPPLPGFVL ATHIIELTIKKRYPPPKIPSGWISSKSSAEPANTKSRQSISDAARSSTLDAKARASLL GEKLLPGKSVFDFIPKDVRDRLATMTGKVNLPQGRGEGAPEGFQDPNSTKPKDLWTFV PILDKGTAAAALAKGATGWMPYAEDPNKRARYVSFLELRAGLKADLPERAEKASVSEW AKELQEFAHAAEVFKPTTGLMASRFTSSTSTSLPGSGSGSSTPNENLLRKPAPRPQDP AEQAAKLGMYGPMTRSTFPFYPSRLLCKRFNVKPPPDMPPADADVDGASFGGRTEEAV SKSAMDKMVHEVLSKGAPALQRPAWMGATPEESPKASGASPVAPEGGHATVDVERNDA LTQERAPEDVFKAIFGDDDGDDD CC84DRAFT_1110214 MSAAAPSSPAVVRSQSSARRPQAANSPSDRPHRSQSTTTRSSGH SVPHSHTRAPPQQANLANVGRRDFEQSNLANGPAPRRSESRDRTAPTPTRAESTRRGH QRYASDASTASAMPINGVATDASRSGTQTSQPRRRTSITAPNTGQWILGKTIGAGSMG KVKLAKQAESGESVAVKIVPRQSTDQHSSQADRERADHSKEVRTAREAAIVSLLNHPY ICGMRDVVRTNYHWYMLFEYVNGGQMLDYIISHGRLKEKQARKFARQIASALDYCHRN SIVHRDLKIENILISKTGDIKIIDFGLSNLFSPKSLLKTFCGSLYFAAPELLQAKQYT GPEVDIWSFGIVLYVLVCGKVPFDDQSMPQLHAKIKKGHVDYPPWLSTECRNLIHRML QTDPAQRITLSEIMTHPWLTKGFNTPPENYLPHREPLQLPLDDDIIERMTGFDFGSAE YIKTQLTQVLSSDEYNRAVRLAARKTTAQTPEMEKKRGMFDFYKRRNSISSREQLPMS SSEDLQRGLDPVNAYNPLISVYYLVREKRDREQLEANPGAIAVPQSPGEKPLKIPDLP APEAAYTNSSTYEMQGEKTGGRSRPRARTHGEDEVTDTLQKLNLNQPTNASPAVISPP PEHPRKESAAVGLLRRFSTRKYRNPDRDRTEAPPTPAVAVSGPGDSAASVPKRGFSVR RTRDRDNQSASHLHPSDANQPELLSPPPPNTSSSTTKKFKALGRSTSVNGADLRRRLS RRGRSSEDPGNPPPTSGSDRSSINTQQKPTNDAASEDLSGSPRRTAASRTKSLGHARR ESIQARRARREQAREANVPEETDAELAEDKPETNRNPEVKPVFLKGLFSVSTTSSKPL SFIQADIIRVLDQLGVTFTEIKGGFKCRHVPSIEPKKGVDSPSSANPPSSAGHRRRTS FAGGMFNRDRDREEFREQQRTPQTPKSARGRPSMADRSYTNTDESDASGEDKEDRQPR SGRAYPPGETSTHVQNDYGGSMILVFEILIVKVPLLQLHGIQFKKVDGGTWQYKTMAQ TILSELRL CC84DRAFT_1183585 MQHVYPHQQQYPGEWSQHHQQHPTHHVAHQQQGQLQAHQAQAQA AAVAAAAAQQQHFARMAGNNGNSVPGGGIIPRGPANDVQGNSQAVMSEENQRVLEWIA QLMKPNTRETALLELSKKREQVPELALILWHSFGVMASLLQEIISVYPLLNPSQLTAA ASNRVCNALALLQCVASHTETRGLFLGAHIPLFLYPFLNTTSKSRPFEYLRLTSLGVI GALVKNDSSEVINFLLTTEIIPLCLRIMETGSELSKTVAIFIVQKILLDDTGLAYICQ TYERFYAVGTVLSNMVNQLVEQQTVRLLKHVVRCFLRLSDNARAREALRQCLPEPLRD ATFSSVLRDDAATKRCLAQLLINLSDNVAEVGGNQLNLH CC84DRAFT_1193079 MSKISVAGVRSNVKELLEYSNETKKRNFLETVELQIGLKNYDPQ RDKRFSGTIKLPTVPRPNMAICVLGDQHDIDRAKHHGVDAMSADDLKKLNKNKKLIKK LARKYDAFVSSDALIKQIPRLLGPGLSKAGKFPTPVSHAEDLANKITEVKSTIKFQLK KVLCMGVAVGNVGMTEDELISNIMLSINYLVSLLKKGWQNVGSLTIKATMSPPKRLY CC84DRAFT_1193080 MSQPSHLPGLTPQFCFNQTALRDFLRISRSAIDDSISQNLNALL VPGQRSFDPASTSSRSLSAPDRSIPPQTCDTFKQRILFPSWKVRSDVLDYCAGVATAP DPDDPDSVLRQIEDDKMRERVVDERLDPYSARYFPKEARTESLAMLMRNERAVEKIIR SRTWSVVGERCGMESDSAEEAFGKWREGSKQRRA CC84DRAFT_1160353 MPSKQATLGKFFGKNGTAPKTQQSKLSFATKAKPEKNDVESKDE PDSSSEEVKKEVEPKEEPESSADTVKENGDTAAGAEEKEEANGDVMEVDSSPPAEAGK KRHVEEEEEDSDDAPPTKRARGRPAKAAPAKKGKEGPKKAEKPTAKATKKTKVVDPEE NEEEEEIAAPAKVVKDKKNELEKTPPKEEPASSASDEDVVSSDEEPPEVAKKAREKVQ SALKGASKGATKDAYPDWKPGEPVPYAALCTTFSKIEMTTKRLEIMAHCSLFLRQVLR LTPQDLLPTILLMINKLAADYAGIELGIGESLIMKAISESTGRSLQHIKNEQNEIGDL GLVAAKSRSKQPTMFKPKALTVAGVHKGLMAIATIEGQGAQGRKVDGIKKLLSAADAH NAGKAIDIEENKGGASEAKFIVRTLEGKLRLGLAERTVVVALSQAMKFHEMSQDDKIP STTDLASAETILKSVYSELPSYEVIIPAMLEHGLSKLKDKCQLQPGVPLKPMLAKPTK SITEVLDRFEGKDFTCEYKYDGERAQIHFVAHDAAMEMATAAPAAGKSDKGVSNIFSR NSEDLSKKYPDILAKLPTWVKEGTKSFVLDCETVAWDINEKKVLPFQQLQTRKRKDVK AEDITVKVCVFAFDILYLNGEALVNKSLRERREHLLSAFKTVEGEFAFAKFGNTNELE EIQVLLEESVKSGCEGLMVKMLDGQESSYEPSKRSQNWLKVKKDYLAGVGDSLDLVVL GAYYGKGKRTSWYGAFLLACYNPGTEKYETVCNIGTGFSEAILETLHKQLSEIVIARP KPFYTHSSGNKDQPDVWFEPRYVWEVKTADLTLSPRYKAAADEVAGGGKGVSLRFPRF IKEREDKKPDMASTSRMIAEMYSRQESVSKNKGPSVDDDFEY CC84DRAFT_1255244 MPPKFLGERPSLRRIPSRSSKYAHVGPKVDSRLPSEFMPSESQF QLSKHKRSELHIGEEGPIQEETENLDGDENDYQFSETDGFPLPNRAIDSQHSSTSRYA SPAASSTYSAEIYAQFGGEAISSPTYHSDTDAEPGQNSRASSRRHSLVLAQPTSNRAQ YARNTDSYRVKMREGVERQPLSSELFTQPRVTTPEWERKVRFDEPVKPPSRPRPRGGY DRVRSHSMFTTPSMGDGIARSFQDALDRTCQDLLSLRDELYNQRRTLDVHSVLGKRGN MPVGVNGVREKIVQVVERYETETNQHRELIMKNEKRIDEKERRIRQLEEALKGKSEEL KMSQRDGKSREEKQEARIKELEDALHRSVEKTERQRTSHVHGHEAQRKLEETASWAAD LQSSLTQKTKEFEEVVKSHALEVERLQKELQDRPKHAVSISDMQTAFLAHQEQEQKIR ELEEALQKKTINDALEAGTDTPEWHSLFKRERKVKAAQDTEITHLKASITNLNTYHIE LESERNELREYVAAHRDTVQTLQEQLATSTREAEQWHEEYNQQKEMLDGRAFAEQTEL EALRQEMQHTISTYHSLLPSPSHPDALQITGLLRTLAATEKTLEETTSRLNVLKEEQL ALEKGIEKVMDEKNEVLAENDRLLNGPPPLTRIVYDSDTGQQVRLPPWHTADRLFARQ AARSLFLTSQTAARRKIQLSEQIVEKARAWKMGKHYPPSRLQETYKQAVKKSEWERWE VQRWVQEHGSDGEYSEALELGLLGSQKARGRGTNEWRGRRLESMGLRSWDP CC84DRAFT_1171736 MRVLLPILLRAAITIITDSRPSFVSTTETPRGGSVLDLRYIQRF AISLNVAHRFASHAPCIVKREPSIHGPPACSPMEDRDVCSVRRYPSYPRLDQVDRSAE MCSMHECQRQCMDVRRARYWCARSLTGKYDATWLFGDQRLLELSYDRGKSSDEAFGRL GQALVPRKIKGRHLDTAFRGAVQSAGGGHIVTLVPHLDNSSTFEALVYLHEPHTHLDE FGRVFLKIRFVWKRNSTRNFVSVRQSASLDGQCVLHCRSPHVPQSKRQKRAVQDPLLE TRHTSMMRMPSTA CC84DRAFT_183046 MPSSSPMEEEKPRPKPAPKSKKAKTGKGEKPKTPKLTAPLSILT KDMAGIPVRNMEEWVNRSAETRKKEVEKRNGYVTRPMNSFMLYRSAYAERTKQWCLQN NHQVVSSVSGESWPMEPPEVRELFNEYAKIERINHQNAHPTYKFSPSKAAAPARKRKR EFSDEEPSDLEDAEWNPSSDRSRSRSSKRMSQGPGYPMNGMSPEFFDQRFGPNIVGMN RPGWEMTNEWRPMPMPMEDPYGQYYQTSVHPGMGMHQGMVEDMRMRRADTPISTMQLS QDTALLGLPGGNANDLMQQMHSHSNNSLPAEPHVDPLLMSLDGGHHDLGVPASHPEFR NGHMAMMEGELDGHSVDSFIGAEATHEEYRTEAWQQYSNMGSMESPESEFDKWLGDR CC84DRAFT_1212586 MATVDFRFPGLAEVTLRPGTEADVPVMLRLMDERTEWLVAQGLT GQWGRERQSDQPRRVEGATKMAKSGGTWVAIEKGPLEDSEQVLGVVTVGDAASYVNPG TEPELYINYLITDPKRGGGRGLGGLLVEKAKALAKEKGVHILRLDCYAGGDGKLVRWY ESQGFQKQEAFEEKGWPGQVLSMRL CC84DRAFT_182636 MYGEIGNKLVQHAKRTQSLAHLPPYQTELVRAVTREVRDLDKDV ATILEPFAGSFNPSTEPATACALLVNHLCMRRDKRCLLAYHRTRTDKLEEMCWSGIDV LERQQQLSQKGGADGAGAQMGTDGAGSSLSPEEEEYVRQYSDLLASYKGQWTDIDLTG SLEPPKDLFIDVRVLKDAGEIQTEYGAITLTKNSQFFVRQGDVERLIQQGYLQRLS CC84DRAFT_183444 MPSRLLPWRRGSDSSQQQLPFTNDYVSDAELAADDQTSHHGSHT IPRSRPMGAPSNPQNVLSSSYSSFNQRNNPIRSFAHQTSHSISDHPQYSSQGVRERTQ ELASYALDSAAYSQPRRRASSAATESIASRPAFPIDIEGRPSLDSTGSDVIAEERESG PASPETVIARFPSDRISSPGLYARKDTDARERRSSAAVPDVVVQDTEETPLLPKTRLS RVDSQPQRKGSTSVSRDTGLGGLKRRVTSSLGTGREHLTQVGRSVTNPKNYTKDAIYA GVTASLQMSSAVFLGLLLNILDALSYGYILFPLGSPIFSQTGPDGISIFFVSCIVSQL CYSLGLSIFRGGVGSEMIEVVPFFHRMAYSIMERMEGESPDAVIATTITAYCLSSIIT GMIFLALGTFKLGNLVSFFPQHILIGCIGGVGFFLVMTGIEVSARLEGNLNYDLHTLH KLFSSDTIYLWVLPLALSLIIMGLERRFKSPFLLPGFFILVFAIFYIIAKAILRIDLE VLRNAGWIFEAPEAGVPFYRFYSYFKFSQIDGAALASTIPTMFALSFFGIIHVPINVP ALGAAVKEDAIDVNRELIAHGISNTISGCVGSIQNYLVYANSIMFMNNGGDSRAAGVL LAAATTAVWMAGPAMIGFIPVCLVGALIFLLGIQLMKEAVWDTLGRLQRLEYFTVLAI VLIMGVYDFVIGIFTGIVLACLSYVVLSSRVPAIRATYSGEVAASTVRRPRSDVRYLS QVRGQIRVIKLTGFLFFGTIVSVEEYMRSLIEDKSFEQQPLSFIVVDFSHVNDVDFSS SEGFQRLNRILNGRGVKMIISGVSFASRVGQALQNVGLLDTETANDECPPPQVFEDLN TALESCENELLEIFYQHCNSPQPTRQMTPPKSIDGSTDSSDAVDASSSPSFGASPLLP EFSSPRRGAQYLAATTTFREQGRPAASSGEQEGALSIPSKWKNFSQPTKIILQTFEDV SSKNEDFWHVLAPYFERREYARGTVLYSRGDDPDGFYVVEKGRLRADYEFEQGNFSEN IMPGTTCGELPFFSETDRTGTVAAEEDSVAWLLTRERYKELERKNRDVASELLKVGLK LTKERMDAITSYVLVTAS CC84DRAFT_182640 MKNLTRSPPAQAVRQAMGGIQHPFRETNRSPYYARGPGPYRGSI HEQGRAVLAGGETSRARSRESKIDLGPVFQHHIPPPDAYVKYGDPAHDDIESMSQHER LSGQSVEAGDPPRSLTDDVNPQYKSYTMADVTNLQRVIINHFDDFDRAPTSNGEHWSV AAYTASLLQDGHYIRRASLERRTKSWNVETPRNTDPEYAGSTQNTRRSRRSKVSKVSS KMISTLRSPCPELRRRVWSSGENKKRFLTPPPKTIPLPPEPPRSAPRPEALRLQTDKL PASPHLGPPVPLYRRYLEEYRRLDMPNPRGRQRTSTSSSALRGDSPIPQAHVHRSTIR GAHPPSARRIFAPQPQRPVRAGWIDNLAPTDTRFDTPLHHLGTEPRVAPRSPSLERLG SLLRLSGSAPELRPSLRGGASNSRPDNEYNSREYQISLEGNTRHMPINAVLDVASSRL NGEFPPEHDPRTDTPLTLHQPTLQGAGLINAIIGAVQDPISSQPTHMAPDPNASQPPV RRRLPGPSGQHTVSHYQQSQQQSVDPSQEAIPRHTDLARCEILQWQPNTDPDQRELLR QIESASRQEQQALRYLQEQRLGRGERSVAENSLRYSENRRRSLIQRYQHTYPQANNSS DPYTQIGNAHAYASEPSNVANHPPQVPPYHHLPPQHPSQLQLYHVPGSNPQLQAPINT AYPFGHVQNPWPMRQQQGPNYDPFHPRALGIVNPSQYVPQPVASGSMAGLSPFLPSHD FPVLSIGYPGYNPHAQVPGQPFSAQSLSAQSHLVQPLPAYPSPVHNIYPDPHGQQLHV RSVRSISYSSYSVPSLDDTPTDVFEIPILQGRELDPADPTDRFIMVYFGLTYWFDVSF EDHPRDVYEYNFGTQDGPMHVVKDWRAHWTDMRAFIQELMSLSGEAVPLRKTAGPSQI PNSFAGPSYGYFTVPPLHVVSGNYLSACRRRRRNRSIGSQPDARRPRVVDVADGDSTM SASLYPEMRITDLGEADGSERGRRQTRLDSEMPISFDTDVLSSSRIRMRRRRADALSE GIGRGSANGGVLLNDAHGNEGRWGT CC84DRAFT_1080158 MQLIERGANPKAKVKVNTSALHAMAGQGQTSFTVLLEMGLDINE QSVNGFTPLACALSRGHEAVALMLIEKGAHVDWKTDQGHTALHFAARNGMQRIIELIV CC84DRAFT_1160358 MENGGHCRSSTAFDADILFACGGAPAIGGVLPPAAHCIILQKPS PSHTYSAWLGAALYLRIEQHRNEGRAACLSLSPGPSPPRQRPFSSAGSSAGVQHQSSL SQPSPAFAQLQPASSSTMSGGPSSLLDPPSAASTANCGLWWAPIPV CC84DRAFT_1183592 MSAAVQHPLVEPQQSAPLQEATASETAASTAAPATEEKPVEAAA PVEETPKTEGEAAAAAPVEEKKEEKPVEPIYSGALGYKAPGLKNAFRFSKKYFWFGEE AAVPISSLSAYLRGEKAEVAHPTAAWSSVTGKGLLFFVKHADEKSNPAGALKLADATE LAKDGAVAFHFKLHGGKHTFEAHTVAERNGWFVAVEKAIEEAKASKDTIVANESYKEE LSKLGKPATLAPTVAAGSTPKKSTEVTPKPAEGEASTAAVAEETAATARAGSSSSSSS DEEKKRKKAAKSKSRSVSRGKRASIFGGLLGKKDKAEEKVEEKKEEKKIEKEEKKEEK AEEATPAVAEPATEAAVAPVTAPVITSEIPPVEEKKTEETAPVVPVEEKKVEEKPKPT KRGSIFGNFVEKLKSPTHEKKEADLVPAVPAKEPEAAAEAPKVDEPATTETAPVLPPV APVETAPLESTTEALKLEEPKETKPAATTPHKESKSFSFGKFLSNKEKAKSPATEKAP ELPKTEEAPKIEETPAVGAPAAVEPTTEAPKEEAAVAEPTTEAATAAPATKEKKRGSI FGALGSKKEGEGKGGFGGLFRAASKAGKPKKEKETTPAAKVEEATEPKEEKTEVAPIA EETKATTDVPAATATEPATIGDVAPEAVAGCCFSRPDSGDALANQPSASQRNINNPTP PNASAVTSPRGSASHPVAENRPNIPIKPIDPAHRSKLPKTLASPTVGSHRNHVPPLSQ SMSGQWTRQRLEKERNDWWDTRTTGSTEIWAALRSMVQSLQAGDLREAQVLLDVTECT CPNGMLWRGIFDKRGEWYRVPEWIVIEPDGLVEEEDLKDEAGSVGEEDDKEVEVEELG EEVKVLCRLSSTGKDYRIVIKKGERVGSLVTKLKAKAGLNPFITIRVVYGGKIIDEHQ PLESHPFWNYDAKHVLVAMVFE CC84DRAFT_181681 MSRAPMDWVTAKEKAGKFIHPAQKQAMGWELDEFQHNPGSLMES IKILEEMKVRNAARLSEGVGLLPLEYFRPTRPIVQASPQRPATAVQQSAIPTSSQQIW MPEPLNIPQPSALQSLPHTPSPLLRQLPGQRRTADASEVGLIPSPYAQRYRSQIQCDP RSISQLHQRSILPTNANPPILCEPVFEPAQRPATRTPQSLSPPATAILHRASGSTAGD SRVDPPQCDYAWTSPRPRSGTFPLPITRRDRFRTSSVPPPPPSSRCTCEDGCVCSTRL TEHQVIGLRGGHVNSPWYKSLFKQARRPRAPKIQISPPSRQSAHPRPENANAAPAEQQ LELLLPQAARRARLPTGLRVQTDLSEFSNTSDSSTAMWSPP CC84DRAFT_1079851 IYKNRNWDMIGLDGNVYGNCIPFPNHDIQCSRGLWSVGGKRMFR CLTRFTVYDFIAANGGRTVG CC84DRAFT_183567 MAVHKNTPRQSLTVVDNRTGKSYELPITHNSVLATDIKKIKAEP SPTDTAEDETKQGLRVYDPAYMNTAVVQSKITYINGLEGILRYRGYPIEQLVQKSNFL ETAYLLIYGELPTEPQYADWQSEVGQHTFVHTDIEGLLKSFRYDSHPMSMLTAGFAAL GAFAPEANPSLRGQKLYTAAASGDMESLKVLDKQILRILGKAPTLAAASYRMRQGRPF NRPAQGLSYTGNFLHLLDTLSDAGKPYTPHPVLEKALDALFIIHADHEVNCSTATVLQ VGSSLVDPYSVVAAGCAALYGPSHGGASESAIRMLMEIGSPENVPAFMKDVEARKRVL VGFGHRVYKNVDPRSTAIRQLAEDVFKVTGRNKLLDTALELARYARESDFMRSRNLYP NVDFYSGLIYQAMGFPLDFYPVLFAVPRCVGWLAHWRQQMLTPSGVKIWRPRQLYVGS GEREYVEARERERKEGATVFDAPVQVHHGGDSKRNLLAVGRVRARL CC84DRAFT_183663 MSAFRALAARRAPFALAQRAPFHASAMRAAGKESHLHDESRAAE VQSHKEEQQQKRKEGKGHWEESLASDSESIVKADRGEVETSPESIKKLQEETAKVAGD KSRS CC84DRAFT_183811 MTTAYSTSALPPHGYSTLSTRHNVAPTYAHPTLSNARPTSLRLP QVQQKPFLPHPPEPRQTRTARYCISPSVCIERNTNAKTVLAVVGVGECDWRATKRAET PPASATKRVHTGRGRASQAQSVQDWRGEGCFVVGDGVVVRRSGELACHADMQEGSVEH EEVAIL CC84DRAFT_1183594 MFSYGAQHHQPHLNAHNNHSHHGGRSRRAPRISAAQHNKQFRQV RTPKEFVVEPPTLLAFRRDFEAARSFDLEDDELFCPFHLLTEDDLQSIHSSGSDRSSL SSGSPEQSPLQHQLQPTPSFVLSSAPNPYTPASFQSSNSSQTKLHQPLAQRTRNAIPI VDPSTRAVASPPPSVSPNRQMQQQFRRW CC84DRAFT_184283 MHVAAQQNRLSTRQDTLSSVHISTLGSPRCRQCMVAQVNKRLPR SMRASLARGSGGSQSGRTEDTGEREHHRRTIGVLNMAQAGRLRDWAGAGVLHSPAPHV WHVAGSGRSHAASLRTHGHRGLGRGRQRLEGSRRGLTASNHSGRPGGCKSRALAPPSV ARHWGPQRLRDLMGQWSGATASAARHSRTVGCLLQRGTMRNRQGRRQPQSQPTRHCCA TGSARGAALQPSGRTCATDSSRAGVAVQRSVD CC84DRAFT_1074449 SACLSTGNQDSINQLLQQGGANTIVSLCPGSTISITDSIVFTAP GQEISTQGYPTDTARATIIILPGSSVSAAIRGNWQDGVKVLNVQIDGNRPNAGLYTGP GADALLEMGGGTTDQTVSHVVAKNTRSWSCMHFIGSGQEDNPCRNANITFNTVGPCGD EGHDADGNALWADGMSIECVTSTVTDNTITAPTDGGIVIFGAPGSHFLRNTITSPSTA LGFGAINMVDPTYGGNYSNVVVADNTIVGVAPGFFNLGIGIGSQIWSNPHYEPTNFGP TTVSNNVFRGAIGFSVVVNGWEGGLTAQGNDVGGVHAPSSDTADASGCGAQQQASFAA SEQLIVYAPGVRGPSSIQEEFVRIPNNGSNWLCLAHPLPSQRSFAPQSLFVTAQQSTV VDLGGFHVQFQGDGNLVGLDTTGAAWTPRWATSTSSAACGEEGEDCLLAWGADGNFVL YDANGPFYDSGTSGRGAELTFYNRAPWVAITDAAGKQIWKVG CC84DRAFT_1136511 MASSYWESTQKKFWTFTKPQLALERKRLEDSERNVVNMYPVPDR RHLSIYFYHQLSKMARPLGIRQQALATAQVYVRRFYVKVEIRRTNPALVLATALYLAC KMEECPQHIRMVLAEARHCWDTSFNDISKIGECEFTLISEMNSQLIIHHPYRSLAELQ SQFQLTQEENSLAWSIINDHYLTDLPLLHAPHVIAITAMFLAVVLKPTQGGLQVHAAG VASALQALGNARGGTGQGMQNRVQKLVDWLAESTVDIEAVVECTQELISLYEIWDSYA EKTCKDQIAKFVKARGLDK CC84DRAFT_1079001 MDTETANAQDARVDALWATLDTRNQGQLDLAALKKGLRKLDHPL KNADQILADVMKSVDTNGDGRISYHEFRSFVQETERELLALFKSIDSDRNGKISREEL QAAFSRAGLAVPNSKLDVFFSEVDANNDGAITFEEWRDFLLFMPVVAPNLHQVMSYYQ ETHHINPEGDVLLSDDTIYGLGIHFLHSLFGSIFLVARTPPLSAAAYAEPFDALDMAA PAGDAFTASQTLPPRYDVGGLHSPSASAEQKAVVQSVASVLIGCFPDIEYFVAGGIAG IVSRTSTAPLDRLKVYLIAQTSVTKEAVDAAKSGNVVRAFMNAWRPLAGATKELWQAG GMRSLYAGNGLNVVKVMPESAIKFGAYEAAKRAFATLEGHNDPAVIHSWSKFVAGGMA GMVSQFAVYPIDTLKFRMQCETVSGGLHGNRLIIATAKKMWRQGGIPAYYRGIGMGLV GIFPYAALDLGTFEYLKRAITARNARKRGCHEEDAAPGGFMTAAIGGFSGAFGASMVY PINLLRTRLQSQGTVLHPRTYTGIVDVTRQTIKGEGMRGLFKGLTPNLLKVVPAVSIT YVVYDKSKAALGLH CC84DRAFT_1110259 MSTTNPPQLFLLADHIKLSLLERQRAISLNLSPSSQDGQISRSL EQLRSGIEALESQIHDVSDESISSQLPRLRAQLADLTAQFTPSTSTSDPSTTTLTPND PSLASDFAAATSKPARSTSASRAKSKSVRFRDDAAAADDDDPNRASLFPYRDDPSPTD GPNHDALSNQQIHEYHARVIDEQDAQLDQLGASIGRQRELSMQIGDELDGQVMLLDDV EEGVDRHAAQFRRARGRLDRFGRKAKENWSLSVIVVLIVVLVLLIVITK CC84DRAFT_1077686 MPAFTVAVAGGTGGIGRALVNGLVQQGKHKVLILSRKSSTLEVD SASISTIATDYTSIPSMISTLQEHKIDVVISALILSSPENSQAQLNLITAATQSGTVT SFLPSEYGIHYTPETLSFHPAARYWLDAAAALRASALRFTRVVFGWTLDHYGIPRVHS YMKPFKYVLDFDARRAAVPGDGTQKVSFLHTTDLARYVAALLEDEGEWPEVSAFVGGV ASWGEFVRIAERVTGAKWDVTYDSVEVLEKGEATMLPQPPGAPTEEDYPGMREMVSEF GLMAVRGVLDVSDAGIRNGAFPHIKPMSVEEVVEKAWGRDAGA CC84DRAFT_1160367 MAVGDKHDASQYDVEICETQWTYGTVVRGVEVWGSKDRISGISL TYSNQDHSHLIGARAGDYHQKLDWDPFTVQVSRTVLWSDRDATQLGGLRIELSNGESL EMKIDKLSGKTFSPDVGSGIMLGGWGRADDHITAWGWMFLEDKVDKIQIGDFRWDQDQ EEFAKSQAGIKRAVKASQGQYNSASNATTIAFDITDTVANSYSYSQSVHYNFGMGYSL EISGQVAGVGPKSSFSVSFEVGQDFRKEWTETQSTTLTFKVSQPALPGKTTMCIGYVE YGEFDMGYDANVHITLKNGKTFDFRERGERKQTMFGQAQTACADEDGDHTGESAEDFV NRHRPATTKRESRKRESRFISRLPAA CC84DRAFT_1136526 MILQRRQTIHTTKNPSECAQIISGSILKGDTNLLSKYEARAQPN QRLRPAFGIDNAFTTDHSERHKEYRNKVGTMLRPDWNMVASAAKRLAKNECPKNLVNL VQSFVLKMTINVLFRHDFPSLDDESISLVASEINDIWIGSKLPRHIVPWSKQDRLHDA LRLLVPNRDPLDPQRNAMNFILPAFETMWRIVLRCFIEVRYRGAEETEEWIAALKLFL ENPTVRLEDSHEGASEIEKAISIVREALRLYPPTRHIHRHFRFASGSSILAIADIEGL HRDTAIWGFDADCFRPSRWANMRHEPEPFNAWMPFGSSPFLCPAKPDFGPRMIGILVA ALVDAFSDVRYELNVRDPRGQKESAKFYGLLRSDRDSYKELFLEKVDRRCR CC84DRAFT_1193094 MPLSPELTASITDAVAKNFDKELAFIQKVIQYGGQRGEESAIQD EIYNQYTSRGYATTKLNMDPDSLSKQPGAGKVSAKHSKAPVVIGVLEPKSSTSGGKSL ILNGHIDVVPTGPEELWTHDPYSGIVEGDWLYGRGGADMRAGNVANMFALDALRSIGK RPASKVIIESVPEEESTGNGTMATHIAGYTADAVLIPEPTNEQLVRANVGVIWFQIAV AGRPVHVLKATEGSNAIESIWKIVGGLKELEKEMNEQKKGRLHFEELDHPINLNVAMI EGGDWASSVPAWCKIDCRVALFPGVRAEDVAVQIEESIQKVAATDSFLRDAPPKITWN GFFAEGYVLEPGSLAEDVLRSAHKQATGSNLGSITMPAYLDTRIFSLFQKIPALCYGP IGESLHGFDERVSVSSIQRVTTAIALFIAEWCGLEDADLAK CC84DRAFT_1075152 CRLPSTPDVYLSIGHGFPEYLSCVSSTGTMRAFMIFVDFADQPA GNDTTAGLYDFFFPNASTWYGTSSFGKLSIDAKADTSQFHRMPRTTSDYAWNRGITYE QHEAYIQDALASYVDATGEDPAPVDVLYVVATRNAPNITYSPTFMGNVTTRDGAFVAK KAVTVGYDAYKTWGFKLINHETGHVMCLADLYPASGAVGLYVGGFSIMGNINAVYPEY FAWDKWRLGWLENAQIECLTYERASTSFHTIYPVETQGTEIKLVVLKRNETQAMVLEV RSSGGVDNKGAEPGVVVYTIDTMVETLQGPIRVL CC84DRAFT_1193095 MPPQTLILEFQSLKRTRRYGSKVKTGCLTCKSRRIKCDEKKPAC LRCTSTKRVCDGYVESGAKQPKRLPPGVASPLQDARVTQSWPEEGLPYLDFYYHCAIR TLSNRFDNGFWSRTVLQMARSEPCIRHALVALSYLAKTEPGNLKHAHARLKQQDQTLH RYYGKAVGSLVARLAEKSCTIEVGLVACLMFVCIEFIRGNFLPAFTHLHSGLRILSEL PTIRTHGLRPRTPPPTPSKSDTVSIAASNGLLHDTLIPMFMRNITPAMLFGAPIEDLF EIPTPDPSAYDVPFSTFHELQMSSFQLRNASALFARKMATRIFIKAPLTAEDFARQSQ LLDAHHAWFRALQKLEQAAFLTHEEEIMAASLKLGYYSTYILIDCSMSLRQSNFDAHI DYFKAINHNAKIVLDSMGIATPPLPVSSGTRRGLSRKFASAAKAAGARDTKAGAHFTF EISVVPPLHYVATRCRHPLIRREAVALLKTNPPREGLWDVDTHIAVAERVIAIEESVL DPVTGWPVESARLWCSVHDGKGYSEGKILVTFAFAEWAEQRVPRVDDLRRPDGGDRAD AQWTEKIG CC84DRAFT_1160372 MPSPTEPHTILSPISKRDRADNMGYAIPPNAIVLLVLLGTGFVI AMLYGMDRMTGFRGREVPAPRPLSAEQAAYMAEVRRRNAMMLWEEAERGRGKGRRAEK QNLQSSSTAETES CC84DRAFT_1078089 MAHRETAPYVPQDHKEVTQLIHALEGHKGRTSKQSGFSVRKHTF PLPNGRSVDSWKMNDWDYKKEHLPTYARGLFTYKTLDGRDEIAVRGYDKFFNHGEVRK TEWRNVEQQTRGPYELSVKENGCIIFISGLDDGTLLVCSKHSTGARGDVELSHACAGE RWAEKHLATVGKTKKDLALKLREMNATLVAELCDDDFEEHVLAYTPEQAGLYVHGINL NLPHFVTYPGPLVDRFADEWGMKKVQYVMEDDIAKVQKFLDKIAETGNYNGRDTEGFV IRCQSRDSDNAPWEDWFFKYKFEEPYLMYRQWRECTRAIIAGKPPRYKKHQAITKEYL EYARKQFLQNPGLAKRYNMNHGIIKLRDDFLRERGTTGAEIIRQELQNGHVENQQVKN SVVLVPIATIGCGKTTLALALVKLFGWGHFQNDNVKAKKGRGQVFADTISSMLVTSPV VIADRNNHQKRERDQLINDVLKTAKDARFVALHYVHDRSNYDDIRRATRGRVLARGDN HQTIQAGSKGQDEIIEIMEGFMHRFQPADPSEAPDENFDLIINLDPVVDSRENLEVII SQLYETYPALFEGRNMPTNADMDDAIHWAMHEYQPDFKMDLSRGGGNANNNNRNQNQN QKSQKQQPVAAKKQPKMEYFSVRLPTQRINSILDALFHNADPQTARLYRHLQQTRRVQ GEFHVTLMHRASAADNQAYWDKLTQLHSSVAQADASNWEPELGKCGVQMERLVFDDRI MCFVVRLNGAAAVGGEEVAFETVNPVAHVTVGTASADIKPKESNDLLQRWLNEGSGGE TGIHELSVKGGVVLDGSVRGVLGRMR CC84DRAFT_184620 MGDHEGEWTLSMAAKPILWSFVWAFTCLVVVSFPESISRRTGVM MVFAAGYGAWQTATDLSPDMIFNEIYVRYILICASHILAMVYKNPGTKAIPNSLEPTC VQPTWNPLYRGWKTVFNARGIGTQWEAPYLWPGIKHTSMARKPTSAPDPRNSSPRLLS APHNLTARSKWAGIGVRCGYLLLNFLALCLYYEFMEPQRLFTVPPRPSDWTRDKEGIV RRLIQTTMGNTPATPVTSREFHIRAVFAIDKVAHDFLLLSLYHDAFAIFWLSIGLDES WEWPPLYGRISDAYTVRRFWSLYWHRLFYKSASSHAALVLRFFRVGSRTPFSRILVSF LVFGLSAVMHAMVDTRLGRTCAWGRNLWYWLLQPAAFVMEGLVQFVWVKSRCRHLASR YLSVRILEILERLTGYAWVCAWLLWEGPKRSFPMATCQRRMH CC84DRAFT_1212610 MAPNQRGRSLAKEAFAPMFRKLKTSTYTDNVRPGVAPSHYIRTL SWNATGGFIATGAADRTLRIWNPERPNVKNSTELRLPLPTTKPAPNTPPTALERVAFH PLNEHELASSSTDGLVRLWDVRSRALTSTVRVGAPLAKGERDESPFTLAWTPDGTSLL AGRKDNLLCVIDRATGTVRQTHQEPLQTNQCVFDWEGACVYTTTGDGSVKILRYPSFE PALALNAHTASCMAVAYSPSGEYVAAGGGDALVSLWDTSEWICVRTLDLTGSAVKSID FSFDGSYIAAGGEGAEEKRLNIAHVESGETVVSVEIPTPALQVAWHPSRYVLAYSADA HGLKIVGGMS CC84DRAFT_184880 MQTAIPLYNSDISSHFIRISVQSRLNLPFPGSHMKFSHLKSLSG LTTTSMQVAMIATGTPRDQAIDVTAFKSDIALNALFTDLGAALNKPAADIQQHTIDAL STPGVNTLINKLKVGYSTKSDAEAQDVLDMVFMAAVDARLKKAGEKGQSRTGDGKGRG VRTERRRARGARRRIVWWTV CC84DRAFT_1052635 MSTFGSPGGQVKAGRPTPPERGSFPLDHDGECKHIISRYLSCIR SHRGTNDPACRELSKQYLTCRMDRNLMAPDSFKNLGFGDDS CC84DRAFT_184850 MSRSLLLGVSEVVRRHAVLREYSVCTLYLVFLWGSTSGLACRCW RQMKSAVSRKVWRFVPRRRCVGWNKLAQDDLSGFLDARWLHRSVVFQTDSPAGLCHGR RVHWSIAGGHGRGTSTYQHPSINLSTKSNQMLGMRKTLV CC84DRAFT_184990 MPQPTDALFTPPSSYSPQKTLSRLDFRPDPFSDDTLDPDIFNMA GSGSPTPYIKEEHDDFTHNNFISQSGFSMNSFGNQQFSAPHESGNGINPSDLTMSGSM NMNNHFGGSNGYIAGGAGIADDELADSLGNFEQHQGFDAFGQNERSHQQDFFQPSGSH VIGSHQNINHQLYSNTPDDAPIQSPFVNPNGFDFNQYHNRHPMGFSGSMQGSQARPRI SMSRLPSDNRSPMSPKTPAISNLHLGTPDSGNFGSQPIMASRIHGHKPSMSSTQWDGT PGSGHSNSWVDSPSSPHGGNLQHHQISEVMHSAKHASLPAKVDIGQTQDAKKRRRRES HNLVERRRRDNINERIHDLSRLVPQHRLEDEKIRKHINNNGPLSPTLGASGMSPPQAT SLLAGGAGRRAAGNITQGLPLEEKDKGPNKGDILNGAVSWTRDLMWLLYKMTQERDEM QARLQQLSPGENWEPDQTEEVRRMRTELVDAVEKNGFSTFKYSRGPGSGLRVPKHTNL AGEPLNHTPQSLSPDMQSNGSGSNINANNTSHQYWTNQSLKEEDENFMELN CC84DRAFT_1171767 MAAAVVLRQKDRELHLHRGSGDYVQMIFAASSAVVRIGRPMAAA RQRSQTGRPVREACDEKAAAGRTLAEEPVAEAIGRERAAGGRAGGRVAAAAAGGRPGQ REGRSASVWAGGGCASAATTARGQGELPSAELACLANGGRRARSPVRIELAPECRAWA SPWLGGETPVPSVVDVHGKCPLLPAGDLLRPPGTSPRAGRHDDGGPTAHASMLAVIDR ADEPHWRRGRPSVWLPSRIAPANHAALVCIYLHTFTYTCTAVRRLPLLHPVYQDPASR SHALHTLAAAPRTARRHTPRGAVQRYTSQIAPSTPPDAQQKPADNTPSSAVTPLDERR PPAPPSAPRSICPALCHLGPPAFKETNVCHQPAPHDRKFAPLCAAPSRANACSDLSSR PPPALRVAARVAIATSSTARRVPTTPRVQTPVVHRRSPLPVRHTSATSELVVGLGIYR PETTATSFDAPDHRPILPRIHTSAPPTTPQGVARKSPSPCASIAI CC84DRAFT_184893 MASASFRDSMNSLGWTRRDPDVPVNTAPPTLMGRLQNLNPFGSG GYVRLPTSNDGPGAPLPAPTRREEEEGFFALSRWDRMLIFGGLLLASVALFATCIGLM FTPIFIARPSKFAILWSMASLLFLCAWGVLMGPLTYIQHLVSGPRLPFTAAYFGTIAL TLYFSLVLQMFILTFIATVAQLVALAFYTVSYFPMGSTGLRFAARFGGNRVAAWMND CC84DRAFT_1110285 MAPLENPTEYQKIFHWADTQKDGSVPSFRTRKNDPYEYQAGFDN IFESEAVPGTIPQGQNSPRNVRFGLYAEQMTATAFVAPRHLNKKAWLYRARPAVAHQG FTDLPENKDTESCFLPLNPRVHVSPTQLAWLPFDIPKDNDVDFVGGLKTIAGSGDPTL REGLAVHSFLCNKSMQKRATVNSDGDYLIVAQEGNLDIQTEFGMLYVQPGEICVIQRG QRFKVNVEGPTRGYILEIWGSNFQLPELGPLGANGLANARDFLHPVAKYEIEKEPWEI IYKLGGKFFKSTQNHSPFDVVAWHGNYVPYKYDLTKFVNVGSISVDHIDPSIFCVLTA PSRDPSAPLADFLIFSPRWDVASHTYRPPYYHRNAASELMGLIYGEYAGRSDSFQPGG VSFECGFVPHGVAYEEFKAASAAPPPEMRISEGAVAFMMESSRPFTITEWAWSSEKLH EHEPKMWDNLVDNFSTHTEEVEKLLAEQVKKLGVNRG CC84DRAFT_1160381 MDVQAPFNPSALFSAKGLVVVITGGGSGLGLAYASALYQNGAEK IYILGRRLNILEDAIKTLEASPSAPKNASSVLAAIACDVTDQKSVGDAVAQVQKEVGY VDVLINNAGVLGPTNGADLYKAESIDQLKDAMVQDYAGWDLAFKINTQAVIGMSAAFL PLLEAANTRRGWAKGKVEGEGNPRAQDTSVLSKIGCDADDDRMAHIITTASVASFMRY CTAGLAYNASKAGAAHLSKMLASFLAPWGIRSNVIAPGPYPSEMTKSRSAKFGTGAVP QGRMGSANDISALLLFLVGKGGAYTNGTVQVTDGGRMGVFPGTY CC84DRAFT_1160382 MVGQVTGLPTWKSSGQEDKTTAVDAMKKASENRDPSQGMGGIEE KAGNLVGCEGMQKEGAESKNK CC84DRAFT_185113 MARFVACVNGNRVKMDWKAMWYVWYVAIRFVMLRGCDVDVVFQV LDERSLTSWTTRARIIVTWTRDIGWHAQMLSP CC84DRAFT_1212619 MVEKYITTVWDAYGMRDTSFEARAQLRYMVLESTALSNKTLSFS PDEIPTQGKDLFCILLNRHVNRKSDVDHETVAFNEPLLNFNVEDHGIVCESVDQSIRR FRRVGFFKEYMSVDNRFLRALSGEYEGKVPMFDKSRADGRMYFKSDILNHLGFHRHGD EAPVSSLSTVDAETGVRMLGFKLSDFGDRERSLEKIVPQDLVKVDGKLSLFRDGYEEV EMEIM CC84DRAFT_1079538 MIRYWLQQCVDNHIECGRGLDNVKPTRLLDLAAPLGDQDIRLVL TEDIGEQPYATLSYRWGTVSGVNLMKSNYETFRTRIVLGTLPRSVQEAVRVCRGLSVR YLWVDALCIIQESGADLAREIAQMGSIYAGSLVTVAAANSTHHESGFIRERYPLRRED CIISGTDQEIIFSHELQYDNLNKHLMTLQKSKLNERGWVYQERMLSPRTVHFTAEEVI WECRELCQCYQCAGTSSARVGSSSSHVKNAFIHLHGLSNPATRDEKFPTVWSSILSAY TSTMLSNADDRLSALAGIAQFAIEKLDYNASYGLWLHGFLENLLWWVKPRQTADLASE VEALDHVPS CC84DRAFT_185186 MSTNNDEEPKTSITPLLKRLWHESAATAPDASEIAAAISLIFTN SLSEVQMGALLTCLHFTERDRRADVLTKCAQSMRDFSTPMDVDSLAKLIAERGRKEGD YHGGLCDIVGTGGDSHNTFNISTTSSILASALLMIGKHGNRASTSRSGSADLLSCAQP RAPQISAVTPSTIHEVYSQSNYAFLFAPIFHPGARHAASIRKQLGWRTIFNLLGPLAN PLHPLIESRMLGVARKEIGPDFAESLRQSGCVKGMVICGDEELDEISCAGPSHCWQLK QNPETNKVDIEYFTVSPSDFGLPTHALSEVSPGQSPEANAEILMRILKGEVPEDDPIL HFVYINTAALFVVSGICDADTSDMGHGDDGKVITERGPGGGRWKEGVRRAKWAVKSGE AYRQWQHFVEVTNKVAPSTVGS CC84DRAFT_1160385 MGKKRTHAETKDGPARPPTDKSRVSAKHGGRDARKNGTPTTRPN LLFTPQPEWHAVALPQLPIVEHPAVPARHVLDELHQYADELLLADGDEYAASHLSKDS SHKFMSTVMTSGTMEDKVSALTLLVQESPLHTTKAFEQLLGLSRKKSRSAAMMALAAL KDLMGQGVVLPPDRKLKAFARQPGLISALQGKAATWNYGDRLPGAVQKIHLVAWAYED WLKKQYFEMLKIIEGWSNDEVEFSRNRAVTMVWELLKEKPEQEENLLRLLINKLGDKE KKVASRASYLLLQLQITHPAMKSVIISSIESDLLFRPNQSGTAKYYGVITMNQTVLSG REQDVANKLLEIYFSLFVGLLKQQKEHDKKGDAVEKLKINKHGHVQGGGGKPGKAALK KQEKAATQAFKVDDENREKLISAILTGVNRAFPFAKTDDEKFGEQIDTIFQITHSANF NTSIQAMSLIQQISSTKHFSTDRFYRTLYESLLDPRLIETSKHIMYLNLLYRALKADT SIKRVQAFIKRLLQIIHLHEPPFICGVLYLINELIIQFPSIRTMVTAPEDNADDSGEE HYDDVPEDGETGAPKKQSETKRAHYDARKRDPSHADADLSCLWELLPLQAHYHPSVHV LATKLVNQDQIKEKPDPTIYTLMNFLDKFAFKNAKAKASATHGTSIMQPMAGTAKATD YLIATRDGDKSHGALNSEQFWRKKVDDVREDEVFFHTYFEKAGKAKQATKKEKKKRKK DGEESDDSGEEEIWKALVNSRPEVEGPQDDDEGFSDIDMEDMMSDDDEEGGIGMDEGV ELNLGSDDDEEDGTAAGADESDDGMDGFDLDDEEAFIDSDDDIPVDIAMDDETDVDET AAKSKEKDKKKAKKRKLKHLPTFASADDYAKLLAGDDSE CC84DRAFT_1201981 MFENDSAAFKLTMPNRTRKRNRNRNRGHNHACTSGSWNGLSRSE PHQERFEQSDDFTGWNLYEEYVLQTDMHRGKTGREIPNGVLAWIRREHVPRLHHSHIM HRLISHWTVRDLKLPRRFPPPPLQSPSPLRVTSGSAGRGFRIRSGLQDREGSEWARKI HAEVARSYRRPARVPVQVKHEGTDEDVGEEEEDEEEEKAKKRVNERGWRPPTLHQAPG RFRLGRTHRRVNGRFIRKAFRITIQDADQAGIDSHLKPGQQNKQYCLYHLVDYAIKVE GMDRHDADAAAESGAYDGSLYDNIKDFPFDSENLLPFSTYICLELSNKQLTDCNPGLG LHPGTTNKTWIQRCNRKYHHRRVRQSDSLSTVLVRINRINAKRFQVPAPRRSLRRAES HLIWVPPFTCSSHSKLRHPGQVPTRSYGYDSVIFTMPLGILDDNKLEHVPGTAPLSEL GRVDAELAGIDRGLLKHDPSGQIVLVPQPSDSPNDPYNWPRWKKEMFTLVIAYGCGCV GAIGPLLTPAFVPLATQFNVPLQTFSLGCNGSCIVAIAVGSLLCNTIAVKVGKRPVYL ITTLGLAVSSFWAAEAKSFGSLAGARALQGFCMAPFEALIPASVSDIWHVHERGLRMA IFNLGVLGGINLAGPIAGSIIEKGSFRIAMHAMGGAMVVMLILVIFFMPESAFVRHDA INIDTSDKTIDIENAEKEKTVQIEHRVAVSPASSEPRLSYAQELKPYSGYFDTVSFWR TLIRPFVLILSPIVMWATLLFTICISWLVLISITLSQIFSAPPYNFSVSAVGATNVSS FVASVIATLVAGYVVDGVATWMAKRNNGVFEPEFRLPVMITYLIFTATGFFAWGESLY KEDPWPIPVIVCMGLINLGVQLGTTSVVTYVSDCHREQSAEAFAIMNFIKNMFAFGLT FYANDWIALQGVRDCFFVIGGTTVAVTVTTIPMYIWGKRARSWVYRYRVLDRVLKKE CC84DRAFT_1255281 MSDGNITLPRDSSFLAEIWYGIGLTIITLRYIARIRSVGFRGFQ GDDYIAIVSLALYTADAILVDIAYHKGTNVDIPSDVVDLLTDAEIKRVTEGSKAQIAA WYTYTGLIWCMKFMLLFFYRRITMATFQNRLVRWCFWMCGVTYIAVFLTITFGCHPTQ MNWQVRPMPPRRCTFKPQNFYVGAILNVVTDVIILAIPVPMLWGLQIKLSKKIAIGLF ICSGTFVIAAAIVRAALTLGNTPSGLNINRWGVRETIVGIITVNLPILRPMFRRNFWR GTGPIAESSSYARSRPTKSAYGHGTFELQSEPGSKRGAAASDVELVTVPRSSSRSSRR SSSLEKGSGEGSIQNGIVIVEQTYHVSSSPRNEDEELGNWEDENKDLGRRFRTDISSG PRP CC84DRAFT_1212625 MESPKNSALTTLCDEETFKDFSDVESDDTTLVQLRSRSRVFRPV IILLSVILVLIPLIYFTVATPRRRLKYDQCGTTADEARARGCVFEITGFTWLPRECQD TETEDEFLDYLAENDLNIYRDTKYTDIVPIEEVRLGNGPGFFVRQQYHVTHCQFLLRK LHRAQTSGRMIDGQIMPTHHTVHCGEQTLSVIQDPSWREDAIQLSYTKFPYCGKPGGY NVGWDQDHGRPTAWTNA CC84DRAFT_1228221 MKFLLAQGYQRVEQEQSSEKSSRTSLEGFDTSGSPIASRRMIWY RWLPWVLVAILTITNCYAWMATRSTHFPESIFSPATSAIKYKTVIFQAGIDSDTSPYQ GKPSQEIDHEWEELHKYGVSRIPMSDAAQLANRTSPIPGDEGHYVVILEVFHQLHCLQ HVRRRLFWNETEQGSPDEVEALSMKHLDHCIDSIRQSLMCSADVTPLPYVWWRKYDQL MPATAVAHTCRDFEAIRDWAKEHRAGKVDKHTQVYDPLGDQVVYDV CC84DRAFT_1228224 MKYSRSVISALFAASVLAAPVPAPGTEDSSVDNAFVNVAYYGLK EREAAAKPEPESATVDADFIGIVYNGLKKREEEKASVDSAFVNVAYYGLKEREAAEQA KRGEEQPDVDSAFVNVAYFGLKEREAAEAVAKRNEESSDVHSAFVNVAYYGLKERDSE VKG CC84DRAFT_1160388 MKIVGDTPAFTPPYSSVDLANLFGIVTDAFNPEQYRNGYCGYGK YDDTGNVVPVAVWTAKPRQTYEVTPVVTYYVSTGDFHAGDVVDVTTLGAIAKIDFTTA KAGQTMATITHEIDGRYSGPVFTYPPTKRRP CC84DRAFT_1183616 MRLLKSVPDGGFGLTSFDDDSIPPYAILSYTCIEGQEDGYTKIR FCGDRAAADGLEYFWYKCATKCYVYLSNISIPDEEKSFQRSRWFTRGWTLQELLAPPS LEFFSQNSRCLGTRVSLELEIQDVTGIPVKALRDPKLSNFSVDERLKWVAKRTTTVKE DKVFCLLRIFGVFLPLIYGEGVEYATSRLKDEIQKRQQGQGKTDLQDIPKSQLQAIEQ ILFISDTHRRITIYGLGSCGKLVLALEFTYRALTRHLVKEALRQLDDWLIIVDNADDP RLLFDSNSNAQSARLVDYIPYSNTGSVLFTTRSRKVATELTQTHILKLDSLGQSKAQQ LLARQILRQALLNDRAVVDELLKSLTPELFSERFKDASRYLGMDSTQIQRQDPLIAEY LSFILCVDRINIPQSLLPPATSQLQHINALSTITGYAFLTERQETILGANKERFFDMY RLGYSQRKAWVAYLPHALHVVGIEDALDVATSARLLERIGLCRESNGQYAPAELALQK AWSLRKGLLGREHPDTLTSVSNLGSVLSSQGKYEEAEAMQRRALEGYEKVLGREHPDM LTSVSNLGSVLSGQGKYEEAEAMQRWALEGSEKVLGREHPDMLTSVSNLGSVLSGQGK YEEAEAMQRRALEGREKVLGRKHPDTLTSASQLGSHVTEAPNSDDYEWLVGDLEWKF CC84DRAFT_1079568 MTTSSTPAYPSRCRRCDSRVTLMFTRSNNRIGNAGRPYYKCLTC TKFLCFADSRGLDPSNPLCSCGIPSRRQISGPARCVPRGLHYVCSQGGCSFYSPMHGD YGQISLDEEIASLFIQLSFI CC84DRAFT_1067826 MDPINEAIEEINSLGPGETFTYTAIAKKYGVVRSTLTRRHKGET ASSATQHANTQKLTPQQELELVSYIEQLTARRLPPTREMVQNFASAIAKEPVSESWVT RFLTRHGISITPRWSTGMDRDRHHADSEDKYQLFFQLL CC84DRAFT_1077359 MFKPLSSAYSKQLTKYLHNAQGLLPVKKGDFFPLFWEAWTCSFQ EKTILRSFQATGIITLSLEIILKRFKKSTLEQEERENSSSVLSGEDWLKLHSLIRSES SREAQKLSRSLHHILIQNELLHIEVEGLSKALLSKKKHEKKSKPLDLQQRQEYRGGAV FWSPSKVREAQFRQRIKDQEAEKQQLEKARKKAEKASKKVHQLQEKEERARKALEPAT KPIQKKQRREVVAEGRGGDQGRDPSSVRTTRVGRNIKLPQKFK CC84DRAFT_185325 MVKEKKRRKESLAANLPMSSSKRPRFDKRGRQLSRFYEPLVLLY TLGRTRGEHMRDILCDGTNISNLPHKYLIRRFLCSLAYVCDYDKGGDTVTAIGLESRP HGYIFWIASNSNQTTKTVPFLMWLLGHMYQVSIEATAIVPEQVDDLGAKCIDFAVPRI KAYRNHLKPVLRRCLGHLDNQSHNGNEAHGLSEWLQNWNDQRGSEELCHFSYQERKSE FMQLLAKLGTEPSYKSNKDAIHHAFSSARHLIGRLGHHFRVANELLFCASRLSDILHG FEVRSIPIHTRSAIPPSDGKTTLDSVISRMLPSQSADVERYQSNLAEMDAKYQLSRRF LDNYRDPDLNPRVHAEIQVLEHFYVGGLRFVESDAFVACSKPACFCCLLYFRAHPGHF VEPTSHQKIYLNWRPPDLDAQMAIIRENHQRDILNAMTKSIRKEAFRQINEKIPPHAR HPESLTGITESASSPSTLELVSITCISSSSASFHRP CC84DRAFT_1084432 MSRSTPPPSLSADSKLEANKEKPYLAEDEEDKQTFGSKGPPIVA NSTTNGTEKADTSEDGDTVTTPRGAKEPQKPVQYPKGIELFFIMLALVLSITLMSLDQ TIVATAVPKITDQFGRLQDISWYGSAYFLTLGAFQSMWGKVFKYFPLKTSFMVSILIF EIGSLISAVAQNSTTVIVGRAIAGLGASGVAPGVYTISAFSAEPAKRATYTGIIGLTY GVAAVAGPLIGGALTDAATWRWCFYFNLPVGGLAAFVILLTFKTPAAFKQVDATLKEK FLQMDFPGTALTMGASLALLLALQYGGITHPWNSSTVIGLLVGFGLMVLALIVLELWQ DERAMLTPRLLRQRSVWVNALWGFFFAGSYFITLYYLPIYFQSIDNRSPTSSGVRQIP LIALFSVTTFGTGRAITKTGIAAPYLVASSVIVTIAAGLLYTLDIGTPTGKWVGYQIL AGFGYGMGLQVPVIIAQAFAAPSDIAPVTAIIIFFRSIGATFLIAVAQSGFDNQLVHE LASTAPSVDPHLITATGPTSLRQVFSGAELDGIIRAYAWGIKIPFAITIAACGITAVT SLSTKWTNLNTKKDVP CC84DRAFT_1160393 MTALLRVVCGVDRRPPDVRQGSASSTDINKIVRADYTTPFYMDV AYEAMDAWKTWLVLRNPNGQWFFHQSGWVMLSDEGSDLAERIRTDLRARGSDGTSDLV IDGSVRERWGGLLKNTRFDDPKIEKGYWNPEAKLDGLTLETPWPE CC84DRAFT_1160394 MASLPLTSHLLSLNRDQFTRATQSHFLARAASGTLSKHVISHWL ANDRLYMQGYIRLTGELLRITKVPTRPTPSKEAGPLELRLVDWLVSALVNIRREERFF MDVAERYGLDVDLTGPDGVTIAEEKKIEGLKRFEKLFDSLTTGQSTEATLPWLEGVVL FWATEKVYFEAWSWAKRQAEGMTEKEYGSDADGGAVRKEFIENWTNDEFIEFVNTLEG ILNEGVQGVVDGDKGLRKQIEDRAEKVWQQLLDAEEAFWPDVD CC84DRAFT_1160395 MGAVLLYGAYGYTGLLATEHAIQTGLDVVLAGRTRKRLQDLASS LNLPYRTFNVSDSAEVIDSVLSGVSVILNCAGPFHRTALPLMKACLRNRVHYLDIAAE LDSYFQAEELDQEAKRAGIMLLPGCGGSVAMLGCLAQRASERMESPVHATVALHVSGP MSRGSAISAQEGAIAGENYPPSNRRRTVRNAEGNKNFDFGDGRGLVECFPATLPDLIT IRKSTGASTVYAYVHVSGNSFPVGDLGELPLGPELEERERHPYHAVVEIQARNGSVER AVLQTVNGYTFTAITSVEAARRVAAGSFLPGFQTPAEMFSGSFLDCVDGSVIKEGES CC84DRAFT_430264 MPIEPAELLCTRCSRTFKHTSSLVRHTRRCVQNLKAPSRQKSCK QCVVAKARCDLQRPRCGRCQSREVQCDSEPVSGAQGSRLDSEDKTPTEHRQLHEGDEV SACLPRNSSTTFVPTSLLEGASNRTGPIFSSFCDESGLYTPPSWFYAQDYIAPEAIAS KQRSQILLGTPHGTLSTGAVAQQAMFHVIRVLRSWPRMMSRYGLGSFQLPPMIHHIQL KEGLPISFLSGISLSKMWLASEGRNREVAYDLTLKEVRTWLCEYQSYSELDLLAATQS LLLLMIILFLGDVQNPVIPHPTDAELIVSMWNVKHHLASTGLFLDEEIKHSLPVWKKW AIVSAKRRTILALHHLEWAWSLLHGYPPLACFELGPLPAPAAGYLWRERCEKEWKRQY YEWLSRWEEGGGYKLGELFHIKPGGEMDMRSETWLAEVDEFGMMVMSEVNAVNEVK CC84DRAFT_1234402 MRRPELPSLASLGIWSLSHAESASPRLRSHTPAPMRRDMPSKKE IAPLNDIVNVKISTTKCDMGIHDVKGAHGGDNVNGVESWLAAVEIKIDLEKAQQTTTT ASPGTPALRPLSIQQYGAQLCEEISPTDTSFSSDSIFDSPCGPTDSNCVSARRHRYPE TEISSLNDEDELRARKELLYSVELFDDSGFEAATSATLLDDSPLESGSSESSPKLIPT TGTPALKGFDPHANHLILLTSCLQCVLSGLPCSRTLPACSRCIRHGHADLCLAQRKRH HSEIYCANDSVDLDPLLVVAEGDNDGTFQKKIQLQNELLKTWREKQELKNWVLPVDDG KRGDWKTEPMKKAEVHPGVGSGPEKYHRLRISMWHENGMCGRIGG CC84DRAFT_1110334 MGNHPESDKALRYIAQLDDARCAARWSDVPELCRKVEKHAPHRQ CLTKTARAEAQIVKYSTQRPSTASSTASSGLSTVIPTLLTAIDDEGDHVQDAFQATVC LAWLHYVLDEPGLAVARLPKDMAAVATKMQETTPTNWTRVCVVKGAFLKGSSHEKTDS PEEAVTSFASVLPWLSTNSAAHETPQFRMWTEHLLVRLCHLSNQSLGGSNYTDLADAL QAFRFWAKYWETTAKGGGSEGANAATYRRQAWKAYYDTLSAILRQDVPYDSGSEYADH ATEKAPALPHSRLQQRAELKKVETIYESLLLKETHFPRASDSNTEIEQWVDSAIDNWR VFCGPSWTDADLGAGGKEAVGRCMLDVLYRAATKTFHSTQILRHLFAVHAALADFDLA FKAYDSYVDIITRGKDRAEKSGERDAGVDDDSTVLRTSAEAIRVLCRFGSHAESKKAL EIGETIEKWLEQSEHTGLTASEAGSTRSIETIVEPRSLAIAYCAIGISLAQWARFTYE ADARAGIQAKAVSYLRKSLAPKLGESNNLEALYALALVLAETRDIPGSVKAVKVALSP ATKSKASTSADGVINPGSAIEFGRERKLIPFWHLLALLLTARSDFPAAEQACEAAFEQ FGDPFILFGKDDAEGYRSEHLNEANGKSERSFGIVDRMERFEKTAVLEIKMTQLALVE IVDGSHLAVDGCDELLALYARLFGDPAAEKIPPKPVTMAPPKSSAGTIRGSIFRSKGS VKSPLKNHTAQHSSLSSSDALAAVTQTSQAPTIQVTDENTNGNAKEHHHHHLLPHRHR DDHSSVQRSPSKLRKKSVSSLNRRSEMDGQQEPEVPQLLDGAADSTPARNSTVRSRSA RRSSISSSNRRSVSNERSLRPIPHNMSPTAEPPPSGHTSQPPKQDTRLPAPFPNPGYI PPEPHFSKIQERRQKVSLLASIWLFICGLYTRADMHEDANDAVNEAQKLVEIFEAEVA QETSSSKGFAERGWGGGKSVEELWGDVYAARGELFSAQDRKHDARDEFERAVQHFPDH PQAIVGLSNILLDIYCKVIPMERTSSLDGPAPPPIPSIANSKDSPTPHMTAHTPSAEN QLSPPELSRLAARDRAFGLLSILTKRGAGWDYSEAWYALARAYEESGQIDKTKEVLWW CVELEDTHPTRSWRNVTLGGFVL CC84DRAFT_1160398 MAPMATELATLRALTFRISSTTTSQLPQHVPAIAASLASCRTLL SSAQASTSKNASEASVAVHKFRTLLSTLLQDRTIQGRWAAIVLIKSTIEIGGWETLQK SLPWVRGLLGILTKPDPYSSKQLCIITLTRIFFLTREYPSLVREITTPSLSPFIQSSL QVAASKSSPALLETILESFNQLLPRHPTTFRTHLKHIHQLLSQTVAPTPSSKLSREQT VGARPDVTPAVSDAARRLYTQLPCSAPKGAAADEWQKNFKKAIENAHSLGDKVFRAVV EDWKSSEREPAAVNGHTLDDEVQDLNADLMSLPPWAGIFAGGERLLGLLSLIKTYILC PTTMPVNLPIGTVVDLLTRLLSLTISSAKGQGFQNALKLNNQVSKEERENLWLILPEI HVAAIDLLLALTQRSDASTTAIDAAMLDQIVWVFASEKDSSEIRTACYLAVAELLLRS GIALPKSSIDPLVDMIRRCCDDILPLDTNGTQQKQSSAPKTNGAPQATTNADAFLKAP KATNVSCNFIGLQTAARALLPVLFTYVRPQYLSDSLRARLDRTAILVRDKDAMIASVL NPPPSRKFGKPAASILPFLARNQPGEQDVEALLRPRMPVIRTGTHELEEGAEEDEEAE MSEEAGGDDEFVGNELDSLLGTAPTADVRDDVPMAEEIDIPATAPAPLMSNDRPASTL EGGNAIGKRPQEDSSSLSPPKRVKVSEEQEHLNITTLPVQTSPVLPTKIVAPKLEAPA VVPDLPATSQNMASPTVTTLAAPSAQGDSDDDDDKISLVLGQDTDSESE CC84DRAFT_1201993 MKFTVVAIVSVLIAPLAYAAALSPANINALAARDTNAQSGFEDV VGYALPDGTRKIDFYLNGALEGSAVETKDGVEFLDASGAVVSLGDLDQAGGLAKRVSK FDFAIKFAKLLAKYGKRAWDFFYCVGLNAAWKCSDDFIDCAGSGIPPWSCIEGISMWR RRSKFRILIKEGYSITISTANICPENGENIAIVDLFLAGDKIATSEFDLFQLALRWCQ RNGNDVLEYSHILGLSALTDEQQVWPGK CC84DRAFT_430316 MRAGPRHLPQHHLTSYSLTLSYAIHPNHIFHIPTSREIQSKSPY YTAHQTLTMPLCTLHLIALAPTASSPNPQPSFLHALKSANLTPLVISRVIRWIILPST FSTAPLLARNIHWDFLLILPGTDALPSSLTPLIQHTWRITAGVPSRLTQDFARKNAEL LRPAKGTVPEVSGDALEGGRTAESAQNLELSDELKEWIRGFSRNGTKEAKGAVSMFNL LSFRPGKKASYLEYGKAFASSIGSSHGGNAKLVGGVTHVDGVPRGKEVGDGEGWDEVA LAHYPSVLHFAEMLGDAKYQSVNHEFRVPALRDTAILMTSEVGLEDLMQEKAGAKL CC84DRAFT_1212641 MDFDTASQSLSPAAASHNPNLKLPATCDPPSEHSPPKQASWIVF GATGHMGRSLVRALLSHGDKCTAVGWTQENTMEQMKKWEQEKNCVGMLCDVRVRETVD NVIKKSIEHWGHIDVIANCTGYGVIGACEDQDDYDLRNQFNTNFLGTLHIIQLSLPHF RAQNSGRYLIFSSTAGALGVPGLGPYCATKYAVEGLIESMLYEIDCFNIKATLVEPGH VRLDEPDDIVVGSENPGPDGPPKPKRYGHFFVKEKLSEPYNTATSPAGHAKRMIQWLN DRQPVSAVKSAELVWQLGHCSYPPLRLLLGSYAVESVRDRLRSIIEEIEDWKHLSFPV VGPTEEERERGGDEMEQEDQENE CC84DRAFT_1065297 IRSIRSRYRVQAFLILGHHFFLQSPLLLDIFVVLFILVSNFMNR QNTVPSQLLVQGMRFLASNRRELL CC84DRAFT_1160400 MAQLPSPVAQPVSPGSTLSFTQGFLLGQLSIALILFVFIKFFIF GELPSADDRAVHLNSLRRARTLAHQSSLHQRTRSNSTTNPLRPKSSRAVIREAAGAGG PSVAAILAKTYYNVKGHQPESLDWFNVLIAQTIAQLRADARQDGAVLTSLTEVLNTGS KPAFLGEIKVTEIALGDEFPIFSNCRVMPAEEGFLSGGGSGGISGTEEGRLQARMDVD LSDIITLGIETTLVLNWPTDRVAVLPVALAVSVVRFSGTLAVSFIPSSSPPSTSSNTP APDDAERPGSSDDPGLGERSTPRRPTTLAFTFLDDYRLDLSVRSLVGSRSRLQDVPKI AQLIESRVHAWFDERAVEPRFQQIVLPSLWPRKKNTRGGEEGDAEDEGIQVTSPTPEA PRERESLPQTLEARIEAEGAKLREAEIRAGERKRDPDEGVRWRGEHPREQGRPTERLG REWSAREVSAAMPGGMPG CC84DRAFT_1110349 MSDSDLSTPPATDDEMPVPDLPAKATKASPQKKKKSKNTTILSF FDKKQRSPTPPLRKKRAPSPPHEAVPEDNPDIAFIVMFRSRFSDAFPPRCPHLGPQDL ERGVAADLPTPEVEALLCALLGLVNNRKKPVEKGHYGRALEEAVQTQKSQWPRKWNHI NPLHGGRNFNNMSPTDRLDLLKALVSWSLNQSELIVNMIKDGYKSRTSKDPRDTNIPL SVQPWGKDGDKRRYWLIEGQDDTPFRVYRESNPALKHVSWWSVAGSIEEIRALAQKLQ EEDGTREAKALGERMLAAIPRFEATEEKRKKRMYRLERKAKLERPVLGYSLYEGRTRG KRMRYTFDENEDEDSDAGVRRSTRNSGRETSAGPSAPTITASGRQVRSRATGMYGETL LSGQTIEGASPATGDYVRSDGSEEPDRPGHGRSTRAAGRGGGAANTKKRNFESYHDED ISEEEDATSWNGDDEDEDEPEQMDLDESDESDEEPSETEDEPHSLLVRLKIGKVASSS FEKGSIPNKEGNVNNEDASQYVPVNTGPPLSGMDAARTQTLAAPVPAPAATAAPIPQP LPPPTSLVAQIPADHNPFSAPEPPALPSMAQLNGLPPQPVQPGAFPPAAALAPMTAPM AAPMVAPPIAAATQLAPPNGETHTLPPLGSILNAPTYAAEEAPMLQAQPSFPPTNPDA QRSPKAFAHTLPAPTPAANWQ CC84DRAFT_1255296 MPPTFVARLLELRKRVSERAVGPWTEIKSALKEREAAVNVIEAT DEFLAAHGRKKLKLQTPWKVQKETPNKAIIWVQGLLTKVDSPGNGIRNSFNELKSAGN AGAATNKFLAAHDGEMAQLQTTGEVQRKPLEKATARLQGRWNGEDSPRKRPRV CC84DRAFT_430384 MTVTTTVKDGRAGHDLVWVSIGQNMQQGVYAFISLHFQLAVLGN ILGESYLSMLASDTGIHGFVFSSKFSIHLQSIAIFDSHTLFWHPHCTDVYLGPIYLLY LAPAQTQKATGRPRSWMPQYQRPPITGPDKSFCHPRTAILYTAGKLNDADARRHIPVP RPTYSPASATALSRTTVLYTASHGNMDPMGQSRPAPRTPHLFQWPRSRPCLRP CC84DRAFT_1160402 MSVQEKAQAHLSQLDKELSKYPTLNNLEKQTSVPKVYAFLGLVG LYFFLVFFNIAGAFLVNIAGFLIPAYYSMGALFSASKVDDTQWLTYWVTFAFLTVFES AVNAVYWFPFYYTFKFVLVLWMALPQTGGAQIIFRSFLQPIFSRYFSESGSTAANLRA QADSAGKPHAS CC84DRAFT_430496 MTARNHRAQSSASVSVRSAINARPTSSASTTSRHSFDQHDLQAQ FQRNQHQPTPPAAAFNQQLQYNQSFAGPLLQAAQHVSQQENVSMDPVHQLVGYVGDGQ TGMGPTHGLPLQHIDPNAHQSFQSTQSQPQQYAVEQQQFVATPVDVDDKKKKAGGPTA QNDKELREMLRHNMGRKLKEVAAEVLATDRTSRSEKSKQLFAMLWLQQACTIGKTSVP RNRVFSKYASRCAHERVVPLNPASFGKLVRVIFPNIQTRRLGVRGESKYHYVDLEMVD DDETQPPRQMPRVPASRNPLKRHDSTASQPRMSTDTAAFPSQHQQSYSTTNYYPQNSS KGRCYADIHSPQTAGYEYELRFPTPELLNNVEGDPLEIVMPDVSPYLPEGTDPDASEA LVALYKSHITSLVDAVRFCKEKQFFRLFTSFQGTLTVPVAKLFAMDKLSAWIRECDWM MYQKMIRNVAQLTLQVAPAPVLKFLDNVWKLLHSHISKAFHNLPSTVLAARLEPATVF SHLLRQMLRVNSTAHAAAVMLTVDENRDRMWGDYQAYVNIKRIMENELPHSCNHELVA EILSKEIRAIFLPLKSEMWLPDGTFYQISTPDPPVDILQETVIDRIAAFLTRLPSRFP DLSARTVLHTLNAISTAVLREITVENGPSFQGWWLTKVFVDEMAIWLASLGGFLSHQF SAPDTASPGILGESMNAGMATGSSGSQDQSRFGSEEFGTGASFMGGNGSASMHGPSMS QPDSQHRQMTAAQAFDLEFALSQDAGLDDSGINLDDNIEAKFAAAMQQFPPVSQMPA CC84DRAFT_1255299 MGLLTGPNPSQRPFPLPSLPKSIRRQIYECLPVCTRHVPGYRDD SDDNIYKDKPVVILVLRYVERAIVQTYRQLAAEAEPVLEIKTMKLGPPRLIASVEYLK RITHDLQFLHRMQDDASWPRLRISKQDLANKTLETQKILMWARILQLNLLSEKYICIG VFVGKRAQESGGRQLLDNLAMQLEQTMALQWWCKDCRHTRPMSQVGVEWKCVMVKDAT WPALPPGCIMEAITWNRGKQREDYGVYGFGQLCREDEEQWKNGVKFPKWRA CC84DRAFT_1171798 MTLAVKGERAFERQGEYIRERRTGSKVAWIPQTSNMQSQQQSGS RNTGSPSQGGRLQQKTSKSSGSGSQKAPSGTRSEHSRSPMPGRALSAVGLGIQSAASS SLGRSIGHGRRRGTGYAPSCGRGSPSRLVRGRGGYRGRSGGTSS CC84DRAFT_1171799 MAFLDGLIDLMVPLKAGRSSSRSHQDGGLHAAAVRFQQANGHLP RGSRPSPGFPAGFPNGAGPGGPPRAPVPQFGTQMRLMGNSRRLNGYETDQPEAFRQGG GRRGPGLPALNANHFAAVRGSEQSSRRDGSQGGRSNRGNSSGAISMRGRSSASRMGRS QGSRRCR CC84DRAFT_1193119 MYLREIHAEKHIPTLYQFIKENPLGLLTTALDSNTFHSLQSSHI PWVLDNPDGEGTTELGTLRGHIARANPQAKSFIEAAKETRDGLTNADGSYTLTRDVLV IFNGPAHHYVTPKFYTGTKPATGKVVPTWNYSAVQVYGCATIFYETKADSTHTFLNKQ IRDLTNHNEVETMGNKENPWAVEDAPESYIEILKKAIIGIQIEISDIGGKWKMSQEST VGDQEGVINGFAKLDTELGKEMSETVKASVHACLIADSDHPGSARNQTDSIAAPPLKF GLAWSVALRHQCDRPVFKLEHVQ CC84DRAFT_1076815 VQAFLCRELECTVLDELAPSFFIFCKRRSSHVNTLHEYSSTGSH VTVSEDPGLHLVRKYDVIFIKPIPHCLLNFNFWVSYLMPGHGGSGAGQDLHDPARLKS STRSALGLLRTYGYLIRHESDFLVARSNHLIPRDVSYTQFQYFIHPFRELPDFVVSPR YEYGHIRMTRLNLAIRILRPKSTRKIFPWSYHKLYYHSGQYLAKFAAPLLFLFASFTL ILSSMQVGLA CC84DRAFT_1202002 MRGAVVDVRSDVLRDRKVDNLERDRDRDRRRWRGRGSEHSSSSL VLISLGIPVPTSCSGGYSSSGYRQASPSVASQSRSRSASPKPSFWQKLKAENEERKQK ERQNVSAKEATRITGYGSENKPYAYSDGAVMELLMNGYVDPKIRKSREEAKKLADTGK RYNNADTGKKEP CC84DRAFT_1160406 MSPLANPQQYLDNARIAPEVFQLRPDYRALLLVITNIPPAPSDA QSDALLQEAEASAKAALAKAPVTEIPHVKAWRSAYTAFGAKPKKTPNSLEALTRRVEK GGLPRVNRLTDIYNAISVKHQIPLGGEDLDKYSGAPFLVRAKGGEKFEMKAGGEVVNE PPIAGEVVWCDEEGVTCRVWNWRQGPRTALTDETRNVLIIMDALEACSDGQLDAAADE LSRVLSGLSPEVEVRRRLFKA CC84DRAFT_1084578 MLTPPPDTPGKAWPAILIGLFVAFGGVLFGYDTGTISGILAMPF WLREFSTEPDGTLTASQDSLIVSILSAGTFFGALTAAPFGDLLGRRWGLMAAAGLVFN LGVILQTAAVRQPLFIAGRFFAGYGVGLVSALIPMYQSETAPKWIRGTIVGAYQLAIT IGLFLAAIVNNSTKDRDDSGSYRIPVAVQFLWSLILVIGLFFLPETPRYLIKTDRYDE AAKSLGKLRRLPVDDPAVIEELNEVQANHLYEMSLGKSTYIECFKGTLGKRLITGCML QALQQLTGVNFIFYYGTQYFKNAGFENAFIIQVITNSVNVASTFPGLYLVEKMGRRNL LLMGAIGMCCCQFIVGAVGMTADASVDAGNINTSAQKAAIAFVCIYIFFFASSWGPVA WVVTGEMFPLKARAKCLSMTTASNWLLNWAIAYSTPYMVDADHANLRSKVFFVWGSFC FVCIAFVFFMIYETKGLTLEQVDELYGVVSQAWKSKKFRPKVQFSEIDNVGPDGRKLS LADVGANQERKRSIHDEPQVTEKV CC84DRAFT_1171805 MVQRSRQARREPASPMELLGTGRSTGRAEAARGSVGAKRLHGEV GWHAEQYRPSAAVSVHAASVRGGSSSPRRGSRAKALPWPRRRGPARHRGVRDGCEARP PSDRHMRPRMSTSAVSWPSFAGRGSTTRRCHCQPPRCPSRLAASTRRLASPTTARALR SQHTAPNPDLGITRARLAQHARAPAPTRTISGWQHAVRTARGGRACALCRASVLLWLC CRTDDSRSLDTGRVSPRMQNLGAICRIFRRAPAGVSSIGDPMGQSPRRLSVSAVDAGS DRTTMAHIDDPRNRLRLQPATMATSFTCLQLATTVGIKTNMCNVQLGNLSPRTPAPLA AQLHRVVRRPPGPVTWHARSRIIIRLGRKT CC84DRAFT_430660 MGKGRRMIKQGPPGPLDESLVTRKRKDAPPAPVSAQQPKKRKTQ DRKPKKAPQVNPGRAGAKSKAKPVKKAAPPPPQSDDDISDEELDDAEDGLDAKVTRLD ALGSDIDSEDLDDLDLQDDEFGDDGSVLDSGDDEKPMKKNAMWSDDEDDDDIEEKLTA ANIEGLSRKRDMEIAIREAEAAAELEEAQMQTNIAGDRPKVLDDEDEEGRPITNLVTQ DMQLLRTRLNDTIRVLDDFKNLAEEGRSRTEYRAQLLKDICAYYGYSEFLADKLLSLF PAREAFAFFEANETPRPIVIRTNTLRTHRRELAQSLIQRGVQLEPVGKWSKVGLQIFD SQVPLGATPEYLAGHYILQAASSFLPVMALAPQEDERVLDMTAAPGGKTTHIAALMKN TGCVFANDANKDRAKGLIGNIHRLGVRNTVVCNYSALEFPKVMGGFDRVLLDAPCSGT GVIAKDASVKTNKTEADFLKLPHLQKQLILAAIDSVDHHSKTGGYIVYSTCSVTVEEN EQVVQYALNKRPNVKLVETGLVFGKEGFTKIGGKIFHPSMKMTRRYYPHAYNVDGFFV AKFKKVAATPANAVLAGGVSAHNMVKANSKSNGTSAGKPPVEEEYVDKRPVPENDEES ESDFGGWDDEEDQVYMERAERAQMRKKGKNPNADPKAAREKAKAHEGQNGTSAAGEKP AKKEANGSEAKERQNGTSASKGAADKAPAKKEVNGSKAKKADAGAKASGAGSTPKKKT GRKSI CC84DRAFT_1212654 MSELTFCKTFLTALDARPVKLSSDHIADARQYPDGAVFVLPKLP PPPHPARPSPKTLPPQDASSSTLTVSLKPMKPSHPTVTKDAVAPAATSIYDLKSAYAA QTSIPPAKIKILYKKKPVTDSKTVAEVVGVDAGAEVEFGVMVLGGAVAGGTPVQSPPA VAPGEEEKGLAGAVNQGTSKTETPGAQGPSGQAVVNAEFWDDLKGFVVQRIRDEKEGD RMVGVFKSAWEKA CC84DRAFT_430552 MAQSGVSVAPECISAFNELKLGKDTKYIIYKISDDWKEIVVEET SKEDDWSAFREKLINAKSKDKKGKEGIGGRYAVYDVSYELESGEGTRSKITFISWCPD DAPQYPRMMYSSSKEAIKRALNGLAADIQANDADDIEWDSILTRVSKGR CC84DRAFT_1136637 MQRFATRARTSALKSSRSFNLQQQRFAHKELKFGVEGRAALLRG VDTLAKAVSTTLGPKGRNVLIESSYGSPKITKDGVTVAKAITLQDKFENLGARLLQDV ASKTNEVAGDGTTTATVLARAIFSETVKNVAAGCNPMDLRRGTQAAVEAVVEYLQANK KDITTSEEISQVATISANGDTHIGKLLSNAMEKVGKEGVITVKEGKTIEDELEVTEGM KFDRGYISPYFITDTKSQKVEFEKPLILLSEKKISAVQDIVPALEASQQLRRPLVIIA EDIEGEALAVCILNKLRGQLQVAAVKAPGFGDNRKSILGDLAVLSNGTVFSDELDIKL EKCTPDMLGSTGSITITKEDTVLLNGEGTKDAVAQRCEQIRGVMNDPTTSEYEKEKLQ ERLAKLSGGVAVIKVGGASEVEVGEKKDRIVDALNATRAAVEEGILPGGGTGLLKASV NALGGVKAANFDQQLGITIVKNAITAPARKIVENAGAEGSVVVGKLTDEFANDFNKGY NSAQGEYVDMIKAGILDPFKVVKTALRDASGVASLLGTTEVAIVDAPEEKGAAPPMGM GGMGGMGGMGGMM CC84DRAFT_1110377 MKINTSYVQKCKTVAHVFQIILIFIAGCITIAVFTKGGQTGGAT KYYFALCFLTAPALIYLTMVPLYSRTKRFANAYAFAAIDALYTILWLAAFAAVMAWNA RGVNEGAKSLKLSDDAPKNCTIFKYGPEAKCSLSRVTVGFGVVIFISFILTSAISGYY ANKYRKEGVMPYISAKQDPHHNSGDNSKDNAWSTDIEHHDGRDSDEEDRRTEHGGNQQ EDEYALLHSTETDEGRHPGRPLSWGEDHRVGGPYVAPIHSEGHVQMPYAAYNDDTASA LSPGGYEEYRRDAAPAAKRDSSFGGTGYSFSGRQ CC84DRAFT_1136643 MTTTKLETQPSNPLPFCGDPPEANGLYQRFSRFRSFVPSKHFPA EKDRYVLYINYTCPWCHRAVIVHALKGLANVVQVVEVDGRDPTHGWFFGGARGPSRDP IHGFKWLREFYSMADPQYIGRITVPMLWDKKNRTVVNNESSEITRILIDGFDQFLPAE RQEANKGASALIPSNLRNDIDQLNAWVYDNINNGVYKVGFATTQMAYNEHVVRLFQAL DKLEQHLTQTNHQPFLFGNHITEADIRLYTTLIRFDVAYYTMFKCNLKMIRTDYPRLH HWLRTLYWSECPETGGGVFKRTTLFDVIKRGYSSITAGNGIVPIGPMPNIMLL CC84DRAFT_1084632 MTDERTLAVQLPSHLHIVDKAINAWPASTQWLRLNNSAATTTDE PASGATKLRRMLFETNELIVCPGVYDGLSARTALELGFSGLYMTGAGTTASRLGQPDL AIAQLHEMRENAEMIANLDPFGPPLIADMDTGYGGPIMAARTVEQYIRAGVAGAHLED QVLQKRCGHLSGKKVVDREEYIARIRAAHAARVRMRSDFVLIARTDALQQYGYDECIA RMKMARDEGADVGLVEGFTSKEQAAQAVKDLAPWPLLLNAVENGKSPTLTVDEARVMG FRIMIFSFATLAPAYLAIKETLMRLKTQGIVGTPKNVTPVTLFEVCGLKHSMSVDMNA GGASFKEGV CC84DRAFT_1234514 MEENSTPSIQGSQSGALTVEKLELQPISDPGRSIGPGLRERFAA HFSGTVETKAGYIPVLVCCFTTGLTDGTVYNAYGTFVSMQTGNTIFVALGTSGQNNRP YGWARSLCSIGCFAIGCVTFARLHKLIGGARLRRTVLFSFALQTVCVVIAAIIIQSGT IDGRYPSTRDPRDVNFTELAVVALLSFQAAGQIVNSRGLGVSEVPTVVITSLVCDLVS DELLFAGLTKNGKRNRRFLGFLLTLLGAIVGGWISKATGQVGPCLWFVAALKACISLY WVGVVPLQRLIKGA CC84DRAFT_1171814 MEQEEQVEQAVASVEPPSLLGVDFISKLPTEMIASICELLDKRS LHNFRMTSKHIHAQSYTSFFAHHFRVSSFTFTRDGLKKLVEFSKDPNIRPEIKVIRLI LVAFPKQGKEHLSGLWHTPSEAMAAILAVEDGEYDVTGARIRQIRKYRKNIRRNRRRA YGRYQEDQNLLRRSGDDVNLLAEALRRLPALEEIDTVDIYGVDSPWGRGKIIEDLGEM PFTASMESWIPMKHDTYESRFEVERELKITSAHSVGAVLGAIRCSVIKFNGILELNGV PHSVRFAKWPHCSRLSLSATPARSFSLSMLADMKDTLSGLKALRVSTFSYVDRKYEDD PVEEYDIEWLYQLLERTTGLTSFSMNDNGARSNRTIDLDMMHSMEYRSITFPRLKAFM LLNAECETPVFIRFLEKHKTTLRRVCLDNINFRATTPLHTPDDRDTFLFVRWSERNYW RVIGYQSVSTELMDDYAQLEFRESLRSVICMNEALPVD CC84DRAFT_1084116 MQALIRDAPIGQAIRFFNPRLLPYPEERDDFELPPAYVQMQHGK NFAPVNSTSTSSEPPSDEGPPIEEVCPETEKDTEEKESSADLSDSTSDLDKIRTAGTT HTTQSQISRVGTREALSKAHTRADLEQQFSLATVEKGPSRPIEPEVRGDGTILVDWYT TDDPENPQNWGFGKKVVVLTQILIYTMAVYMGSAIYSPSIPGVMEQFGVNLQLASMGL SMYVLAYGIGPLLFSPLSEIPVIGRNPPYIISYAIFVILLVPSSLVNSFPGLIVLRFL QGFFGSPCLATGGATLQDLYSIIKLPYVLSLWAFAATCGPALGPIISGFSVAAKTWHW SQWEMLWLNGPVFLSLFLFLPETSSATILLRRAARLRALTGDARLKSQSEIDQAKMTP REIAVEALWRPFQLVLLDPSIAFTAVYTALIYGIFYSFFEAFPLVYETLYHFNLGEMG LTFLSVTAGVIIAIAAYWAYIFKVVEPEIRRNGLGAPERRLIPALIVTWFVPAGLFLF GWTSNANIHWIVSCVGIALTTIGIFLIIQCIFLYLPLVYPQYAASLFAGNDFMRSALA TGAIHFSSPMFRNLGIGRGISLLAGLTVGCSAGVYVLFFFGEKLRARSRFAAQ CC84DRAFT_1160414 MATELKMRHPPASATTIAPAPPKKDVEKSPPASGPADAHPSGNE KHGTWTQALRMLLFFVYFNGGCIAIAVSQVIGAPLYFYSRDHFYAWMAMTKQQFGVLV TTMTHWWAPVKTRVSGDESVRGQLRQTEDGRLETDFPERLVLIANHQIYTDWVYLWWV AYTNKMHGHLYIILKESIKYIPVLGTGMMFYGFIFLSRKWAKDKERFQYRLRKLSSAH QGPMSGSSYLDPMWLLIFPEGTNLSDNGRAGSKKWADKNGIPDLKHAMLPRSTGLLFC LQELEKTVDYMYDCTVAYEGVPRGQYGQDLFTLRSTYFEGRPPKSVNMHWRRFRIADI PTHSETAFSDWLLDRWREKDDLIEHYLEHGRFPADSGTSPAVNGGAPLKGAGWIETEV RSKNPLEVFQILVPVAAFALVVNVVLKLCNIVLKVFHVR CC84DRAFT_1160415 MKPVHVPRPVCSRGLAARQFSTTARRRVVKPITDLPDRVKPSFV ERPNATLLSLQWPSPPRNILITKKKRTPGITESLVEYTTHLSSTYPGINIILEPESAS EVHETVPFPVYTYDPAPPNGTPAHLSDKTDLVCTLGGDGTILRASSLFSHATAVPPVL SFAMGTIGFLGEWKFREYKRAFREVYMSGASDAYAQLEGPEPSTTPPATSIPVSPDDP LDKPLSYADIRGKAMGSNRTARVLLRNRLKVGVFGPDGTRLNSNLDQDTYALNEIVVH RGASPHLKIVDVFVGGRFLTEGVADGMIISSPTGSTAYSLSSGGSIVHPLVPSLLLTP ICPRSLSFRPLVLPANTPITLRLGEKNRGREVEVSIDGKSITGGMGFGMEVRVLGEHV KSEAGEWVGGVPSIVRGTDGIGMELKEEDHWVGGLNALLKYNYPFGDQDTSDGGGRA CC84DRAFT_1160416 MEDRKRPSASEDTAPPAKRQAVAVNGVRPHPDADMPWKDDIEAF QKDAILRQMREYKREKATIESQLAEIENRSQHHDDHLRTIDIWFDQLIDEIKILSGEK LPTAQQDGASNIPASLFFQDSDTLRKHLSGRKDKILAALTSLFAKYPPASPEVASLQQ QMSKILASEKEHICELQRAGTEKEQMAERLVAATHRYMIAEKKLDRLKSQQVQKLESQ TLANASIKEETPSASNGAEPTNGVEATGSGEGSESDRKQALAEAAKRKEHVRQLEAEN KKLTEEVSALNIKLTGLSDDDYAKTDLFKAFKSQHEDVIKRINHLEATNIQLRTEAQK YQAERTAYRIKVDDEARATISESEGNVVQAEANLARIRHARDELLSKVSIYEATQKNV DVSKQQTQELMSACESRISALESECERLRLQIAEKDQAEGSTEQENFTPEQLQNKLKT LQSQYQLVVNELPAMETAWKKAQAVAGKKIAETATWEENINKAYADKAKADQKFFGAM KAKESLEQQMRVLRAQATKGTEVVAQLKEADSLSRSLVDKLEKQTTEMRAQMEDLSRQ HRELQQKTNEAAIVTEGHVSQIAELKKHIETKDAAYLEAKKAKREAEVERDKMVEKVE GLEQEIEHWKQKSLGNQSEHTALMESMLQCQICKNKIKNTVIKTCGHVFCDGCVQDRL TNRSRKCPNCGKAFGNGDLMRIHI CC84DRAFT_1255312 MSGEGDQLQPKAVPKAPTITSAFINKGAKTFAPKKAVRRRPAPA APKPPLPSTSTTDTTFEPPPQTESQTRADPATAAEQSQPQPDSSPSTDQQATAPLPTP AATQEPSQQNVPHTNLVHASLTAVAPGPTAASLPTPASDENAVPRPEDSAATSTRPTT RITTPPVAAAETESGRPESEVRDEGQAQQTGPDPVVAEPLVASQEEPVLSTSGGGVRL EQTTDAVPERGPEIAAETTVDIVTAIEDVSPTTEAFVTCATPSEPPAEAASYTELQNA RPIYTPAPQATTDATGERSWNAVNATVDREQAPTTTSQPRKPPGRRRRKAVTLRNMDE EEGEEEEARLRDTGGVGDDYVPPRPVRQSAKARGKRKAADATVGEEDGATQPPPKKKR QPTKAKAKGPTPTGFGKDVAAIVENEAGENVENAQGGENGQSAENGENIWAVTHPTPS RKRKRQSTSQPSVEGEQQLKRKGRPPRAPTPSDAEDEVIDEDSFFMDDLARRNVRIGK LSQREKKMREINWEEVKQRQKEKEAEQMNSRAVHAEMERQAQEKEDAVRTAQEQVRYE NVGGEMRIVQGSGQVNYEEIADNMYAEEVVEEDDFTNRITSRSFMRNNKRYPEEFLLP GQGKRWDIRSTQDFYDALRMFGTDFGMMTTLFEGVSRRSLKLKFTREERKQPEVIKEI LQQKETRLGNWDDFLKASGKENEQYDRVERIKQELEAAEEDARRQIEEAKAEYEEEKR QKRLAGFMSDEEGGENEAGKRKKGKKGKEKQVTFQDEDVEVLEVDENDGWGAE CC84DRAFT_1136658 MSRPLNESDLIFPTQSLSISGTLNSLKRSALSTHNRLSSIQFDA NFVASVSESYGLPLVANERCGSWYIPPARKAESVYFKSTDGHTNEWKFSVRRLNLQLL DLVGREGGCVIVDSTRRGKSMPDALSKTVPVWCCVMNRVLFSEEGVHELHTAPQAVSQ SEHAQIERRIDGFVREFLDICKPDVELLRSTIGKAMRPIWVTQTSSIPETPPIFPDFH PIVLCTASRRVNGAEGSEGGYIQGAADDHEAWSQGLTPTLFWSHGEQLLNTNEEDLPD LIKRLVEGEATSDAAPILIKPTSNLYISTTQNLNTTPFDVVVSCGPEPLPGLTSGSKT RYLHLKCQTGKLGSRDLRTQLKRLPILETWLPPEPTTIDKKILICDPTGKDLAVGTAL AILCTYADASGALTFVPNTHARIDKKTIKQRLAWLATTHPTLNPPRATLQSVNAWLMP NPSEAAAYASPSPPNTSAPPSKLTAASLFTALHASSPWTFTRTLTSALPTHPSGTVTG TATFTPLPSSRPALLYAEEGEFTTTTGMTFTTRMKYVYVLRGELDERLERVPEAEWIA VHFWAEKAGDEEADADGVGGLFVEMALQEGAEVAGEVARIRNREQHLCGRDLYAAIWE VPVGGDGVGWWKVRYDVKGPKKEYVSETRYSAGTGV CC84DRAFT_1255314 MERIKKKASRVFKDEEKKIEPAVEPNFFTVRHDRPHSAGTQVAL WEAQKPLTAEPLRKVVKKKSSILSLRTQKRDEDKVVTTDAPVPPVPPIPAKFKYSLFP QDKPLSPFDVAARLPSSEQRPDTAGSGFGSRAVPHPKATVPSKFQPIATPYSKPSSLR RSPSKVIFPSSSESSASAETPDTGRSTTSVLERPPTKPTRNFTPIMTPWSNEKGLPES PRRVIAPVRPPWSNEPGLPESPPKPVPWPGGSRSPSPASSVRTTVDRAQYPSKETTPR TKPFEGTTFGVPDEDLRAMFKDHPDVIRCKKMFEPGGKVSSDIAHPPGIPAAEYHKMK ALYDLTGSPFNGDTDSKGKSKGKKPQPLQQASSAYSLKTVTPFSVHEHAIPRSAPLDP PLPFRPIPRSSKSEASSPTTPDFANLSTAAERKSSSPSAQPRSKDTHTTSTSGRRSGY TPSSLGRSSTNDSTTKDTVPSVRKLKSKKSLRSLFQRDPAPPIPSLRHLPISNPIPTA PSRADSPATVPGSTPKFTKEFWERDTLISFPIPLTDIPSDGSPVPGLPRALFKHTKRA GPPPIVPARPGDGKVDLEIVRMRGVGDGRMVYVKKGGGEDEDGVRGAWVPVAHQAPPG VDMGIKRSDGEVWERFSHERYGSVERIEKGMRGMVVDDFVFGEKEFVEDI CC84DRAFT_1171822 MSGTQATASPRAPRAHNAAKQNGTASPSNNGGRGQRRNRGNRAH NGNHATAPQGIPGNALQDPSLSESAVLSSEDVTMPVGPRQPKKHTRSQPSTDRVFSPN GAPYADTEGGPGHSAATPAKTQGAYAGPTFHASPAPSALPIPKFLSKSVPPKTHTGPP TPPPEEGSDSGSSPSPSPSRGAPIPIPSRSAQNSPLDLLFKADRAERARNVNCSPSSA SFPHLPSGGGRPQHLKHDSFGSLNAPFPIELEASNQPTLSPPAGNYRSATAPSKISQM EAIAQPKESDPIQDLMNRLSMSQNKSNSSTPPRTGSSDPALQHQSPSPFHDGRPSPFR STSGPTTPAPSTQVQEDYEKIVYGNQNLSSKFKAAKMDSAKRNSGLRTEITADSPLVP QGGFPPISVMNNDSRSMIGNALNNPGGPRRGSAPHIAPIPPYRGVPNNQNMRSPNRRS YQAHPNHAHPKPNGYAHAVPGSPASVPKSTTAMAFIPSSVRAKPPPTPPRKAESDNAA LEQNLKRMLNLGSGDTNGVR CC84DRAFT_432279 MRGAACRFPASTMRWLLVQVDCRGRFRAQRCVRLGGSFRDCGAR VARCESRCEGYRWCLPAMNAPSPALAWDRGYVINTVWLFQREHKIHLVTNAFHKDILC LRTLLPSRYAFLRCSNCISALHRNQAPSPRSVLAVGRNKALADFIHACDITTPLLYLQ HFLRTTRSISIITLDKWI CC84DRAFT_1160420 MADVPMTDAPAPPKAKAPKAGAGAEGDKKRFEVKKWNAVALWAW DIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGICNHAFHFHCISRWLKTRQV CPLDNRDWEFQKYGR CC84DRAFT_1084305 MADQLLEQVRDAMEGQIDFEGQRLAELLTNVLLGAVGIFAFFIG FMAQDITLTLYIGLAGTLVTFLAVVPPWPFYNKNPEGWLPAHVAQAGVGGIDVDGLKV G CC84DRAFT_431706 MTDTPRSEKSQTRYSTPVSDLDDHRNQPASMPRADASPARSRRP TFVSVQGVSERLTPLHLVNEALEAHNVTARDFETAVVDDDETHLAPDGAYGRRGSLAH SPMARRDTFRRPRDPTVERLRRSRASSTTSRSTSPPNSVDAFGDLRPRRRAGTVNSRP PSVAEIEAELQRTVSGGTRRRPTISDERPDIHRADTASTHSSAEEDVCFPVQEDTGKT TRFDYEELEEFVVENQTKTPIGNLHRKPSVESRLSSKKPRVFNDLRPKSGHSTPPKAD LDAMAIKEGVDPAIQEKLAEEGLSHMFTVDKTTSQVEMPLNRWTFFSSELDDTIHAAE LGGLLMPGERFRDLFEPPAEAGVWWLDMVNPTEEEVFAICKAFGVHPLTREDIAIQEP REKVELFHKYYFVCFRSFFQTDRDSEDYLEPINFYAVVFKEGILSFTFTESPHALNVR KRISRLRDYINLNADWICYALIDDIVDSFAPVLRTIEQETDTIEDQVFIARIEDSREI LRAIGDCRKKVMQLLRLLGGKADVIKGFAKRCNESYSVAPRGDVGLYLSDIQDHVVTM MSNLGHFEKMLSRSHSNYLAQISVDQVIQGNQSNITLGKVTVIATILVPLNLICGLFG MNVKVPGQDGSIAWFFGILGTIVLFVATCLSVAKRMRFI CC84DRAFT_431767 MAQSAERMLMNEFKALSKETWTNIELINDNVFEWSVALIVLNPD SLYYGGYFKAKLTFPKTYPYQPPDFQFIRPLYHPNIYPNGKLCISILHPPGDDETSGE TAAERWSPVQRVESVLISVLSLLDDPEVSSPANVDAGVMLRNAPEEYKTRVKKDLDIS KGDIPKGFSMPTHESAFKKKEDEGDFDMSWEDSDVESFGGSESDLDGDEEMDSDFEGE ADDDEDEDEK CC84DRAFT_431768 MAATQHRTRRRNQVDLDMLMTFEVYLFVTALFVCCIPPRPVEVR EAARPNLHRLTREQRHISSRRSKQMSQENETARLRRRLNEPIHLPMSKSFGG CC84DRAFT_1110410 MFARCNGPLWRLDDFSSCFEHDYLQTLIPLAFCAVSLLYLAFQL YQEAKASKYYHAYSVLQDPLQPWSIHSRHYDGDDPDSSDDDEFDRHEQLALHPTASRQ TTSTMAVTKPRGELTVVVLEEIAVLAALGVRVAIFFTEAYGRNGRIAAIAGIVVWAYL ATLASLRLLLSSTSRLSFPRLWYHTAFIYGFQWILTVLVFRSAIIHPRSSLHQNLTIA DFALNSVLLVIALSSRRGNKAVELEYEGDIMPSREPTASVFSLATWSWVDSIVWTGYK KTYELSDVWNLAPKDKAAAVIAEYRQVKKTSSLAFHLLKHFKRGLLIQAGWAALSGVI VFAPTLLLKAILEYVEAPEYTPKNAAWFYVILLFVSGCLSALADGHALWVGRKICIRL RAIVIGEIYAKTLKRRAGAGADKVLGEGKKKKREDEPQPGRLKKMMTFGRKKKKTTQA DQAQKDNEESSADSQVTTGAIINLMAVDAFKVSEICAYLHFLWANTPVMIIGAVTLLY TLLGYSSIAGIGMMVFLLPVNLYVSKQFSSIQKLISAATDARIHTTNEVLTNIRIIKY FAWEQRFIGQVNEKRTLELKHLKKRYLLWAIAATVWSGTPILITFLSFLVYTKVEKKD LVPSVAFTALSLFQILRIPLDQLADMVAHVQESKVSVDRIEEYLNEPETDKYAQLVTH KKDADGAPLIGFENGTFSWGGKDLQDKTAAEAFKLMDLNLRFKVGHLNVIAGPTGSGK TSLLMALLGEMTKLKGEVYLPGGRSREDLKLHPGTGLTESIAYCAQQAWLVNGSVKDN IVFASQFDAKRYKDVIVACSLQRDLEILDAGDETLVGEKGVTLSGGQKQRISLARALY SRARHVLLDDVLSAVDSHTAKWIFDKALMGPLMYNRTCILVTHNVSLCLPEAGFAVVL ENGRITAQGTANEIIDSGKLSDDFSKSRPVSRGASRVPSKVNVPGDDAEEAHHANGMA NGSANGLADPKKTPVSNTQEETKAEGGVKIGIIIMYLKAMGPWYYWVGAAISFAAQQV SSVSTNIWIRSWANAYTDKNMQIMGSHHKTPITHAPTFNGIGTCLNSGTCNWAMPYLN NNQPTSHQYSYSLMQTNLAKHDTEVDSTYYLAVYAVLGIVFMFITFVREGFLFAGSLA ASRRIHERLIQKITHAKFRFFDQTPLGQLMNRFSKDIESVDQEVAPVAIGVVHCLASI ITIVILISVITPGFLIAGFFITVLYALIGMFYLNSSRDLKRLESVHRSPLYQQFGETL SGMTTIRAYGDERRFIRENLTKINTQHRPFIYLWAANRWLAFRVDVVGALVSFFAGVF VVRNVGRIDAGAAGLALTYAVTFTENVLWFVRLYSSNEQNMNSVERVKEYLDVDQEAP AVIPENSPSVSWPSKGAVEFINYSTRYRPDFDLVLRNVSFKVKPGEKVGVVGRTGAGK SSLALALFRALEAEEGQIIVDDIDVGKIGLQDLRKNIVMVPQDPTLFTGTIRSNLDPF GSFTDEEIFQALREVHLISTSSVATSGATTPEGSTTPKAIEIPDPTTGAVVADVNGSY SASTVNPSSILANRDWQHGEAHDDTVIIMPSGATTHNPAGAAALALNADNKNPFRNLN SPVTESGSNLSQGQRQLLCLARALLKAPKVLLMDEATASIDYATDTKIQETIREIRNT TITIAHRLQTIIDYDKVLVLDKGSVIQFGDPHDLIREEGGSFRSMCETSGELESLMKE AEKAWGKRNGQAPIGEGEEVAK CC84DRAFT_432528 MQPSYARLCRLPPTSQSPLITGPMLCGLPSFIIVQPLCGVWDSG EEGQNRGQQKASLAMTDARETETATLSNALGRTCHRGPQQLWTRIQLQPPVASKGWDS NSIQRRRTNMSLNLSTIMSKGTIAASNSLQKADGTRLAETDRQGRSMHTNHAKGGSHR GWCSDWIFVMYSDG CC84DRAFT_1160424 MSTLMRTLRNLRKIGFKEYGHQMQNIGDTKAGTFIGADKYGNKY YENLADELPLRTRWVDYKDKEFEPSQIEPGWHAWMSYMVDKAPSVDPILQRQVREWEP KEHRPTLTWSRSGYKPYSTVKPKTSPWVPVAKARQ CC84DRAFT_1136679 MLATITTDLDKIAPRFEVQPEQIEILKTPSEFYETLKLIFDILF LPTNEINDLLTRPQDKISKAQSRIYLSTLYIGKTEHELITTIRTALLANPNLRVSFLT DALRGTRETPHASCASLLAPLIAEFGPRVEVRMFHTPNLTGIRKKVIPNRINEGWGLQ HMKLYGVDDEVIMSGANLSSDYFTNRQDRYHLLKDARLAEYFSKIHAGVAALSFDIQP AESQAGYTMSWPSSNPAPSPLASPSAFKKAAAAHLSPLLTPANSPAAVAGGTKTTIYP ILSLVPLLPTDTSTELPALTTILSSLSRAPFQGSTWTFTAGYFNMTPSFRRLLLSTRP ASGTVLTAHPFANGFYGSKGVSGMLPDAYTHLSKMFLAKVRHAGLDSSISLKEWQRGK VGDEGGWTYHAKGLWVSFPSSPSSSSSQSGDVAEKVQQEDPSLTVIGSSNYTKRSYEL DLEANVVIATSDPGLRERLGEEVKWLGEYASRVDEREFEKPERRVGVSVRLSMWLVRV LGGAL CC84DRAFT_1160426 METAANKLQKEAKGYLDSLRAMTASQMRIAETIDAFYGDAGAKD GVSRSYKQAVTDLDAETIKALDGPYRTTVLDPIQRFCSYFPDINACITKRDHKALDYD RTRAQVKKLTDKPDKDVTKLPRAEKDEQMAKASYDQLNEMLTTELPQLIDLRVPYLDP SFEALVKIQLRFCAEAYSRMAQVQQYLDADTRDQYAQGELDAKVEEVLQEIRDLSIAG TV CC84DRAFT_1083873 MLSRQSPRALRRAQSLRWPPNAVSRRAEATGTSSQYFHSINAPD APSERAPPHSTSVQAQKCKDRQWTRAPRIIFSGIQPTGVPHLGNYLGALRAWVKLQDE GLDDDKRFFSIVDLHAITVPQDPHTLLRRKNETYASLLAIGLDPNKSLIFMQSANPAH SELMWLLSCHASMGYLGRMTQWKSKAGVADDADPLESAPNKPALKLGLFSYPVLQAAD VLLYNATHVPVGEDQAQHLEFTRELAQSFNHIYARPDADPTEVEAFRGFNIPRTILSP AKRVMSLQDPTKKMSKSDPDERSRILITDTREQIQAKIKAALTDSTPGISYDRELRPG VSNLLDIMYYMDQSKYESPMAIARDFTNVSMRALKEGVATAVADHLEPIRERYNEQIA RSQEDVDTERWNSGRIVGAQSDRNIQRVKQAMGLRPVGPLTSPE CC84DRAFT_1160428 MVASRHRDLFRSTSGRDQQQYRHLDLENGNGNPYTPHPRQRFRD AIDATMTKQHAIDLKKQLLENVDSNALEKFRKSDEELKKISNKKLRKFYEDQNTRLDD WLEVDSIVSALADDVLDSMNPRDLDHDGVAEDRGPLGLSEDRVECFLPDEERERRRKS ARNVKWAININVIVNILLLAAKAIAAIWSNSLSLIASLVDSALDLLCTIIIWSTNRLV GWKLDRLKARFPVGRRRLEPIGILVFSIIMIVSFLQILQESVSKLLPSGDHTTATLPP AAIGSMVATIVVKGTIWIGCARIKTTQVQALAQDCKTDVYFNTLSLAFPLIGAQADIW WLDPVGASILSLFIIYDWAGTMLENVTRLSGQAADDRTQRKMMFMAWRFAPLVQGYKS IKSYHAGDGVWVEMDVLMDPKAPLRKCHDIAETLQYCTEGLGEVDRAFVTMDYTSQGP TGHAAENE CC84DRAFT_1110428 MPLEMLLRSFFIATISSKKYLLIPSLKLLSFFSKPGRSYLFNVD RNPVLHGILKKTFYNQFCAGESKQETKACCKALTDLGFKGIILTFAKEMVFDHRNKAP EAPPEQAAKVETVAAHDQLIEEWRVGTLATVDMIEKGDILALKTTGGGPTVGEAFHRG ELPPQQMMDALDEIATRCKERGIQIIVDAESQYFQKGIARTALELMRKFNREPTVVIY NTYQAYLKNQPKYLQQHLAEAEKDGFTLGLKLVRGAYILSDDRSLIHDTKEDTDAAYN GIVDGALHQKLWDFGVSRPFPATNLFLASHNKHSLITAQRLQAQREKDGLPTVPIAFA QLHGMSDEVGFSLLKEQCADGKAPDVFKCSTWGSMGNLGTPILSSLMGAPESARVQFT NYVACVHSAASEERLSKRFPKEKESGKLVISRGQNAKAVSDASVIILGVDPADVEATL KQDGVGAALKGKLLISIAAGWARETLEKIISSTQGSPSNDSRTWVLRTLPNVAAQVSQ SLTAIEDPDPDTPHKHIKTCEAIFNQIGRTVQLAPKLMGPASAIGGSTPAFWAIICDA FVDASVAVGVPRKAAQDMIYQSMRGSAAMLQSGIQPGELRDMGTSPEGCTIGGIMVME EMGVRGALGKALREAVTLARLMGNEREGLHVNDTR CC84DRAFT_1202024 MTELVEKQSFNRGWRSYQLPGYLAGDVSAAFLSATLISPILTAI DRAVVENVSSTTRPLSIALKENILCTYRHPKRFFAAKPFFYMWTLYAVTYTTANSVNT LTTTFMDKSHEILAKSITFVVTCTVNVPLGVWKDIRFVQTFGGRPTPPPKGPVNTSPN SIKTSPPPQNPTKFPISVAATFLARDALTILGSFTLPPMLSHRIPIADPAAQMAAAQL LVPVLSQVVATPVHLLGLDLYSNPEKGERGQRVGRIRRGLLGTTAVRCARIVPAFGVG GIVNTGLREWFRGRVDGYGFPRRSDYNVHTD CC84DRAFT_1255327 MTLPKSEPIAIIGGGAFGLSAALELSKNGYTNITVFEKDEEIPS RWSAANDLNKIARAEYEDDWYTDLAIEAMKEWQTPFYAPYFHRVGFLNCCSEAAPQKA IDTMERFKAAAERSSVMKPFVHKVNDRKDLLQACWQFTGSLPGWRGYINTYDGYVHSA NALRGVYRAARSNGVKFFIGEKVGAVKEVVYENTSRGRQSTGVRTPDGRVHPASLVIV AVGAAAHKILPELGTNVSAKSWSVGHVRLTDDETAALRGIPVVYARDLGFFFEPDPKT NLLKLCPMGGGYVNTDPASGVSKAPEELNRFVPFEDELKMRELLRQTLPHLADRPLVE KTLCWFADTDDSDFIIDYVPRTSSSVMVMTGDSGHGFKMFPIVGRWVRDLLNASGGQQ PIKRWRWREPKPVNGETSQDADVSWRVGDVRELRDLSEQPVKAKL CC84DRAFT_1110434 MQTMANLSEQRRASKRSSNACSRCRRQKIKCSGLQPCANCTKRS LSCVFDDRDNKVLVTQGYLSDLQQKVARLERSLSHIETNPGLARESREHGEEPVQAGS SRRDHPLRPLPSGDNEPGETSRSSPAETRDNSPKTDQGNAESHELTNPLLESPSKFMA ASSGRTFYLGTSSNWSFHGQVLNVVHQHIRSTPLPGTEFLFDGSAYNLPWDGTRSLPE TNAPVIPSIDYAIFLINAVKFHCGQLVHLFDEDEFNAKLHAFYSNAEPNKLQDSLWYI QFLLIIAFGRTLVQRKHRESKPPGADFFVHALQLLPDTNRLCREPLVATEILCGIALY LQALDSRNAAHVTIGQAMRIALAEGMHTDMPAAELGEAFVQRCRKIWWTIYILDRQMS SQMGVPQSIRDDEITCQLTHFPGSAQRTAALKMQIRLARIYADIARSVYGPKGRLRKK FVISIKALLDDMAAIAEELRNSFPLHSDERFGGISRMPAHLHLMYYQTIVVTTRPLLF CCLKKVFESPKEVGPLVSSRKIRPLLSMSLDASQKILNILESLQDQDLLETFLPWDLD SLFVSTMVLVLIRFVDDTLSENSSAWLNKAFAFLDTMVSNGNKIAEFRAAELRKLEDM LSEYSANRTPQQYPPLQPLAPLPPQHQQSVGQHQSPPQGYSMPPDMQSPRTMMNTEAM GMYTAFSDESSGFGDDLTADQILAVAESMDLGTTDWFNTFATMDTYQMVDSQQHPI CC84DRAFT_1110437 MFRSVPPSPADPVYLLSQRVRQDTAPEKVDLGVGVYRNEKGQYH ELRVLKAAKRILAQQDANHDYEVTTGNAAFLTNAAKVLFGREAEILSSKRVTSVQAIS GTGAIHLGALFLARTPAFKGKKVYVGTPAWGNYVPLFNLVGLEVVTYRHYDASKGTVD LESMLEATKTAEEGSIFVLQGCCHNPSGADFSPAQWDELADAMEAKKLFPLFDMAYQG MGASLDEDAYGLRLFANRGFELLACQSFSKNFGLYGERVGVLHAVSQDVEVASKVHER LRCLIRWEFSSSPAYGARLVNIVLSDGKLRQDWETELARIQKRLADNRRALHAELAGK WKAQGNWNSILSSSGLFCFLPLSPQQCKRLADDFHIHMLQNGRINVAGLNASNIEHVA SALVKVTGAPSSRL CC84DRAFT_1234554 MEGSKSEKVVQVSSTGSDLYPVETSNEQRVGHVGNADELQRHLG NRQLQLIAIGGSIGTATFVSISSGLLKGGPGSLFLAYTIYTCMLGLVNNCQAEMSSYM PVTGGFIRMSGKWVDEALGFMIGWNFFIYEAILVPFEITALNLVLSYWRDDIPVEAVV AACIVLYFCINAFVVKWYGECEFWLALGKVFLLGMLFSFTFITMVGGNPKKDAYGFRY WKNPGSFAEHITTGPLGRFEGFLGALWSAAFTVVGPEYVSMVAGEVKSPRKYLKQAFK ATYARFGLFFIGSALCVGIVVPYNNTTLVEIFSGKASGAGTAAASPYVIAMNNLGIEG LPHVTNALLVTSIFSAGNAYTYYGTRSLYGLAIEGQAPRFLRKCTKAGVPIYCLCITI LFPFLAFLNASSGSSKVLTWLTNIITGAQIIDYIVICINFIFFYRACKAQGIDRRTLP YFGRFQPWSAYIPLAFLTCVVTCYGYTTFLPGNFTVDDFFTYYMMLLVDPVLFVGWKL YKKTKFVKASEADLIWDKPLIDAYEDALEEEHVGFWRDVGNMFGKCFMKRKKTDEEK CC84DRAFT_1160432 MPSIVPPSGVWCPAITFFNPDTDELALDAQARYFHYLSTTGLAG LVILGTNAETFLLTREERKELVVTARRAVGPRFPLMAGVSGHSTRQVLQHIADAADAG ANSVLLLPPAYYGAATTPQVMEAFYDDVARKSQLPIVIYNFPGVCNGVDLQSDLIAAL AQRHSNIVGVKLTCGSVAKITRLAAVLPKESFAVFGGQSDFILGGLTSGSSGCIAAFA NVFPRTISQIYKLQQQGRNDEALALHQKAALAEQPIKAGIAATKYAAAMHSARYAGID NAVALLRPRKPYFEPAASVKEKVKSTMAEVAEIEESLGSYTKLSARL CC84DRAFT_1110443 MATFLLRLRPVASRNAVLRLRPSTQIRTKTTANATVPFQLPPER NEPNPNYEKGSPERAEIEKALKELQSQIPVKSNVFINGVAQQSSKSWDQVLPAEHKTI FTNNPLTSKEQVSAAIDAALKAKKSWQDTPFVDRAAIFIKAAELVSRKYRYKLIAATM LGQGKNIWQGEVDAAAELADFFRLNTNYAAELLGRQPYRGSDGMWSRLDYRPLEGFVY AVSPFNFTAIGGNLISGPAIMGNVVLWKPSPSNVYASQIVYEILLEAGLPPDVIQFIP GDPEEINDVILNHRDFAGLGFVGSSDVFRQLQAKVGQEIGKHTYREFPRLVGETSGKN FTLIHPTADIPSAVKHTIRGSFEYQGQKCSATSRLYLPESRSKEFLDAFLADVKTITQ GNPHKDLAAFMGPVIHRNSFEKIKAVIDESNKDSSLKLVAGGTYDDSEGFYVKPTVYL ADSPDHKLFNYEIFGPVLAIHVYPDAQWPSILDKVDQAGGGFALTGAVFANSRAAIRE AEDALRYSAGNFYINCKTTAALIGQQSFGGSRASGTNDKAGSPNTLLRFTTPRLIKEE FFPQTDFWYPSNK CC84DRAFT_1212685 MTPESSPSSLPRSTSLSRLAGARGFLPLADNASVLSTRSGQLQS LISRRPSTLRSSRSGKGRRGSDSSDDDFDEFRHSLIAQDLASERRASIGAHALHTPQM RSQRLIGNSNPRYKWERYFKTEDELKQHKNKKVRKYYERNNYLIQNYDYIDRLLDSSL PHHLIQEYSHLESGNVNIPTTITEESPSFPSTPAAHTPASYSDNSTNPTSATTQNTQN GSASQVKLKRTPKNLYKVPTADEQTPLLRSDTHDEEEDVESLGKSMPDWVPQEDEDTE SPIVKVALYVNLAANAILLILKIIATVMTSSLSVVASLVDAALDFLSTAIVGVTSWII TRPQDKYAYPVGRRRLEPIGVLVFSVIMITSFFQVLIEAFSRLTGDDRAIVELSIPAI AIMASTVIVKGGCWLWCRLIRNSSVQALAQDAETDVVFNFFSILFPLVGFYAKIWWLD PLGAVLLSLFVIINWSRTSATHIRNLTGAAATADERNILLYLTMRFAKTIKQIQGLSA YHAGDKLNVEVDIVVDESLSLRDSHDLGESLQYVLESVPYVDRAFVHIDYTGYNLPTH MSQQE CC84DRAFT_1136712 MRTWFLAVATSASYGAVARSIPLDLDAHFNNQGFGTYPGESAFN ALNESYPAFTSYQHGNETFVSGSGIQYVAPGYRGASTPDNIICAGQRIALEKPRRAFA FSILHSSDVRKKTILGNITFTYSDNSTSTAELRSEPWWAFLAINRGDIVYDKFYTHNT TNFNSSHIFELEAALAPGKELAAITLPNTTNATTGRIHVFAASLWESDGPSVGVQSVK PTQKWIGDGDARSQVVEVIVNNGGMECVHGEGLTVSIKGNDVKTIEPGRIRRLCPGDQ KSVQVSVTGSGACAVDVSLLYQNTTTTHTFSDLTFGLTNWTDANLAAHESPQWFDDAK FGIFIHWGPYAVPAWGNSTPYESYAEWFWWYTTHPLGDKSGFRDYRLRTYGPDLNYDD FFANFTAAQYDPKDWVDMIADAGAKYFVITTKHHDGFAMFDAGNTTNRTSLHYGPRRD VVRELFDAAKTYQPSLKRGTYFSLPEWFNPAWGPYGFAQYGPENPGGTTHPGIIARNP FTNATEPYTGFIPVDDFVQDVMTPQMEMLAYAYDTEMLWCDAGAANGTAAFARQWWNW AREAQGRDVAINSRCGTALVNDFDTPEYATFSTAQRRKWESNMGMDPFSYGYNRATPD DEYMNATTLVTTLVDMVSKNGNLLLNIGPRADGTIPQPEIDALREAGVWIAAHEEAVF NTTYWFQKAEVRDEKVNVRFTQTDDALYVTSLEEPVGGVLEVRAPVPILEGDQVSLLG VEDGEALEWSFDGDVFRVVLGDALVRRGKHAWVVKVEYVV CC84DRAFT_1160435 MVNFNRLAYALAIASYASAQSFGGPAPSGPPPSGLAPSGAPPSG LPSAARPTGPPPSGAPPAPSRAAKRRARRQNVSGLPPPPSGTERPTGPPLSGTPPPTG PPPSGAPPAPSDAAQNARRQASDLPPPPSGTERPTGPPPSGTPLISPPPSAIISGVKP TGPPPSGSPPAPSNAANNNARRQDASAPSLPSGSPPSKPSGARPSGPPPSGAPTAFPS GPAPTGPIPTDLPAAPSGFVTSIRG CC84DRAFT_1202032 MLSGILIFNQKGENLIFRAFRNDCRPRLADVFRIQVISNAQVRS PILTLGSTTFSHVKHENIYLVAVTKSNANAALVFEFIYRLIGLGKAYFGKFDEEAVKN NFVLVYELLDEILDFGYPQNTETDTLKMYITTEGVKSERAMEDSSKITMQATGATSWR RNDIKYRKNEAFVDVIEDVNLLMSATGTVLRADVNGQIIMRAYLSGTPECKFGLNDRL TLGDDNLQGPSGNKAGAKATRAAAGSVSLEDCQFHQCVKLGKFDADRIISFIPPDGEF ELMRYRATENVNLPFKVHAIVNEVGKTKVEYSIAIRANYGSKLFATNVVVRIPTPLNT ARITERTSQGKAKYEPEHNNIVWKIPRFTGQSEFVLSAEASLTSMTNQKAWSRPPLNL SFSLLMFTSSGLLVRYLKVFEKSNYSSVKWVRYMTRAGNYEIRF CC84DRAFT_1255336 MPHEQLDPAYPAPPFGGSEFPPRTSSRFGTGSYEDMFSSLDAHP VLSRPLMHPGTGQPLTPTTPSESHCPTFRHQRPRTPKSYSHCPPSPRSATYASRPLSP APPVPPMPPSPHLPLKTSYSTGAISTLAGPGSGPPGPIYAPSRSSSTKSNYTLASSTS AATAASRSSSTTSRRSTMKPRPGPCALPPQPGRHNSLAELHARQTNIDRVVQPISPYA EEPNPLERMGYLVGGIVRRKSSGVELRAENDGERAAGLGGGLGLRKKSSFARLGWGAR GGGEYEAERRGSAPGVDVSRNF CC84DRAFT_1202034 MQDDHLQISHSDRSNSDPVRMQLLTNLMVTQPNSTKGNAQYDPI TRKEDTLSTLALWMTVAPITVGINAEGTQPHYEYRADWIPAHHTSSARGANNQWTVPY IENFIRVPVGAAVLGDVVSWPSKRGDVSITSTVFQSKLDSKKPKLLAVPSAIILICAW VLIVCNLRLATLSEV CC84DRAFT_1084679 MASKAFSKRLGFKRRNTDQEPVTVTELSHMEGESSGARPIVAGH GDASSVIDEVVRDISETEANQRLNAFRQDHKWDPNMPEEAIDMVDAVTEAHDHKGEAQ LVGEVIENSPYPEVRAVVRNYDEDVPAGTLRAWVLGLLMTTICSSVNALFLLRYPLIF IGPYVVLLLAYPLGMGAAKFLPNKEFKIFGLKANLNPGPFNTKEHVIIVAMANAAFGG GAGYFLDTVVSLKKFYKFDTSQFGWGFNILFALSTQCLGFGLAGSVRKFLVEPAAMIW PGALVNVGFMYALHNHAPSDPAKTNGWSISRYKMFMIVMVGSFIWHWFPDFIIPAFSY FAWVTWIKPDSVIVNQLFGQTTGIALGFPFTGFTLDWAQINGFYNSPLISPWHAHANT AFGVILFVWIIIPALHYSGVWYSDYLPILQNSILDNTGAVYNTSRILTPEHVVDPAAY EAYSPLFLSTSFALSYGMNFASIAALISQTYLFHGAEIWRRWKSSRGELDDIHMKIMR KYKLVPTWWYLILLAVMIVFAFVSALCFPTEMAWYSVVLSLIIAATWTIPIGIIQAFT NIQLGLNVFTEFIIGYVQPGHPIAMMMFKTFGYIVMTQALYFCQDLKLGHYMHVPQRS LFSAQLVATVWSCLCQLATVQWAMGAIKNVCTKEAAGFFTCNYVKTFYNASVIWGAIG PKHIFSGDAVYKNLQYFWLVGIAAPFLVYGAARMFPRVSWVRKASMPLIFGSLGYVPP YSPMNILAWCLFGYVFNKYIRSRYLGWWMQYNYVTSAALDVGLALCAILLFFCVQLPG GAMPDYWGTTIIGRTVDGAGTAVRNVVADGETFGPKTWKW CC84DRAFT_432682 MPAPPPLPTPGTQAYLAHRRADKLPTPPGTRSASPAGTYNAPFL ALGLGATNMLAMLWTLCAGHGAVGVELRGDPSLGIHWNVREALYHQLGRIDALMLARY GEGGVPTLADGTTRMQLAKLFYSAETVAGDVIADKIVAGHDGEKHLAGRIRHVEFVDD RWKKGRPRRVVSVLESPKIPGAPCEREIGGNMREVLEGPSTWQAEAKSVLLLLRRYLE KVEAMDLARAETCTGFTPRVRLFTQHRVVEGAGQGFVHRDDGRMQVLIEEVTEIEYKG ETRRVRTPGTQTIDLGVPKLFCIAQGSRSSDAGRLGFVQEDVRVERGVGANKENVVAQ ADYIAGLLEMLVDGRLRRRIASEFGPAGDEYWVRQIAVGHENDPEIGWVLVQVPDFMV FCPVAAGLVPAGTSSDAPEYFAAYQLLIYDYYISQAALILGVEEAELRRVQMLYGPKI FSLVEKIGRDARVATNGVVAGDSFGNGHFLTSAGAMAGMIGHAYRFLGYWKGIADGKS DAECIATLAAGIKRDTEDLLEVSAKEFDEAVPTNFGVGRGQKVVRVSNGGVEGTAIDN YVDAVPKTGTRTRYEQLPLNPSDWRRLFVRNGRVVSDALPPLKAHHPALQKQSLRAMM CC84DRAFT_432701 MSTHQDDVAAAQSSPADRSVVERMVRRSSRISERKKPASLKTAS SDRSVLRTKTGRVGKAGKEPKFHFCDCGRSYTTINSLRRHQNRYCVLSHDELFRSPNP LQKHHEQQ CC84DRAFT_1160440 MNKFIAKSLQDTDPQLRGGAFWTLKEATDIIRHKRSRITRYFCK NLESSSATSSPLTEQIKDVGQQLPRDRVATNLGSFHNMLVSNDVFNQMALEIKRRFYR DDVSQMISVRSVVRRVVSDYHTGHFSQYDAQLDVHWDVIQCMRARYGDRTPSIGSVIV LTGSSLYAQATTCEKYVSQTWPKTGKILIEALDRFLQEKSTVDIEIGDKALNLIEKSP GQLRFSTRGGTAFSVDIAQQLGWLGSALGISPFGDQVAYAKPKVTINPIREFPDIVIQ FEHSIIHATENACWLPLFSGAVIAAGFPIPNRANETGLEIALELLAGISGVHHAVEIQ GGVVMKGFSHIFIPIRKRGDRIQWHAIFSTDPNTPITYHEGLSQCGSRALLEEVSLDD LKTCRSIVGWCSVAQSRLGSDLTNYENIYYSAATDKTTSTKCSKASLGFQQFGMAALD FEFGVTEGKCHFKRDGPYRNIVTWAERTPIVLYDTADRRGWLVPASEVLLHIIQCKHR QGFFEVDGKRIALDTNIAVDSTAKDVLLKNRSIKLSDEEKHTFESEIASIWSLLEFLI AENVADEQRSSGHDFKPPWGDILQGFEFNAVVEQHSPFRKKEAKLFDTNGGWPRLSRD IDALVLLANGFEEIIVPAVERSNDRLCRIWQHAPKGKDFLAAGTPILQRLYETAGCPL DRKYLTTSGSKLQWHQGTSMLFDPCAEPTRAQCSCNRLQQILTRSAMTRTIPPEHFKD RGAVIFGHDGSFLADKLRKPRAPAAKISGIYSLENVPLPPIVTQRDSDDASSSDGEMS EDNGSTTRTDHTPCSLSSGTTVSTQDITLTPLTSSSRKRQRLPEPCDFNTSDGYEGHD DNEEVGLDIEHKRFKNADPQHKLESRCVTLGHSSSERVRNKHQDDPGTEERVMSSAGT PDSLLDCYADKVSALMKLVPGRDDVCGAAGSPRTTRLSVRLMDQMSEHSLRRKNGFYQ EASS CC84DRAFT_1084927 MPSFTPSPGNYPAKGDGDFAGGIFSIPLNELPAYIPPGSGTGSE TPTGNGQKTSGSGPYPAAMVTDSSLPGHTIFAPKTPPAGNLSMPFIAWGNGACTLNAG QYQNFLVEIASHGYVIAADGTPNGSGGTSTQSKVQDMRDSLDWAFAGKAAKYGNIDLT KVTTAGHSCGGLEGMSTAYHDERVKRIMMFNIAIFQDNRRYLLSEIKVPVAYFIGGKP DMGYTTSAKDYALLNAGLPKLRVNLDTGHGGTYGATNGGKFGKAAVNYLEWQWRDNAT AKAVILDPNSAGSLVKDKWVVESANWT CC84DRAFT_1110460 MPAPAGSSTRRNTPITQSPGILGAAARFWERSYASDYVGLAILI AGWLVLQLHGEPFHRMFSLDNLSIQYPHAEVERVSVTWLFVYAGAVPLGTLVAWALVV RPGTHKAHVTILGWFISLILTLFITDLIKNAVGRPRPDLIARCKPEPGTPDHQLVTYK VCTEQDHHVLHDGWRSFPSGHSSFAFSGLGYLALFLAGQCHVFRPRTDLARMLLALAP LLGAALIAISRCEDYRHDVYDVTTGSLLGILVAYYTYRRYFPPLRHGKCATPYPNPGD STTGFNKLKDEEERIGGAGEFELEDIDEGDEEGETRPLNNRR CC84DRAFT_1212697 MMLISLSSNIVLALHFASSLALPPASSDAGPWKAPGEGDDRGPC PMLNTLANHGYLPRNGRNISKDMAINVLDQVLNWDATVVSDLYDFAQPTNPQPNATTI NLKELTTHNILEHDASLSRQDSGFGPADVFNSKIWNETVAHFTGDTIDVEMATKARTA RTIAAASTNALFTFPQLGMNFQYGETAAYQFVFGEWNLDAEDVKNRILTPKNYIEYFS KKERLPTELGWEKPANRLVMDTLQSFTVSMMQLTQTQMDEAA CC84DRAFT_1171849 MLFQYSIFDYNIPIPQVFSTLMQDLIRNQKGLRPLTVSPRQQPS EYGYYQIYGYDVYKTDEGLVLIDLKAVEAEIGKKCLSSTGVHGHVLVQAQKGFLTGDV ANIGQVPSTEALLHNCHDLVDFTSKDLYRVSTYIRGEAFVGFVLGDVVRDGNQQRKLK ATNSDVQDVWKIMRGDAIDFEFKTRQTM CC84DRAFT_1255342 MALDRLKQAASHVTGIGRAPHPLDPLVETEIEYAVSIIRKQYSH VAFNAITLFEPPKAIMLKWVKDPEHTPRPNRVADVVCTGKGSKVFDGLVDLTDGKIIK WDETPDVQPLITMEDLQIVESVVRKDPKVIEQCGIIGIPPEDMHKVYCDPWTIGYDER FGSKVRLQQALMYYRPHPDDSQYTYPLDFTPIYNSDTQEIIHIDVPPIRRPVNKAPPN NYHAAAIEKEGGFRTDLKPINITQPEGVSFSLDGRVISWQNWKLHIGFNYREGLVLSN ITFNDKGTERDTFWRLSLAEMVVPYGNPEHPHQRKHAFDLGEYGGGYMTNSLSLGCDC KGAIHYMDAAFVNKAGAATTIKNAVCIHEEDAGILFKHTDFRDDSVTVTRARKLVISH IFTAANYEYCVYWIFHQDGTIQLEIKLTGILNTFPCNEGEDLHGWGTEVYPGVNAHNH QHLFCMRIDPNVDGPNNTVFMVDAARGSGEVGSAENKYGNAFYALKTKLATPEQAQTD YNGATSRTWEMCNESKINPYSKKPVSYKLVSREVPELLPKPGGLVWKRAGFARHAVHV TKYDDGQLHPAGRHVPQTSGEVSQGIPQWISENPNADITNTDVVLWHTFGITHFPSPE DFPVMPAEPMTLLLRPRNFFTRNPCLDVPPSYCSVPSGVRQGGTLVDKLSRMAFGGDK SGTQECCSK CC84DRAFT_1082209 MPRALPPLATDNPANPPASISPQIVESVAGFSAGVVSCLTVHPL DLLKNRLQLNTSARSRPGDSLRIIRGVVQDEGGVRALYRGLWPNMLGNSLGWGLYFLF YGEVKGMLARGKEGGKLGGGEFFGAAVVAGLLTGACTNPIWVVKTRMLERGANHPSAY RSMTQGLLHVYATRGLKGLWAGFIPSTLGVAHGAVQFAIYEKMKARKSAHLAAGEHLS NWDYIYMSGSSKLLAGAITYPYQPIRARMQRYDAAMQYSGLIDVLRKTWRNEGFLAFY KGVVPNTLRVIPTTVVTFLVYENTKFFLPRMFKDEVMAHKDN CC84DRAFT_1255344 MLCHSCEGSLQRLDLSLAQEVELHAGFDSLITSSISGCQFCRMI ASDLYRQEVTDKIRGDYRVIANSIANKGFIVAFRPPIPVTDGSKSVDVDVKVVVEDLR VPAGISKVLQTLECSQDTHTGSLNAFKLASAWLTECNTYHPRCNNSNALSTQLPTRVI DVGSLQTDETTIRLLETGGQKTGSYAALSHCWGGSSHVCSTLSTLPIYTSKIEMSILP KTFADAVRATQQLGIRYLWIDSLCIVQDSASDWETEAAKMGSYYTSALVTISAAHGAN SDAGLFVNRDALSIQPCSIEIKHGDRQRQAYAYVRDTSFQLSRSSLGELRTPLPLYTR SWVLQEQILAPRTLTYGHFGVSWRCQTMRFDERAPLSMPIEDFIKDKPGGFATFRGDP RDVETTVAELQRNWIFPRQAGDKHGLQTFHKHVHCDPASDHFAQDWGRLVQNYTSRGM TRQTDKLIAIAGVASIAAALKAIEYSAGVWNGSHDMLIQGLLWSASKRGARLFDVAPS WSWASIECEVNWPGHLSVAFRRTASVLSFACSGTAARCKGSITLQSRGRRGVINSDGG PRLIADQQGDASLPDTIAPHWKQGVITLDEIMRSPTPLLFLEVATGKVNYTRTDSLVH TLVLVCDDESPGKYRRVGFATWDDSFWDRPTATASQQSQMQVTIH CC84DRAFT_432791 MEALGIDARSYMKAMAEALAMMHWGARIDANDVGFVLPLQGQSQ PRPSFSLTTWAHTASGSLISIVVSCFLWTKQVLRKPVRRSARMIHSTKGRKVKRLRIN SNGINSGRHF CC84DRAFT_1136735 MSAKLTKFKVAAAHAAPVFMNKKATIRKCISLIEEAANDEIRLL VFPETFVPGYPYFIECYPPLRQVGALAKYAEESVVVEPNGEDMSGLQDACRRTGVAIS LGISERISGGHTLFNSQVIIDNDGTILGVHRKLQPTYVERILWAQGNGSTLKNWPLSL GYNIGGLACWEHTMNGARQALIAQNQHIHAGAWPALSTMSGFEEVADAQIEALMKAHA LTAQVFVITASNYVDESCLEWMKENLGEQEFVKAGGGWSAIIHPFCAFIAGPHTGSDE KLIQGELDFSSMGAVKVWIDAAGHYQRPEILEFKFDNKPYWADEKSDRFKAQTRNRSS PAEDSTTSPYTGILDE CC84DRAFT_1160445 MGDLLHTQAIETLSRAFPHAVLRPGEPRYEEENGRFWNQDNADV RPAGIVAPGDADETAKILQVLSDTKTPFAIRSGGYMPVPGFNTSPSILISLSRLTYVS LLPSPSQDGETPLVKFGAGNTWGRIYDALSPHGLVVPGARSRPIGVGGFLLYGGVSHF YASVGWACEAVTEFEVALASGIVVTANATQNADLFWALKGGGANFGVVTSFTMRTRKL KKLWGGVRVAVGTQLTALQVFTALHEGIQGDVDEKAHVEVITFYSPETCGSGDALFVL SLAYAAEVENPVALKGFLDIEAVSDGTRMTTQRDLAEDDKVNVGYDKRGLFRAFSYRG SAELTLDIYSTYYALVKASGLFDADPSAMAALLWLPAGRNLAAGTGVMALGEEAEPYL SCSICMRWSDPSASARIHAIADSIAEKLKKKPRDAGAFVTFTYGNIAGVQDQTFSGLP EKTRRRLSDVARNYDPEGVFQTQVPGFKLA CC84DRAFT_1212703 MCGPSLLSGNPGFPRTFGIFCDSLPTYMKRVPRLTARRAYAAQD ECVEAVLNWQTWSARTFNAGTTPMDEGGNDGIWGSTFFRERYKTFIHDMGFDARDMAA MELGFLFG CC84DRAFT_1193158 MQRSVPQRARMLWGHAPRVRSINRARRTFATVSGAENPYDVIVI GGGHAGCEASAAAARSGARTALITPSVHNLGVCSCNPSFGGIGKGTMLREIDALDGVV GRMVDKAGVQFRVLNRKKGPAVWGPRAQIDRSLYKKYMMEEMLAYKGLSVVEGSVADI IVDRTGEVGGAGSRYGKITGVRLESGQVIPASQVVITTGTFLGGEIHIGMEAYPSGRM GEAATFGLSKSLREAGFTLGRLKTGTPPRLDKKTINFGVLEAQEGDDPPTPFSYLNER VQVEKQLLNYETRTNEAMHEIVRQNLDKSIHIRETVKGPRYCPSLESKIVRFTDKSSH IIWLEPEGFDTDVIYPNGISMTMPADVQDAMLKTVKGLENVKMLQPGYGVEYDYVDPR NLRSSLETKRIGGLFLAGQINGTTGYEEAAGQGVIAGINAGLAAQGKAPMALTRADGY IGIMIDDLITKGVSEPYRMFTSRSEYRMSARADNADARLTALGRAAGVISDARWDAFS REAQDIEELTALLQGTTMNWKSWAAHGFTVRSDATKRSAYDLLRMADTTPTSLTSVLP AINNFSERIRARVHINATYAPYVAYQTASQARWLKDEGLRLPEDLDYESIFGLSFEEK RALEVARPESVGMARRIEGVTPTGALRLLQFVRGVGREEGRERQRVEVEERKKAFLDA AGEVA CC84DRAFT_1082188 MFARTFRAAAPSASRAFSTTPRASLARMSIVGRLGVAPEEVTVS GDRTLVRYVIGTSYGKGDKEKTSWFRVASFVEGKQKEYLLSVPKGSLLYVDADARMDS YTDAEGNKRSNLSLIARNFDVISKPRNEGVDVNEEGLVQEHGSG CC84DRAFT_1212706 MDFDDEAMRQHVPASFGKQDGSVNVEAQIERCRRKIVDESKAQA AAELDSDKDSDDDDSDSDEDEDEFPVSHELIIKTHDRAVTTITLDPSGTRLVTGSKDS TLKLHDLSALTPTTIRAFKTVDPFETKPSAAAEAHAIHQAIFGPHSGGQFLVITATPQ ARLFNRDGVMLKEYVKGDMYLRDKLNTKGHTAEVTSAAWHPYNRDRFVTAGTDSTVRI WDVNKSLQQEQVVVHKSRAAGSAGLTRMTAIGWGAAGEGNKSVIVSAALDGSLVMWGG EGPYHRPLGEIRDAHANDTWTSGLDISADGRLVITRGGDDTIKLWDTRKFKTPVNTTS HPSTSSQFQTSNIQFAPNSASVITGSETGHLHILNPATLRPELVTPVTPGSPLITVNW HPKLNQIFTGSANGQTTILFNPKLSTAGALTILSKAPKKRHLDDDPHFTTDMDPLGMS GDGIDAGGKPGPTPGSFAARHPTVGLTASGRSRDPRRPHIPQTTPFAKSTPTENYVRD NIELSSMRDEDPREALLKYAPKEGEKNVFTGAWEKTQPKTIYKDYDSDEDEPDAKRAK R CC84DRAFT_1073949 MAPTRTIVTFGAGPGIGNHISAEFALHGFNHVILLSRNEQRLSA EDAPFVSKVGPNVKVDTLRLDLSDTPSIPSVLNKIDDLTQDEDLEVVFFNAARIKPSG VLDVSVEEIEEDFKTTNVSLYTIAQWAIPRLQQLKRSNSSSKPSLLVTNSFLPRAPIP QLLSLGLAKASQRNMVHSFNLAFGESGVHAGLINVGGVVAPENKNLNPKNIAEKAFEF FEAG CC84DRAFT_1136751 MKGLYSAVLASAIAGAFAAPSPVEQGPVTARAAMAACATPVTLS GNPFKSRSIYANKEYSKEVIAAAASMTDSALAAKASKVAQDNLKDVPCDQLAAFVIYD LPGRDCAAKASNGELAAGDITTYKSEYIDPIVDIFKKYPNTAIALVIEPDSLPNLVTN ADKQACKDSASGYREGVAYALKKLNLPNIAMYIDAGHGGWLGWNDNLKPGAKELATVF KNAGSPKQVRGISTNVAGWNAWDLSPGEFSKATDAQWNKCQNEKTYVETFGPLLKDAG MPGQAIVDTGRNAVTGLRKEWGDWCNVKGAGFGVRPTGTTGSSYADAFVWVKPGGESD GTSDTSATRYDSFCGKDDAFKPAPEAGQWNQAYFEDLIKNAKPSF CC84DRAFT_1136755 MTPALGKRKRITREELEQSSRASSPSSASQNSEGEDMQARFRRA FEAKFAPLDIEPVRTKMQRVEEPAEELEEDSDWSGISSEDENETSSGVQVFDYTAADL PRTKGSKSEYRAFMSAKPPSLAATTAAKPRSAPKPTKEDDTVEAAHMKNDLELQKLLR ESTLLSSNAPTYSTRTGSTRESNDARHKLTDLHVQSLGAKKSIFTQKSMPMSHRKGIS AKTKMRDDKRRAEAKENGITLEKEQKVRKSVGKRDRGVGAPSVGKFKGGTLTLSKKDL RGLTSSAGDKSKGKKGKRR CC84DRAFT_1183680 MTRFRPCIDLHNGDVKQIVGGTLGTSEAELKTNFTSTEPSAHYA ELYHRYRLSGAHIIMLGPGNDKAAKDALAAWRAAGAAEAQDKWSGEIQVGGGIKESNA REWIENGADKVIITSALFPDNIFSMERLKAILEALDNDKNKLVIDLSCRRKDDKWFVA TNKWQVITEFELTQESISLLEPYCSEFLIHAADVEGLQRGIDHELVSKLAKWCSIPVT YAGGGRSLEDLELVKKLSNGKVDLTIGSALDIFGGSGVKFEDCVKWNEEQEKEGA CC84DRAFT_1110501 MYSQTTALQSLSRCKLRVIPRLPGSRQSLPPVCSRASLFPSSTY ATCVSSSYRSVSRLPTVLRHQGSSAEHRLAFTTSSCWRSKEKQPSEPEKKPLLETAKL LKAKIPTLKHEQVFNIPNVLTFSRLFATPVIGYLIVNDHHLWAFSLFVYAGFSDLLDG WIARRWNLQTVVGSVIDPMADKFLMTTLVSCLAINGSLSLPLATLILGRDVSLAIAAI YFRYASLPAPKTFARYWDFSLPSAEVHPTTISKLNTFLQLILIGTTMGVALLHDPSAV SSSAGGLLLSLQDAMGGPDGVARMKDVMGGVVAATTTYSGLSYAWMKSAVKILGDDEA LKRKQGFRGRMIIAGTFGSVVALAAALWYREMMKKGEESENIKYTNA CC84DRAFT_1183682 MPPPARSVAPETFLLDQDAQQSLPPDSVVALQQVDNLKYFLISA PVDWQPDQYIRRFLLPTGEYVSCVLWNNLFHISGTDIVRCLSFRFQAFGRPVKNSKKF EEGIFSDLRNLKSGTDASLEEPKSAFLDFLYKNNCIRTQKKQKVFYWYSVPHDRLFLD ALERDLKREKMGQEATTVAVSEPALSFEFDSSQSLFEQLTKAQQTNSSSFNNNVQTYS QSTSPVMRAMDSMPPPQMVPQSMPPHQVHEEQMAPMVQYQQMSMAPSMQSTVVKSRER EFAPQVQYDRNGIPLSQVHQRHSSMPAYMEYSPAPSFVSSHYEDYSTRGMSFEPLTPP QHQISLGAEPAYIANEDTGLYSAIPELGVSHSFNPLMNIPPSNLMGPSYPGVNRAFPP GNVYSVIEGSPTYKQRRRRSSISTAAAVAAVTATGNAHQVHRPSDLRRSMSSSIMPVP EGEEVHQQSNHNSPDSATGPSLHQSLPEAKPHANISRHGTPLHTIEDSPPQQPAMLPQ DELNNLVNGDMFDSPLQHSAVARAQGAPNVFRRARSATMMEIGPYPQKSHSCPIPTCG RLFKRLEHLKRHVRTHTQERPYVCPLCNKAFSRSDNLAQHRRTHEPRQDGEPLDFNEE DLEGEDGHLDSLEEESPESGNDYLSGLPHIPTSISDIPGPLAMSMAPPQHHLIAANNY CC84DRAFT_1193166 MAERPSTPPRAMRSAGKLPPNPLTPEQVRRMEEARLRAKAAAQQ AQASKPTPPPVAGTKRAYSVINSSNTPATVRNANAASPAKRDQNGFIPPPANDLITPA KNFGRSDFIEYDFSKMTDTKGGFLSTNDDPHNRAMWNGKKEEEKPANMTLAEWERERI RRKLRENRAGIYEPGLSILNQADGRDEEEDALLKAAEEDGEEYKGTYGDGKRDIKGKC RECGSLEIDHKWQDAYHIGICHACKDKFPDKYSLLTKTEAKEDYLLTDPELKDESILP HLERPNPHKSNWQNMQLFLRLQVEHYAFSAAKWGSPAALDEEYEKRQKATKEKKEKKF SKKLKELKKRTRVEAYKRSRMGETEGAGIGAFGQKINTFGDHVHEWGRSVLDHETGLS RKRCEECGMEVEELEF CC84DRAFT_1255357 MSAEVQSAAQGPAPPQGVYKELQKNPYLLGLSAFSSLGGFLFGY DQGVVSGVLTMESFAAKFPRIATDSSFKGWFVSTLLLLAWAGSLVNGPVADRFGRKGS IEIAVVIFTLGSALQAGAVDIPMAFAGRAIAGFAVGMLTMIVPMYMSEISTAGIRGTL VVLQQLSITLGILVSYWLEYGTQYIGGTRCAPDIPYSGGTFSDRTFDPYNDVGPNGCT GQSDASWRVPFALQIFPALVLGIGMLFFPESPRYYCMRDNEEAGVKALARVRQTSPDD EMLQKEYLAIKAEVIFEESFNRETFPGKKGVSLYLAGYTTLFSTWPSFRRTAIGCCVM FFQQFIGCNAIIYYAPTIFGQLGLSGKTSGLLATGVYGIVNTLSTLPALFLIDRLGRR PLLLSGAAGTFISLVIIGGVIGGYGDTLRDHPAAGWTGIAFVYIYDVNFSYSWAPIGW VLPSEIYNIGTRSKAMSLTTSSTWMCNFIIGLVTPDMLEKIGWGTYIFFAAFALIAFV FTWFLIPETKGKSLEEMDAVFGDSTAAEEKARLYAIATSLGLEEAQAAAHDVKEGKAL HAEAAEA CC84DRAFT_1136773 MAPPKTPRSKSQTDVSTSYSMAPAPGRPADYFQAAQPSEALAQA QEDEREVLKAIFMDDYQEVEAKGSWGKTTDRVLRLKLRAFSNDDISCTLCAKITATYP KSLPILNLEDTNNLRLKTLQTLQAMLRKRPKELVGEVMLHEIATSIQDILEDEIAVRE NDGTFENLEAERAEQEAAAAELAKQQEEKLQKKRNEEKAEEDRALQQMVNDEVRRKEL MAKRRSRISTITPTSSYQNGHTGSHVSFDRMIELQYDGRTTECSAVEGLLPFRQGPVT EELLVKPVGSGEAVTLVMKRTRVGAEKLSDAAQLKRAITDFEEEMEEIKKLRQSTILN VFDFKIEQLPEAIWEINVLVEFGDKGSLGEKLDDDGQLAVARVRSWTVDLLEALDYYH RNGIIHKRIHPHNILLHKSSTGGIAVKLADAGFQETLHSLKNFGRTGQPFAASRSAFW IPPELAQEARRTRKTDVWDLGVVFLQMLFGLDVPQKYNSPKELSDARGCSEPVQEILR KFFKPDPKKRPSAFDLIPCEFLRDDVPVYEQPPTPMRSRHSSTSLAHYRLRRESSAGV GGMTYSRYTSDWVEQDRLGKGGYGEVVKARNKVDNRIYAIKKIRQKTAAALTETLSEV MLLSRLNHPCVVRYYTAWPEEETTMMSDSDDEDDSTTFDADDSESGSDISPGNTGASG YGVASTGGLDFISFSGDPKVEFGSDDEDANDDDGAIVFGSDTEDDASLADLNSPIAKK RTSSNSMARPARTILYIQMELCEKQTLRDLIRRGLCDDPDEVWRLFRQMLEGLAHIHS HGIIHRDLKPDNIFIDMAKVPKIGDFGLATSGQYQRLDRKASAGILPDSDMTRSIGTA LYVAPELRSTVAGNYDTKVDMYSMGIIFFEMCYPLNTGMERDQVLRQLRERKHTLPAE FETQEKSLQGNIITSLISHRPSERPSCAELLRSGKVPLQIEDEAVKEALKALSDRDSP HYTKMMAALFSQKPDTQAKDYAWDMGNGSGAQNIQANDILLQNLVKSRLGLVFRGHGA VEVQRQLLLPWSDHYANKNVVKLFDPSGTLVQLPYDLTLPYARSIGRGAPFLEKTFTI GSVYRDNYGGAPRSSGEADFDILSYDTLDLALKESEVLKVVDEIIEEFPSLASAQMCF HLNHADLLDIIMDFCRINVSRRRAVKEVLSKLNIAEFNWQKIKNELRAPEIGVSSTSL DDLARFDWRDTPDKAFSRLRRIFEGTKYLDRTHAIFAHLSSVVSYVKHWNVKRKVYIN ALSSFNEKFYTGGVLFQCLYDGRKREVLAAGGRYDKLIQEYRSKGPGHAHPASSYHAV GVNIGWDRLVNSMMRYLKKPERSTFLRKQAEEDTPAVSWMPRRCDCLVASFDTAVHRS TGVRMVADLISNGYTAELAIDAHSVEDLLRHYRDDRHSWLIVIKHGIAPDKPDIKVKS IAKKEDMDIRSADLLNYLRNEYRDREAREGTAAQRLTKALPAATSSSTSTAKANVDVL MSHHRSKKSNKWSIVEAAQARSAELLASFQGAPIAAIETKDEVMISIHETRLSDPDSW RRVIQNLPLAERKYLQELHDLLLKYAARWKEIVNEGSARSGEGGKAFVYNFRTGGCLL YDLES CC84DRAFT_432975 MFSWITGPRITNAIEDLQPDHGYESTFIDAPETPAHQFAVKALK RAVFGTPAPDDATNAGKRLQKKLSPDLAASKVPVVAAPQEETPPVSPSKLPGGILMTP GTVNKGRKSVSFGAHVVDNEGKRVNPGRSGIPSDCPGKFPSPWTPGTELKASTDSEQK PRTKLTAALLDARTTTQPRSGQKPKARDDSDITMDLGAPRADSGKYWKEQYEEYTARS EKEVKKLIAKQQLAKNYAQKKDGEVTELATKLEQERKRFRRREQELEQQNKDYQERLR QAMAEKLSDGIEITALKNRIATLEKSLTATSFELQEAKSSSPFQIFEDTSKNEEAVRV EKDKLADASYLSQKVRVVSVGKENSPPKPRHVRRQTMPEPAPVPQVSLSSTPRLGAAA GDVSTILGRSPRVAIREVESTSKSASAAETAEPGSTSVLSNRKHDVSQRNQRPLSPAP AAPSSPLPQPSPDPWVAADQSSIAHYDKMAVPIGGGGGGSYTRPGRPLRTRRHTASKS VSQAPVAERSRPSQSTGETLLFKSKLDAQLAKEVSSEKVTPVDIKIETRQVAPPATLE SMAAARKFDGVKPSSTSSTDSKFDRAKITSHHAEGSSQVAKERAMLPVDRKEAAARRL AERRQKKQLAK CC84DRAFT_432976 MCGNAATRAHVDTPAPAAIPASAPTDRRPKTIDARPPTSCNNHD DDSTTTFSQPEIAPIRTPPSSRKEKRKQRKNATLPTPPSSDTEQPTKRTPPNNPQRAP SLSPSLTMAKSLTQKTYPLLICSLGNPGPTYAHTLHSAGHTLTSHIAAVKSYQPFTAG LSGRVARPDNTTYSFGPLQGFRKTNSKEVGPGEDDWTLWQSTSLMNVSGKPLARAFAA FSSELARHGRGPGRLVVIHDELEAPLGKVSVRDGGSSARGHNGVKSVQGAMPGGTKWW RIGVGIGRPESREPDAVAGYVLRKMHAGEMRAMEKACAGVVKVLREISEGKR CC84DRAFT_1202058 MSQSSRSSPAPGTAKMPRPFALTCIPPIKFNIQGKPMLEHMQTM VMTCWTLLSKALRANFDGVTSIAVPVAPELRTSDGTVKYRSGSRVTAGKDVGDRVEIR YEDIDSQVKTTISANLFIVADGSNFSMRNLLGPEVEHSYAGYI CC84DRAFT_1160462 MPELQPQIQRLLNLSIACALISTFSIVIRIYCKIRNKGGFHTGC LFKKESSWDADN CC84DRAFT_433014 MVLKQFDMDFILRQQLTSDELPPWAGIVFKYPVDSDDLSEKLRA AYPDCKTHRERKHRATIDFLNAELSRMQSGSSIIDPNSPSNVKHPTQGSTPTAARLHA EVLSEGTEILSASDGTPSSLIESTISPKLAERIRKASQATADSEQSQAHASPTAAQQF VWSAHDGRSMRPKTKRKMTVEERNAYKNTRKRGACDKCRKQKGRCTHFNDNDQWKILV DSKRRSADLDAPSEEGMKLIKMEYSSDVHHRPSSGQTLEVERALSTRPLSMYEHVRPL DDAARDEQRVTDSLPSSVSSRSGSNGNSECLTPTNSRAKSPVIANERE CC84DRAFT_1110519 MFEPEPEPRLGAENQQTLDQQLAHRISDLRDEFAKYLARAHATH AELSAILEQPPAEYDSLQNIANQRLAAYDKHVNSKNRPTRNQKPWPNLDNLAADVAQL ENFWRVAKTSSGILAFQKLFFWDRSTMLQYKTRGGLSHFGKWARKGALMTGINQNSFS KHFHHATKVDIVAQNGLEWVRVCSSTEKKLLFDLAKLGWQNDSDSDSDDNFGANGTSG PSNIIDDDESLEILRDAHKLARAAKANPLRGCTPRVRFVMTRVASGRVKEIDAILDKI RATGALVQCVGNAPETISFDAALTRMQPGPMPSISQVLNIDYTILRGLVSDISHTECS LQDEQVRQVRESIELEMTEKFLLDVLYPTIASAPMVCTTETFQQLYQVLGLRGSGTEQ QRADILFARGGHTKASPENLLAAWRSLSMHPVPGFLQLPIRVVQSKLSENLEKLPEVA TKMLDLELYYYSPATKSSAHATRSSFLYGWAHGLTTLTGNGAVSRYQINDRLDQGGLG DGEEGPHFWLLAQMTRVLYGRPRHLWTLPDGENTVDAGTPQNEEAISG CC84DRAFT_1083597 MNWFTICNICLFVTSTALLALAYARPVSDAVCTRQLFSYSPALE AVEYHEEDYKGVFHQPSIYRGRPTDEIDEHWRKLWDYGGFNVPYDKLYLLNRSTETPW QRTPEEFGGGATAMLWGFHQLHCLDLVRQGVYRHVYEEKGKVIPAFDGPEYLVLMHID HCIELMRIHMQCHIDTTPYLVKIDDSVPVGYKAEFSSHHKCRNYEKVRNWVIDHTAIP CC84DRAFT_1085084 APAYEGVEYYETDFANAFTQESEYRGRPTSELEDRWAKLWRIGG ISVPASNLAALNRSKEGYAQTSDGMYGAFLEVHHQIHCLNMIRQYTWLNEFNASFFGL EDGPKLNRMHVDHCIETLRLALMCRADTTPLLVRLGGEHGTKADFNSHHKCVKWDHFM EWVGDHVTWS CC84DRAFT_1212722 MKFLATFAAVALCALVAAAPAPVPAPFEVKDISTRGAPVDPATG SDLAENAIVWIILAYSDEAEGSDTLTKREEPTEPLTRESEIILLLVKAYGDEVPEADK LDKRGLHELARRAAVPEEKLTNENEIILLLVKAYGDEVEGADVAKRGVTAKQMSAAKR ALIQKLRA CC84DRAFT_1255363 MAKQPPAEDVIEYKTVEFVTNLHADMAHAEKNIYLELTDEGDAA WDLLTETYATSAIAPSLAQRLNEPTSPSPNEPDRYLVGLSVFHQLHCLSRIRRLLHPD RYPRSYFNLSAAETAVHDAHCVDLIRQTLMCHADISPVTWEWNERMGMALPSAKTMHV CRRWEPIWEWAVEHRAVGM CC84DRAFT_1160465 MGVHHHQHLQTIDSKDTASKAITRAYSQTYQTYQYHLLLVAFVA SLYGLYYQISNVYREYATRHSKHHHQPDMCFSSSIPSTASSSVLASSGTNYNKSIFLP YVHKFGTRGVPLVWVTIHSGKEDVRIDMPVDTGSTGLLIGAPLLPGVDPRAGTPGRQY LSSSNIVYVGRYLDLNLTFHGTGNISAGARVPILIVDKSWVCPWYDSLKHGFECPLGP EGQEPVERDVSGITYMGVGFGRNKNAEGQRTATSWGNPFLNINSINGTRFEPEDMKAG YIVSTKGIQLGLTRENTRGYDFMKLERGIAHAVDARDWAMPRMQFRVNGRKSIPGSAL IDTGRCSRMCTAAVF CC84DRAFT_433050 MLDALPSPTRSLDDLSREERAFTFAHFTCEHAWVIGNILRNALR TADCPAIIHISLSSSQTLFHSPSMPGCMPDDEAQTRRKAATVLRWGHSTWYLHCKFNG DEKRFSESYGLTVDEKARYSLEGGGYPIFVKGLEGVAGSVVLSGIDGEQAHMVLLKAL EEYRELRNDFRSPMRSMTVK CC84DRAFT_1212727 MSRTQKQVTHCSVDESDFQCSDNFLLTYFGDWNVSPKNPNGMHE YPRPAYGAKNKTAEETEAGADISKRKTLQPRSMSFRSPRSFWSSGSTHSSGSPPMLPV LMDLGPEQGGDGTVHHPAYDPDRVSSAGVSLDSVARHELEIADALHRAEPTVVDNTSV DLTRAPSSILGLITAATANASAQGWWPEDLSVVRALDPISFSSLPLTAGAHRPQSQLE QLSTSQSFFGDMRRLQPDGMSRGQFRHMTSSGTDVAAEMVPQLLSCGWGLWRRVMRRR RRMGMERA CC84DRAFT_1193175 MLKLSLSTPRKRARSEQDIDQRSEALLSTKRRKTSPSSELLSAF WDNLSKIWLTKGALRELNRRNIQASSSANPLCSHDPSNFKDVESFARHGGPDLSDLRS FPEPAHIANRQRDPASISTRPTTNTTRIKSTRVYNRDFQQHLVDHNVYPHGYRHSDGS VPIKPTNWKDINKTLGHPRPSLSPSVFDEEEYERFIKIRIELGEKIVPSTQHDLPLVP NFFLAAKGPDGSIVVAGRQAYYDGALGARGMASLEEYGQGDPGYGTTHAISLIYHGGQ LKMYTSHLAQPSSPGEGLKYHMTQINTWGMTGNADTFRQGARAYRNANEKAALAETEI ITGEVGASPALSFVIAASDTEAYTMSQESRTTLNEGSNALGIFEESNSLVETLVVKQS NKRKRRSTNAGSEA CC84DRAFT_1081557 RGDLFAKGRLKNPYIFRRNIVTIFGGPKDSKFDSEDMKIRKEST RDRCELIRGLSADGVISWAIAYPPTLWAAGSMALDVFTCLLDDIEPELEQAWPPTVWE TLHLLKADEGFLESSLVYEEFLEGLFSKLLKIV CC84DRAFT_1212731 MQFSKLPAFMLFVAGRIATADIMQTYYWAGAASPPAGRDAPFTI DWQYNDGNWHRGVNAGYDYCNFGTGVYTQEYLCLYTNSWTARFKFQNEGERCMKLSSC GGTLCGGNPCTACTWLEGC CC84DRAFT_1081290 MELEETSQVHASGSALHTSRRSLSADHQEAVRYEPFRRQGAYSG LSGGDRDDEEDQGKEQSKLQTLVYKFTKSILLRRLLLYYFPPTLLLLIPIVITATVAK NAYIGDDVRVVGLFVWLEVIWAIFWGSWGLAFVLPFVFQYFAGFFTPVAKDYTDILKA VILPMTAFYFALFSRAATPLLCVFDEVKPGRCDDDWIMIIRRFLLATIACTGLFFVQK VLIHLLTVNYRKRQFKVRVEESKRTTHILAQMYEASVRLYPAFCARFAPEDDKIHRSQ TLRAAVEGGLQQPHLRKRVNKFYGADAMAETKARLQGKEVLKVGSPRSVVMKALENEQ ASEALARRLWFSFSMESDAVTEDDITRILGPGREEDALDIFHALDNDENGDISLEEMI FLFTQFSRDRKDIERSMHDIGQAIKSLDRILEVFLFFVSILLYIAFFNVGVTSTLATL WASVAAVSFAIATTVQEFLGSLVFLFIKHPYDVGDRVDINGSELIVEHISLLFTVFRQ VSTGGIVQIPNIVNNLQWIKNVSRSKAMKERYNFAISPKTKWFQLEKLKSELRRFVLE PQNKRDYQPNIDVELISIGDMEKLHLRVEICQKSNFSDEALRASRRSKFMCALFTALK KHSIKKPWGGKPPDAGSWENPAFSVTVTGDDAARARAEWEAREEADRVKKMEKLWWNG LGKEEKSK CC84DRAFT_1171883 MLVTTFAVLINWVVLSFLETQDEPFEISQPEISFTQMYSARSDP FDDNEALLKRLPQPSIPRTYYDIQTQSPRSRFIFRNAVRAAPPILAGTFWFSMMLYFL IYYETLPKDTQGRLPRIGPAYSTFPYISCIGAVRLNYFRGFACVVAPLVSLAFLLDFW TGRNVTPGKLFRTAKLFFGTISSVFLVLLSFESVNSGNHLHLIFTSIQIWCMGAAKLS DYFLSYRMRRHDRKNQYLLFAKLWKKCIGIVAAPASAITLFGIYGCTMPVGLLPTTAK CYKLTSFSAPSEWILALCWITYLFALGYDLYHAETVATRIISLTSQRGTPHGKSPSAE MRQLRLARVLGGNHEDTGYNPEYTVARTLKYAFVQSQDIEV CC84DRAFT_1081348 CALLELSFKTTFIYYGEGRHVLYLNSYQIEQAYKYSQLVLYPFI FSTAITKISVGFMVLRFSQTRAMRYSMYALMASLIVVNGACIVVLLSFCRPIYATWDI RVKHAVCLSSKILSLASGIQGLWSVVTDLICTSLPLVIVWKLHMGREQKIAVTVLVSF GLVTTGCSIGRCIYYATTKFPPDQTWSGIDLAVWTSLESNIGIFAANLPALGAMLNLK SIIVRCKWLSGPEKYPDFPACDHIGTSLDSQTASAKRTVQVN CC84DRAFT_1136797 MKFMLLPIIALFIWFHSTAWASQKCPSWRPPGNDDVRSPCPLLN SLANHNFIPHNGRNLTVPLVVNVLNETLNISPEISEFFASAGLSLASDPSLGHFQLDD LNKHNAIEHDASLSRVDFHFVGKEGVARFNYATFKRWFSHFHGQEFIDIESAARARFA MIQHSKKYNPEFTYADTQRLASYVETSLYFKTMVDEWGRTRKDFVRVLFEEERLPFTE GWRRPTMQFDGLAQTDTVLQLALATPEKTIWTGGELQGEASDGSVIYSVGPVFHVQSH IDRAQQI CC84DRAFT_1110537 MSSHVEQNLVEGNKAYAAAFTQGHLALPPSQKYAVLTCMDARID PAAAFNIPLGAAHVIRNAGASARDAFRSLVISQQLLGTTEVLLVKHTGCGMLTFDNDT ARGLVKKNKGEAAAKEVENIDFITFSELEEQVKDDVAWLKSKAVEEGVGVTGWIYEVE TGQVRKVV CC84DRAFT_1255369 MAKLPESSGNSPKLSELPGELILEILQYLTVTRGYFPVPEEETS RQTENAQRIAALHGLTLTCRRLNSIVTPYLYESITKLGRSTDWSNVGSLLNTIERKPE VLELVRYIETGGTAFDGALGPSLETKLGGLTTINYDLYNWKGMPDPCLPCTIVISTLI RLAPNLQGLAIEESWHWGAIYDLYANLALRDVFLKNVDDNGIIIHISGSSATRFSPAV LLLSKIGHGQVEAGGILLENLWKSRHHPMGDFRGTPVHWEELQLVPVECIALDGNVSH VDLENLLSSCTFLRRFHCKWTAGNEGVPGAAIDLQELRKSLERFEPSLESLILDTLES SWLVELDQDIPTIGSLREFTYLKHVEVSGMVLWNDDDDDAITQRRLSSILPSALETLV INVEWDDYVVQALLDLAVDCTEQLPSLKRIDCSWRPASMFTGHELIGDFERVGVELRL DVASCTEEEEKRIEADLIRMEHLIEYMDRSTEALAEEMRQEMTRFEEMRSSEGETDVS DATQVA CC84DRAFT_1255370 MCDFSEYGGPSAEWLEVEKTLPVPFFDFGQDPKVAQATVNNGRE DASRKVMQQLGPHVRMADHSIPTRDGSTIEARTYRAASKSDDEILPAYLYFHGGGFIF GTLDSEDAACAGTAINTGAVVINVNYRHTPEYTFPTAWNDSQDAFIWLHKNTDKLKID SSKVVVGGISAGGQLTAALVLEKHLGKNDALNGLPDIAAQVLIIPALAHCETYAEGAL KLMKSQEISSIVENENAPILPMSAVRTFTQLLKIPNTDLKDVRINTLTAASAEDVKGL PPTMFGIAGLDPLRDEALLYGKLLSEAGVPTEVRLFKGVPHGYRRFGPALKEASAQWD KAVEDGIAWANKTPAATGKFVIKVV CC84DRAFT_1234640 MQDISTEPPSRGFKGKVAIVTGAGCVGNGVGNGRAITIMLADEG CNVLCLDMDFQNAERTVLMANAKPGRGKAVAFQCDVSKAQDCEASVQLALKEFGRLDI LVNNVGIGGAQGTAVDVDMEAFAKGLEVNISSMVLMAKYAIPAMMKNEGPVRGSIVNM GSVAGLKGGTPHLLYPTSKGAVVNMTRAMAAHHAESGIRVNCVCPGMLYTPMMYAKGM SEEARDARKKRSLLGTEGNAWDAACAVVFLASDHARWITGTILPVDAGATAAVGIGMP RGTSV CC84DRAFT_433275 MTRTPPKPPVAVRKRERDVHLLYGSAFRDLPKIEAPDQHHTPRA SADSTLIALAQLAATRLQAARACVTLIDENHQHFLAEATPTLPLRPKPEDAAAALWLG NVSVPRSWGVCEEVLEMRTDAALVINDLLQDQRYAQKSFVQEGPRWRFYAGVPLISPR NTVVGVLSLWNHEPRSEPGLSNIDVTLLQDFAATITKYLDTYTLRDQYQRGEQFTRGL LSFAQGASALKPFKVFSDDGSNRSGSAGSAGTGSAHSAQVSSLGSRTIQASTSNERSI GTLQNSILPLHSKDMFSRAANVMMASSNLDGVLILDASVAATGHRQFPGSNEEEAGSG ESSNSASSSSDTGSTVSSRHEMHEEKSPKKCSVLGYALRGRSNNDGTEFGTLLERDLA RLLKEWSTGKITNFTATGASVSSTDDTSSNASAGEEGALDPKKKGGRRFRSSAAVHAL LPNARSVAFVPFWDYERSRWFAGCLCWSNSPQRLLSSTVDLNYFKIFSDSIMRELSRL DALASHQQKTTFVASISHELRSPLHGILGTLEFIKDTKLDSFQISMLNSLHSCGQTLL DTINQVMDYAKSNEAGKTVSSRRLKNSNTIRLSSKPLKTRKIKQPSFDLRLATEEVVE AVFSGSLYIPVLDPNESVTTPPTEPEFNPVLNEELPTSSNRKQCYIVLDLAQDDDWVR CFPVGAWKRIVMNLFGNAIKYTESGHIQVSLRSSESKDSDKGEATSTITLTIKDSGAG MSPSFLANKAFQPFSQENSHSSGVGLGLSIVRQIIETSGGKMEVSSEPSVGTTFIVKL SLTKPTDPPPPLPKITEYLPAVARLAGRRVCILHRKHHSPSETSDSFGNSVGLGRFTD ALKNTLETHFKMQVVQTEDWSGHEADIVICPEPSFEYLDTIRRWRVNNERAPVTVFVA MDALEAATFRSDVRVDNKESVVEIMTQPCGPYKLAYILGRCLDRFDDISENIPSNTNS QEQVSFPQPKSLEPPLLRHGSPEQFVESPPPPDFDIDNLKSRVTQIVSHVPESAPVVL KNVLPIRSKSTDPHVLVVDDNVINRRLLVTFLKKQKYSYSEAENGLTALQMYQNPNTR YDFILMDMSMPVMDGMTATRAIRQYEQAYNVSRCCVVALTGLASNSARLEAWNAGIDQ YMTKPVNFKKLAEILNQETTRRASAEEAKQVT CC84DRAFT_1183697 MCFDCSDPFLKYIRFSVLLAGLSIPLLCKRQQAIRVEFSLLPLH TTMTTPTQMMPVYFLGIGGPNFMENTHHPAYAQLTSVGNEITTRVKPKAIVVFSAHWQ GGHTSIAINAAEETDIIYDFYGFPKHYYEFKYPSKGSPELADKVATLLEKSGVKIEKV KRGLDHGVWVRFAAAFDPKKNPLHVPIVQHYRLGQALQSLRQEGILIVGAGMAVHNLY DFRATRGTGRTMPYVFTFDDALKEAATTLPEDREAKMAGLLNREDARKAAPTLEHLLP MYVVAGAANNDTGERLWTLTEGSLSWAQYRFGKVPGA CC84DRAFT_1193179 MVLAKSKKSVGLGNSLMNDRFGKGKGADMRKGNFQGGIERTGQN GERYITNDKKEAAWVKMRSVTEQNDLDEFLSTAELAGTDFTAEKLNNVKIIHRDQKNP YLLSADEERTAVRKHRENKEKLSVPRRPHWDEKTTPQQLDTRERESLLQWRRGLAELQ ENNDLLMTPFERNLEVWRQLWRVIERSDLVVQIVDARNPLLFRSEDLETYVKEVDPKK NNLLLVNKADMMTLDQRKAWADYFVDKGINYKFFSAELAKEMNAARDGLDRSDEEEED SDASDDSDDYADEDDVEEEIDPEGDDLAKEAKKIELQDKKEEEAKRVDEETVPGSSGD VPLSDDEERIRILTTDELEALFLEHAPDVDTGPDGARRKTQIGLVGYPNVGKSSTINA LIGAKKVSVSATPGKTKHFQTIHLSDQVILCDCPGLVFPNFATTKGELVCAGVLPIDQ LREYTGPAGLVAQRVPKPFLEAIYGMKIEIRPREEGGTGVPTSEEILRAYAIARGFQT QGLGQPDESRAARYILKDYVKGKLLYVHPPPTEPPTDARQFNRELYDINHLPEKRRRH LAAAAATSLADNEDPSEMDEDLDIDMAPLTGEKGSRLDKTFFGANRTGHVSRPFHYQY SEQGKQLSGRKLKAVTAMEKGVDVSELQTSSKKHFKANKRRAKKVREEYE CC84DRAFT_1136812 MPRRRFIDKKDAINYRLVHRAQNDPRIHDENASSMVFAEIEGKN TRDSSEPAGSSRASQYSSASRWTTGTGRTGRSQIKSRKDLEPEFATDVRKNEGEAASY GIFYDDSEYDYMQHMRDLNSGGGDAYFIEAKQPKKGKQKMDLADALRAATLDDRQSDA GISMSSSISRAASDVFGEDLAPSEFVRKTTYQDQQNIPDAIAGFQPDMDPRLREVLEA LEDEAYVEDEEDFFSELTQDPAEVGQYEWEEQDFDDGGDTMDRFLDEEDPGWETDDTI KASSPKPKTEKEAVEPTDPATLPAPDEAPAPAEEGSMDYMEAFKKFKSDAKSGKAPKA AAPSDMQSSIMTGASALTAGGRKKKRKGALTNPSNYSMSSSALHRTEGLTLLDQRFDR IEEEYADDEFPDDASMVSGMSKMSGFSAMSGMSGLSNMSEAPQLRSDFDSIMDDFLGT HTTVGKRNNRIRKGKVMSGLEQLDEVRKGLGPARINGRPRPSNVTA CC84DRAFT_1084971 MVLYSFYIFDRHTECIYSRRWTPTRPASSSSKTPRPASTSSTLS SSPAARAHASDAEKLIFGLVFSLRNMVHKLGGTDDTFLSYRTGEYKLHYYETPTRMKF VMLTDTKLNNLRPYLHQIWANLYVEYVVKNPLAPVEHPGGIGVANELFERALELFVTT ALPA CC84DRAFT_1160474 MLQPPATAQPEETDIPGIFTSEHVELDVPNVGSDGRPPAVKYTS LPAYHSPLRHHKRAASSTRRVKETLNARSEYSNSEDDGTAQHRINQYLIKQEIGRGSF GAVHLAVDQYGQEYAVKEFSKSRLRKRAQSNLLRRPSARRRRQGALAAGLGFNSPLHR HSAGREEDQSSLDLIKEEIAIMKKLNHPNLVSLIEVLDDPDEDSLYMVMEMCKKGVVM QVGLEERADPYPEEQCRCWFRDMILGLEYLHAQGIIHRDIKPDNCLITGDDVLKIVDF GVSEMFDKQDQMKTAKSAGSPAFMPPELCVARHGEVDGKAVDIWSMGVTLFCLLFGRI PFERHGMIELYQAIRMEQPEYDECDDNLKDLLQKLLEKDPQKRITMEQIREHPWVTMN GTDPLLARGENVAAIVEPTDEEVDAAITGNMGHLVTVVRAVKRFKQLLFRRRPERLEG ILGSASRIVQPPLSMRPSGLRKSKSQDADDRRPIEGALATEGVHHDIEIDNDVRRLPQ GIDRVAYEKPGFRPAISSSRSHPTKAGLASTPTPPQPVGITDAKVIHENMSYRPAPSR PITIPAGPVPSAPGTPVGKGHAHDPLQDTLFLDIGTGEDSVAPGDDTHIVSESPGAVD INVYETAYREEVDRILSRQQDSAATPGATTARRPTLYLTRRIENVKSIIRDAPEAVFD HGKQPPTPSDLKTGFKGLVEKAKLNIEYRGEWQKLNEGKEGKLSRITRNVREKKRAVE EEREIIRREDREREREKALGLAERKESFKGEKDGEKEGRRGSASSRSSTPVPGLKGAS RSGTPVQ CC84DRAFT_433418 MCGWRNGASISLLAALAMRHEKQPWSQDVPPAVPPCLARGSRRR LACRKISTRLANRFLFFIRMCNLSADAPHPWLQLSTPLDAHSEMTRAPHICPSCACAY AEAGKTAQTQDLVPGGARPLEIQYLQHAANLCRFIFVACGPWSLRHCCCILSSSLSFF KR CC84DRAFT_433417 MSAVAGPAAGQDVMPATRASLQTSITAAEACRHQAPTSPSSPAC SLWPRRLPAVPSSLQDAGQQRTAILCTTPCDAPASTAKVHAEPPAYSCVHLGHARPSC CCSATRQSARLSNCHAADRTSRPGPSSHPLTIPSAHAPARLLHASRRFCCKSLPAQTH PKIWRHPRRRVCALSSSATNSGLVISCT CC84DRAFT_1082606 MTSRLSSMFTKRDESKEAQKAALVAEKNAEVKASNGAATRPQPS RQASVTGRTGGTTPPYRRFWVNDDGTHEHHLKVAKRQEKLSDMFQNLMLGKRKEGSHS DEQPLSLMANWVDVMRNEKEKLAEQKNSATSTTSQTLVQKYGKCQEVVGRGAFGIVRV AHKTDAKDPKREQLYAVKEFKQRPGESAKKYQKRLTAEFCISSSMQHPNVINTLDLLQ DDKGTYCEVMEYCSGGDLYTLVLAAGQLEVVEADCFFKQLMRGVEYMHEMGVAHRDLK PENLLLTQHGSIKITDFGNGECFRMAWEKEAHMTAGLCGSAPYIAPEEYVDREFDPRA VDVWACGIIYMAMRTGRHLWRQAQKGEDEFFDRYLEDRKEEAGYRPIEVLRRRQCRNV IYSILDPNPTRRLTAHQVLFSEWVKEIKVCRAGDEGF CC84DRAFT_433432 MTIVGAPLRKWTVYLLLCSIPTCALAQATNETPAPDSSSTATGS ARAPPATAAASKPPSAPVATCPYRTINYITHWLPQQCLRTSWSAPTPSAAVDEPSGEG ALNTPEVVVPWARQDASGSKVRAEVEATGPAAAGAVDAAAENASSGTQAEAELETDSP FDSDNFLSFEEWKKKNLERVGQSPDSVGQARAATLDQRNRRRPVNVNALDSLGEEGEI EIDFSGFGNPSEGQAVPTKPPSAAQQDTATTTDGEDPAAPSSWALSKDAGKTCKERFN YASFDCAATVLKVNPKAKSTSSILVENKDSYMLTECSAENKFIIVELCEDILVDTIVL ANYEFFSSMFRHFRISVSDRYPVKLDRWRVLGTFEARNSREIQPFLITEPQIWARYLR IELLTHYGNEFYCPMSLLRVHGTTMMEQYRREEEEARGDDDFAEPIDEVAEEPVQTAV VPVDENLPSQQTQTKPNKDSGIEQQVSPQGDKSQSSTDSGSRAGAIDEEASHQHREAH PSGRASEVPGSTITYSAGDDAPSDGQRPGPSTHLDAAKNGKRSIANDSPNAGPDALSS PSTPAHMPKSPTSTAEHYSGDSKPVSLQATDTKVATNTDTGAVPSNKGPSNNTNSGSQ APAQTRTSVQSQPNTPPTQESFFKSISKRLQYLEANSTLSLQYIEEQSRTLRDAFMKV EKRQLQKTEKFLDHLNTTVMHELKSYRNLYDQLWQSTILELEGMKERQQAEMGEIGAR LSMVADELVWQKRMAVVQSTLLLLCLGLVLFVRSGTLGSQSDIPIVQQLGNTYSSFFD SPSHSPEGSRRVRRRRTFGNIWRSDASAALSDRSGHVSDLVNGVSDAETDGRRSPVQV AFSPPTPITLGEPSMASKAGSASPEQSDGVAARTDQHDNGLPTPTEEPGDPLLLEEQA KRLEVLETQSGPATPRGSRDSRPSWEEVDRAIHLLNAEDTEEEEELKRKVREQLKRRR SPLQRAISYDGVRSGTHSPDEDGVGHDSVFAE CC84DRAFT_1183704 MSELLVNSEKGHLSASQRIPQIARPFVSERAAKTLDLVEKFVEE ECIPHDEVYIRQIGETTQQRFTSHPSVIEDLKKKAKALGLWNMFLPKNHFKEGAGFSN LEYGLMAEYLGKSRTASEATNCAAPDTGNMEVLAKYGTDAQKKRWLEPLLEGKIRSAF LMTEPQVASSDATNIEMTITRDGDSYVLNGSKWWSSGIGDPRCEIFIVLGKTDPNNAN KYAQQSVVLVPHNTPGITIHRMLGVFGYDDAPHGHGHVSFTNVRVPASNLVLGEGDGF KIIQGRLGPGRIHHAMRSIGAAEKALEWFLARINDPRKKPFGEMLHKHGIMLDRVARS RIEIDAARLAVLNAAITIDASDAKGALKEIAEVKVLVPQMNLDVIDRAIQAYGGAGVS QDTPLAAMYANGRTMRIVDGPDEVHLLQLGKNENKRGKAHKSRIEAQRKKGHELCQKY GIEPKDPLYLGRSTGEGKSKL CC84DRAFT_433448 MAASECPAARPHALVVLVTVRRSFGGYAPFTGGMTQYPRRAHAA IEPSLSTAVVHLQISPVTSPSRPHVAWPTMVPFTSTEKNTRPGCPTGEGIGSVVAACL GWALCLRAALPNAAVPVTRRAGSKPMGNQNKVGEESKGERNDRMGQSTKKSGTCQESK QVSCVGAATAGTRVYGVP CC84DRAFT_1183705 MYFRRHRKAIVRILLALFVLFNALDILHVRQRLARGSPSSNDYE PGSERVFIAGIFWNNEAILRSSLSAALVGLAETLGPKNVFVSIHESGSWDDTKDALRE LDEALEKVGVERRITTSNVTHEDEISVEPTGEGWVETPQGNKELRRIPYLAKQRNTGL KPLAELAEKGIHFDKILFVNDVVFSTEDVLQLLNTRGGRYAAACSMDFKIPPYFYDTF ALRDSSGHEHLMPTWPYFRSRTSREAIMNNDPVPVASCWNGIVAMPAQIFTGTSPIRF RGIPDSLAATHLEGSECCLIHADNPLSLTEGVFVNPAVRVGYTREAYEAMKAGERGLS SLALLYRLWENRVRRWVTVTPALKSGVVASRVRKWKEGDPKNEEPGSFCLINEMQVLR KIGWAHV CC84DRAFT_433643 MALTKWTGEHHPRSPPLQNDTTWEFDTKYPFKTATNIPANQNGA PSLLDLPDELILWVISELRAIRLDQPQSLAFKNKHKETNRQYENFYRRSALYALCLTS KRLNRLAVPALYDAVVGSTTNYGINPLRLILRTFTDREELRCHVRYVENLLEDCLGNR LSNDLDDLEDSVGAELVTEYFAKLAWIVRMCPNILQMSVISIESNNFTFWGHLLDPID DGQEEQDGGNLLGAPKQILRKLKKLTLQTNVSERYFNDHTIDFDRIYSELLKFSPLTE LRASGVCVPYRPISSIPSGTTMESLQRIEITQCTLPLESVDKLLSACNNIHHFFCHWA HLHCEMSKGPVILLPNLQRHNRTMETLCLMANVGIFRSTSGSWVSFPSLCQMTTLKEA KLCNLFIPDMECRKRSPFDNPTVPIAPELTPSLEHLTISYNMPYINAEVWRDSVLEKM QRLAADCTQYLPRLQTLAIQYEEGVISLRAGDDEDLVRRFREKGVQLNIVKDLDVLAT LDQD CC84DRAFT_433632 MAAALECFYQHSDSPVYPSLENNQDLFSGFTGPRLGPTPTLVSP FETPDGSDMTDVDTRSEGSVYGNMKIEGRENVVEETSGNHSTASRSFHRSATSDNVDT GSSADASQVTGAQDKSLGVNAVTSNHNVNKGAIGGAIVTTLLLNSEETLDSSRSYVKE PVNGSIESRSLDDCEPTEPSYDSVPGIDSQFNNQNEVLASGESVEFKDVAKKQDPMVE EDSAGEDAVVRTSLQTTTSLLGKTPRSGEFYGDMDGHHQNARHAYESRTTLEPSAETM TPSQGIHASKYSPTAPQHNRMPHAGPFVQRFEHLPRAPRRMQFGNQPQHDRTDPRDDP RMVQAQLRKVEQTLDDERKAHKVELDRTEGRARDRYEKALQQITSEILGQRAALIQQT VHLKEYELSLNTREALNRKIEHLLAVGQKQSAGAEADAQDLDTFINVNEEIVREKVIY EIRRHDRQVDAQLAIKREKLNHREATIDMREKAYSTMYKTQVADKLQLDIRAELEQAI LARESSEYERGLAKGKALGHAEGNEELRQLWYDKGFAACHNMIDRMKRFQAGLLAHDS PELSFLFDQSHPDNPFLRGLQIGRRDVAASLKPSSALDGAADQTTRVQPGAGFPASAR DSQPPGLAARHDYTTANGNADDMPELSHPYAHLSGRTNSQEIGLENGVSDARSAPEHY GGPDRGLPNVFGMSSKSDPHAFAYTNGNDNIGTNGHTNGANGCRPPPNALQNGVDLYS TPASRADLSPQADPSFGVPMYGPRRAPRLLYPSLYSQPPQTPTTNGAVTNVTAPTGDC GTSSAGRPHVNVPTFLSGKRLLTYGRVEGEDERVHKADTQVDLIDLY CC84DRAFT_1193188 MIGATRRWFRRNRTNFAIGAGVLGAGYLAGQYALGKLSEARQRM SDDRIAKENLRRRFEQNQEDCTFTVLAILPTATENLLDAVPVEQVLEELQKQKAERLA RSVGPSEIASTAPPSVADTTEDDAKSSTFQSESYVHASQIAGEGESVVTTGSAEGSAE SGERSSKRSKAQLWSEMKISSITRAFTLLYTLSLLTLLTRIQLNLLGRRNYLASVVTL ASPPPANQESRISLENHDDDNFEQAYGNDFETNRRYLSLSWWLLHKGCLNLMEKVRVA VKDVFGTLNPREEITIERLSELTLEVRKRVEGATEEERRTRKWLPYLLPSHEQEDYVL RESGMTTSEDVTSPTTATSLRRLIDETSDLIDSPAFTHVLTRLLDAAFSHLIDVKISQ LSYKIPPISESTARVQEIVGSDVKAKVASSLAVFCRQAHNIGSGANNEYLAAIEQVRD LEAFAAVVYSSNFEVESPDFTSTRPTTMVDESNRTSMATSGVDPLAPGLSQPATARES VADPSFESAWGKALAKEDGKPY CC84DRAFT_1160481 MATQERDGNTKGINAYATLLTRPSYLAGALLLAHTLSHHSPSTP LVILYTPETMPDTAVAALRAEAKYSNAVLYPVEHLRLPAGGEGDEEGMVAERFIDTWT KLRVFEVLEVQGRKVDRLCFLDADMMVFSNPSPFIFNADNDAYLAGGDANRMVATHIC VCNLDGDAWAPASWTHENCAHTHVRDNEGVPAVKPDPPTMGEMNTGTFAFRPSPTLRS FVLSAFEATPPAVLRKLKFPDQDFLNMIFAGRWKSVSWRVNALKTWRYWHPAMWDDDA VAVLHYIVDKPWAARVTTSPEGKKVAGYKGDDGETHGWWWDMFERWAEERRGQGEEEL LATTARYVAGEDGKDSEEMRAVGGGAQAFAKKWEGGSKAVDGAKEGDKVVGDVRSGSE GMAQGKSDEVTGNPPPGQSDFGQGPHGPILRKPMFGERGHGRVVRGRRGE CC84DRAFT_1160483 MVKFTFKPFKSQKSPADSASEEPQNAYQKRREQVRRAQKTHRER KEAYVKSLESEVIQLRANEARLFQETKTLYAEINAMKTLLARNGIAIPSGVSSPPRDQ DSVVEERWGGDANTAEREVTGGGMFEFSVTEKTTKQSHERIVVRRQPRKRSNERSTTA LASDHSSPPFPATFNTPLSSSSSPFALVSSQDPTAIGMDFILSLEAPCLGHIPHTDAN DNASPSGHALMASATLLHFHDPHTPSSTHSHNQSQSPAWTVPESGVQRLLELARSIPL DGEVTPVQAWACIRQHPQYERLRLERLEEMKSALLVWVKCYGFGGVVPLDVFEDIIRG GFA CC84DRAFT_1084055 MNTLWRQQRTTGKAVYIITNEAENAVVALPIRKDGTLAAGRSTK TGGAGAVMVGAASGNPQLPDGLASQSSLSVLGNNIFAVNSGSNTLSMLAINPNDPSCL TMVGQPVAVPGEFPNTVAASAKNNLVCVATTGAVAGVSCASFSDQGIGPMDKLRPFDI GQSTPPKGPLNTVSQTLFSGDEMKLLTMVKGDPPNNKTGFISVFDVQRQWRRPSTLAT QGVRSSPNGTAVLFGTSRIPGSPNSFFATDASFGAAVISLDQNGTATAAHSQALDGQK ATCWATVSSASRSAFVTDVGRDLIVEMSLSDASIIKQYDLSANGDPGLIDLASGGNFV YALSPGNGTTGAAITVLDVSGGKGGAKQAQRFSLAGLASSTAQGMAVLM CC84DRAFT_1136842 MLLSQTVLSFFAATCGLVSAASITDVCTVGYCTLGSGTSGGKGG ETVTVTTLAALTSAVADSTPRIVVVSGTISGAAKVLVGSNKSIIGRNSGHLVGIGLFL NHSSNVIIRNTIHSKVLSTYEDEITIKYSTNVWVDHVDLSNDRDHDKDYYDGLVDVTR ASDYVTISNSYLHDHWKGSLVGHSDNNQAEDTGHLYVRVTYANNHFYNLYSRGPMFRI GTGHLFNNYWQDLDDGVRTRAGAQLLIESSVFEGTNDAIIAKDGYAVVRDVDLGQGTN EAPTGTLTSVPYAYTLLGSAKVKAAVVGVAGATLSL CC84DRAFT_1110583 MNTLDDGDNGPIILGVTWFLVAFSGVFLALRLYAKISRHSKLWW DDYIIALAWVLLLIESAITQYGRSLGLGKHVWNVDIEDAFILAKSIFIGATISCFAAT FSKISFGITLLRLTKGKTKAFVWFCIIGLFVVMLPSAFLSWLSCRPTAKLYNPFLEGK CWPAYVTRNYGYFNAAFCTVVDFALALLPWKLLWGLQLKTKEKIGVGIAMSMGLLAGV CAIIKGVHLRDVTDADFFYTGKNVTIWTATETATAVIGACIPVLRVFFKNTIISLHDR YHRTDGRSITTANTGFTTNGSSSVPLEIIQSQKSMGSSVSSVPGADELGMVITNDFGN GHGGILQTNTVTVVHTPNPQGRMMEWRGADVSSHR CC84DRAFT_1255387 MRFSTVRGALLFACIGLASTRLTANETSAYLQLENDHLFVSVNK SIGRVDLLVLDGQDLLGSAAYIPYSPGGSSGDGRYGVGPYLDCYCIPASGPSSTGSGS YTPGTIAPTYKLFKGVDAHHVAYGGVMMSETYPPTGQVLQQYWFLRDGETGLHTFSRI AYHNSTTPFLRNLQEFRTLFRPSTDLWTDLITDDNLASKMPVPNPASGSTANSTTVQD ATWYIGNRTDDPYVEEFADYFTKYTFSTTWRDQKVHGLFGDGSNSKDNSTFGAWLVMN TKDTYYGGPTHSDLVVDGIIYNYMVSNHHGDGTPNITDGFDRTFGPQYYHFNKGPAGA SWRELRNEAVQYATPSWNADFYDSIADHIPNYVPTSKRGSWKAKIDLPKGAKNAIAVL AQDGVDFQDNVLDTSAYQYWADVDSNGKVEIDRIKAGKYRVTVYADGIFGDFVQDGVV VRAGKSTNSGDLKWKPESAGTELWRIGTPDRAGGEWKHAAQRLNTPQHPEEFRIYWGA YDYLEDFPNGVNYHVGKSDPAKDLNYIHWSVFGGYANWKRPKQVAGHGEINNWTITFD LEKHDLDKKRDATFTVQLAGAKTAAGNTDVFNVTQPWNNLPLNVVVNGHELEPWVIPY NQSSSCATRSAITCYTLGHKYKFPTKYLSSAQTNELVLSLPYNATDYESALLPRSVYV QYDALRLEVK CC84DRAFT_433819 MTCARVTLHRKHKLLPSHISPRGSYKLSLAASACLIPIFNFATL LCDTKAACRTLSPEQFCSHVRTLWPRPADQCTPTHLQPP CC84DRAFT_1076590 GKVDIDVKDKMFGRTPLWRAAGNGHEAVVKLLLSTGKVDVDAKD QGGQTPLSRAAENGHGPVVKLL CC84DRAFT_433850 MSNVVANASRLKPEIRLAQAVSQFEADLSDRQKTAFRTLKSQSR KYPPDPSDVMRLTAEIDRQMSRKAGGRCFGPRFTNFLQGVQQFAALGDVVVGGSQNIV ACGVWSLVRMSLLSIVSFSCYVEKLSILFMGIGRSAPRYQKMALLYPQSSSLQSQLCE YFIAVVGLCRHLFNFTAMSTFRQVTSALNDSDLKTFQTDLDRWAGSIKEEMILMEAQE NSRSRDLFIKHSKSADRRQKLATNLRVLDFCSKYDYETAWKQTRKVGNSSLFASLAEY QEWKDYARSCTLLYTGKLGSGKSVLLANIVDDLHICARNDTVAVAYFFCRYDIPRSLT ARTILGSLARQLLRTIPDLSVVADAYEETCSIESTERVLELICHGFPSGHEAYFVLDG LDECEHVEREAVAQGLEKIQQSLKLRLLISFRLEPNNGLEAFTKRLAITRIASIPEDN PDIEAFIEAELGSCLESRKLIIGDPTLILDIQDALVNGSQGMFLWVALQIKSLCEMKT DEAIRDALADLPRDLSETFSRILHKSGPSGQAYQTRILQLVVAASRPLTADELREALS VVPGDTIWTPSRLPNDVHSALACCGCLLTLDEEETTIRFIHHSVKQFLLNGSHDLNKM AFTAEKAQRTMADIIVTYLNYGVFGTELSKTRVPPVRIQSTPSNIVRAAIGSSSTVQN LALKLLRSSKRPDFDISKTLAEARKPFRSKAVEEFRFYSYARAYWLHHIFYMSGQSET MYDLSVRLINRWVPQSDAIAKDWKVFAWAIDNGNKAIVKHLIDLGKVNANWRDYEGRT PLSWAAENGYEAVVKLLLSTSKVDVNAKDKMSGQTPLWRAAGNGHEAVVELLLSTGKV DIDVKDKIFGQTPLWRAAGNGHEAVSSCCLARERSIST CC84DRAFT_1234687 MPTSSWDSSSSDETIRVRQLSPVPAIHNGPGHRFTPVFDRIHSR DDHGISGAAALDATDMAGVNFKHVDRNKYMMNLARNEVDILTEKGDRQTAKATLVNHA TIEPPRCIFR CC84DRAFT_1171908 MFYVVVQIYMSAIGVRVNLYGTLVGVDIVLYNIAFAIGVKLLVN FDCTHRFSLQDVQYASKHMFIFVINRTLRGNIVGSEPRRGPLVGRVLAPLRLPGRLSF VIRELGFYFFVTRLGDIFLVFATSGSFLKSSSST CC84DRAFT_1160492 MVVRKRISGVSMASMWRVGFLEVVIYACLPNIARPFMWQTLTSP VTNGLRAAGRPALMQRALHSCFAIHLAKVGGVALSKAFGGGFAFEASKKPSPIRARPQ VHTIAPMQAAVWLLLLAILFTLCLGRRWRVEVEVPSPTTLTHNAIPFTPVFHNLHRAT PAGQPLAAKAAARVVSFANSCSHCVWFDGGCLERFHGRRRVDHLPLRRPAPSPPPSA CC84DRAFT_433922 MNVLRMTGSTHMPLPIITPTLSTTKRRTIPVTTTASQSLTMHGT CHTRSAMRLRALILSIPASCAHKSRCRLKPQVSQSRRIIWHFKWSHTMGPIAGAGRGD LQDSRQFPEGHGKLSPSGDADVQFTGHATIKDELQNSSSISDACPPVLVWTSLKSWQT PLEMVADGPASKTYCVQVDNLPGQPFQYKVRVGNRRVLDDHIGLRRRSNHECGLEDSQ PEVTDDCRFPIVTCRPHGVSTKSTSLLRSNVAVRS CC84DRAFT_1234689 MGGFGHQHTRWSGSQRAISVMPQSGGGLYESQLPPQTVDNSASY TQQCFPNEQTSNPYTSAPGGYGQQHSPDVQLNNPYFTIYGENGGIQTGGQDRFGFSSE SSASTTQHQQHNFLEEHVVDLAQHARTSDAQRGQHMDSSFVTNPDANPFAGRAPHTSS YDTQGGGSHQYAINSGAGRGMQKGGSFAMRPGANQFTQFGSQTPDANMSGQYAGSSNE YENSHVAQQLTPYVQTNYRDAIDFGSNSLSSHNNITNSEAYTNDQSSAISEVPIKREH SDDEYVPGDVAIDDAVDEDTSQQPGKKRKINKNGRVRKVREPRGHLRRWDESDVSRAL MGIVWACGENGVVIPFAQAAKIVDQDCTSGALQQAILKMHDKMNRDGAQLPRIKMNWP KKPSAAGKSIIRDNGKVPRKKPTLTQATQCTIVSLPAQPRDTISAAGEAPQQDLVLPM DVSYSGQSASADVRHERADEMPSSPRQLSDLPPSTPAQHHGSPICPPAPRHPTVPRRV VVDASTGGAAMPNRRFLLNDASSSSSNFAQQRATGLNLQQGLSSPEGLRDRHLQQGRV NTTQLGPLAAFNAEFEQHSTSASLAQLSASSNMGLDMATPRNATSSDDIKMLHSPMSG GSQADDNPQDVSKFHDMQSSFFMGELFPPSGSSSINDLSPGLRPPFTPGRRDSAFGSS AQSASSSQGSTGHMNRSTRDAQHAFQSRMEAAAAERPMTSMPSRTKRTYYSLQQPRQQ LSLGLDTLSNPFGGTFGDASGGPFPPTHPSGGDIDDPFGLPSTTDEVTDFESISHCSF PVTWISFSLEDPGSIMRHRRVYVRTFSKSDMLTMSWTFRGRVFGSIARCYLPFHSAAK QLFELVPDHDFKASSLHQNLQNVDDQAENWAQNPYVWDRHSSPVSSSSWRVTQRFTRE LATAKVCEGAHGIDDRDREMLMFSKANNHGSIVRYHMATELQGVPAAITNMHQGMISF AALEPNLSSMHRGVRLKLAADMFRPLFSALEWLHYNRIIHASVAPPSVLVQMTGEKLL KVVARGLFTHLHCRLWRRHATRDAPRGSPSDCDHRNLQQLLATSSEATA CC84DRAFT_1171913 MENWISYFTNVMQMDPNQIWLGLCLGTEEAINYCRLYLHNYVED SVQKFMVLGPEEYEYRRTVNTASIVIQCWRELIAQADSTVLLDKRREDLGNYQLWRLK FIDHTNQRGQGPVFEICQWIFHTLAKEHKLATTLTFEKIEATAEDVIVLLKTLWQRAN DIPYQPSTRVSFHGILLLAAIGGFRRATITSIKISFSMTLVPYSPIYLASIIAARAIK DNTFKNLVGVLTDALRNYILSNTTQVFQGSYQTKRVHKDLVKLAFRSMAGRNNNLFQS LHSMSLSQDAGAPINVSTENLGEFKKRRDMQGLHADLKKARHKDNKEIQSVKAQIKNL VKALSHLKLQEKRAAYFKYVDSLRAQGLPTTASLGKIHSSGALSTIAQFLQSCARELE EGYSVEQRAQHYMELLVNYLARRPSRPPPGLGTPKIAVEGGGDVAERAYDESVDLNRP CTKQSKCLLCDSAFRGRSELTKHCQKIHVKDGTFDRPFSCPECLRLGRGHVTITGGPP AWSRHAEASHGKMSTPNLPSIPRSVEGSERCLLCQRFFLGEGGLWRHTRRIHDQNGKD FAQPFSCPKCLRQGNGDASISGFDDWKNHVQSDHIEMEGLQPLPSRPCSPPDACSRQG SNGGKRKRTRDDADADPTVDDAGGDRPEDTEAASDTTCTTPATATNSMSNTQTPASSV ELENIRNIDPRLLPDHLRQGSKKVKSSLFDDPEVVWPWATEPGPIVLSGSGTELEDPE GDCIPAADPQPEDHDLFYTGLLDAELHEPMAADRFYPQGQEGTEILENPPSEAITTSA LAMIDLGDGYPRGMSSESLSPTHVPDDEDVGQLQPAAFIQYPAEEETEIVGSLTSALT IDPEDLSHAAKLYWGRGYALVPEGRELNRTANNAQRHCSLVIFQAKNRLAIHSLNHEE TLTGFCTSLSACIEDRAAEIARAKLWITMDSRMRNALFPTYP CC84DRAFT_1171915 MDLGGWCTSLYALWPPAMRLPMGSFLAGCTSYSINLESGQSAGI RPHHMLNAETIEQVDFLLQGIKRISPRMESYLRRVWLDNHGKHLLSCYTNQFLHYGLT ATSKVEGAHARIKQWLSTSNLDLLRLYDRLNTYYSDWADNRQLADDIVASKITYRASD PIFAAVHGRITDHTIALTYKQLTIARDYLKQSADPAYVMPHCRGTWQAIMGLPCSHVL LEKERKSISLQAADFDVHWWTVRTQDPYLPLAERILEPIVRRTKRKTRSTKREPTLNE RLDDNHPSEPPSQVPASWHLPPETLVLPAKRQRRHQQQPYDQLVDLGPRSTQPTQSTQ LSGVQQLITQPQLPIYVPPPGPPPVLRQQFTIQRHLIHSLRRQQFTIQQYLIYSLCCQ SIIKTRPKVGSTMGSRTYKITASQPLSIPHFRRILCGNKGRLDGALACSIYLLRPLVP PTLFFWFVLSTTSFVNKMKLAIFILTSVAALTAASPVPELDGTVDITNAAPYSKIGKR SYTASCWNCGTFENPSGNIFMKCTCRNSGGGENETNIFLTFIIGNSNGQLVWNSAGFQ RTCRNVVFNNPILSGECANAGGAWGKTQLNLALEVDDRG CC84DRAFT_1193195 MNSHQTPPPPPLPPGDDLRPRYTRPEQVDLCYRAARDGNFDGVK EQVRQLFHNPEVAYVGEKPHPGWLYDSLLEAIQRNNVEMVQFLLDEDVTDGYLPVEPA VRARAFEVLELFLRNGLDINQPLRPNEPPVLSIPICTSDKEMVTWLLDYGADPNSCCD WDFTPALLAMYIASIEIIDLLFQRGADPHYGELLQWAVIRNKPNGLDVVRQIIEKGAP IDEIKYANDPKSYYQPAEDGKVDIVEYLLKMGADPLKLDSKGRTPHLGSSLWFERDGL DISIQLPLHCW CC84DRAFT_1160499 MPRSILLSKHFLPLSAVALGRFVISFDEPHHDFHDPLCPAGPDV TEKVQIRFDSSHHSIKHQKGASQLTGFLSSSFSKRLKTSIRIIADEAKTYYLNNAGQW FRDAVKSEETRKWIERTIDEGENIYVVVAYHTLLNARIVEQRQGQSAAGGTLAISVST ALTASGVVVPFTNAVDPGFGGSLGALQNEQRQFIAPGEQIYAIQYRKVRWRWFSRDKV DNMSLAKNAWWESYDRSRYLETDVEDLIEVELEDEMNLEGEKDECALESGEVLVSIV CC84DRAFT_1234709 MRSCSKCSLAELWLIRRRGLLEDLEKVIEKGKHAIAETQEEDEA YARRVNNVGVVLRLKYERTGMIKDLEEAIQFTRQAVSVTPEDHPDLTRSLHSLGNRLG NLYDRTGEIAHLEEAIRVARRSISVTPKGNPARAARLDSLGNKLERRYERVGNIDDLE EAIRVAWQAVGVTTKDHPDLASVLGNLGTKLSRRYERTSKMEDLEEIIQLARRTVDAT PYNDSDLVVWLSNLGNELQRRYKRAGNTEDLEEAIQVAQQAVNVAPKSHPHLAVALGN TAYKLELRYECTGNMEDLEEAIRVARQAVNITPNNHPKLANMLNILGHVLKSRYECRG GMKDLEEAIQLAQQALDLTPNDHIDFAARLGHLENMMNSRYERTGNIDDLEEAIQVAR QAAVEATPNGHPKIANILNTLEDMLSSRYVRTGRIDDLDEAIQLSRQAVNITSADHAD RSKWLNNLGNNLESRYEHTKRMEDLFEAIQLARQAVDITPDDYPYLAGMLVNLGSKLS SQYTRTGNMEDLKEAIQLGRQAVNMTPKTHVNYAFMLRGLGWDLGKQYEGTRRMEDLE ESIQVARQAVDATPKEHPDLAAALHYLGGRLGRNNLESRYKRTGNMKDLEEAVRISQN AWECNNAAPFVRIRASTLALRLLQSQEAFETAYTLAVEAIHLLPYVHNRSLSHEDQQY VVSHFSGLAKTACSLAVQTGKGPEAALELLEQGRGVILGLLMDDRSNTSRLRVAYPNL CAEYESLRFEVNKPVKDGADDRAQRTVSTRRREAIVKLEQCIKDIQQLPGLSQFHKGL TTKQMQSCCIDGSIVVVNSTDLRSDAIIVTANAFKVLCLPGLSAHEAKVWSDQNLSTT SSNDRGRKNKAYLQFLSWLWHGCVKPILDELHYFVQSSVDDLPRVWWIGAGLATSFPF HSAGDASAGPTECAYYRAISSYTPTIKALQYAQQRAKSIGPSCYDPWKVLTITMPKTP GARDLPATREETHEVRAALEYSASIESLEYPDVASTMARLQECSIAHFACHGVSNASD PSESGLILQTTEKTTKELGQDRLSVREVSQAHLSRAEIAYLSACSTAQNQAEGLLDEV LHVVSGFQVAGFRHVIGCLWPSDDSVCVAVARSFYSELSQYVAARENNDRTVALALHK AVMQIRESKEYRKRPLLWAQYVHFGA CC84DRAFT_1193198 MAECARLYDAQDVFLMMHFKSTTSIDLLGDPKLWAQHALQLAFQ HNFLMHAVLALAARHLQDAPQLSGGHPPYDYKIREAHHLQKTLSTFSHAFNNRIHPNQ DAVLATSFLLFFHMSSIIDVNPSTTHPCEDTSFTFLRGIQAVVADGSHVAHSGRYKSL VAPPAHSPVIIPRTKASPGPVALFAHLIHNLPPLSPFLQKRETYIERFESLMPHLSAS TAQDLDDEPLEELLLSLLRWQALCPSLFIDMIKAHDCIALVILAHFYAAIGFIVSQVK NRWWWFQRKPHRMVENIAEHVGPAWDIWLQWPRAVVQGYQGNTTM CC84DRAFT_1234719 MPSIFLIAILLAAGVTGLTLHTHRCSTNTQVAGTYVNGSVSMND NPWIHFDAPMTQGFNLTNGEDWSFEGASTDGESGMGFTFSRGTVAGHTLAQRMFFAVV WPNGTRFMESTFADYSTINICRGLIKGTWYNGTSGMNWTFETSEDYSRTVVKVQSATV QGTFTLHARSPAVYPNGLIYPHPHGDNLFAPYLYWVENVPVGVAAANLTIRGTPFVLK GIGGRERNWNSFAWAQVSARWDMFRAKIGPYTLMVWTFESKVDGQTYFSGVLMEEMNV LFRTRTQQVSTTKNYGSFSLQNNGMVRLSSETNSATPLPKSRHTGYLLEMVAPTTGER WRFEVDYTKCVYWFPAGPSARLGGFVGAIKGGLVGGPQHSGRASGTAMEKD CC84DRAFT_1171921 MIVLAPYTLEDYTNASQYCSSYTTEDPSSHLGITLGAAPLSGDL ELPQWVSRALGQETNQFPGISIVLVAVNEASHHGPVLLPRSLHEAALCVRCVSLGCPS RRLYGIGSQTYLVDVAIAFVESQEAILALAVLPAIENASKVIFPPARWFVPSLLKSSI LPKHTKRKYFGLSGGSYDEIRGGARNDVLCREESGERHKTYFGRVAVDQLPSRRRKQS VYLTI CC84DRAFT_1160501 MSIPLESIKELANCQTSIKAGSTSDAEAASVSTIFLKPSLFTEL FGNNTNTNVEQKRPDLSRYGYLSHIRRVDKEAFYSIVLSHRVGPFSETGPVPVIVHLV SLEGIEDLTLPLAAETQYVAIASLHSWSYTCMPPQSFDVESALRHLGETSTWLRATAS APVSDPDNQHVIDRMSDGYTLTKYRVQTGETTAAKFRGALTPKYIPHPSSTIWNMLSD SGMDLQILDEKLGLVDITYYSAWQLGKKMAIADRAFSTALTKLRATIHVLAMAQAKVN INREGVAASTSRVVGTPAKVQDDAILGNRTGDLVYRWISNKQEPVDLTLGNKALEDKY ARNAQKAAQELAQSADGDEETIYNELNKPRNTDWAQVLTWILDKMFLSDIPSQYLVVD PNHLPLESLRFFHIDANWIDAFIDGALSVGSHVESRFDKVRASIKRLLNRYVTTPLPT GHLPPVPSFGFLMRSEIVSRFPDLRVTAEFDTPRIDPTLPSTLRQVVLSDGVLLCLLD VSPFSTGSSHLTGLKFTQPPHQQSFAIGQRLTLNELSTSYGRIYTTYDDANRGQPLCE PNLEVLRRNASTDATDPPVFRWGPNNSARTVQFPAWSDRLLELLQDSMPKDEGITCAG TTVNSAIVGIQLTMPINESHILTNPSLQPVQLPGSSEPRTFWVPDIPDWTDEPEKTDD NGGEEVPLLPIVAPLDPPDSQSRLTELAPATGPSPDQVCAMMHTPEMAKFVAALTGTP DLGPTTGPSDNPSYTLQISSNGSGAVEHDRSVCTVPMGERQDLVFSVTLANPDLEGEF FIDEIHVIIPMGAPSGEGKHACLMQQYDGPGPSMLSNLRFNVLASVEENGANMLFRLV PRTSGTGGVHFKLASEISFLLHRADINTFAEPERTALVTLVERYKLNETVRVHEFTKV HRVTMIR CC84DRAFT_1136869 MPISKKARVQREHKAAEKAGTRAPVKANGLPVKAPKPTSICQNC RKEMVSTNKTQLMDHALTHDQKSWTKEKCFPNDFS CC84DRAFT_1202094 MTPRSTVIDCSYDNLRSELTLDNPNGCVYHPDHDPGPAHLPAKL RVNISSEMMRLHSWKRRDHNHNVNGRGPDLQPTNTLPEPHISVERSSGNVLASEVMTS LINMRNRSGGDSRVEPTAMRNDRSCPRLRLNVVKVAKNLIGMASSRVNNAYKGHELGC NTPVSDVGGTGTIRKKAARLYPSLNDVFLVRLYDRAFSPKLKCFPVTLLDVINTNAAA SLTGAQLWPKTYHTVSRSKVVFFIYTIRFPFVLEGKGFSRCPPPPPETEPYTTGQTQ CC84DRAFT_434096 MRTGSEAYNFFRVGKVFSMLHIQAASANELAPISDNITVVKYGE RAFSQIRRFVIVEVRRGYVYACPISTYSRRGTLKHGCVPSEHSVVYLSGTAPTTFAGE MEGGLCKEPIAVVPADVSVQMHPASRIHYAKSYPIEMNVKVKDIGDVEPAHLSLILRY YREENSFQDNGSNTSYTTPQYSTSRYPISQNQSTYANSQTSYTYSTPYITPISAHDVS SAVGEDHRGLNIPDTEFEIVHNPKAFFKKGRVFMTPWPEPAGDAVRDQTGPRVSVNIQ TFVVIRPQHGHCTCLPINTYGGQGTRKPGVIARDHAAVVAHGDEPPKHSLEPLTKSPI FLKVENESIGPVDPMSRINFAKVYTVEDNMKVRNIGRIVPESIHRMDEYFKESFKFGT V CC84DRAFT_1171925 MEFVGAIAALTQLAKYGFELANSIPETRRRLRHAPTLLREWNDR ASLLASLAETLQNQPALVPRVQPEIIARLVGDVRHVASLLQDLAVATEDGKMVRVKKR VHIIRKERDINKALGSIGHLESALQAQILFQPGPPVTARPSVGITIGLPQRNPHFVGH NALLQRLTTKLNNPNGTSVVLTGLGGTGKTAVAIELLHSLLAEDPQTSVFWVDGTTGP SLLASCRVLSQDDKTIAYPGTVSEALAALRTRVCKVPSRCIVVLDSVDVTTVLPEALK ILVEGSGKFRTVITTRNLRFALRLVHPKNVEEISCLALSDATHLLLSYTLPVFDDMEK ATCLARALKCHPFAVIDAGVCIRLGNSPTLLPPPSSSFPGPDFSQYTAHQIGSYEESS GRSTISMEALTSQNATSIPLLLCLACFHETAGITMLLSDLVKTRENQDALALLRAYYL FESETADVSLRLNRFVRQEAKARLAQYHNREKVIDLAMRAVSTTTFACGEALPDRDLH QASVLCTAFEVTKVDELHASCVQRWIKMALSLCERLIILGDLSYAIHFIAQTIAWATA STGHAPDLVRNLRSLFELAARTIREAVQYQPWEVGSCDVNTVHSLNNLGLAHQGQGLF EDAERYHRQALNIKQHLFGRRHPETFLTMNNLALSLQSQNKHDNARAIFEMALRGRKM LLGAHSLDVQISMSNLGVSYLIQKQYSEALDLFQRALEGIQRFFNQDHPEVLKCKGNI ALTKECQGLSNEAVVWLLDISSVQKSTLAEAHPDTLKTLRNIAAILHRQGEYEKAEAV VRESLALEETKYGRGDSRTFVTLQHLSSILHEQMKFEEALDIAMWLYESRREKLGDSH ASTVCSFEHIKELEGEIWFSRLEHDIFTFHGTFSHGSMTK CC84DRAFT_1160505 MPYASTISFTLDTICPWTYLALLRLRRALSTFSSDKVTFTLQFV PYQLYPDFGTEGEDKYAWYLKEKYNGNDETMKKYIDYMKQLFKTEGIEWTPHGTMANT LPAHRILHTVQETHGADSALSLLTSLYESYFINGLHPSSESTLRSACAAAGLSDGEVE KVVGDEKKGLAEVKSAMREQVGNGVDSVPYVVVEGRRRDFTLVGAKEVGEYGKVLEQV EKES CC84DRAFT_434126 MKLFALVTALAAAALAAPNHALAARDARDSSEIPGIEDQKFIST VLNAHWYWRRIHCAQDLQWNVTLAAMAQKDIRTCTKDVEHMRAGSNLSGQGPSPKKYD EWVEWARVMVHGWHEEETFWDYSARMAKGKHQVYHFTQLVWRDSSQLGCALTDCSDVE GARFPGRIYCYYDPIGNNIAGNFMQENVWPPVCADPSKAELEARFGF CC84DRAFT_434133 MFTGYNTKKRVNERYKIIGFISSGTYGRVYKAESRNANPPNSKL FAIKKFKPDKEGELQYSGISQSAIREMALCTELAHPNVVHTVEIILEEKCIFIVFEYA EHDLLQIIHHHNTGGQGGRQAIPARTIKSILWQLLQGLVYLHRNWVMHRDLKPANIMV TSAGKVKIGDLGLARLFYKPLQSLFSGDKVVVTIWYRAPELLLGSRHYTPAVDLWAVG CIFAELLSLRPIFKGEEAKMDGKKTVPFQRNQMQKIVEIVGMPSKERWPLLTSMPEFP QLSSLAAGNARFHRPQGLENWYQGCLKNNLYPPGSGPDTPGPEGFDLLQQLLEYDPQK RLTAENALQHPYFTSHGNPSENCFEESKIKYPVRRVSQEDNDIRTGSLPGTKRSGLPD DSLIGRPAKRLKEG CC84DRAFT_1202099 MNPRAWFSDLTPYFVYVLFVATLGPLLFGFHLSELNAPEDVIRC KKSSITTAAANLPQCIKMEDLEWGVVGSMYTLGGLLGALSAGPLAGRYGRRRTMQLTS VFFIIGPVFEALSPNIGVMAVGRLLSGVGAGASVVVVPIYISEIAPPAQKGFFGAFTQ IMCNVGILLTQLLGYFLSHDSYWRVILAAGGIIGVLQSLGLLLSVESPKYLAEQGHSS QAKKVLRSIRGEGTDIDDEFNSWGVDGASEATDEEQSLLRNDEEPSTPKTRSGKEEAL SIAQVLRHSDYQKAAFAVIMIMLAQQLSGINSIVMYGVSLLADLLASNSALLNLAVSA VNIVVTTGCAPLPDKLGRKACLLQSLAGMGISSLLLAIGIMKAIPVLSAVAVLLFVSS FALGLGPVPFILSAELVGPEAVGATQSIALAANWIATFLVAQFFPLASAKLHGKVYII FAALSAAFFVFISWFVPETKGKKNADEPAMPDLPAHSSPAFPPLHSPRPEHPHHLEAE DYVLASTTTSHSDAASTPPKARRPSQPPPPSLISPAFTPPATPGVSTPSDPRPRPPRS VPVLPSGTDSPRPELLEQLPVVECEVRARIPTTTGHEMWLHVYRNNVDTKEHLAIVFG NQIRSRSLDREREGETELERMTRGAYVGRLYPGRTHSRVEAIKRAEGAMPKAAPQSSG GSTATSSALSDAGDAAETNSRTELPLVRIHSECYTGETVWSARCDCGEQLDEAARLMS SPQMSPSGGVIIYLRQEGRGIGLGEKLKAYNLQDLGNDTYEANIMLRHPADARSYGLA TSMLVDLGLGGEEGIRLLTNNPDKVRAVEGPGREVVVRERVAMVPIAWKTGGEKGIKS EEVEKYLSTKIEKFKHMLTQQ CC84DRAFT_1160510 METISNVVNTVSATATKAIWGEPQTNETAGNEPVSGVQGKGTAA EPFDGGNSEDPAVSTTQNETAGKEPVSGLEGKGTATEPFDLGNSADATNTATLPIREK STTTETADTLTLAVRPDETNTAGLAGTTNLADTLAKTHLTDSKTDGNSIDKDVVPAGK NEPALAGFAENRTIDPEDKSQQPAEVSNATTGDTLTSTKSTADTSAGVAGTHNLSDTL AKTNPPTVSSVTSPKGTPAVGSEGPDMLGTTKGTGEHSATGTAGIVPTGVTESSRIME TKSEQKDKKEASPSGEKEKVSKMEKLKEKLHIGHKH CC84DRAFT_1110633 MKAAVLFSLLPLVIAAPAAVPLEKRASPTVIAPSAGATVVGKSF LGVETFNGIPFAQPPTGNLRLKPPQPLTSSVGKVDGSQTPKACPQFFFSLDQSNFPTN VLGTILNTPLFQTVTNAGEDCLTINVQRPAGTTSSSKLPVLFWIFGGGFELGSTQMYD GTSLIASSLSEGKPIVFVAVNYRVGGFGFLPGKEILKDGSANLGLLDQRLGLKWVADN IAAFGGDPDKVTIWGESAGAISVADQMLMYDGDNTYKGKPLFRAGIMNSGSIVPAKPV DDAKPQAVYDLVVKNAGCSSSSDTLACLRSKDYTTFLNAANSVPGILSYSSVSLSYLP RPDGSALTASPDALVAAGKYAKVPFIVGDQEDEGTLFGLFTPNLTTTQDVTKYLSTVF FPTADTKVLSGLVATYQNTLEDGSPFRTLLLNNWYPQFKRISALIGDITFTLTRRVFL ETTSSVFPDVPSWSYLSSYDYGTPILGTFHGSDLLQVFYGVLPNNAAKSIRAYYLSFV YNLDPNEGSGQDNWPQWSAGQQLKGFGANSNTLLKDDFRSDTATFLKSNLGS CC84DRAFT_1136897 MAEDMPMPDADAVKEQTLMYGGEEDLDAKYPNRPSNIHKTLPFH TLFNELFDPLLDTVKKNRPAGPRRRVGPSGHSNLSPHEARRNIIERYMAQWRKEVGND FYPAMRLMIPEKDRDRAMYGLKEKAIAKILIQVTKINKDSDDAKQMINWKLPGQLHKA SASTAGDFAGRCYEVLSKRPLRSEVGTMSIAEVNNALDRLSQVGGEKEQIKIFERFYR RMNPEEMTWLIRIILRQMKIGATEKTILDIWHPDAVALFNISSNLRRVCWELFDPEVR LDGEDTGITLMQCFQPQLAAFQPKVGSFDKIVAKLKPTPEDDSFWIEEKLDGERMQLH MMEDPSAPGGRVFGFWSRKAKDYAYLYGKHFTGDEAGALTRFIKDAFHKNIRNIILDG EMITWDMETDHIVGFGTLKTAAISEKENTTGSNTGQRPLFRVFDCLYLNDTLLTPYTL RDRRAALQSAVKGVPRRIEIHPYIEAHSPNQVEPELRRVVENASEGLVLKNPRSMYKL NERNDDWVKVKPEYMSEFGEMIDCVVIGGYFGSGHRGGAHSSFLCGLLVNKRAKEGDP DYEKCISFFKVGGGMSREDYASIRGRTEGKWKDWDQRRPPSIIELGGHAENRQHERPD QWIHPSDSVVLECKAASVESTDRFRLGQTLRFPRFKALRTDKSWDQGLSIDEFWEVKN TAETEHADKEKEFKIEQSRRKKARTTKKQLVVAGNDTLHTPYAGPASKLFDGLQIYIM TDQVHPTKKSKAELEALVKAHGAKLVQRDTSDKNLVVVADKRLVKVSSLEKRGLTNIV KPIWIHDCIRQNEIDVGALPYLLPYEANRHMFYLLDAEQLAVEANIDENGDPYARDIM DVEEMRGILAGMPRKSEKGFDGQEFVRQMEDHAHSMAHLKNYMFATMKVAFADSCEDA EWNFRAVLARNYIRFASGSVAQSEQEDGITHVVVPDGGYVSKGRGRITGLARQVGVEW VEKCWEEGTRVDEERFQWG CC84DRAFT_1160512 MSSASARSTALVPQGTGDTQYNVRDAALRGASSAFSKPPVKPKP LANTYTGGGNGALLAATKAGTGGTPRNTTPSGTATPVTRDWTGGSARSSARPYASPRP SLSKSSSSSTLAVPDDSFERIPSPSNIAARLAAARYSPMKPISQRAPTPIMTERDVNE RDVLPPPGSVGNVLARLDSRQQNVPKLTRDAAKTDPVAIARAQHAGLDRPTDDTPIPP TSALVNMFEQSRPVSRTSPTPVRSPKPQRAFKIPLEPKAGEPLERQRTKTPPPVKPKP KPLSDPPHSADGAAENTSFRNIRKDTFGPPPVMRETSEKLAVKTANPHPKPPPKTASH SRPKSEDMTRSASRNYRRLSSSLSHNETPSSPSSFVSARSTQEEKPKPKPTIPPPRRS AKPKGEVKQVASRPSTATPLSNPSPTRARASKPTSPFPPPAQLTPPRRASVSGTSTPT GTFYHSNYQRESARSITKHMTGESLSSAIMGAALASSRNVSPARPSSSHLGSPYLAAT PPLPVRKHHHFSHSPFGRSPSPPKPKPQPTGKLRTTMRKDPSSSDDEESEKYKRKGTR IMGMGRKHPNKHHEGTRKRWRDQITERERKRYEGVWAANKGLFIAASSSGSRASSRSP QPTSEDDPSQDVLNIVAKEIWSRSRLPEHVLEEVWDLVDSRGAGRLKRDEFVVGMWLI DQRLKGRKLPIKVGDSVWHSVRGATGVKVKVGDRSHKVHKPHVKVPLDVRLL CC84DRAFT_1160513 MPPPRIRPSSLFFSAKSPRAHTASFRQHLRPQRTQTLSTLLSRL RLQLQPSGNYTPALATFRIAKTTAKIFLAIHLVFTYIGMVGPTHGISMVPTIPHSYRS TPMILVSHLHRRGRNIAVGDVVTFDTPGHKDTRGCKRVVGMPGDFVAVLSGGKGNGDL GKRDDEGDWANVKEEVVRVPEGHVWLAGDNLDWSRDSRVFGAVPLNVVKGKVLAVVWP LDAAKWLGGEEGLRDVSEGAHEPLVTR CC84DRAFT_1212788 MTEPRVVGLKSPEQAVPRPAPTGLEGDGTVHDAVRDLIATYHDL NPTTIDELHEEPSPLEFLRYVARNRPFVVRKGATSWASHKKWNAAYLSSIMGSIPVNV AITPHGNADSILHLSPTHALFVKPFETAIPFSTAQSHIQNQELDPAWSKHNATYYLQT QNDNLRGEYATLFADVPASISWARIALENDADAINFWLGNSGSTTALHKDNYENVYVQ VLGQKHFVLLPPVEAPCVNERAVLAATYACGGSPESDVLQKEDMVANIDGAGEGEEEA YVPFATWDPDQPEEHATPFSRYSQPLRVTLEEGDMLYLPALWYHKVSQSCNAEGLCCA VNYWYDLDFTGGFWASSSFVRGVGLLEEQRWREAHEDTENGAKQVRESTWL CC84DRAFT_1160515 MNGRTALIAVSAVTLLGALYYFNTSSPTLTMSPSTATEGVPGLE FKLSQISRSPPSLLVTLKNNNPTTPFTLLKWNTPLDPQAANLGLFTLVDEEGKEIPTE GLKVNRLMPPSAEDLVTVAPGTEEAHEVVMDKPWLPERKPAKYKVSVKGAFSGVWDKY GGDIGTGQLEAYVDSPFHGRAFESNVVEMRVE CC84DRAFT_1136914 MKGFTQFTLAALVASVTAVSVNLNKRESPLSIVLSKSGNTEVKV AVTNNGNKTLNLLSKGTFLDEVNPVEKVSVYSNSGSTKVPFEGIKIRLLTSGLSSDDF LTLGAGETKEVTVETAALHTLNDGGDFDVFAKGLLPYAEANSTELAGNLGYSSNKLTM SVDGAVAATVAKAITKRTTVGSSCTGTKLSAVQTALSNCQKQASAAASAANAGTKLDT YFKSASTSTKSEVSGRLTAVANDCGSTSSTTSTNCNDPYNGCASNVLAYTVPTQNFIT YCDIFFSALPAVATTCHGQDQATTVLHEETHADSVYSPGTDDLGYGFAAASALSNADA LNNADTYALYANAINLNC CC84DRAFT_1234762 MDSTANQSLEHESQHQEGRQQGNEHAIPIAFSSTSHRRAASLPF PTASTAADEDRIYRYLITFHLKSNGSTISKHGPIIVNDVPCIIVKRFEEPGRTFRDIA NKITACTGWKNQETIIEEDRVYNSTWVIQFMCPTVMDGRGRMYLMGELRMSVVGEYTR KEALEKLWVEVEQDGSVERGEEGESTGGAVGRRPRLDDGIEDSVVCEDHHGRGAGEYV GAG CC84DRAFT_1255414 MAGKADHLCVLVHGLWGNPQHLDFLRTSLRDKFTEEKIHVLVTK RNAGSFTYDGIDTGGERVAHEIEERLDELAQSGHAIRKISVIGYSLGGLIARYAIGLL YSKGLFETVTPVNFTTFATPHLGVRTPKRGYFSNAFNVLGARTLSTSGRQMFLIDSFR DTGRPLLSVLADTDSIFIQGLAQFKNRSLYANTINDKTVAYFTAGISQTDPFERIDEF KVNYLDGYEDVVVDPQHPVDAKEPEELPVFRQRLSIGTRAFFRKIPTIAFFIIFVPIG TTLLLSNAAIQSVRSRQRLRLHETGMAGIDVKGYRIPLMITSARQEMEDMYENMNNRQ GQDYLGAGEEELASPTQQRAFSFSRQADSANSKADLTATEKSEPQLEFPTLALTEHQF KMIEHLDNVGFKKYPIHIKNVGHTHAAIIRRMDVPRFDEGHIVARHWLDNFEV CC84DRAFT_1160518 MWPSAFSIFKGQPCPSGTSCELPHCLFAHSQPIAPTSSTEITRR EASSNSSPPAKRVRLDGDAKAEVVANHVIDPPVFTGLRTCKPVSKSASAPENQGAATT PGSAQSAVDVKSDHALPRTATKPVSPPPTKTSTDAKPQPEQEVSLFPRKLRNEPMPLA ARLVRLKMLHDCMAPFNTKLLAAKSPSTKALHLSANQLRKLAVDEEAQIAIEHGLLYD NIIKRRIHAYKKMTQEEWVKSRREAVAKERGAVSKKEIPKKVETGMTLKEEVSFLSTL IAPQATLEAHGFITKKPTDDEVEDCRQTQAITDFWEVCDRCGTRFQAFPERREKDGAL TTGGKCRYHWGRKSWPKRERGKDLEPAKYSCCNEAVGSEGCTESDTHVYKFTDVKRMS LVMPFIETPENDEVEPQTAVCFDCEMGYTTQGFEVLRLTVISWPSHRPLIDVLVRPLG LVLDLNTQWSGVTMDQFLNAKPYDPANPKPKRTDLRIVESPYAARKLFLDHVSPTTPI LGHALENDLNVIRLIHPTIVDTVILYPTKSGLPYRHSLKTLAKQWLDINIQQGGASGH DSYEDARTTGELVRSTVGHRWNKLKDEGWTLREDGVYPPLPVGLPPPPAPAAPSMIPP PKTISANDGTAAKRKLEIFEDDDLEEPLRIDNGPATKRLLTHEEDNLS CC84DRAFT_434722 MSFHRRLPGRTAALALLSWLVVALVLHLRAERQLSTHDRSHTGI KSPPPPGAAIAEPKSSDTASAFQKGAGESIRRTAIVVAKTAFENATWLDDYFPQWEKN IYSVEDGETMMKIPKNKGRESMVYLSYIIDKYDRLPDNVLFLHPNRYQWHNDDPDYDG VPMLRHFQMPYLEQEGYVNIRCAWSLGCPDEIKPFAEEGERRAQVHAGGDYKKAFQVL FPGVDVPQYVGVSCCAQFAATKEKIRERKKSDYQRYRKWLVETDLEDSISGRIMEYSW HIIFGKDPVHCPPVQVCYCKVFGLCSLQCEEQGSCGDRYTLPPFATLPEGWPYIGWDQ EDRERAGPED CC84DRAFT_1193214 MAGSRAALPFDVLFYITSHLGFDDIVSLAHSCRQLRSLLQENGI CRKAIEAEFKYTKEARLAQEQRISYCDAFSHIQDRRHAFSKAHPFSARVIGRANDFCY RKGILCILEGNTIRVSDAHAHGPATTIDLSLIIVEYSESSSSSSDVKLSLLYYNDDIV TIYVEGRGRHNNGRLYAITTKPGVSDQARLIKRIRLEASDRVFARHTSRFLYYGTYTS VGSQGHYEWEVQGVSLNDENSLPCTPIQLHGFFGTDLGPTIAFEIHEGYFYAVSNQST FEAEEIDWTSFYHCMRLPVDNPKAEFLESNKKLWRRQHDEGVIHDSWTDLSLQIDEST NDLMIVEARREYQKNLSRQVRTYYISEFVSGFDSPSSSTGGSPLLEASTGPELPWGDA YIQTLDSTNNPNYAPPESRFNWNFHPEVLHGSNAGRPFILARTKLRAYNYSCASFMDM VEDDRCCTDLSTSCLRLRIGSRRVAPLDWADLSTTTPQLLTLPALLNDVAYRHSPTRM WPPSASRCPCSKRLHHILNPPLPGGPAYNRSITGTLDERSLVYMIRPGRSYSPSDDNA LGIVVMISFNRGPLPPKHGAEDRSREHGGDAQYESEWRWVPGASGRCRERSCQ CC84DRAFT_1069194 SQQAPPEMPPMQRYRSFEYQPNRDGAGRTAGETQNFLNQAALLA EAAKRAQMACVMRDLDSMEL CC84DRAFT_1212796 MPLLVISGYPSAGKTTRAQQLKDFFEARIASESADARSSKLKVH LINDQSLGVSRGVYHTAKAEKDARAEEYSAVKRVLSRDDIVIADGLNYIKGFRYQLNC EAKAVQTTSCVVHVGLTADKCREINNKLLADKDQDGGYAQDDFENLIFRYEEPNGMAR WDSPLFTVVEEDATPPFEQIWDALVGSDGKGKVVRPNQATVLKPATEQNYLYELDKTT SDILAQIMTYQKDHPGEGGGEVLVQDVDKPIELPANPMTLPQLQRIRRQFITLNRQHS FSKSRIKEIFVNYLNDQFLR CC84DRAFT_1160522 MGRYVDSFPLFCLVLFLARITCAAVTMRWDVGGADVRTGCATRP KRQRALQQRAGYLAAGLPREAVCGAAPASERGAGVLVSSAGVLIDKATPRQRLSYPVL SFPIPLGRAPTRRKKIPTRLTWSSVSWAPFALRSRTHH CC84DRAFT_1110672 MPGILPMKVIKVGTNNQARIAQACDRCRSKKIRCDGVRPQCTQC VNVGFECKTSDKLSRRAFPRGYTESLEERVRALEAEVRELKDLLDEKDEKIDMLSRIH SHSSPTHSVPRPSTTPISEIREDPQEKEDTFKIQQSPLLLDDENRDTYCVGSSSGRAL VESFKQRAQESGRSCSDVNSNAFFGTGSKPSVSHSPKRPISFRAPPRLVSDQMINIFF QEWAPLFPVLHRPTFLSLYEQYVASPDAMTDKKSIAKLNLVFGIAALSSDPRDGQDVE SFEAQWRAALESFVMDNDMATLQCLVLAQIHCLLKADYSRLLKYKGLAVGLSQRLGLH QSQKRFALGALTSETRKKVFWSLYTVDCFSAAHLGLPKLLSEEDVHCEYPVDADDEYV TEKGFLPTLPGESTKLSSALALFRLSRILSKVLADLYPAAAIHEISFRAISSLSDDLE EWTTNLAPHLKLTFQQDKPSTNVTSSRSPILSLAYNHIRSLIYRPVVVANLGDRGSSA MVAVGDASKHIVQIVQLLDERKLSFSFCLNKNEVLVQAGFGLLYQTLDLDRDGKLIKD CNRLSCTVMDMLDNGTAAGAHEFRRVGCSMIAIPRVVDSMPTPILSRHNSEGTMAAPM ERFRATQKTLKAIAARFSPGQLKANLQSAASEPRRATLPTISPSVGAHASQSSTSLSS IRSEPYHQAEQTLSPLSHRASFSHAKRRPSHLYQSQNRNIDFLSFGPDPLASYHMPHH TINKADVSPSDWERLLSSLDNGQTNIYDSIYGGPPADALLDSMPLSAGAESTRTWSPN MWNMAYNEQAPPPQSVLSFSDESLTSGEEFANSVGDYGSTPGSDNFKNYQGIVIPNLN AQDSLALSGLDGNFGL CC84DRAFT_1160524 MIRRGRTEGWLREPIQGLHTWASFHGVKFDGIKVGPLPGFEDRG STVIAARELIGEKAEPLMTIPKELILSKQNIELMAKSDQHLHELLHALDDFGRTTRGA VLTFLLFQATICCPGVKDVGVHTPLTEYIKYLPDELLPTFWSEEELELLDGTTLRSAV RAKMNSLLREFEAFRAATENIHWCAKLWWDEDDGMLTFDDWLRVDAMYRSRALEFPGV GDAMVPCIDMANHASGDATAALYETDDDGNAILILRHGKDILEGGEITITYGDDKGAC ENIFSYGFLEDSMASARIMFLNLDIPDDDPLRPAKIYVCNTAPGFRLVDKNDSIEWES DFVWLVVVNEEDGLDFKVRQTVDGGREIQAFWKESELNDTSKIRTFLEQDPLWEVFQL RAVVLMQGRVDAQLATLETLGSPTQEGLIRERPWRLATQLRKLERKMLESTRTILDKQ RSALLDTETIQRYLGLIDDEDKDEEQVEEDFT CC84DRAFT_1110678 MSAQQRSAKMPRSGAGTAYGQVIEYIQDRLYLASFTNPPNADTP FKYLERSSQSPSKRSAKAQSGPTAATKHVNPPVYFTIDKSLLYNAFHADFGPLHIGHL YRFAVQLHEVLGDPANEDRAVIFWSSADSRSRANAACILACYMVLIQSWPPHLALAPI AQMDPPCMPFRDAGYSQADYVLNIQDIIYGVWKAKEEGLCGLKDFSLEEYEKYERVDM GDFNWVTPNFLAFASPNVQPTHEIPTTSPMYSTLPSTIAEVQRSGLPTPFKNVLSHFC ARNIGLVVRLNSELYSSTYFTKLGIQHLNMIFDDGTCPPLSLVRQFIDLAHEMITVKK KGIAVHCKAGLGRTGCLIGAYLIYRHGFTANEIIAYMRFMRPGMVVGPQQHWLHLNQN TFREWWYEDTMKARYAEKIPATPTRSPHKQRLASNGQTFTPPNGSSKRAALGEIESNE RSNAGHSIGVQEDNLPAPTPGQPRKTSKLYGGGTGRSPIRNHENEPFTRSERITQISQ FEGESDEDFHLRQIARRTASRSPVAKERDRRAVSMTTTKTTMTSTWTTSYGESDAENT APAGSHPKTPSREKSGAGHGAISVSKVRASPSRRSAENKNGVRKTSGRVGSVGSSVTR TLSAKPA CC84DRAFT_1110683 MTVGSGSTPEAAARLISMLDQDSGYGGSMADGEAGRWHGGIGED RPSAPPTPIPGSSTTSSEQDRQRSHVLQLRYNQNKNALGRAIHGTIDILKGFQEENLK WPAHYPTIPAEPGLAHTQSAIGDFQPPRSPERPRGPRRAGTSLGSDFEAESSAAAERE QKPEPRLITPQLAHDFSVLKLELRMGGRTQTDLVHSLEKGSIASLLDGQIQQSVRHLY AIKERIEDTSSKVLVTGDLNAGKSTFCNALLRRKVLPEDQQPCTSIFCEVLDFRENGG VEEVHAVPQGSIYNRHDESTYTVFALSDLEKIVIDNERFSQCKVYVQDIRSVDESLLN NGVVDIALIDAPGLNSDSVKTTAVFARQEEIDVVVFVVSAANHFTESAKNFIFTAARE KAYIFMVVNGFDVIRDQQRCKEMILKQVHGLSPATFKESSELVHFVSSNAIPMAHSPG DDGDDDPSDKGKGKEPEKERDFGDLETSLRRFVLEKRARSKLAPAKTYLLNVLGDVHN LATVNRDVAQSELERVKKELDALEPEFEESKKSRTEAGEAVDRLVEDTTSEVYGHTRD TLNDCIAKVGEQDLGIEYPGLLSAYQYAEDIRDSMLHEITESVRLCEENARTQAAQGY NGIKNLGILHLGNMHTDVMFRPDRMYRKSVHALARQVDIDIEVWDFFDVVSLWERQEK VAGTGMAVTVAGVVGGRLVGGVGWLDGALGAAKIVGSNNLRRLIIPGLIAGVAIGISY VLASVPKSLPHRLSAKLSAQLAAIDYTHSNALRISSEVRRALKGPAKDVQIGLQRNVE KLQLKREQNDKLRAEASEAKKYFSNLFRTSSELRQNPLDGFRNLATTLMEVRRDPRQF LFFIILILLINSPEPQNPGFNTRSRYDEVIEREWEQLDILNSTRWGDFDAKENKWLNI TGLREQDAFTWDVLGDVKSRARERMKGVLGERAQGWLDGKHDDARKETVYRNISGFVQ GEWVRSPLSRTRPALDLLNATGHIHEFAQLGDFDRNLTGSHGMVRLHLTEVDGRQRTD ENRTMSELKAKVVIGDAESWGDNWWEFNLNGVHFPEFGGAVLTTTSERFSGIFALPHL QLSPHLYLSSQELLNQTIHQTIQNQLDRPFPVWNPWSSAVEGAAEGVMATTHCEFILF LQEAHVQLLDRHGQSAKQPDLDWLEHEIRFPTGANVPLHSQMSMDMVGFSPDCGFVIE SKGPPDFPPSEAMHLVGLKTEEFNDDAKRSILAFAVTLAFQLMFIIRQAKEAATPSTR NRISFYTIAMMALGDGFMFLALVFLHLFLGTSQLQLFTIAFLALFSVVLELRFLMDIW TVQVTEQMRQDRQQASTTPPSPQPPSTPNPAPAPLRAPPPPQSDTLPAPATVTQPQST PTPAPPPPPIIIAPDQDDPADNEPLLPTTNIPAATTPAETSPRAELGALYSRYCFLLI VTFFITLQFTTMRSTARAFYFNTLSFLYLSFWLPQIYRNIMRNCRKALRWDFVLGQSA VRLVPIAYFYAVESNVLFSRTDLQGLAVLAGWVWVQIVVLAAQEVLGSRFFVREGWAP PAYDYHPVLREDEEGATMPIGSSSSPSPISASEDLQPGESRGKGKKVFDCSICATDLE VPVIPAGGGGPDVQGLGGLTLQRRAYMVTPCRHIFHSACLEGWMRYRLQCPNCREVLP PL CC84DRAFT_1136948 MNIVEWAFGKRMTPAERLRKHQRALEKTQRELDRERVKLENQSK KLENDIKKSAKAGQMNVVKIQAKDLVRTRRYIQKFYQMRTQLQAISLRIQTVRSNEQM MQSMKGATKILGTMNRQMNLPALQRIAMEFEKENDMMDQRQEMMDDAVDDAMGVEDEE ESEDVVNQVMDELGIDLGQALGETPNDIQKSAVADKRVAQAVGGGADPGDDDLQARLD SLRR CC84DRAFT_1082385 MFSSAKQSLHLGSSPTSGTGAVEKTVQTPMQKLGKADPALSVPQ GSLNNSAGESHPGPHSTFRVGVTEDKNKKCRRTMEDTHAYLYNFLSTPAPNYNPEKSR GSLSDGSSSTDQQVVETDNGYFAIFDGHAGTFAADWCGKKLHLLLEETIRRNPNTPIP ELLDQTFTLVDQQLEKLPLKNSGCTAVIAVLRWEDRVPNQASSTGSTLFSAAAVKGVA EAGDKQSESTSTAESTSTAEGAQEHLIEAKLRNDASRQRVLYTANVGDARIVLCRNGR ALRLSYDHKGSDDNEGRRVASAGGLILNNRVNGVLAVTRALGDAYMKDLVTGHPYTTE TVIQADQDEFLILACDGLWDVCSDQEAVDLVRGIHDPQVASKTLVEHALARFSTDNLS CMVVRFDNKALKQRKTEAQLGVDRDTPGTKPGISEADAIVAQAKKEVGEPDVPVERVA QEIIHEEEAGQEENGPELNADAVKAARKNPG CC84DRAFT_1212804 MSGADTADSATSAPPNPPSAANDVLQPHERPTFVTPRDFLEQGR PVLPPRALTAVDKEQLDGLRTIRAFLKVRTSYDVLPLSYRLIVLDTALLVKKSLNILI QNGIVSAPLWDSKTSTFAGLLTTSDYLNVIQYYWQNPDALARVDQFRLNSLRDIEKSL GVTPIETVSIHPERPLYEACRKMLEARARRIPIVDIDDETRRTTVVSVITQYRLLKFV AVNVKETQKLRKPLKELVKVGTYDNLATAYMDTPVMDVIHMLVKKSISSVPIIDKQGT VLNVFESVDVITLIKGGIYDDLNLTVGDALLKRSEDFPGIYTCSMQDQLSTIYDTIRR SRVHRFIVIDDDNKLKGVLTLSDILEYTLLEGEENE CC84DRAFT_1110696 MASNDYYSSFPGGNNPASRPQPPQHTPSAQSLQSISPVTSPFDD ANRYDNNPRHDYSSTAHLTHTQTGVSSAYSDTAYHGNDQAHPYNNRYDSPPAAAQHDP FADQNAIPLQQHGKMGAHPAAAGVYQMDPEGRPREKRKKKKGWFSGRVTWVVYILTTI QIGVFIGEIIKNGVLTGTPIQIKPNFNIMIGPSPYVLINMGARYQPCMHYVEAVLDGN ISLWPCPNTTTSDSTCSLSDLCGMGGVPDQPVGLKWEDRKTKPDQEPDQWWRFITPIF LHAGLIHIGFNMLLQLTLGRDMEKEIGPLRFALVYFASGIFGFVLGGNYAAEGISSVG ASGSLFGILALVMLDLLYNWSTRRSPVKDLLFLLLDIAISFVLGLLPGLDNFSHIGGF LMGFVLGICILHSPQALRQRIGVDEPPYAPANQNPDSSSAPGLQKFVKQPVGFFKGRK PFWWAWWLVRAALLVVVFVGFILLLRNFYEWRNGCSWCHHLTCLPIKTGNTTWCDIGN IKPTTAAASQPTATSAPVRLLF CC84DRAFT_1202121 MPATLEPIKAFKCTFAGCAASFDMEKQLISHKKNDDEHDYCSNC DLDFESYEDLARHKAFTPDKHGKACRICGEEFKSESGLKRHTELSHKVKQKLPCIGCG EAFPAPALLIEHLEFGHCDVISGQAFMGHVVHGHLVAKLLAGGEAHTRFMQKISQYDA SLDQEEEGGVGIENVLEDDHEDAKAVNHPAIQPECSKDEVAHAESWPALSAQGSTAIR SSTVTSAMGRMSLGSLDAGSGIHTMQNPTAPTQNSRHQAKAWGGRSAKELFPQAKPTP APEKEFSIEAVDEKMEHDHGGNIFNTRFWDPLAKEWDPKRFYNGMIEKYFCPFMCEQC FVDTAELRDHIVVDHRLSRAKCPYCLKYFDSITALMCHCQSRGSKCQVNKADDFGKFL NRLTGGFLSVQEKIRPDFIQNETVMVYNSEAREMEKYTPPTVKYLEYTSSKPMDWRKP TKVAAQIGGGSTSGSFNYKNQQARW CC84DRAFT_1160533 MASHPIATLDASLIPRLPASSPTLFEAKKQKNLSFEAIAKELGR DEVAIAALFYGQAMASTEDIKKLSQILEIPHETLETQLAGFPDRGRTMEMPPKEPLIY RLFEVIQNYGYAYKAVLNEKFGDGIMSAISFSTKIEKETDEKGEWAVITLRGKWLPYS RF CC84DRAFT_435187 MAYNRRDDDLAYGEHHGQLQEGEEGDRGFIGDMGRRVFGGQKEN TQQGSHGAGGLGLFDKLHSAIHEVASDIKEKVSGKDDRPVGNQQGGPPQGNYGQQSNQ DSQVQPGQEYHNQHRFLSFAPERQGNDIKWYVDGCGYMYAVSLAIERARESIWILDWW LSPELYLRRPPAKNQQYRIDRMLQAAAQRGVKVNIIVYKEVTQALTRKYLNPSLPDYL HSLLPRSTDPFTKGLTRIGLDVIFKSLEEIEKTDPLIKPPVTVSSAHTKHALEALHPN IGVFRHPDHLPDAAVLGGSFIQSLQNMSFSPAKLAQMPGDSLKAIYGAHDGTVLYWAH HEKLCLIDGEIAFMGGLDLCYGRWDTNQHPIADAHPGNLDRIVFPGQDFNNARILDFQ DVPNWENNKLDRTQSSRMGWSDVSLSFAGPAVQDLRTHFSQRWNFIYDEKYSKKDTRY ARLPDTSSGAQQGGTYPPPPQQRGFDEEAERERGFGGDDEGEEGERGLFGRGEGRGGG FRDKLFNRAQQEFGRYGHHGEGQQQQYQSHAEHGSQRGRADCQLTRSSAKWSHNISTE HSIQNAYCEIIKNSKHFVYIENQFFITATGNDQKPVRNQIGAAIVERIIRAARNGERY KMFVMMPSVPAFAGDLKGDDALGTRAIMEFQYNSINRGGHSIYEEIQKAGFNPVDYIR FYNLRNYDRINASGVMRTAEEQSGVSYGQASEGFDAAHEATRGDFQDTRGFEGEGERG YQPYRPPPTAEYGVYEMDGSSNYGQNQSHGQSQGGYGQDSGYGSQYQNRPGNRPDEYK RDDYNKYQQAASQIGGRQGLGDGRWDSVSECYMLGGEDIRNVPWEGGNMDEIDAFVSE ELYIHSKLLIADDQVVICGSANLNDRSQLGDHDSEIAVIVRDNDTVESYMDGREWRAA KFAASLRRQIFRKHLGLLRPQNFERPDENFQPIGVPNVYDWGSEEDRQVVDPLSEDFQ NLWNWRAKKNTDAFGKVFHPVPSDEVRNWSQYDAYYSRFFAQEQGDKENKKPSLYKIG HVVAENFSQGEQGIREVKEVLSTIKGTLVEMPLLFLKEEDIAKEGVGLNAFTEELYT CC84DRAFT_1136967 MLHRNPAHPTPNVRGEDEAELQYLINIIESKSSLISPLFPRLFL NTSTALSYQLSPAPHKHPAVLVGRHPKPPSPSPTYVAAVYSMSKGGAKALEMGPETGG TRKEALRRLLEIVEGELGREMVRDGWRDGWRKE CC84DRAFT_1160535 MKSTASSPSAPAHSSTSYEMLPTRHPSPHSPRTPNTPSNPISKK WTPSKFPWRVFTVVSSLPLALLPIVLLSALAEIASQSYLAGRDCYPNGLWKEAAGATW RIMDSSYFFTPNLSFGAMSFTQVKVIDIAWDLCVGRGGQMLLAWVNYVVFNEWLVYHM EQHRTSYKMATSVAFQTTTLGTLGVLGKEWLAFGERTWGRFWRWLAVLCMLIATLYVL AFPTLMAAMTGYITTYEPYVMDEGRSLVPWKDVRRVEYVVRDSERVGFYGGELVSATG DDELARALANCEYRRFRR CC84DRAFT_435229 MRCAPTFLFSMSSTVDVPRPATGQHVKPNCPLEGTPLRQPSLPP PAPRISSTVSRSHPHNPLLPLRHNQRSSPFPQPPPNVVLPQRPTLLSNLLPHRSRKVE YTPQQPRPPPRPVHPRLRPHIHPHPRHDATPHEVEDGHHEQEDVREAPLVRLAGLARA ARVDEVRGIVRGLAGGGGAGGEGVVGLLKTKVLLVLRWTVRVRRESGGRMVDSKAGRP GKTSR CC84DRAFT_1110709 MAPPKAILFDVGGVVVLSPFQAILDYEIENRIPIGYINHAIQRG PPDTGAWQLIERGACPLDDAWFAAFKAQLSRPEVWREYWVRFHARGGGHADTGPSGVE LGAQVPPVPAIAAKTLFWRMMRYSRRPDPWMYPALKRLREIGERDGTWIVGALSNTVH FPTGILDDEGVVFDKSILFPESPDFRGDSPNLADWFHIYLSSAHIGVRKPDPEAYELS VRELSKIAEAKGLGKVEKADVLFLDDIGVNLKFARQVGLRTIKVDLGETREAVRKLER EVGVGLMAEKGRL CC84DRAFT_1160538 MEDPLMASAFQFEAAGIRPPWLTTAPDDLPLAAPSDSLAAPSWN DFMTWDPNLSQGPDLKLSGPACPLKPELQRPPLFNRRHNSQLRDPSLQIQGTNPPSTQ AMSAPTHSVPFTFGENSELPPAFDFDTQNLSSPPVDGQHHGTFYPTQMAWPHQMGDNT LFSPPRFEPTALPSASVPPLSNPPSLQHSHSPSSIHNARTSSSSGHSTPEPASDNPKK RKSSTDDDSAPSGKKEKHQPPKKTAHNMIEKRYRTNLNDKIAALRDSVPSLRVMSRGA GANEEDDDPEDLEGLTPAHKLNKATVLSKATEYIRHLEKRNKRLQDEVATLQGRIESY EKMAISGPMTLHGPMGTPDGNRYQHEDPFTPSHSMSMSGPPQGMIPVPDHIAQMRQGL PPQPHYAHAYPPYAGGSRQGPPMVNSRRNNSMVGKLMVGSLAGLMILEGLVEREQSQE ESDGRGLFAVPFNFAAILAPRVSLGATSAQLPLAKLLLIFGAIFWIIAPMFDFSAKSK KRTSSAIHLARAPSLASPVEVRHRAWLTAIQTVWVPRHNFLLEVAALSLKTLKLSTRK LIGWPHYAFLSGTTKEQEAARIKAWSIALDAQLTGGDAEISKSRLVLTLMASGTLPDT PGRLMLKSLHLRVLLWELANAGHGLSWIMGHLSAKLARRYWNMARSEHRIATNLASKP DSDAEPLPDHLAALLEKECDDVFSFPIIQRAYNLAWNRPVAEHTSADPCMDGVVGDFA ISSPLDALAAWYSSLLLRQTLAESLNDGKITESISDGLSLSARTAPPNSQAHLRALVA KAVVTEQDRATHIATAFAALPTPPSNASSSGTKLINLVSGAPAAIDVRKALTLAKCLT LVDSSNEEARRQAIFVVNNTYLTEVTTTLLSFVAGHKILERFIRDPALQAETTYGLER LAGSLRMWVGHDTGRRSGLDNKIRGRIVKRCLDASKMLVGLTDREDFDPGYFSSSVQD DDISP CC84DRAFT_435243 MSRIDRRRPDARLKQDETASDALPNRHHRRTNCATTRAPGPRFR SPPCGCNSCHAEHPWWLWQLHKVVTTVGWLHSLCPFRQSRTA CC84DRAFT_1160539 MSTATLCLQCRKIFSGPRIPETPDPEEELERWTHHLTGASMQQA AEEGCLICRPAWSDMVEKFGIDDLLTEPQRTPALGSGTKGTQDDFTHYTLCNDFSQYR KGFDQDQLEVGGGVELGIGRTPVDTYHDSYVVHLFPLEDLRVSSLPRTQASPETKDTI LLMQKWVDECVALHPECQQPSVWNYPTRIVDVGTEHDETVRLSITAKERLEGAYATLS HCWGAAVPFRLLESNLDELTTHIDVRVLPQTFQDAVLTVRALGIRYIWIDSLCIIQDS AEDWQAEAAQMAQVYGNAFCCLAATWASDGTQGLYPDRHPRLDQVVTSWEDAPNFTYI ILPEYFTGDAITRAPLNDRAWVYQERTLSKRIIHFAEEQVFWECRRSFACECLPSGLP TMYQSLQYWQSRPLTLLDSKSRGHSGGDMSAWHSLIFWEAMIREYTGKRLSHPTDRLI AISGIARLLNATLDDAYVAGMWKWHLEEQLIWYAASPEEARPSNRGPSWSWGSLDGKI IPSNVRNQDGEVCQVKIIAHVREIEVEPVSNDIYGQIRKGMLMLDGCVYPVRPGSLRK SPYQLPGLEFSEWHSEDLEFTTPYGDVRWDTQQDKRSFDEGLPLYWLPVTLSEYGAEK GQFYFLGLLLKRQPGAVTHVYSRVGYFSLTSAGMEALETFLSDRHRATWMQKLIIQ CC84DRAFT_1183750 MQPSTLHESRTATTSAPHLLPKLHSLAESNPHLNLLDAGCGPGT ISTSFAQLLPNGHVTGLDLNTAILPRARQNAAAAGVTNIDFVEGSVFTLPFADESFDV VFCHQVLIHLGQPWEALREMLRVAKKGGVVAAREGDYGSEVVWPGDPALGRFHELMTG VMRAGGGTPEAGRQLLAWALKAGAERRRVEVSFGTSSYCTLNERRFCAQGLCEQLRGG GLRAKAMKFELGEDEDFEEMAKAWEEWAERDNSSLAMLQGQILIEK CC84DRAFT_1255437 MAVLKGVVIASVGDIKDHSGKHISNEQLKKWVVNNKGRWAPEVV QGTTHLISSKDAYKKDAKAVQKAKALRIFVVNYDWLEDSLQKGRKVAERKYIWGQVRA ERKQQKLLKRLGPQADSMKFNTGCELAKQDVGSGTSRKRSSGFFSSALDDLRRKREAR EAEENAKKAQAANTLPNRELTPATKEGSEHHMPPAKARLASESTVAALESPARQQHKP EDGVTSNEEVEDASATDNTPKPSPFPPGLRYTPPSSSAASHLPRPSTTPDTAVQPVET KTANPKLEDLYHIYLDAKGFEYKLILLRSNPYLNNFARYDLRMYESHTVPHVYCTVAR YIPPGGVKPAAAASTPQTNAQAPATPSPPSTQPVQAMHPTAAHLHALITPPLPSPSAP YRTAIAPPNSDFPTAFRALRHAFRDLTLLSWLERLSDPSLQRLRAQAFCIEPFVWRRP AEGLPTGFEPPAFAPLEGQADVQLEGYTRNAWGLPALDEPLGEGGAIGIALVREAEEA RRREEETTKMEEKRAALERTRAKKAGRMAQTAIKPQYYEPQKRFDKRFDY CC84DRAFT_1193231 MKGSSPFPSSSPTSSRHDGEIAFLNHSPNTVANSLPPDVDNKPL ARQKRRRTSKEDEEVLKAEYQKNPKPDKAARIEIVSKVALGEKEVQIWFQNKRQNDRR RARPVDHPSTPPLMSSSSTMSDPPVPEEMNAHDDAIPEPEHARDATPHDGEIAEPPAD VAEPAQPADSEESFEETRQNRKVEDATVFAELVESSHAGSGHDRAISEEAVAESPEPA KTESQATADSTVVPSTDPHTIDTAPTELSSSQQNASSQGGSQSRTNWISNRRSASFMR SADDYAPETITFPHFAPPTTTNAPMTATTPSTTTTRTMKRAHSFVRISTNEDGTARVV TDLDKTPSPPHARTSNAQFARAAAGLRRSYSAAGLNERLAAVARGEERSPKIPRTSTI GRSRDSRAWEFWCDPDTRYNTSLTTRAEQEGSGSAADAIGLLRANRRILQQNQARQNS PVIPRHHSVKVSPKYGVKKARSPMQRAATINGRLQSKGSSSSDSDELPQTESDKENWI PDVPKSQQRSRKIQESPAVQRTRQILGENSEVMSQSNSLGAMLDKSTPKKGGRKFSDP EQDDELKAFMGGSTSARTAVSSAEEAGCVEGLLKLSQGQWR CC84DRAFT_1160543 MSIMRVAVAGTCGLALILARQIHESTSHQLVILSRSSQPGLQSQ GYQCQVVDYNDPSSIQHSLLGVDTIISTVTGNAQLRLIEAAVACRVRRFVPAEFEGQP SVRTQNGLLDRGRAAALALLQHYRGYIQSTVFVCGVFYERFSVNGMVSLRIGVNTGYG NEGDYVADPRNMTAVAPVYDSSQNLSVVCLTSVYDVARFVVRSLDMTSWPQEMTMCGE RMTVQSLIETIQSCRGRQFYPVEWQNPANLQYQMTMAQIAGDTSKQRKLATLIETSEN RYQFASPAYLNSLFPEIVPMSFRNWFSSTSSVSSLKATRTYMLQTTGPRCPSSATYQL DGGVHP CC84DRAFT_435256 MSTSQSKADLIDERKANLPLPSDPPVASDFNSADARTVNVGSGG QASDLSHSGLGGETLRSPATTDAVGSTGRQAKDGLDGIPNDAVTRDAKDKAGLAQTTG KDYGTPSASK CC84DRAFT_1160546 MSHSTGTKASHTHLCPLLITNPKHKSKANTSQVTDPNATINEST GLVTSDSLAAESLNSSGSFAANNPHAAASKQPSASTTSNTTDTSGATRLPPAPDAEAR DAQAGWAETSQLNAAQGLGGKSSLSGSAESFSPARGGYAGAGDQARGAGEFTPHGTNI TEDPGMTGQTKFGAVGTKL CC84DRAFT_1183754 MPSALPLIDHSPHHPEASPPIPTASNVILVDNYDSFTWNVYQYL VFEGATVTVLRNDEVTLDELIAKNPTQLVISPGPGHPEKDAGVSNAAIGHFAGKIPVM GVCMGEQCIIHSLGGKVDVTGEILHGKTSPLVHDGKGLFANVSQNIPVTRYHSLAGTH QTVPQCLEVTSWIESPEGGRGVIMGVRHKEYVLEGVQFHPESILTEEGRQMFRNFLRM QGGTWAENEKLTKQAHAKAIGSAPNGASANGAPKDKQTSILEKIYDHRRAAVAEQKKI PSQRPSDLQAAYDLNLAPPQLNFPERLRKSPYRLSLMAEIKRASPSKGIISLSTCAPA QARTYAKAGASTISVLTEPEWFKGSIDDLKAVRQSLEGMPNRPAVLRKEFIFDEYQIL EARLAGADTVLLIVKMLDEALLKRLYNYSRSLGMEPLVEVNNVEEMKIAVNLGSKVIG VNNRNLVNFEVDMDTTSRLMNLVPKETIVCALSGITGPQDVEPYAQNGVGAVLVGEAL MRASDTAEFIAHLLGGSTPSKSAVASSPLVKICGTRSVEAAKAAIEAGADLIGMILAE GTKRTVSTETALAISETVHQTKKPHAAKAAATIPQSASDFFEHATSTHISYPGRALIV GVFRNQPLEYILEQQQLLNLDIVQLHGQEPIEWARLIPVPVIKAFGPKDVGIGLRGYH ALPLLDAGSGGSGQQLDLSEVKEALSKDDSIKIIFAGGLNPDNVQKALAGLGEYRSRV QAVDVSSGVEADGQQSLERIQAFVKAAKSS CC84DRAFT_1136998 MAPIPQAALDLAKCFHGEQQLITWEIAYSALCDPDTAAKSQPLR AFLTADENVAILSSPWAPFPKPSPQEKARFESATAPISVTPAHSDHYNLDEIKEDSLW LSKEAQISEYAALRLAVQEWQTRPTVQLLSGLTEEEVLSVKEAAGLTNLGASTFVPNM SILRAPATLDEQTNSQFNSPDQRKLRLIGIYFSTCTAILRVSQMLLAWGTARDLRTNN SRYPSDYRVCDDRFEQLGQALADRQNAKEDAASDAPALDRCITAFEQRVEALDDGCAW DVPESIAENVAAQWILSHTTQIAHILHLTLFHADAKAKDVLFGSSVERWFITIARRDF YKDFPEKLEGQGPLISLIQVLISKISVAILKPQQIFDDFDYDRYDQWGAASYILDGGV LEVLTQTFRYSVLLGPTPVLAAVFAWSSILWRLALHGHQIEEERERQLESAAPGSRMS LPAPSQLEEAALHIKRFDTSEKSVFAELAEECILQGVLGIMAGLLGTGMTALGSGIDR VSRDKFRLLYVQVIRAALYTDAIDFSHEGLVDCVHLILVGERSAHNWSAYDAPRHTDD PVVTFVREDPELRRKLLQSAQIYYPYQVEPLLLFSSALIRGEGPKQNGDASAYDDLIQ MGSATQRMPVGFVDYRLEHEDANENRVALAVDLPQFTKSSTSSFSTQRRLLAPNSAPQ VEASMIIDADTPGVILDDTDQPYITRWRYPHSALEYFYHLLSTYPVGSTKVVYATQGT ASTAEAAKIIELFADIMHSSLQASRARGDGNSCSVDVLTALSIGSEHSPDTVSIVLTI FEEQLLRQYQEPSSEVSLQLLVACTHFIQALIAVAPNRVWPWLARSRLLQSDGTGGSL ASILIGTEMVLGSYQFLIGCIRIFQALLVDSVGRSVSRKSSSSNRVLTRFNASAAPES GTSEKSMSSTLLTFGKMLASIYETSLGWKYNSHEDRLHINIGICEAFSFILKMAYSVD DVPDLGEKLTNIIAPTASYITELYLARSRNDLPTNPILSSLLSGTDLLQNTTLTSGAA LSKRQTQSTLVFSETLVRVAILLERPWTHLEQQLFKATPLLARLYVTSEVWKSPVVTL LEILVRGAVRVVEDPSQEIKGTPRKEEHREPPSLLGHLGPKTAKNFMLVLSQLDEPLK ITDIQKSVWSLLTAVVTCKQRWFALYLLTGSTPREIVKSKLKTDSQAPASKSLLSRAF DALSHLDLNRQNPPWSLWTAMLEFITSAQNHWSWAMGDLRERKEFIQQLIAFLKWISN QPPPKAEEAIELRSLENKFASLAAEILAMHLHSARQSGDNASVKDIFGALAYYQNEAL KLPPYKVALHANLQKNIEEKFPGVKLANFKRTTMQPSSYGPGFFYDTDIASSLLDFDG KWLGPRLGQGFKAEVERANRNLSLVDSHVKLLHSWRLLALELGHFATKDPRITTCLIE VTNQCMKANADSSLPEALFGQLMILRADLAFALLKRLVENRLKSEEARLLLGPVWKAV RAATADFDVVFSSEEVDYYRTLLRILYLTLHFYLVDPSDKVDPKEATLRSSFRGTIVQ PKNKNEAPINISLELLEILSDTVAKGFRSLATQLHNDPSSVSPSDFALLTALVQRITA IPEMATSHSQITLLFANSNTVRYASSLFSWSDRLTLDTHTNGAGTTSDPIYGELSLLF ILSLSSVRGLAESMAVEGILSQLNNANLMNYFRRPGGMGPFDNPVRMHSIWTKGILPL CLNLLLSVGAPLAAEISSFLNAFPEQLARSSNALNSRYVGKITLNLASEMHSLALISS IIDAVKASGVREGVQPGDVASLEWDRESVKEDIEGWVGRMGALRERVVGDGTQAYEDK VVAEIEAAGVCLGLGRG CC84DRAFT_1202134 MASLDEVETARSAPPSRGAYTSAELERLGRERPPAFKTIWVELG FCFSLLGSMFVVEYFVSGFNVLLPALSESLHIPSQAETWPASVFSLVTGAFLLPAGRL ADIFGGYTVFTVGMAWFVVWSLIAGFSQNYIMLIFCRALQGFGPAAFMPSGIMLLGSI YRPGPRKNLVFSLYGAFAPVGFFAGIFFAGLAAQSLSWQWYFYIGTIMLGIVALASVL CIPRHTIEAHKIPPKMDWWGTCTIVPGLVLLVYAITDGSHAPNGWATPYIPVTFVLGW IFVGIFVYLEGWVVEQPLLPGDLFHVKGMKALTVALFLQYGVFGIFLFYASFYIEEVL QASPLLTSAWFAPMCIGGLILVTVGGFVLHLLPGRILLLVSGLSFVISVMLFAILPDN PNYWQYIFPAMICATLGIDITYNVSNIFITTSLPKARQGLAGAFINSILFVGISFFLG FADLAVTMTADRGKKESYKVAFQMSIALAGAGLLIMFVGVKIGKAKSELTVDEKEELG RELTRRNTGDDPSAVP CC84DRAFT_1183757 MLRPDITLPTKACHNCRKRRWKCDRSLPVCHKCLSSNSECLGYG KLFVWNQGVASRGKMMGKTYEEQQPRSRKGSASAKHAITAGNPNPLIPIDSKEQPEDN IDVEEVPRREPEAAVHTALVDPLYQDLSPNSRYYLYHYVTQICMDLVIYDGGPGHNPF RDLVPATIASPALLHIILAGSAHHVFNISRDPITPSTYQPDKRPCLVQYYQSVSRFGG PMKTSYADALLAKQQALSLMAKSVASLTPANADVILATILMFINYDLIESGRDKWRVH VEGARQIIERLDKPPYLQNPMSKLRLTVLSDFLVFFVIGATFTFSTARLLIPESIDLG PILEYAETNNYLSMPGPLLRIMVESFKLEDTRERTEDEIAVHVQDQLGNLLKAALDFD PASWAYGFEPATPLEDLDHRMHIAAAHRAAVCIYLARALPYTNPLIDPDSGFALVSLT GLADEILQHVSFFKPGDTLYKSISWPLFLAGAECEGLARRKWIMDKLDEFYNLLYWGY VHTAKKTLEVIWSCKDKHGATSGCWISDIEELGYEILIA CC84DRAFT_1083578 MLSASFASNAFGESDISDPTTRAEFALSALQIFYNAGTGLWSTT GWWNSANVLTIVANLAKVDDSPQLQSLASRLFANTLVQAPPQNPQPGVEGNRNKKRGD INETLVIFNSTGVGSGYNKIMDNEDELVTVYPEGWNKPTGAYIDVMQLPIYKTGERAK TSAADVNDWLDGFYDDDLWWALGWIAAYDVTQDKEYLTLAESIFAAVATTWGTNCGNG GIYWSWQKDYVNAIPNELFLSTAAHLANRADDKASYVAWAKKELNWFTASGMINSRGT INDGLTSDCKNNNMTTWSYNQGVILGGLVELNRASPDKSYLALAAKIAKAAIAELSDS SGILHDKCGPYCGADGSQFKGIFVRNLQLLHEAAPDDAYVKFIQTNANSVWMNNRDDG NMLSIDWAGPFINPANASTHSSAMEALIAAITVK CC84DRAFT_1255447 MTSFHALAIPPLIRGMHNISAILKRAQASDLDAATILTSRIHPT MRPFTFQIKSLTDTVARIPNQINPSLPPSPLPDLEENPTFDILLSRIAAAISYIESIA PEDLNGREDADVKLRVDRKSWAGDVVYVEYQALEFVQIHAHPNFWFHVTTAYDLLRAA GLDIGKPDFLNAAGLKTWESRDE CC84DRAFT_1053800 GNRLMPVMVDEVAAETPDRVCFSIPRSTDLKAGFHDITFQTFAN AINKTASFIEREIGRSSMFETVMYMGIQDVRYFIVLFALMKTGHKVLFSSHRNSVAGH TDLVKQTDCGIIMYTNGLSCAGIIEASRMETLCMPELDYLFDDSVHTDPYPYTKTFEQ AKHHPCMVTHTTNSTGMPKPVIWTHWLLATTDAQRLVPDFDGRPTVWNKVFDSSERCY SGVPICDSAGIVTALVEVLLNGCTVVLGPPNNPTANTFEQVVTYGRVDAASLLPRTLE EIASSQTGLALLSRLKYVAYAAGSLSPRAGEILSRYTHLYSLMVSTETGSIIQHATDR EDWQYMCINPKYNGIEWRPRGSLYELVFKKSNDLADVQGIFKTSLRSQECSMGDLYSK HPTKPHHWKHEGRTDD CC84DRAFT_1171968 MLEEASTHETPAIPSVLSTCHSRTARCPQATARRPYEAAARSRI KHATVLLRLGPFTAGIIFVYIISRQHDAQRCRQRKTRLSIPRRSGPQCAEPPQARRAV VHNRKADTATLNPSHQRDTFLNPPNPSPTITPGPRCFADAERRCPSEIRSQSARGPTQ CARRRPIRQQHSSAHGRSLKPAPPWKSTCGSRGLAAEAASPQPRCWVVLSRRNERARM TMTAPAVGGRGRWLGLAVDGVSGSPIRSRDHGMDVAGWLSLTVKGTRKTVVNYCMPHA RLVHIPPASLRP CC84DRAFT_1171969 MANPAMSDRGALNFLKYLGQANKNVIRDPEIWWYDRRKQLEWAL KTDRSLCREYGHWTWIFKTIGSTPLHESWFGTSRSEMANFPRISSLNTNFLPPWLILI MPTLRKFHIKTTSLDHLIQYGLCRDNDPYIWTRNWWEPNQEAQDDAKYLFNMNML CC84DRAFT_1171970 MKSQSPPPSLDARYSGISPRLVKIAQNAKDKLYSNKTVPESVPR RHGVRLPPDTTGEAFDEAIKALRKALGEDNVVLNDKPLVDGWYLEHPNTHDAFHLVDQ EDLVSSATAYPASTADVQAVVKWANEHGIPIYPISMGRNVGYGGTAPRVPGSVVVDLG KRMNKIINIDAENASCVVEPGVSYFALYDEIQRRNLPFWIDCPDLGGGSVLGNAIDRG VGYTPMGDHFGAHCGMEVVLPTGELLRTGMGALPGKDGADNPTWQSFPAAYGPYSDGI FSQSNFGIVCQMGFHLMHETGHQSYMLTFPRDEDFPDIVEIIRPLAQKGILGNIPQLR HVVQELNVTGHPKSHWYSGPGPVPRDVIRKHASQMPCGDCAWVFYGTQYGDETAIKTQ LDVIKSAFSAINGFKFFLPLDVPPDHYLNDRALVCSGVPVLRELEWLNWKPNSAHLFF SPITPTRGRDAQIVHDINVRLHAKYGIDLFPTLCIAGREMHYITNIIYDRSSDEEKRR VNALMTELIAETAREGYGEYRTHLLYADQVARTYNWNDNALMRFNETIKDALDPNGIL APGRNGIWPKKYRGKGWELLAGDDRIHKAIGGGTIAPSRATKAKL CC84DRAFT_436018 MGSMTYQRLPTPHNPPLTAIVIGAGLGGCAAALALHHHGHTVLC VLDKVRSFSRLGDSLGLGQNAFDLLAKWGCDVEQIKRIGNQAPDMTIRRWGDGKELAK QPLMDMAGYIGHRGDYHDVFLGWVRERGIDIRMGSEVVGFEDGEAQAGVVLKSGEVMR ADVVVAADGIKSLARPLVLGSLDEPVSSGYACFRAFFRPSEGQRRDERLNKYLKDGDC VNFWIGPDLHLVQNTLRGGEEFNWILTHKDEGDVPESWFQEGDMEEVRRLAQTLDPEI RGIVEATDRCLDWKICYREPLGSWVSPENHRIALLGDSCHAHLPTSAQGASQAVESAG CLAVCLNKIRSKDEVKIATRAYEKLRFPRTRASQTNGEDLRDRWHSALKGVEEDKVID PESVKIRNRWLYAFDAEEDAIKRWDDVRQTVDNEFASGGIKPLC CC84DRAFT_436019 MLLPQSRNFNIRNHQTITSYMSPKRSVRTNRAQSAEPRFMTPTI ASNARARRATSAEPTVPTNPASARATRANSPTSSSKASNYTSTSPKPIKRTAKAPTKL QALSTRLGLTLSKIPSSSKPVPAKPSQKVLNDTTAAPAFEGNRKLQRTGYGDARAARE DQIGAAMATTARAKGLIDDETAKFWGTPWHQKGHMELNWTQKIIDKHNAENGIVPETV MSLKEAEAIKKKKDQDLEDWAKAYMTGQIDENGNRITPGTKKPVFKSQLQEQDERRAA RKMEAKKEPLNKVQEGRIAKKTATKQTSDLPTIADASSYADFLGQKPAATPAVKKAAR KITRKPASATTDNHPHAMETQYDAPISPLPGRPSYAQYKYQDLNALCRDRNIKSGGGE QALRYRLIRDDTLVLNGESHLRDAKNYSCRKEHDHVAPVVANAPIADRAQHQQQKKKT AKRARDDNEDDERPKPKGKKVRTS CC84DRAFT_1171973 MTSGNAFGMPDFEDEESRARMESDAGPNDVGHDHIVFQVPDFDG EHHQEHPHPKHALSEGAGVPPESTANNVRIANRVDGDTNFLGAHNGDKRSNSQAKNDM LAHSPSLGLIPTQSIEEGEAHKFVKKRTYLHKKHSERIRRHTFLAKCLGVVQNIWIMV STFPYWDMAFWSGFAYAVGSAMFIIDGAFAFGPLASPNKHWPKGEDKYGGPILFFLGA VICYQTGAVMAYLEAINDGCFAGVAMKRFWVEGSEEDKKKLLDAKLHTFFGHIIPHHH HHDTDDAHANDIVGEKSRADVGGEQDVEAMWQTATSHDPEAQIHPIQPAPSRRQAVDH GPADQESFNEYMSWRWWPTWHALSTYHVRATLYGVTGIVVLPGVLSSLAWWQKIAAFW VPQVVASVCFLTAAIMFTLESQDVWYKPLPWTVRWWIGGWAAIGSVGFLLCACFGLGS EKHTWCEYQSDLSSMWGSAAYLFSSLLQWYEAVSDGPVLAFPNNRTPVLMRKAKVAT CC84DRAFT_1137019 MAIISPTLAWPAAKRSTNSSTATALHFGADGTFQLSIFEDLHTG ENAWDSWGPQQDINTIKVINEVLDKEGPDLVVLNGDLITGDNAFLENATIYIEQIVGP LIQRNLPWASAYGNHDHQYNLSSDALLAHEQRWPNSLTQKMVAGSELDIGTSNYYLPV YGENCTVVKDCAPDMILWFFDSRGGARFQERDADGNQIGLQNWVDTKVVDWFQQTNAE LVNKYQKTLPSLVFVHIPTYASLAFQQTGVHPHYEPGINDDVPLAPQAQGWCPDSETN DGSCEYGGQDVPFMHAISTIPGIIGVFSGHDHGDTWCYKWDSQLPNMTVAGNGVNLCF GQHSGYGGYGSWERGARQISISKSQLGAFEADTWIRLESGNVVGAITLNATYGNDWYP QTNNTKTSCPTC CC84DRAFT_1255453 MPRPNFYNDAHITFEEYHYWANSTRELENSTPTERGWLSGLLKR KDKKSNEQPSSVPSEGANEKSSEKPPDAVPDGASAITDAEWNNARGAMRTATWGSIFY LITTDILGPYNVPWAISRMGYGPGFALYTVFGGLALYSSLQLWQMFIGLDSTRYPLRN YGDLAFRIYGNWARYLFNILQMFQFFLMVALITESNGQGLAQMAAGKSGAGVLCFVAA EGITAIIGLLLGQIRTLQRLGWLANVAVWLNVVVMIMTMIVVHKYPPNYEAAEIANNV KEGPIVTSANWPEGLGLSDYMGGVMNCVYAYGGAVLFNELMAEMRKPMDFWKSLICAE AFIYAVYITMGMVVYSAQGQFTYPLAYQGIPSSAYSWQTFGNAVSYVSALIAMALYGN IGIKVIYASVLQDILNFPPLDKKMGKILWVIIVPIYWGLAFVVAAAVPQIANLVALVG ALCIMQFQYTFPPLLKVAYNVQKDAMLPEETFDPATGELQRADFGVKRWTRGYMKKPI WNTFDVLFGLAALATAGLGIWAAAINMKVQFQTSGITPFTCQNPAG CC84DRAFT_1160557 MDLSLIPSPPTETAKAWARAHAGVTITLNIVAFLMFCGRVYTRS YPVMRLNADDYAIAIAWLLILAESVFLLLTVPFVFGRDPSTITLQDLENAVKYATIAQ PLWAWGMAAIKTSIALMLLRFETNRNLRRFLWGNIAVQVVLAFYNMLSQLLQCSPLDK LWDLTGTAPGRCWSAEAAKINLICQSVIVVATDIILALMPISFLRKVQRPLRERIIIG SLMGLGMLASIASIAKIEASLRLEQVGDATSVGIQVGMWSAVEELIAFICACVPCLRS PFQRLLKYVGVMSTQKPSTGRGYYNMGAQARSTKTTKGSGSRTLADNIKTKRSIARDT DSDEIVLSKDNGRNRGIWRTTEVHVEDEIGLPLSIYKGPPDAQESCEDHSPTSGTHAK GNYSV CC84DRAFT_1160558 MSCESSKQQLGQQYFDTANDEDLSPPPSGLRSTAAKMMSRGNRV DRVRILVDTSVFPFRRPGR CC84DRAFT_436098 MAVVKQRASCLEPPRICATTCFAIFTGCHSRSISFLKAHLRIAM TDTTARIASYRIKQLPLMIFNIVW CC84DRAFT_1234847 MAVFLQRNFKKVNEDIVTTLVDFIVFNSRVHNVFNFRTQKTFSA CIDLYQTVLLFGMEPYTKGLRKANLDENYNQEITPYSVLDKLVQLPTTNPCYVALVHK FEGLMFIGELDSDKDWATWLGEHPTFVACMESWKTEREAQATINRETCHAARWEKSFP VLVQGSRV CC84DRAFT_1212835 MTFTASLDNNPLRQLTKNGRRALRLGPTFDVVIDKRMIAKEIPK RAAMAISKVFNEMLTKHQRYTTFMLNDYEVSKDWVFIIIDFIIGNVKVNNTFALRNKE KTFPQEVALYRHAVHQFGMEHHAGAMRATLLGCLNKDIHMPTNASLDELVTLPDTDPL YNFYLAMKDWKKEREARKANQREVQRQADFERNFPTLK CC84DRAFT_436119 MAAVIPTPTFAPTGVYVPPPSHSVTHFTPAPSCSPERLRLVSTQ LCDIVTTSQTLFGQPLPPWAECALTLAGDPHGMFYQNPDCYPSNLSADDGTHVFISEC PVGYTTVWESQGRDYLYDTSTITQGGTTTTSVEYRDIVAHSAVCCPDNALGGFHYNWD SEPDYIDYIQTTDPDGNPKSVSLYVPPRCVATSVCAVPATVTMDVVFNDFVSDKKRRR QDVLPRDIIPVGVVTRPWDVEKNVVFAHSVDFSYTVFHGTYTCFENCYDYASSSYHNT DPNSPPSSVGPDRLQTTTNIKPEQTQCSASPTDSSPSETSPPDSPPAGTGGAGYSTPS TGHGYNATHIGGGYSAAPSHPSGGYDTSPGETESSYEAGPSETEIPHYSPEPTIIETV VITTHSVGGGAGWNATATGPGYTTPEGTGEPTSSTPEESETSAPSPSAPQFPGAATKE GISSVWASLLVAIAAFM CC84DRAFT_436142 MWILRRRWSGVGRRRIIRFVAFCTLCFRRELKMWYADWGTGNLQ RGVRLSQLHRPDQCDLPDGVSDAGIWIYRCRVLEEGVRGQGKHDVGADGESRDRELLH FTRSV CC84DRAFT_436147 MTGRFSRLPSDDVDEGRYYQNRQSLDLTESVSYDEFRRQSDSES VKSLIQRDDPEVHLEKERENNSAPLRESLKQYSTLVWWLLAMSTAILYAGYDSSVLGS LNSVPAFQRDFGEWSHVPKKDNPDNWEDVIPAFWLSIWSGVGPLGAMAGSALGGWFLD RWGRRFCLMIGSIIGAGAVVILMLSNKPENKDTKRIMILVGKIIQGVGLGIIKIETFT YLSEIVPVSLKGAVMSLVPIMTLLGQLTGAIIIFAVSTSEKSSAYQIALASQWALALP PLILAIFLPEAPAFLLKTKKDPQGALKSLTRLLGPKNNPRAALAKLQATLDEENKISA KTSYIDCFKAADRRRTLIVIFAGSMEFFFGLALLGDVSYFLQQLGMQASKSLLFLIAG IVIGLIANMGSTWTVTHFGRRKLTITSLSITAGLWAVMGFSGIKQYEFTAWLAGGLST AIIIVCGLGCWPASYAIMGETSSLSLRSRSQALGSLSQSTTTILMNTVLPYLYNPDAL HLGAKTGFVMTATAGAGAVLTYFFVPELKGRSALEIDHFFEKKIRSIGSTNWRDMHVE EVPLKHAQV CC84DRAFT_1083079 DNPRFLATCLDLPRQQQRHDGAPEGPTLKAMVGTVGDERVVYLG CGLRWFARWAVENGAQHVDALDVSNKMIDKAKDMP CC84DRAFT_1083733 MHAEALATPASDEGELAPPPSNYVLKLDLRLIPLLGCTYTILFL DRTNIANARIEGLEKGLNMPSNGYNTCLWIFFIPFVLVEIPSNMIMGLPRVKPNLFLG FSMLILGIISMCQGLTHSYGGLLACRFLMGILEATLPAGAALLLSEYYTRKEQPIRFA MFFTFGVLGPLVSGLLAYGIRHMNGIQGKEGWRWIFIIEGLGTIAISFLVFLFVPNFP EKTDILSGAEKAHLLEKLRRDKGDQKLSMRSVKWLPIFTDYKIWLPTAMFFCCDMTAA SITSFTPTILTELGWKAERAQVMSIPIWATGFMFELGAGFLSSRTGLRFPFMLFGIMM ATIGWIIQIVYSESKDLSPGVRYFSLFAMSAGTFLQMAMTVSWMTNNLRGRASVAVGT AMILGLGNCANFVASNVFIKREAPYYPTGFRTGLTITVAGAVLCLVHVALLWWHNQKL GRKRAEVGGEDDQWEYKYQY CC84DRAFT_1212840 MLNVNTYLVFTICALGALVAAMAGEPFADAVAAVGHDTAHDQLS SSQFHNVSQHLMQARDDAPPPWDSQRMMVLGIGSNGQNVGTKGRYFLYDIIKGAVGDL CPSGQSGVQTMRSCNTEKVVTQEYVVVKNKNGDTSRDGSYTIKVGSSYFGLPGAREIL IDAIAWTMYTIMDNQCWKPGFNGDASDWDLCKAPDITMVRIDYIGWLVVFIKADAKDM NYPHDMRVCAQEGWATGEVKDKVEKKIKEEMKAKKWHEPLKLKSAEYLSVETSCYINS TATGQGDGWCRKDAFEYMPGTDCPPTRL CC84DRAFT_1160562 MAQTSRLLSRSFGLASRPMRQPFPTRYSHPRTLATVTPTLPRVD HAQSSDNQTVAAMGRTASGKDLQIRKYPTFERKEDERLYRKQHLAAAYRVFAERGFDE GVAGHISVRDPVWEDHFWLNPLSTHFSQIRVSDLILVNEEGTVVQGDQPINAAAFAIH SAIHRRRPDVHAACHAHSVYGKAWSVFGKELDMITQDSIRFYKSHGVYKQFGGVVLAS EEGERIADALGNGKAAILQNHGILTVGQTVDEAAFWFLSLDKTCQTQLLVDAAAAGNG LEKKIIADKEASETYGNVGTPEKGWLAFQGYYDEILVKTGGDFLR CC84DRAFT_1137037 MKVAVATLAAVGATVVNCAAIEPRGNKLVTSEGLRKLIKLKALQ EKAHLFERFAYDSPDKNRVIGSEGHQATIDYITKTIKKYSKYYDVYQQPMPLSIGLSA NLTVNEKEVTVDAVGLAPGGSASGPVVAIPNLGCEEADFPADLSGSIALISRGTCVSG EKVAFAASKGAIGVLIYNNVDGNLAGYSLQRFPESDGEYVPTGGITQAAGEGFVSLLA SGGSVTAELTTSSKDVITYNIIAQTKGGDQDNVIHVSGHSDSVAQGPGINDNGSGSIS ILETAIQLTQFSVKNAVRFSWWTAEEAGLLGAEFYVKSLNQTEKDKIRLLLDFDMMAS PNYAYQIYDGDGSAFNLTGPAGSAEAEAEFAYYFDKIAKVNHTEIEFDGRSDYGPFLD AGIAAGGIACGAEGIKTQEEFEMFGGAAGVPYDVNYHEDGDTYNNLNFDAFIVMAKAI AHSTATYARSFDSLPPKNTTAIKARSDANQFKYKKSARYLSMI CC84DRAFT_1212843 MSPKSFLVLPVTIRERIYTELLVPPPSHGGEYLFRPSDVATSIL YVNRQVYAESSDILYSKNMFMIVRSNNDLFGHLSLKPPIRIHFPGLEFVDKHTQIVPH RRFAMSMEFLSIGWKPGQVPWSLRNNFVITAQALPNFMIDLAAALSRATQISVGQLQI HNIFRYSELRFAELVFGPVLSMQRLPPLKALSIRGPLPQEHRQKFLAKLLQHQDRIWY DFSAAYGQCRSCIRDNMYPGRVHSDLQMQWNIANSRYLLSALDIVWDFHEYTGFQHDK YYAKITLFQCVADLYTQLVLAHLIEAKRNPDQAIPNYLAARRSAEEGISYLSRGDHQI DRGTFEMFPSDIREENLNLAGHAKAKLSLKAMKACIKLDDGTGAKFYLQNAHKQDSSV NLDELRLKLAWKNLPEANESGYEFLGTSQPVRWHS CC84DRAFT_1084328 MCTLLAVALAAPAAPYSKRQGQNFQTFTGALGGISATPVTDSGN PDRKFQVKGDTFVNIGAALQRSCDQQFNACANKANGGDKTLSVSACSDQKSK CC84DRAFT_436246 MLSHTVLLALVAAVGAVPLNINLGAYSPALVVGDGEISLGAAGA AAGAAAQQAKRGNLVRDINEILKKREAAPEPTELAHIDAVSRAVEWIKRDINSFNAAL GYAERAQQNQPKIELGTGAEGSGVGITVNAGLNVPANSAANGAAAPKAAGRAVKRSEE VVDEAEAPKMTLVAITEV CC84DRAFT_1160567 MHEFLPEPHPSPVRHTSQASAKHDAASRSVVLGGLADKDARQGR RVKHFWRRKGRDRDAYFAKE CC84DRAFT_1160569 MTTQSQQPPRVPRTMIGVILTGHGGPEKLEYRDDLPVPTPEPDE VLIRVAAAGINNTDINTRIGWYSKGVKSGTGTGTAEGQRDDASWSGVPLQFPRIQGAD CCGRILAVGSNVDPKRIGERVLVNTMLRHYVGRRPYECWTFGSECDGGFAQYAKAPAA ETFKVECDWSDSEIGSIPCAFSTAENMLHRANVKAGEHVVITGASGGVGAAAIQLAKR RGAYVTAIGGKEKAKDMLAVGADQVVARDEDLILKLGKNTIDVVLDLVAGPSFPSLLD VLRQGGKYAVAGAIAGPLVELDVRTLYLKDLSFFGCTFQEDEVFPNLISYIERGEIRP HVSRVYPLKEIGLAQEHFGSKRTSGKLVLEIP CC84DRAFT_1137045 MHFTLLLPLLAATAYAAPVEDRVKRAGCSSYTIINTRGTGEIQG ESSGFRTMNGNIQSQVKGGKTYNTVYPAGADQNSAAGTADIVKQVESGLQSNPSQCFI LEGYSQGAAATVSAMSQLTGASFDAVKGVFLIGNPDHKSGLACNVDNKGGNTTKNVNG LSAMLGKSIPNEWVSKTMDVCIYGDGVCDTTHGYGINAAHLQYPMDSNTQELGTNFVV KQLTA CC84DRAFT_1255466 MSGHENAAQDKDLEKLKGNDHVDALEDYFDPVATKALVWKQDLR IVPLAAAIYLLCYLDRSNIGNAKTLNASQNESLLQLTHMTNYQYTIALMVFLVAYAVF EVPSNYFLKKCKPSRWIAFLMFSWGACTIGLGGAQNYATVTAVRFLLGMFEAGLFPGL VYYLTFWYRSEERSMRVAIILASATLAGAFGGAIAYGVGHMRLVSGLASWRWLFILEG IPSCISSVAVWFFLPDYPEEAKWLTTAERELAVARLEKEGSKGNAPSLTWETAKETIL EGRLWIHYIIYFGISAPFSSLSLFTPTITAGLGYENLQAQLMTIPPYAVAYVVSIATA WSSDHFNARALHSAVLSTIGAIGFLASALLPADSYHARYGCLIVAASGAFSCIPPLLG WLSSNMHTTAGAGLAIALNVSWGAPGQILGVWIYKADEKEKGYPTGHWTNFAMLLMVA VGCVGMRFYYQWRNRKIKSLGPDASGVTREFSY CC84DRAFT_1137049 MAFHSYRDVSARREVLYVGGEYTTNIDGTPAQYMTGQIYVEKLT PSTESSKCPIVFIAGAGQTGTNWLHTPDGRPGWAHFFLGHGYTVYLTDQPSRGRSARH PSIGKMRALSTSVVESSFTAISSHNNWPQSKLHTQWPGIGKVGDPVFDAFYASQVPMR VDKLAAENENAKAYSALLDRIGEAYLITHSQAGPYGWRIGDARPQLVKGIVALEPRGP PCETSYPFPGQRIAFGIADGELEYDPPAGPNGEHLKTVKVPAKSANHDECTLQADPPK KLKNLSSIPVLVVTSEASFHALFDYNTVLYLEQAGVNVEHADLPAEGIRGNGHMFFME LNNMVIAERILTWLTKH CC84DRAFT_1202159 MRTTLLLLSTLVAIGFATPVQQAPQPPVSCTGNQKENILVSHDY KFSPTSDTYEICNGLCFDFPAEYNNSISAIDPNDHATQTCTLYECRAGGAGAVVIGNS RVNDLRTLVPNLDKQASSILCSWTG CC84DRAFT_1085036 MLRNSAVAFGLFVGAIHAAPVSRSESCPTAHVKNGTYEGAYDSQ YNQDFFLGIPYAQAPVGDLRFKNPTSLNQSWTDSKPATQYSSACYGYGSDQWNYPVSE DCLYLNVVRPAGYENQTLPVAFWIHGGGFYQGSGVDQRYNLSYMVKNSVEIGKPIIGV SINYRLSTWGFLSGTQELVDEGGSNFGLRDQRLALHWVKENIDAFGGKSEKVTIFGES AGGASVGFHLTAYEGRDDKLFRGAVMESGNPIYYRRLNSTVESNPSLYQELLSRTNCS SAPSTLKCLRKIPEAQLNSAINSTSTSYNNTLAQFGPYKDDDFVADYGSRQLADGRFV HVPIIDGANSDEGSAFSPQGINTTEQFRAAIIAAVPQVTGKLADQILKAYPDDLSVNV IASLGDTRPGGRFGEQFRRSASYFGDYTFIAHRRKTCETWAAAGLSAYCYRFNAIPAG LPPQIGVTHFQEVAFVFDNIVGSGYIPAATPPFQGKGQNYIDLAKLMDSSWISFFHDQ DPNSWKKSAAWSGKEKDWPVYDLKHPQDFVFDANVTSYAEADTYRKEGMALINEHNFD VFGR CC84DRAFT_1137053 MRQRPSRPENLSPKTSQKLSRYSKCSQRPTVRLSSPPSDRSRGR IHSAYSPSVNDFYEIRAVPGKGHGSFALKDLKRGTRILSDITLLAITNGDYLVADIEA AFAKLSADEQKLYWSLASSHGQDPKKWPSRVHESVKGRELQRIQEQHNARVGKEPSLL SIFQNNCMEMGKGAGIFPNAARFNHSCTPNASFNWNANIQRETVHIIHDIKAGQQITL SYCDMTHEKTLRSWELKHYGFSCDCPACVHEEEDADGFAREGVDRRYRIKELEQTTSY LRGPNLEMGVLKEPDFVKQLLELAILHMEIGDYSMRLANIYMDLALACEFVKDFKNGQ DMAANALHIKRDCQGEDSADFEKYKGALKRLHRSYKTSQGS CC84DRAFT_1065284 TTPPFSPSSTTPVLENPELDFEGTVEVNNDIPSEKDMGRVDDLL VLDSSGESRPFRDLYKGEGVAPRQLIIFIRHFFCGNCQEYLRELSASITPESLLALPE PTFITVVGCGRPELIEMYAQTTKCPFPIYADPTRKLYDLLSMTRTWDMGKKPEYIQSN MLFTSVQSIVQSLKTGSKALKGGDFKQVGGEFLFEDGKCVWAHRMKHTRDHTEVSAVR ELLGLKGEKIPLRKRWSHGVKTLKEQGRDRSSSWGRVRSKSKGAHEKDGSKTPDTVEE E CC84DRAFT_1082370 MPADTVGKTITCKAAVAWEAGKDLSIEDIEVAAPKAGEVRIEIY YTGVCHTDAYTLSGKDPEGAFPIVLGHEGAGIVESVGEGVTNVKPGDHVVALYTPECK ECKFCKSGKTNLCGKIRATQGKGVMPDGTSRFKCKGKDLLHFMGTSTFSQYTVVADIS VVAITEKAPMDRTCLLGCGITTGYGAAVITAGKGGVERGSNIAVFGAGCVGLSVIQGA VKNGAGKIIVVDVNDSKEEWSKKFGATDFVNPTKLSGQSIQEKLIEMTDGGCDYTFDC TGNVNVMRAALEACHKGWGESIIIGVAAAGQEISTRPFQLVTGRVWKGCAFGGVKGRT QLPGLVSDYMNGDLKVDEFITHRQPLDKINQAFSDMKAGDCIRCVVNMRKA CC84DRAFT_1160574 MFEAGMFPGVLAQYSSWYRTDEMGRIVTYFFCFSNVSGIVGALL TYGISYMNGVAGLSAWRWVYILEGIATVLFSGCVWYYLPDYPKSPRSKRWFTDREMEF IETRLPENAPLTSDPDFSGKEIRSVLSKSLIWSFMLSQTLVNLGGYALTWYLPTIITN LGFVGLPRNQLLLLPPCAAAILGLVVSAWIMGKAWIVRPAYIMFLMSGMVICFVLFFT ITSSRIGIYIACILGTLFYQSYFPAFWAWRSATLSGSTGTAFTLGLQSGIAQLGGVVG PQLFQSKWAHNGYKTSFAIAASFMMAGWVSNVWTWWLTRNTEYDVMRVRRKANAARKR GEVEFRDDIRIFDERRFYEGVRKVKEGSEASES CC84DRAFT_1083219 MFKPLSTAYSEELSAYLHRSQGLASITKGDFFPLFWNAWNTSFK ESTILSSFKSTGISPLDPSPILDRFTQDQEESGDSSLSRLNDHDWRKLDRLVRSAAKD QSSRDTQKLRLSLHHLSVQNELLHHEIDGLRQALSIMEQEDNEEQLQKAETAELKKAA KLCKEKIRQERRAARDAAREAKEKERAEKAAQRAAQQSTRNAEKALQSSQKGKRKISQ LSLQTRKRHKCAGDALAIREASEGASAAQTKTTRHGRNVKLPDRYQ CC84DRAFT_1234890 MRTSVLALVIAPTAVLGQSQLWGQCGGIGWSGSTTCVSGSVCSK VNDYYFQCIPGSGGGSPTTTAGGSNPAPTQGTGTGGGLNDKFKAKGKTYFGTEIDNYH LNNAPLMAIAKDSFGQVTCENSMKWDATEPQRGTFNFGNADKVVSWATSNGKLIRGHT LLWHSQLPSWVSQINDRTTLTSVIQNHVTQMVTHYKGKILQWDVVNEIFAEDGNLRDS VFSRVLGEDFVGIAFRAARAADPNAKLYINDYNLDIANYAKVTKGMVDHVNKWVSQGI PIDGIGSQAHLAAPGGWNPASGVPNALKTLAGANVKEIAITELDISGASPNDYLTVMN GCLAVSKCVGITVWGVSDKDSWRSSDSPLLFDSNYKAKQAYNTLLNAL CC84DRAFT_1137070 MVQHGIPSPPSTDPALFPPVRKWVPQHQSWPYAPKDFLRLDETD DGDFYNQPRYVAHIDEQAIERLTKYFGTVLPRNGSVLDFCTSWHSWYPDAYVEAVKSN ELEVYGIGMSRPELDRNSLFKNHPARSFVADLNETPDVRAHLSKGGAEPPQLRAATCT VSIDYLVKPVEVLRSLRECTVEGAKVHLVISNRCFPTKAVRIWLELDTEERLQLVGNY LHFAGWKDIEIIDLCARDEEGKRLTDENGRMLQGQGMLGFLRLRHMDPLWVVRGTKER NAESRCDAVN CC84DRAFT_436437 MRHIANCSTSMALLAGARTAAARLFLRRPRRCPTTSAASPVCLA SRLVARHLPAPRSRRPALRTAWGTLLVTQLPEHIRKHYPGQVRVYLPPFPYVLPPAPT RRRFTHYGLEEEPVLQCPRQFVSIIELQGPARELFFETVYGEGKGKTILRSPVWDGGR DLDAANNTVGDVKMEDAFDAPATADKDVPEVKMSCMYHMHTEDRACWLLKNPLVNGGV WPTEDEE CC84DRAFT_1137073 MAPILVTARIVCSSKEARATVLDAFHKIIEFTQPHEPDVLRYVV TLPADDTTGTVLYMIEEYASQAASDAHLATQPVKDLITLFTTTSVLAAPPDVHTSTVT SSKTCAPTLSPTSKPAIVLAHFDYKPNTVARALQGWAEVVDYAKEKEYWTRGYTVGEE KDKSCVRTVETYESWEFLDTVHLKSEAVGKNRKHNGADRTGQGEVRVVAVDGFLGREG RSKL CC84DRAFT_1082022 MSSASSFHLPPHDDDDDDDDPEQVPPACPFLHRANNISAAHAQG HPSWHFPAAHRSDVAPPSFAIGSTYNPDRDESAYSFDNAYHAAPSPPAPSFLDNILNP VENAFGRSFGEGGMSSSRGPGRSGRLSNGYVDLTDSPDVTTTSRKTKRQSPAPGPSTK RQRRNDGCAPQSSGSSAEATVEEIDLSAEKHTVQDVLQKQREEAVKAQAHPEEKATTF NTFNCVICMDTPTDLTATACGHLFCHTCLMEALIAGENRGNPGEQRRSQCPVCRKNIN RAKGSDIIPLLLKKGLATQPKKTRPIGASTTTASKVTS CC84DRAFT_1137077 MRCSRRTVAAHLVKRPRLPPAATSTPLGCTSRRCLATVQSAQGG RGSAARRETLAVPSEARFSEIGVQHLSTHVHHQVFPGRSTAAPPELVALSKDHLTRHD LLGKNQDSTPPVAFDLPELQGTTLDEHFYKLGMDAAEPFLTMAKKLAWANPPPKPRKW VRRSGWTKYHSDGTSEAVEAPDEEMLTFDTEVMWKETSFACMACAVSPTAWYGWISPW LLGESESDRHLIPLGDPSRARVIVGHNIGYDRARIAEEYDIRQSKNAFVDTMSLHVAS NGMCSRQRPSWMKHQKSREQREKIATSEEYTDISHLLTSDAIPHEEEELWIGRSAVNS LREVAKFHCNITIDKARREYFGELDRAGICEKLDELLDYCAADVEITHRVYQKVFPLF LETCPHPVSFAALRFLAAEILPVNETWDAYIHNAEATYRQLSEAVQQRLVKLAEQALE VKDKPEIYEKDPWLSQLDWSGQEIRMVKGKKKGEPSRPAARQKMPGMPKWYKDLFAKT DAPINLTVRTRVAPILLKLSWDGNPLVWSDEHGWTWQVPMEEVFAYNEKGMKELDMSE EGNLKLRDDTKHRYYKVPHKDGPLARCANPLAKGYLAYMEKGTLSSEYEYAKEALEMN ASCSYWMSARDRIMSQMVVYNNEVPNAPKLASASQAGTGKKVGFILPQVIPMGTITRR AVENTWLTASNAKKNRVGSELKSMVRAPQGYCFVGADVDSQELWIASLIGDSTFKLHG GNAVGFMTLEGTKAAGTDLHSRTASILGITRNDAKVFNYGRIYGAGLKFAATLLRQFN PGLTDSQTTKTANELYENTKGKKTTRKQLHERPFWRGGTESFVFNKLEDFAEQEKPRT AVLGAGITEALMRRYLTKGGFMTSRINWAIQSSGVDYLHLLIVSMEYLSRRYNLEARL AITVHDEIRYLVKEEDKYRAAMALQVANVWTRAIFSQQMGINELPQSCAYFSAVDIDH AARLDPAVVPKDAPAVDKYASSYTPRIPVMASLGDVDPSSVSSIAYLKAQITNDDKEL RDIIRTVRPPAKPRTRRAKKDEGDEENERALLHYEQMAQLLPVDEIWQNDKFTKNWSS TTRPGTLHHFHPRSTASRPARVH CC84DRAFT_436570 MAPRFVLPARLPITRTFRAPARFNSNTTTSRAARVIEMAAQAEK PGALEAGVPVMWAVCGALIYTAWNRIEEREGNENVAKLLIV CC84DRAFT_436675 MDGHGVWQACWLWIRIGNWNRAFGHDTHTLFCRLTALRCRFNID ECILFTPHTLSGPRLSFQATNETFSRHATSYRTRRRRVQLSLSLSFLLTYRSNEPQRP PHRKLKQDFYGCYTCRARVLGR CC84DRAFT_1212862 MRFSATLPLLTAALALASPSPKPATNAMARDATTTVNEMTAAIQ FAAQADCDVFQCANVVASTACIAAGIASGMIEAVLACVASGSTGICPCAACIPGLNDF LANNGICT CC84DRAFT_436536 MQDALAAGDLIDYADDAIAAMGSSGSTTPYATQETPIAAPSTMD DSFQVQYSRTNGIIESSSLEGAIAQLAGPSTWSQKRECGYDAAMRAVQADPLEVTDLY FPLGSWDDATYVRSDRSSSEDTVAFAGAPSSMTSQLGSIPVDSRRNSNLTVPGQNSAW SPLDTGACFGPMQWTEHMSSIEHESFANPSHVYGLPMVSLKMTPSDPYVMTNEMEHLL YQRMGSLYGDPGTYSKVCSQNFGSTLTEWYREDVRQLAHCISDCHVGAQAYGKYA CC84DRAFT_436884 MEEYKSPESSGSIPAKPLLYTCYLLGVAVVCTNEPAILFQSYMW FMELERTSGAFPFALVVPQKQKGWGVVLRLPPDRDDTVHELEVGRDLSALCKQRWRYD SWARL CC84DRAFT_1212864 MPIEADIANMGPSAVSGSASGSASSGNSSVQTVKPEPSQTTNSA PFPPPKTDKPRPHVCGTCQRSFARLEHLKRHERSHTKEKPFECPQCTRCFARRDLLLR HQQKLHQQGATSSRPRTGRRESTTGLPPNSAGRVRKNSVASSIGGPSSINPSMRPRAN TISHVDPAALNSLLASHNASLGRGGHPGHSHHASLSGIGGPSAYDFRGMSSVVGGPGQ HHGLPKLDTHLGFGMGGGLRTAPIPGFAQDEFELDKFFGTSGSTINPNQLHFSAGMGA QSTFNTFGNPFATTAIDEDDFAWSAGLDSSMMFPGPNDPVGDGSSPSAISTTSQSGFS EVMVDGSGQPTTTPMWHQPLVTHTSVNPAGYALDAMAPVFPELMMGNNTDLPKELGDQ GAPTDFYMSTPPAFSTMSPTAGIPGMPNQYFQPPITFNSDSGSISSASINGSARHSSV TSVSSDTLTDATRQALVFNLSQALGYGNPQRKFSQPQISSPLSANPNKAASQVASLPS TMDLQRYVNAYIQYFHPHLPFLHIPTLSFDTPAYTHQLRSAGSYNHDGMVGGGGCLIL AIAAIGALYEFEHVVARDLFESAKKMILYYLDERRRAGLSAAVNGPNSNNDPVNKPPL WLVQAMALNLIFGHNCGDKQAADVASTHCAALVSLAKAAGLDRPAGDTSTTPSPPNDV GNGDIEMGDGSMPPEMSQKSPPSDSMDEHTQWIRWKQAEERKRTYFAVFSMSSLLVSA YAHAPRILNSEIRLDLPCEEDLWSVDNPQAWAAMGGPMIAQSKGLSFNAAMTYLLEAS TRQPGRVNGAYQQQFGGDLAMSEMSESEIRPSTFGCYVLINALHVYIWETRQRHTGRL WKTHETEAMHAQVEPALKAWQSAWRANPNHSIERPSPFGPLSADCIPLLDLAYVRLFV NLGRAKELLWQRDFDGMSAELAKGVDVVAHAESTPERSEPMDVKYTDLSQPGAGMSPG NVEGLSPGQVSNGLQTSRSSKRERHLRKAAFYAADSLSMADKLGATYAEFTARELPNS SAMCTFDCAQILAEWLASVQDRVGRFLGVLGKDEVDYTTVPAIMLLEEEDVKLIQKIA DILHTADMKLAYDITSNSITMLGGVSNLGHCGYGTKLLMVTAYMLEKAAVWPVTHVMA RALEAHAKHLNQRAEASVLAQ CC84DRAFT_436860 MAADKLLLRKQRTARDKDAGTAHLRQRKFCGFVRRAQISHTFPT RPVLCTGWWLIFVCAGGRCNAVGLGAPAGQLRSSVRETQAMGPNASGCDTMTAPRCEG MRNWQPLGGEWLALIKRRGGRVRNASLGRRRG CC84DRAFT_1234902 MLSLGRGILELARLQPLPCRLPGFERPRRRASSDGRDQRARAHR RRERWQRPSLPFAARQRDAAVRDHIGRPGRRPFCCQDGDPCPGAQAVDVVRRKVRAAV LNRTWQGPDLNAAPDGVLQAEEPASGAGQAVELELEPCRPRWLAYGGLAASSVMQQRE SDLARRRITSTGAGELLTTGEPARAPLTPGAAAQSSIVPARALPSLYLFLLAPSFPRT PPSQRQRGLVCAPFAAPDSNSLRGGQSARRLSRASARLHTGHSQVHAATCCIACYKSR HERGWNAVTVLSNALCAAASNRPLRLHECLHRIAPERWP CC84DRAFT_1082092 MEKKIDVGLEAPSQVPADSAQGEIILSEGGIVLHPHPVQDDALD PLNWSSFQKHTLLAIVMALYFMFTYVTTTTVPSFPQLQEQYNLTAEEVNWTVAIPALG LALGPLFWSSFADIIGRRIVFITGTVVALAASIGAAMAPTYGGYMAARFFQGFGVSPA ATVGLAILNDVFFEHQRGQKMGLWVLAIDLGLLVGPLIGGFIGLVSHTWIQWLCVILF GAILVAEIAFLPETLYPRNFMLAQEYPGAVIETNDGEKGGIVGDVDNMARKDADMRTK KLAFLNIKPVPALKHPKPWDSLLRFLMMFKFLAVTITTMVFCYGWYWFILAVTTMFPV AYAQYSPQTQGLLFLGLIIGSLVSELFFSGALSDRIVLKAAKANNGIRSAESRLWLAY PAILLTSVGLIIWGVSVERNYHWMVGQVGTALFGAGVQMGNTVTTSYIVDCYPLQAMS IVTFYSVHLNLSAFISPFFIVPWVDKAGFAWTFAGQGIIVFFFCLPAFALIHVFGAHI RKGSGLPTWVDPEHDT CC84DRAFT_1234904 MDLPPSEFSSLLPKLHPDDVDVPEWRAWLSESWIQIKASIPVIL AYMLQNSLQTISVLIVGRLSPEALATAAFSYMFAMATGWLVALGGTTALDTLASSSYT GSKDKHDLGVLLQRGLFVLSIFYAIIAIVWIVSEHLFRALGQEEYICIQSAKFLQLLI PGGLGYVWFEAMKKYMQAQELHRPGTYILLITSPLNALLNYLFIYTFDFGLYGAPIAT GIAYWASFLLLVAYAAFVQGHECWGGLAPRRALQQLWPFTRLALLGFIHVGTEWWAFE IVALVAGRLGTLSLAAQSVIMTADQVMNTIPFGLGVAASGRVGNLLGARKATGARRAS LCAATLSVLFGTLILAALMGTKNVFGRIFNDDDDVVALVSKVMPFVALFQIADGLNGS CGGALRGMGKQWVGALVNVIAYYCAGLPAGIYLAFHGWGLAGLWIGNCAGLYIVGTLE LVIIMLSRWDKEVENALGRIHQEPLDD CC84DRAFT_1137086 MFPKTSLYAALVATVAAHGVHDDQSPILGPHQSLWYNTLPGDGG TQADSVFSGISTFGRLPYVQCLSQRDVKYDLAFIGAPFDIGTSYRPGARFGPSGIRQG SRRLNLYGGYNVPLKTNPFNSWATVLDCGDIPVTSYDHAYALQQIEHGHYNLLTRSPA TDANKKGPSLQGKTLPRIITLGGDHTITLPLLRSINRAYGPVSVIHFDSHLDTWKPKV FGGAPTDIASINHGTYFYHAAQEGLLRNDSNIHAGIRTTLSGPSDYENDGYCGFEIVE ASQVDKIGTAGIIKKIRERVGTEKPVYLSLDIDTLDPAFAPATGTPETGGWSTRELRE IIRGLKGLNIIAADIVEVAPAYDTNAELTTMAAADALYEIMSLMILKGPTSKMVEPSQ EEL CC84DRAFT_1137089 MDVNAKLCQNCQNLISTTTPTEEDEYLPYQSKDLLFGSSRNGCP MCTTICEYFDDYFDMEKLTTWHSQRHLEEARLMYRLDHWRKLEGTVCWMYMLYCPSGG NADIHEAHMGNLIVMPAKDRTAGAASTYTGSEQCWALASAWILECVTSHSKCNNTNEE TNWLPTRVLDVGSSNNPQLRLQPSTTLSPRRSYMTLSHCWGELQIKQLQTHNIQSMCE HIEISELPKTFQEAICLTRRLSVRYLWIDSLCIIQDSTEDWAHEAACMGDIYKNALCN IAATAAADGRDGLFFERNPVLVQPLRVRIESLKLEGLNSPSDLYDITPQYFWGHDVAD APLNRRAWVLQEQFLSCRVIHCSKNQLLWECRELAACEMYPKRIPRGIINESSVSDFK WSDLAYGLRVASSESRFNALELWNSVMEAYTARSITKFQDKIVAISAVAKEFQPMIRH EYLAGMWRMALEAALLVG CC84DRAFT_437048 MVSKVILISAIVAYVEARFGQEQVPISAISAVQGGSPGAAATIA GGAISDLLGAANSCAKLATADKIVAQLGGGADAIAAAIGMVTAEKNTNPFANGNIQNV CGDASLPATPELRGITPLIDPAVDTTGGVAALSKSSAASPLNAAGKSVFDLLSEAGFG DLVASQNAAGGAAAGGAAAGGNANAGNNANAGNANAGNNNANQGANAGNNAANNGTAN AGAGNSGAAACNAANSGNANQNANNGKANQGANNGNANQGANNGNANQGANNGNANQG ANNGNAGNAGAAAGGSVLTGAEDFGTCTPTIDFKLGRPGRKATEGTFQISDPTAVGGQ QDALNPNIITNALCNQLTNVCGANAAAITACDGAKALVQAAGTRDATTADLFNSALGF GGAAKSKRSITRRFRA CC84DRAFT_1212870 MFSSAAGRVIRSSSTLPPPSVCAFARPAALGAGQKPFSRPGHQR RLSSSKASTPPDSSNGSNSAPQAPASAEKTPLKEATKNNEKMTQSGSKQTGRRKKQAT RTAAPTPTLNVPHVLPTNDVEVSEVKLSSFFSLHRPISLDTFIPPVASNSSFESIFAP RSSYTKKTTVDNIQALTKGIQNLEAVMGAYEKQAPAEEASQAELRHLDGQSYMTLDEL TRKLVPFRPPPPPMPFRDPADVYSANSAPMFDTEMPGAENEPEHYEVRQPFYDRMVQR HNRNNRSRDNLQHPDMLAISVKRQRKLKMKKHKYKKLMKRTRLLRRKLDRT CC84DRAFT_437062 MAPGIMCFGKKPVDPESRRNEEIEKVIRNDKKKQQREVKLLLLG AGESGKSTVLKQMRIINAGGFNKTERKQWRAIIFNNLVSAFQTIFAAMQEQETDFEDD DNLRYAELITADPEIGIEDGMPQECLAAFNSLWADKGVQLAILKGNQYALHDNLSYFF ADVERLFAREYLPTDQDILRTRLRTTGITETIFELGNLTYRMFDVGGQRSERKKWIHV FDNVQVVLFLVAISGYDHVLVEDRNGNQMHEALMLFESISNSKYFEKSALILFLNKID LFREKIAGGMSPINKVFPDYNGKPTDIEAGQEFFANKFRNLVRQPKKEVYVHYTNATD TNLLKITMQSVQDMIVQRNLNALIL CC84DRAFT_1193263 MADIEKHDYTVQGGGSESDHGHGVKDIVATKGAAVGEAADIYGD LATAEQYGYVERGLKSRHIQFIALGGTIGTGLFLGIGTAFANAGPVSVLLGYTITGIA VFGMMQSLGEMSTWLPLPGAIPQYCARYADPALGFAVGWNNWYQCAITLCAEISAAAV VISFWDTEEKINQGVWIAIIIVIIIGLNIFAVSIYGEAEFCFASIKIITIIGLLLTGV VIWLGGAPDKDRRGFRYWKEGAMKEYIGTGSTGRFSGLWSTLVNAAFSYGGVEMVAVA AGEAANPRKNIPKAIRRVFWRILAFYVLGSFVIGVCISSNDEALTSDNLSGAQKSPWV IACKNAGIPVLPHIVNAVILTSATSSGNAFLYTGSRYLFGVAQNGQAPKFLLKCSKNG VPYWCVGITAVFSLLTFMSLGTSANEVFLWFQNLVTIAQCFTWCSICLGYIGFHKALK AQGVDRNTLVFRSPWQPYTAWVSFVFFTLVIFFNGFKPFTETKGGWGKSQLTDFFTAY VGVAIFFLLYIFWKVLKREPFVVPANADIWSGKAALDAEVWPEQIPTNIFQKFWYWLC CC84DRAFT_437298 MPSSDYTSAAGGALKLKGGGVDKRKKKKKSKPADASAEASTRVK ARSKSPSHTPRRSISPDTAEKAIKEDGGRKKTEAEKRHDEMRRKRLEDRLKKEGVKTH KEKVEELNKYLSGLSEHHDMPKIGPG CC84DRAFT_1160595 MSKAEVYAQVLEQATALFDGQRNWVHITNPLFYSNFSNAAALLW HAYHSLPAPSSAVNWSGFYFTDPSNPRQLILGPFQGQVACQAIAFGRGVCGAAAETKV TQLVEDVDKFPGHIACDGASKSEVVVPIVKDGKTVAIIDVDCAELNGFNDEDQVALEE LARLLAESCDF CC84DRAFT_437258 MAYYVPIIRTGRIGTVLVSFPHGTVSCRIEITSCHVDVHTRTLA GQLTSPSPPTIIQCMDDGGLAPSIGDFDFVSGTYQGTNGFTLGMPLWYAPQPAAHPMP MIRYLQHENSVISDAQTRAAHDPAVPHQQSPTAPSIGTSVNLPIVVDDNNGASARLPI IVESNDDGAQDHIPASEEATAEPLPPSHLRETLVHLKKYVFGKAHQRDWLESFKTGSC RQREEYCRLFWPSIEQAEVDLDWVSKHFGNFTEAYIERLAVEGRKRKEELDWEKEGED IATSWEQRRNEQSDQAKRDKELRDKATKRQVELKEQRRIRLEKKEKRDAAKRAADEAK KKKAEEEKATKAAERERQRLAAATEEQRVRNEENVRKAEERKAHRAAAPSRKRKAATA PAEPAKKRKTDALPPTPPTSQCEETPSVDELKASIMAEFDTEVDDDNDGLCAAIMAAH ESEPLAESEESDADDDEIAAVFATQAAEPASESPARASLPQEQHTAPPTPPAQTPEPE EEYESEDGANSLFDGSDDEAREDENESEEEEVVKAARKVLSPREIKLTEVEAEVEHAK EQTKVSRNKFFKRRVHERIDRLRKEREQYL CC84DRAFT_1160597 MTTSTPSEPQDSPIHQSSSTQARLHQQSSIMLREQPKEVDLLIL GAGWTATFLIPLLETEKIPYAATTTTGRDSTIPFKFNPDSGSAEPYKTLPKAKTILIT FPLTGKGPSKVLTGLYRSVHGAKNHWIQLGHTGIFNQLPDSYSDDDSPYDKSNERAIA EDELRQLGGTALNLSGLYGGSRVPRSWLPRLGQSKDDVRKRGAVHFIHGEDVARAIVA SHRHPTPGKRWILADLRVYDWYDLIMSFSAMAEGEESAQEEETRQQFAKWVGELMLEE DVRALPREMDKLGRKLDSRGFWKEHGIWPRHQRLG CC84DRAFT_1160598 MATPNAPTGILTPDQRIALEQQERYNRYKRNIAIGGVLICPTIA LLPPRKLDLYTFSLAIGFYLSADHLAESYKGRSLLSLMTPSFRNPVSSLPTDKARETS RILKEREEAERARREGLEGLGKEREKEKKGLLGKIWMGGEEEGWKQRRLEEERKALEE GKSYTDLILEQIWEVWNWDKKKGEDGKAEGEKKE CC84DRAFT_1067352 MMAFNLDEIDQHMANLRSTLRLFSEALERTDHNSPDWLATDLLS LRNQTGRLTDGMQKFADQLQAEGLAERKPQAPASNKRARLSLEGAKRPTPSSTPAPSS PQVPKSAAPPPTQPQPQFQPTSPREEYEVQRVDVTEEVNRRLREARLRRLVDSPSTSQ KRKFDAYESMETWGETDDSTEQQEDDAMGRSPFKRIRASGTFEPIASVMKRKEGSIAV GFTDMEKEERVHVKRRK CC84DRAFT_1081214 MSFKAKDLHYNDKQPAFLRRLRGELAGDGSGRHEQPVPRNKRLK KDDDEDDAPTYVLEETNQSMTKAEYEAMVAGKDAEKTEDATNSDSEATKDPAAIQPKD NIVEVGKATKKRKVAKVIAEEKDVEAKEPIEAEKKVVKKAKKKGKPVKLSFGDEEGA CC84DRAFT_1137121 MSTLRDRDVAQKLASPKRDQDRSDSRLRPPKKMTPQTGFLQRRI QLKGGSSFSVPLGIVLLFPVLVVILILLLFAQSPDIDSVNTMPGAGTPPSIRKISDKY DKPFAIGCLEPQINAPRANAAFVVLARNQDLEGVIQSVKSIERHFNRWFHYPYVFLND GDFNETFREAVEKHASAKVEWGKISPEMWGFPDWVDAAVAKEGIQKQGDAAVMYGGEE SYHKMCRFYSGFFYKHELLQKYEWYWRLEPEIKYFCDITYDPFQHMIRNNKTYGFTIA IKELRETVPNLFRYASAYRRKHNLKSRGLWEMFVEKPEERKERVLKEKEDAKKDKNQK PLPREVLAAELDYNTLPDIEPEAMEGETYNMCHFWSNFEIARLDFFRSKEYNDFFEMI DRSGGFWNERWGDAPIHSLAAGALLGPKDLHYFRDFGYRHTTIQHCPANAPARQLPRT PYLEKTTMDEKARREEDEYWAHYDPVRENGVGCRCRCDTDIIDVEGKQGSCLAEWVEV AGGWATPPGSV CC84DRAFT_1160603 MTSDRPDAAQELEYPPIRVDRSLAQLDLNTLIMHSNAHSAQDAT SLEDSTYEVLDRPGYLSDDDGHTASVASTSPDDISVTLSETESEGEDDFADYHNGEAS QLAESTGPDPLDTEVIAAGEDSTLTERPGLGDSEGSFHFSLDERPLKGLALTEGYSVV KEFTPEQSTSKVLEPYGCTDIRLSVAIFLANRFYPERGSFRVLFVGQLDTWSEEGIKT SILNALLASPGSSKSIMVRGQVEPYSPVLHAAHCTGVEVQSKTGIPKRTIFKLESSDG ADLVVSHGRKNDTLPDLAIFCYPQTSSAPLTEAQYLDARLACRESGIPTLDITDHRRF NEEHPGFKRNTENVALRVCVEGKTDSDFRQIDWLPVDVYTFMHLEPSDLNRHLAAISP GSQDPRQTTRRREVSLWNPASLIPGFVRQGFKSLMSADAPLKLGLLLFALTGLITAAY LGVSIPQLKNSLTTPAVAQPPLTSVRTTITRYEVETPTLQISTPIISALSVKDKVDDF FAKQRDTIQLQKEKAIQDARKRADKDEHTKAAAKAREKLEKKRDELRRRETAAKTAKI GGFEIQTSGDQQFILRPSKGFTGRTRKPQLQIQVSRDAKNVPIRYERTMDGVYIVDLE DQYPIGPFNVSIATHSKPLMQQSFNIRLGHQKSRLEQWWSSLQRESVKAQDVLLGVSS NVIEQLEAACARIAEQTHGFKEKVERSENDVVKRVREATQQVDRRLHEVGDEVWLSLR QTTAPVRTSRRTLWARNNAYIWRCGFERMVGLSSRDKDGKKTRSCEAADW CC84DRAFT_1061438 VNELGLGKDMWYVPFDNITKILEIFYFTELLYLTAIALTKISIL LFYLRIFPHKGLRRAIYVTIVLCVLYIIGFVTATALQCIPVKQAWLRWDGEHHGKCTN LNAIAWLSAGVNIVLDLFVIILPMGEIKKLSMSRRRRFGVMLMFLVGGFMLRLKYMVQ FAHTENVTWDYLPVGIWSAVETHVGVIVACMPAMRSLEGSLRNRFFPRTQTSTTFSEE STRNNSRKKSYPSGKGMLSTFTRSTVGKPDKEEFMRLDGYELRGKSALDDRAATSTPS ENSVTRSFGSNEDQLPLATAPAMGGLPLSAIMVQTEYSVDRGS CC84DRAFT_1202185 MADAKPDPAAEQVQASPSATLQKDETAKVTTDITDAKPAEDKPA AAASTVTETVANAASTASTAVKDNVFSMFGGGPKKEKKDEVDDADEPSGASKPKGEDE NPENEEPDVDFQPVVHLTEKVDVKTNEELEEQTFKMRAKLFKFDRDSREWKERGTGDV RLLKHKENGKTRLVMRRDKTLKVCANHYVVPDMKLSPNVGSDRSWVWNAAADVSEGEP EAQTLAIRFANSENANAFKEAFIKAQQENEALFKASE CC84DRAFT_1137130 MPDIKRTVKLVTRQRPISEPSPMEGFPMRSWSIEIYLVDDKGND VPASCFEKAVYNLHPSFEKNKQTFKKPPFKIEEKGWGEFDMTIVLTGAHKGGDHTLAH DLNFQSEKYEAMHQVVFRNPKPDLVALLEQSGGDANGVRGKTDPSKKKVRRDKAVDME KLADGLQKLNEDDLLHVVTMIHDNKSNDTYTKNDVENGEFHVDLYTLPDSLVKSLWDF TASKTEL CC84DRAFT_1172026 MTINGSTTGEMAKRAIRIGGASGGFTDRVRAISRLASDPSIDAI VGDWLSENVMTGYGAGKEARRKLEKERGYPFTFDEKRQDAHFASTFLQCFEPAIGMLR KNGAKLVVNAGANDTEVLAEVVRRLCLEREGWQARVAWVEGDEVTDRFRDLAAQGHVF KNLCDGRTLKEWGYEPLCAQAYLGSLGISEALKQGADIVICGRVSDAAPTIGLSAWWH SWKSDDWNQLAGALIAGHLIECSAFITGGYYSGFKDLMKSKKHLNLGFPIAEVEASGQ CVIAKEPNTGGIVNTETVTSQLVYEISGPLYLNSDVVAILENVSLAQLGTDRVRVSGI TGLPPPATTRVGVTAHGGYQAEWHFYLVGLDMQEKVRWMEEQARHAIGEEIIGEGVGV GTQDGNTADLRIFAQGPRKELFDGGDPDGFARKLYETVLQSCPGVSRPNDLRQSAPKP YWEYFPTLIPQSVCKHQVHLLFTPSTPDIQMPIPIALPLDTADYGPQRSYNSENPAPL DSFGPTTLAPLGYVTLSRSGDKASDANIGLFVRRDDEWEWLRSFLTVETFRELLGKDW RGGRIDRFEMENLRVVHFLIKNHLDRGYNSGSGIDTLAKNLGEWIRCRRVQVPDRFLE RGRI CC84DRAFT_1160607 MAYFKDRVILLTGAASGIGLATAHLLASRGAKLSLADANEAGLA NAANEIKQQCPGVALRTSVIDVRNEAQIQMWVEGAKEAWGRVDGAANVAGVIGKSIGV NTVADQDVSEWDFIMDINLKGVMLCMKHQLRHLSDHGAIVNASSIAGLQGRPRNAAYA ASKHGVIGLTRSAAKEFGERGIRVNAVCPGMIDTPMSQAARIPVKDTKDEALSEASRV ALGRKGKAEEVAELIVYLLSDGASFITGNAVSVDGGWQC CC84DRAFT_1255500 MASFFGTITNLFASINPFDTRISTPASRLFARAAPSTLVLLIGL DESGKSTLLREYLSPRPESVHTLITERHIILEELQAGPTTFQAYDIGGCRPDFFWWFE EGLFKRADAVIYLVDAADRDRIMEAREELIMHGLQANNGGMRRGVPLLVLVTKTELEN ARRPDQIETYFIDNIITSIGDRPTKVAGVNLTTGKGVLDALSWISNTLLNGPQSIVES EKAALTEKSEILRDSRRIT CC84DRAFT_1172028 MATNQAASQDASPMYILNNYPHDKYHPLSVAGSGALAMVYFSVS NQDYDALGKTKSTNTFDELRTKLVAVKVYATMPSNCAELEALQTIQESAGKDSHRLLA SLVDYGTKWVATKAITPSLSVYELQNPTPEALILHTLLEMMRVCHVLYDGFETPMIHG DLHPGNMLIDAGSQTEFGLPGIVVIDFDQTKSAGGFEEAWEHFCMWARLLLSMLNRTG YGTPIEGWDQINDYLFSSASQASFEGMCGAIQSQLAKSLASLIPESVHLIGQSIRVAA AKKEANLRLVFQQAGLLG CC84DRAFT_1073476 HTPLDQSKPSIRLLGVHADLSFADSIQCQIWHDDIDADYTCLSY VWGSEDDQSAMFVNGKPFLCRKNLRDFLSVARTRYASATPAFWIDAICID CC84DRAFT_1160609 MSTAVPNIYNNKTFRPASNSDNGEVDDTTRFHYHQDGSIVWAEY SGGSIAKGSLIATVKEDGSLDMRYHHVNTQGELMTGRCQSIPETLKDGRLRLKETWQW TSGDESSGESVLEEVRE CC84DRAFT_1082351 MASIYEQPILSGRTFRLLFIHPAQHYEQQLQCSCLPFAIDDAPS FEALSYVWGHPTPAVEVLCNGKPFSIQPELANALSQLRLQQHARIVWADAICIDQNNV EERNNQVSLMRSIYPSATRVIVWLGPADPEHTETALGFIEIIGAACMIQQNARNVMSS DEETSGLFDLIVKSFTPAIVAGMEELFKRPWFSRIWCVQEICLARDALVLCGEYEVSW ESFGLAVRWMIESTLPPVAIEGLDALLESVHLGPAGIMCDTTADNLLDILHSCCEFKS TDAKDRVYGLLSLVDPRAEVEGIDIDYNKSVGAVFADTVVANIKAHSKLSAFAFVSHP PGYDGTAGYRSWAPRRDNYEPAFVFGYPEELSRWSASGHALVSLADETDPTPEALHLK GVLYDKVLETIAAMDIETGAQTKDIHPFLEMYNKICDGHYAQDCKELLARTLTAGTYY DEYLENLDAATRDKYLPAFERVMHRLAQLDCDGTEGRFGHDDDSKTFELGAYKHVRQR RMFWTSEGSLGLGPQCMRVDDIVVILYGGNMPYVLRPRGDRFLFMGPAYIDNIMHGEV IDDLRAGMMQEQTFCLI CC84DRAFT_1137139 MAFITALPLRLSRRPARVLLGGLLFVFFILTLTRRRTAPAPAPP SVHYKANTKSFFPPLKHKAGLDPDFCENFPTKQLDDIQVVLKTGAADGPKLKAHLATI TSCIPNLLIVSDHEQKIGQYNVIDVLAELPLSYAEGNNDFKTYTNNKKAQAEGDTVNY SQAGWRLDRFKFLPMVDKAYAVNPKAKWYVFLESDVYFFWDTLFRLLDQFDPTEPHYL GAPSPGSDDRWFAYGGAGFVTSQGLMKKLYVPKTEAGATASPETKLAVKYEKMVKEDC CGDAVLAYAIQNTTGVKMESLYPTFAGEELKDVSIDKDRWCVPLLSLHRVAPENMESL WKWERTRTYNQKPFVYSSLLAYTHSFLREGASKDWWDNLSVAPVPNDRPAHKNPGSCG SECEKDRNCLQWSHSQTVCRWANYIKLGNAVDSENGGQGMMSSGWELKKMAELGFKVD EESDINDTCEEATWVKATIR CC84DRAFT_1137143 MNTANKVFIIGPGFIGWNVLELLVGEGYTVTGLVRRDKHAEQIR TSGALAVKGDLNDHGLIVKHVLEHDIIIHTATADHLPSVEAVLDGIKQRAAASKSTIF IHTSGTSVLDDDAFGAYKSDKIYYDNDPESINKLPDTAPHRQIDLAIVRASKELGDKA KLAIMIPPEIYGFNPQHRRLTIQVPTVARFALKHGFAGHVGKGLSVESQIHVLDLARA YIVLLHHMEESPASVLLQNPYFFCENGKEFSWREVAEQVGKSLKDRGLLENAEPKEYS EEDWEELFGEYTGAVIGLNSRSRAVRLRELGWEAKEKGIWESWVEDELPELLKEEGLG KASRYAGTVAS CC84DRAFT_1160612 MRSTASLAILLAGVAACREVPSNLKSFYESHKDVDCANPISIEY SSGQGDADTVYCKDDASGAVFLKDTSNGYADADIDCDGAGMGTGDCGDDPSGQSMTAF KDLVQEYSGGAIDDLNTHIHNFVVLGNDNSADEGDGGASFDPTNDADIQPLSVVAVVC GEKLVYGVWGDVNGGKVTGETSLSLAKQCFPDEGLSGNAGHGDHDVLYLAFPGEEAIA KDVNWGASSAKEFEASLATIGDALVKKVAAGQAKSRVMRAVL CC84DRAFT_1081973 ELSESSTVLSQRAVYADMFGFKHTLGNFDHNELNSQKSRFFSRL IHIKGSQNLPHLFPHVEKRVIESLDELLAGAKPAARGVTLPIAETVRTMASRAMCVMF FGETLSRDTVFANALLRHPKEMISCMAAFQITPTFMSPYAQTSSRMTYADCFLTKGGR SQNLILEKLADIMGAGRDAWDEKPEMKKLTLAWNMAELTADNKYWQGPEHLAQSLLGI WFAAAHQPWMFLDFIILILAVRPDLQEAIRQEVRDSGPLDYSVIHRLPLLDSLIKEVV RLHPLDTMAVRRKAMRDFTFTTGNRQFCPAGSTVCVSAYDQMHDEKFYPHPDDFLPSR FVNTEDPLRGAQFVEVSEKYPIWGYGSLACPGRVHASTVIKIVILQILQRFDISLENE QERRMWSWETFTMPYESTRFVLKEKFC CC84DRAFT_1202195 MALRTPEKVHDRLSSDDLEKDDQDPEHLTSWRLGVVITSLCLGA VLYGLDMNIIGVAVPAITSEFHSLDDIAWYSAVYLLTITAFQPFFGNIYKYFPAKSVY VVSIIFFEIGSLVCATASSSPVLIFGRALLGCGAAGLLQGALGIVSFIVELEKVPMYQ GIIAAAAAISATAGPVIGGALTDHANWRWCFWINIPIGLTVAVGVFSCCHLCNDTNKG NLQLPLREKLRHLDLISTVIFLGAIVSLLLALQWGGRTMAWNSAKSIGLFICFGTLTI IFIIAQWKLGEYATIPFRIVRIRSVYMGAMVLFFLGVASISLAFYLPLYFQAIQGVSA THSGINMLAYVAPTIVSIGITGALVSKLGHYVPFMVVGAAIGSIAAGFMTRFDADTPT LRWAAIVVVHRIGLGMAQQLPYTALQAVLETADTLTGNAIAIFFWQLGGAVAVSVGQT LLLNNLRVNIPKSISGVAPQDVIDAGAGGIAAIAQSPLALRKLREAYAESLKGTFVLA LVGSCLALPFAAGMQWLNIKKVAEDRRNRAEVQKMNARNISDIEVKLRGFQRPVSSV CC84DRAFT_1255508 MASTIPNERRLRQITVATLLPAFPLLIASGAMVGKENYNNYNWN WNQHLYPTVIYFGLLPTFLSAITSGIALQAKHDPLAKRAPWVTGLWVLNDLVLALGNL AILIPIWISEPAAMGGHGDWMMLETYATVFLMSNMFIHTYLALYPFKSLFNFECQKKC PHCHGRLGGSHVETVATKGEGYSLLRAENYLDEHEAEASTGPIRLSTDSEA CC84DRAFT_1160617 MAPNSYGVPNPFPLRAQAERENARTRAQYASVSGPPLNPMGVSM PYVPSPNPYPHPPVAPQPRRVHTGRLANRFAGRATHQDPFTHPPPRNNPGGSPVFPFA PPRQANPDGSPAYLFSPPVQNMYPRPQAYPYTQYPGPPMAPEQNFTYAPPTMMGSQRM ESGAQGIRGSARASHFPSMSSLHDALPHPAPLRQPTPAPPHGPSPRPEYLIVGLFALR LTQHLIMRLDPYAGSDAALRWLEAFLRSYDASFDLAKLKDPRSIEDYVRMYPGIVRSM IKQPKYRRVFMDMDPDKKIEGRDLSDGEYATQKR CC84DRAFT_1160618 MARGSVPQATLSSEINTATRSLHTELNKLITARVPFALPPLASD PKLYTTGLLHFAHIFLTFESLWNDLLPTNPPGAAASPPTSPLLSFLLVNPYAEPELFT SPPSPQTVAFLQRLRPKGLARSARIKKDLQYLSGLHPTDFDVMLAQYPGDKVADFCAH IRKSVGPKPHVLIAYAWCFYMAVFSGGRWIRGELGKAGPEFWSSGADAGTQDADAPLT EKGLSLWSFPGIHDGEDIKGEFKDRLLGAEALFTPDERVDVIEEAKTIFKLCAGLVHE LDGALGTDLEQLKRMKAAPPHGNQMHGKPDKSEAVTSKESHQALRTWFQRPEVTSAAV ALGCLACAGVLWLSY CC84DRAFT_1255511 MSARGFNTKTPTIKRILKEASELSAHPSPDFAAAPIDSNLFEWH FTLRGPPAPSPYAAGIYHGRIVLPSTYPLKPPNFRFLTPTGRFEVNREICLSISGHHE ETWQPAWGIRTALVAIRSFMDSEAKGQVGGLECDRGVRERMASESGSWRCPGCAKSNA EILRENEEACAQTEGGSEEPVPEELRLAYREELGGESTEGASQDKATDGMPQAAPAPS ASSSSQTSRPPQAPAPAQAPQPTRTIPAAAPALPARHAAVPPLLQHQTPASTQWLDTA IYGVVAALLFMVLKKFAS CC84DRAFT_1083799 MPEASTGAGLLENWKCLLACTLVSMSPFQYGIDFGAIGGLQAMP GFLEVFGHKDPSTPLGYNISPGRQQLISSLMTLGAFISSSGAGFFATWLGRRQCLWLA SILCCASNVIMMATTNLSGLYAGRFLIGLANGWYMTFAQLYIQESAPARYRGLMISVF QSWTSIGTLIGTVVDNATHALPGKTSYLIPLGIIYIVPVFMSIGLFFIPESPRWLVLV ERNEEARKSLLWMRPNQGAVGEELEEIHAAILAEKEVASSASFIDIIKNPVDRRRTLL AVASVSIQAASGAMFMIAYGTYFFEMAHVGNAFQNSCILTAVGVLVIIINSCVISKIG RRRVFLMIGMTVCGISQFIVAAVYHVQPGTVRTGKVIVAMSVIYICGYNGMVSTYAWL AGGEIPSQRLRSYTFGLAAAVGFAGAWLATFTAPYFINPDALNWGPEYGWIWGPSCLI TVVWIYFFLPEIKNRTLEEVDEMFEARLPARKFRKYVCVGRHVSDEKVISERDGVVEE RKSTVETVWTDRKAVAEATVHIE CC84DRAFT_1137167 MAIEQLFDLPLHHLQYGISKFGAANIAFAVLVTAALGVFVDYAW MLYLRSRMPPGPLPWPIIGNTFQLPDNKPWIYFEELSKKYNSPIITYWIGRNPTVWIN DAWTASELLDKRAGIYCSRPRMLVFAELGSGQNNLVNMITTTPEQRDRWRVQRKVTHQ GVGVQKVRDYRNFQNDESKVVAYDMLKTPEQYVAHFERYATSVVSIIGFGRRVSDHTD PIISEVIAVMHRAADLNVPGKTFPMLLETFPLLAKVPNAPWKHGLGKRGKSHRGHDFF YSLAHEANQNPGHDECYSKFLFKEAPKYNLKPQEISALAGNLFGAGSDTSSSTLITAV LAMRAFPETLIPAWEELDRVVGPDRSPSFDDEAHLPYMRAFVKEVFRWRSVAIIGGQP HAPVKDDYYNGYLIPKLTWVQGNVWAIHHNEREFPEPDRFNPRRYLKDDPAYRPFPGD KGYMTFGWGRRVCSGQALAEQGTWLTVARLVWGFKIEPALDKTGNPIPVDIFDYTNGL NMRPQPFKVNISPRSDRIRQAIVREGEEALANLAQYEGETKYRMSTFYSNPIES CC84DRAFT_1110906 MYALIALLGASSVLAVPAPAKRADIKCPIVFDGRVPTAWAADPT QFDTYATNTIFNPDYVKGNDLKWSGILKFPNVTKSRFDTAEHTPLEVTISDQSIFQQQ KGFRRAGLQFLKDAADGPGQTGVKTLHFSVKQDPSRPLNLTHEYLNVWHEKADYSADQ IQFQTGTLIGKSGADKNKFQILDQNNKQLYSTATDKSQWQNFAIKLDYTKNQVTIYYS AGDAALKSVAGPTSASLSGGGQFQIGILKKPTGTSDVVNGGYQESNLNEGQIYGGIFL EDSANNCVSL CC84DRAFT_1234964 MAVPVTNGAKPQTGIRVIIVGAGFGGLTAAIECVRQGHSPIIYE SFPSLKILGDIISFGPNAGRIFARWENGDIARAMRKISIDLQAYGFNIHKWDTGEVVI NQKSPPRDETAPVFNGHRGELHEIVFNYAKKLGVEIVLDSRVENYWETEDAAGIILGD GTRVEGDVVVGSDGVRSKARTLVLGYEDKPKSSGYAVWRSWFSNEDMLADPETRQFCE NGDTFNGWIGPDVHFLFSTLKGGSDCCWVLTHKDEHDIDESWSFPGKLSEVKDVLRDW DPMCTKIISKTPEEKLVDWKLVYRDPLPTWVSGYGSAPGHGRICLLGDSAHPFLPTSA QGATQALEDGVTLAVLLRKAGKSNIKGGLRAYQDVRYERVRKVQKTGETTRDMWHNTD WEKVKKDPQSIAFPREDWIFSHDAEKHAEEVGDEMIAKASTSVEQQA CC84DRAFT_1234967 MSILTHPEWNQETGGLVVAKAFREQIEGKIVLVTGVSPESIGSS TAASIASQSPSLLILASRTQSKLDDVTKSIKDAYTNINVQTIALDLMSQDSIRRAASE IAQLTPRIDIIINNAGFMTPKLQFTVEGIEAQFGANHIGHFLLTNLLMPQLLTAAKSN APGVTRVVNLTSLGHRLSPVRFHDYNFEGKDIPPEEQHQPLSPMFAKGSVGAYNGYVS YGQAKTANILFSIELNKLLNDKGIVSYAVHPGSIWTGLSRDLDADGEAAIRATSPFWK NHDQGASTTLVAALDPTLREPKGILLHDCQIFDAAPYATDEQNAKKLWTLSEKLVKSE FKL CC84DRAFT_1083508 MSDEEYLSDEEWKDDAPTFLSLKEAQQKSQDLVKSIFGHQTFLR GILERHEAEIRRLWDKKPFEKKRAILLGAFKDIPAISRPDLELWLKREAWLEKTIDPS QAYMWPQLNSHDLVKQNMFLVFLNSRGRHFPHEFVDADLETVQFGQLAGAIAPVSVSG YAMTLHGATNSETYGRLVPCAESEGLKQAIVGLAGVDSGYGLKVLEIQDRILKFLKDC CKIILQHVKDPLSADVESEPVTLKAMANEYASLHSTASEMPYCVPAQVNFDQVLGYIC AEKDQKEEMIMTLREDPEAFADALKENGDHQYEFQVNSWGEQKVVPLEKKGDHSKFWN NVILRTLDGAYSKFAMFSQLLQWTKKLRDEAQHHSDLQWPMVPVNTDLSIAYQSVRYI LGKIESGMGPALAMSIAVSPTLRDTIEVTYEEDPEGRKTANVIKNSSNPKNRATQLFV ELLRLLFAKDEVRIRTLHVILNDLVQLTGKDDNFKELVSPRVGADLSTLLVIAECQRQ LYHFRPWTWMAEREVTTDDHTRAAYDAAFIHDWTMNAETCNNLYVLGTPTYNRFNCPP DRRHTAFNVDTLRTAERQLDDFWAFVDQHVLKQQGKTYQDLIADYLSIKRSIRRTPAW TEPTDSSRSTELVPYEYIPILTTKHEQENQITGAFDRSLDFTPRSKEKRRPTADRRDE PDVGLEEVPEPANPTEFITIDRRTHQIVESLFFSPLNEKPPGEVPWSEFLRAMSKIGF AVEKLGGSAWHFTPDKHRTGMDEPINFHDPHKSRLPATWARKIGHRLTTKYGWTAETF VVE CC84DRAFT_1172047 MRDHIGCRRETRLGFADAPRAIRLPTLLRDIRLDSINFVDLSSL TGSTSFDLFSLSLRILSYNLRKMQMHAVADRMLFWPDDDGVTPFWLNLELLTVLFQFC SPKGDWYFDAPVADSGDEDEDRTSYTTKEKIRLQIRFNKIKAALTDPKNAKTSWHPDL NAQDEKWDTEGRHEDFITRWEGQLRTQYCLAKRKDTEISQRIPVEVTYRAY CC84DRAFT_1234978 MGIFKQPLLATLFLLSLAVQGAFVDERFLSTLRSPRPFHALASQ QRNRTCIAPSHGDPSLDDASGILSAIQDCNNGGHVVFAEKLTYTIASPLNLTGLNALD LDIQGTLSFTDNITYWEANSFDLEYQNATSFFALGGKDVHVYGTGEIEGNGQAWWDAY PGNKKLKRPVLFAVVGLEGGSVSQIKLRNAPFWHNIVMESKYIAYSGLDLFSTSNNGN FEKNTDGWDIYRSDNIVIENSTITNGDDCVSFKPNSTNILVQNLRCNGTHGISVGSLG QYPERVDYVENILVRNITMENSSEGARIKVWPDSYSEKSESLTGGGGRGLVRNVTYDG MRLNNVDYGLTITQCYGQDDEEECFKHPSKLNITDVTFRNIRGRTNRVFSPIVGHLVC SSPYTCSGILAENVDIRTINGSNLVTCRNLDTKSLGGLNCTEWSKGYNPA CC84DRAFT_1172050 MAPVGEDSVIQATSLDRSFIDGSGDPERKHPETHVTGPPAYLLS EGKATLQESYLGSDRDDFPTEEQMRTLRRVPQKIPWKIFTIAFVELCERMSYYGTIVV YSNFINKGRVDKEGNPTATSTGAAINPSSDEAQPGALGLGKQIAFSLTTFNSFWVYVV PLFGAWVADKYLGRYKTIFYSVLIAEIGHTILVVSAAPSILDKPHTSLGVFIVGLLIT GFGTGTFKPNISPLIMEQMPDDPLRVEEQKGELVIVDPAVTATRIYNWFYWFINWGAL AGQLGMVFAERYVGFYCAFLIPLIFFLLSVPILLFCKKWYKLTPPSGSVLGPAVKLLF KALGHGFSLNPAKTIKNWKSGEGWDALKPSALGANKPKWYNFDDAWVDEVRRGWGACG VFMWVPIYWLAYRQMDNNLTAMCATMALHGTPNDLLQNMDPITLIVLVPIFDLFIYPF LRKHKINFSPIKKITAGFIFGGFAMLWATVLQHYVYKTSGYYETGDPDYKSPINVWAV TGVYVLVAISEIFASVTTLEYAYTKAPKNMKSLVMGVQCFTTAFSAALAQAFTPLTND PHLVWNYGSVTIISFVVGILFYIVYYKTDQADQELNMLPTGHFGNPDQAVDVERRMST AAERRASAVDEKKISSEPVPEKI CC84DRAFT_1172051 MARIARRVSSITCRGRGASRGRVWPACAGRIPGIWSNTAMQQNL GSPVSPDCGPALTASRAEGRGTWSSRADVREALVKAGVSVPPLDVCVCSEQRILHPPL SPASRTSGQRRVHHRRCERRDGQRPGLRGPCYGPPHIAIGATYGCSWHLPKARVLVMP LPLIRRVYRDCAALVHSTDVWMQRQGPRCILQCPVNAALRGPRAVVPTLAGSRGWDGG ARVGVPEAASLRDDKRETEPSICGSGWACFWAAGDLLLILHCNRYQRRWIGSRAGCLE CGSARWSLLCHSTGGRNDRKKAPPSIRPRRRANRAIARLFRGTSQTIAPVQWAMGQSV ATPSPRERRPYQLHIAGLARGQTKPGQANGAAVRASLVLTPTTIE CC84DRAFT_1212908 MTSSGARGNAHLDTLPASLLLEIFSHRTPRASMQTPATSDGREK HNTSHLDTSLRNVRAVDGDFFHVRRNAKDMSNWNVESMVMDGSLYGWDSYRHHGETFH EYLRLRDLDRRLGLSEVWLVDQDEDDDEEEDAVDPLDEEAWKQQLQDEGSNYERATVL CLCPNIEEMLSGSNFNDNRREYPEDEDSVAIRPIVSAGKGEGFGRAHRFEHLRYLSID VQ CC84DRAFT_1172052 MKSQLETANSELAKQIPAQPIAIAAADPVKVEQSQSRIVICVHG SKHDRKCDSGEPWRACSDRVLDSQRTKCVHFETVTCVRLNMEGCTRTRWSGWDKCSLC RRSESRSLRRWSGT CC84DRAFT_1202203 MHFLLLFKLACLSSFSVSVFAQRGRVGSSDGSKADQSRVIGLTR PSPTDGRNPLETQGWISPEYKWFYEYPLPLPPTKSKKQTWTGDDGAEIDYYEVELKSF SKQIYPDLPATPMVGYDGMAPGPTLVMQQNREAVVRFINNGPSNMSVHVHGQYNRSPF DGWASDMTSPGQYKDYYYPNAQNARTIWYHDHTEFASGEHAYRGEEGYYILTDPEEQA LGLPSGQYDVTLAIAAKIYRSDGSLYYDSNHDAGLWGDVILVNEQPWPYFNVEARPYR FRLLNGAVSRTFDLTFNTDDGEMLPFDVIGSDCGLFNSPVNSTFIALSMGERYELVID FSNYRNQNITLLNGRGIGDNVDYPATNRIMRFIVGEGSGDSGGGVPQTLRNNEQVAPA ASNAKDFTFGRGQGGSWEINGVGFRDVENRILTKPERGTYETWELSNGAGGGTHPVHI HLVDFKIISRTGGRNEVADYEGAGWKDVVWLAAGESVQVIARYAPWDGIYMFHCHNLV HEDHDMLVAFSVPNLEKWGYTNSTLFIDPNQPEFKGKDFNSDDFTEEAINSKIAWLYS TNPYNKGNIAGVYSALDAYSQGQYWTQAPDGDHAQPTGGDQSADSTPTGSPSAWTTLV SSATQVPESSGLSGYGL CC84DRAFT_437791 MLGTISILCLSSPLLSWTPPDSNNPGTRQVCQGSYTRVLQIKPL TTYKAGCQNSAKTNHGTTTQQSPSQLQLAPLNYPSQITIMELYKLQSHRHELNIGVQA PGLRSVRHTVFARQSRHR CC84DRAFT_1137177 MTTGLLYGSPYSRASRQNEEDLVSMLSPVETTTPEQSYPINHRK PSSEDGMSQRTPSWLVSPPEPQDLGLYEQQRVSSPTPNMGFSVFGDRARSQSPYQEQV AWANSTQFRSAPAEPKPQMVQTTFLTTDEEAPPQSHRYFRHPRHMLEPWMAGVWIRFP WWGAGALFLVVLLTGASAGILLASHGTTVDDWKVGHDNAQPHVYISVFEMAMNFLILF ALVEGVVLRFWRQLLHGTTLDSIHDTYESMYLWSAIKRIVRLNFNLVAVACIVASVSF SRGPLFHRALTIVDNSIPVTGYRTLPIYHISSLLVALGVVFSLIGVVAVVPLYYGFWE MGRKVSLNPLEIARAFGAPFMEGLDGNTTPDMISVERGGMGVKYGALDRYGEQKKLRV EESGKVTVRMPWQGEIFE CC84DRAFT_1202205 MVLKSRWSFDIPQVSLPTYLFDSPTADLPKTPALISAKDPEKYY LSIHTYRLYGQRLAAGLLRAGLKPGERVLLFSGNTLFFPTVMIGIIMAGGIYTGANPT YVARELAYQLKDSGAKYLLCAEGSIDTGIAAAQEAGLPASSVFVFDDGVATFEGRTVE KHAPALGGTIRHWTTLLASEAEGRAYAWPDLRTPAELDTVIALNYSSGTTGLAKGVMI THKNYVSNSAQQMWMSMISADYEERRARVRHLCFLPMYHALSQSVFCVNAPKMRLPVY VMPKFDFVEMLQYIQAYRITDLVLVPPVIVAMAKHPAVKKFDLSSVEKVGSGAAPLGR EIAEEFEKLWADRSVNVKQGWGMTELTCAATTSDPNKISRDGAVGELLPNCEAKIVLD DEGKVEAPQGERGEVWVRAPNVMKGYWRKPEATAETITADGWLKTGDIAYVDEENEFH VVDRKKVRSLAAPLVFPPNTTVGRGTADKNQELIKVKGLQVAPAELEALLLDHPAVQD VAVIGVMLSGEELPRAYIVPQTPTQATPQVAETIKAWLAERVSRAKRLDGGVVFVEAI PKNPSGKILRRELREKAQAEAAGAKAKL CC84DRAFT_1183816 MPSDLRPDVDRERDGRSLSPLLGSHKRDDEGTESSMSRSTHTFH ERDPASQAHLETRRRYTYAAIFLALSLVSFTVQTETAVYIQHELKWEKPYCMLYMTHG SWIILWPLQLAFMRLQDLSTPFSTFWRRHVQLLRQTALMVQHQTLHPSPRQSQISPVR YMLRMTAFITCALTVAGGSWYLAVNLTTASDLTAIYNCSAFFAYAFSIPLLKEKVRTN KILAVAIAILGVFVVAYGDTTPAKQGGKSGGGAGGEKAPPTHVAENRALGNMIIGVGS VLYGFYEVLYKRLACPPEGCAPHRGMVFANTFGSLIGLFTICVLWVPLPVLHYMGWET FELPHGEQAWMMAISVFANATFSGSFLILISLTSPVLSSVAALLTIFIVAIVDQLLPP PLNSPLTPAALVGGLLIIGAFFLLSWATYWEMDEERRMKLEVESDVDD CC84DRAFT_1110923 MVRMPPAPDGKIYSATYSNVPVYELQVAGHFVMRRRADDWINAT HILKVADYDKPARTRILEREVQKGVHEKVQGGYGKYQGTWIPLHDGRMLAERNGVLEK MLPIFDYIPGDRSPPPAPKHATAASSRPKAARATAAAQARANGEADECAAFVSNSQSQ IGDDPYEAPAQAPQIYRDETPDNVTVVSESMLGDQDMLSASQYSASSRKRKRGVDQMS VEDTQHQMWADALLDYFMLLDSDEGFVAPPEPPPSVNLDRAIDDKGHSAMHWAAAMGD LEVVKELIRRGARIDCASNNLETPLMRAVMFTNNHDKGTMQSMIKIFQQTVVRTDWFG STVFHHIAATTSSSNKYSCARYYLDCIINKLSETWIPDEVTRLLNAQDKNGDTAIMIA ARHGARKCVRSLLGRNVSVDIPNKKGETADDLIRELNQRRRMHGRPRQASSSPFAPPL DQRMNGHGSHLDDLVPSLSLPFPSLSTRESQRTEYRSQTASHLMTKVAPTLLEKCEEL AAAYEAELQEKEAESLDAERVVKKRQAELEATRKQVSELMGMHNGLHIDLGDDEADRQ QEDELRMLVEEAESLLEIEQMTELKRICAAAPQANPNSPIDLAEKMRLALLLHRAQLE RQELVREVVGNLSVAGMGEKQGVYKSLIAKAIGEREEDIESMLPEILKELEEEETQER AEGLEQSPV CC84DRAFT_1212914 MTVTDTLKNAVGLSGGEPQKATRDEMSAARLPLAYRDSCAHLLI PLNRCRFDEYYLPWKCEDERHSYEKCQYEEFKLRVKKMDELRAAKNGARSN CC84DRAFT_1172057 MSSATTFFDFKPKDKKGNEYPLTPLKGKVVLVVNTASKCGFTPQ FEGLEKLYKELHAAHPDFQILGFPCNQFGGQDPGSNDEIQNFCQVNYGVTFPVLGKVD VNGDKADPVFEWLKSEKPGLMGLKRVKWNFEKFLIGRDGKVIGRWASTTKPEALKADI EKALKASNYPPHHTTPLHTPAMPTAGPLAPPALAAKIPKLTPRKRASDRAPPSTPPPP TPALPAPPPDLASHSYTPPTRRILSPADHALFLASPTCDLVTGFIFGVSDSVRGRPIS SLSAADTSPVVDSIVHILDSAEEILEKNPPEDTGSRFGNPVFRTFLDGVSAALPSWHA SLGLHDEAQVAEISVYLHNAFGNKRRIDYGSGHELNFFLWLLCLNRLALLPRQTFPAL ALRILPRYLDLMRRIQGAYYLEPAGSHGVWGLDDYQFLPFLFGAAQLLHHPYITPKAI HNALVLEEERREWLYLDQVAYVNSVKNVDGLRWHSPMLDDISSARDWGKIEGGMRKMF VKEILSKLPVMQHFLFGSLIPAEEGMSHEEDFGLADEEDEDEGGELRVFSDEDGKRHV HASKGWGDCCGIRVPAAVGAEGEERKGGVQRLRRVPFD CC84DRAFT_1172058 MATTVENVSVFACPVLTTRSLRISCNPLPRSRTCHWSPRAFHGM TAKPLPCMLTSRDLWFNLASGRGVCTRQCSSSESAFDISLDFSFCNPSPAVNIAKWRE DISGPALLGQDLFSMIDFKDFKGVDATSEYSMDSAYQSQSGSSRRGGAYQSSPIQNPT SHSFMDQGISPSLASDSFVAFPESHDINQVHNAGNMDFGNGSQWFANTSSAQDFTYSP SMAQAMQPSAFAAWGSNEASNYEYASYNMNNENEFFRSQPSPQRHLARPRIETAVRPT SYFAAERTFSHASAHSHSSTGRASVASPVQSLQPQSFAEAAFESQQLGSLGYVSTIAF KVLVANRENSFDATQSNSPSEELLMEDNEELKSLEEEHHKVARSDPLYSKEPDADGLY HCPSEGESGCNHKPTTLKCNYDKYVDSHLKPFRCKVAKCESIPFSSTACLLRHEREAH GMHGHGARPNLCHYADCERSTPGQGFPRRYNLFDHMRRVHGWQGDKDVASALDGQPGA RKVRLQKRKATGTPSALRVEKRAKISKAAQQQQLRERQRTKLNVEWAMKKQSIATLLA DLNDLGDVSEAQDAQLRQEINDFFALREKYHTATKEEFAE CC84DRAFT_1083401 MPSPFHQKYSSQTHKIFGDNTTPMMPTAPKQDADRRSSDSSISS TSGSPTSERRRSSTSKFANLEALKRPQDETSVNRRSSLQDSYGKVGMFGTMWNNFTRG PSAPKSPPKMKEPRDVTTLRTE CC84DRAFT_1160632 MATDFPDPTPINLNRMLSRLEHNILIEPAPELRKSPYTRARTRA NVEHARTLLLNLEHSASSLPSKTKKSALQTNLQKKRDLIKQLNQRLYELDQLDDSESD GSVDSEEEEDNFPSYAPHRAADAGLEVNSTDGEGNEMLQNAARGLSNELRRRAGAQDA DTLATGNSLFPSKAKTTTGEAHTDAVLSDHRSEQETLETSLLDMAKQLKQQSLHFHQT LEGDKSVVDRALSGLDKNALGMEAAGQKMGTLRRMTEGKGWWDRMKLYALIFGLWVFA FLIVFVGPKIRF CC84DRAFT_1160634 MAMEMMQLGSRALNHSSSDTEAEYDRLRDLARQEAAQRSSCFDR AHQAYESGDGARAHELSEQGKRHAAQMDAYNRQARDFIFRANNSEGRVASDTIDLHGL FVEEAEDVLEERIKEARRQGQTHLHVIVGKGNHSRGHVQKIKPRVEQVCRELGLQYAT EENEGRMYVNLQGGAVGSMPPPPQAPSYGGYPGSNDHGGQQHGGQQHGGQYGGHGGQH AGGHQQQHGGQHQQQNNQNDEMEEMVKKGLPKLFRALKGCCVVM CC84DRAFT_1235008 MAPPASDATQQRSSRFTIRKLFSCQKRLTPKVLEDAEKQTPTTE GSLSAPDHQQFRAGPEYATTVSESREQTLSTGASRPGAVATKELSSRRPANARNGARL LFRRKQADALKDAKVEPRKTAMVRKKEFPPSIGTHSSGLSLGKMIRSGISDDTKRLLK DAFEDFWEFKREQERNRIREEEKQEEIARVEEVMDVVETKVVRKGSTIRHVKVMRPAV RLEKGVSLDPNQRLASMNITDGRNRAGVSSCSSIAGSQAAETGPSSTTPVISGSIMDR GPGFKRRRLSNHFGVQPCKPSEKKCDSFDGPSNSIRLDFALLMCDGNLVIAGWNTSHL PSLNMVLIIHFEHFVQLSKETVISGDVDWCA CC84DRAFT_1202211 MPASLTFLRTWLLLGSALVPWTQSAPVRRVDECPGYTASNVQNT DRGITADLTLAGAECNVYGTDLHDLKFEASYQTDSRLHVIIYDEDEQVYQVPDFVLPR PTGSVTSDESQVEITIEESPFSFTVTRKSNKEVIFTTKGSQIVFEDQYIRLRTSLPEN PYLYGLGEHTDPLRLPTSDYVRTMWNRDAGGVPQKSNLYGQHPVYFERRNDYSHGVFL LNSNGMDILINNDDDQYLEYNTVGGVIDLYFLAGPSAMDVAREYSEITGRAQMMPYWG FGFHQCRFGYKSADEVAAVIANYSAANIPLETMWTDIDYMDSHKVFTLGESFPLDKMR SLVSNLHANDQHYIVMVDPAVAYQDYDAFNKGKEADIFLKNSSGSIYQGVVWPGVTAF PDWFHEKTQDYWNNEFATFFDADRGVDIDALWIDMNEPSNFCEWPCDNPGASAKRSVE VRQDSGSKKGLPNRNLLDPKYQIHNEFGVLSNKTARTDIVHQGGWAEYDTHNLYGTMM SKTSQKAMLERRPGLRPMVITRSTYPGAGTYVGHWLGDNISAWDQYIISIRHLLQFVS IFQIPMAGADVCGFLSDTTESLCARWTTLGAFYPFYRNHNVDGAISQEAYRWDSVAAA ARKAIDLRYRLLDYIYTAMHLQSTDGTPMLAPVWMHYSNDPATLTIDAQFFFGPALLV SPVTDKDSDSVSFYLPNDIFWDFHTLKRVTPTATQTTYSNLSTSDIPVHIKCRNIIPL RVASANTTTALRKQDFELIIAPNGEDKAWGKLYLDDGVSLEQEAVSAIDFMYDGGTLR MDGTFGYHAGVGLKTVTVLGEGDAVKYELNEKLSGAWEHSLKDLRKSS CC84DRAFT_1137206 MPTLDVSELNIVIAVLGAFTILYGLGSVKIKQVWYLGEALPALL VGIILGPIAAKFINSERWGSAVQEQTEHITLGVTRVVIGVQLVMAGYQLPAKYPWHRW KDMALLLIPVMTIMWLCTTGCIKLMIPKLTTLTAMVIASLVTSTDPVLSQAIAKGPFA DKYVPRALREIISAEAGSNDGFGFPFLLLATYLIRHAPEEDVTFKPGVSRIASRAADV GRLGGGAGQAVEIWIVEGWLYFILLGAVVGAVLGIASMFAVSFTLKRKWIDTESLLLY PTALGLFTIGITGLAGLDDLLACFCAGAAMNWNGTYLRETLARHDEVNSSIDVLLNFG GFMYIGAILPWSEFNSEVTGITIGRLLTLGLLVLLLRRIPAMMVMYKAMPNTVRSWQE ALFMGYFGPIGIGAVFYVEHARHLFPKLDAAETHEEEDLLRAMGPVVYFLVLFSIVVH GLSIPALELIYRWKGVEPIVELEPSMERRRSVSEALPPNSHVDPRTHSVVRHNRFSRV VSRDELDDLEDGWSRSRPVSAHVRPGSRAVSRGRQMPQTPVWRLTGDSEDTLKEEEEK EGPRIQFLDERSVNNARLGAGDKEVGGAIKDLRQN CC84DRAFT_1137210 MAQQNRIEKGIAPNIDVRNLSYAFPDGSSGLKDVFLELPPGSRT LLIGANGAGKTTLLRLLSGKRMAPADTVHIAGVDPFKLGLEGVTYLGLEWVLNPIVRS DIDVPTLLASVGGNAYPERRDELVRILDIDLRWRLHAVSDGERRRVQLAMGLLRPWTI LLLDEITVDLDLLSRSNFLSFLKKETDTRPCTIVYATHILDNLATWPTHLVHMSLGRV KKWGSMAEMHVQSEEDKRVISGNSALGELVLAWLQEDLDERGPRNAMRTEGKTYESLD GKGGYGLEKRPGK CC84DRAFT_1082439 MANTNPVPLLINGQDIHHESTFDVVSPGTGEVCWKAVSANADHA TKAVHSAQAAFPSWSQTKPSTRSAILLKAADILEKSIEKYADCMMAEMGADRGAAQFF VTPLAIAMCRDIASRISSVCGSVPVVAKEGQSAMVWKEPYGVVLGVVAWNAPYVFGIR AAATAIATGNTTVIKSSEITPRCYYELGKAFKEAGLPDGVFNVVACKPEDAPVVVNTM IGHPAVRKVNFTGSAATGRKIARTCADHLKPILMELGGKNTAIVLEDADLEKAADECL AGGFLNAGQICMGTDRITVHTSIAPAFTQVLQQRLEALSKSSPSPPIVSSAASRKRLD NLVASALSSGARVIAGPQAQSSHPSPATFLPTILADVPPTASLYHEEAFGPLVTLSSF ATDADAIAFANSTEYGLHGAIFSRDLRRALSIAKKLEVGAVHINSMTVHDEPALPMGG VKGSGWGRFNAGEGMEEFLVRKVVTWDD CC84DRAFT_1081374 MAVTPPSKPLVAIVGATGTGKSDLAVELAKRFNGEVINGDAMQL YRGLPVITNKITTEEMRGVPHHLLGKIGLEEETWTVGKFVANALGVIDEIRSRGKLPI LVGGTHYYTQSLLFKDALAEEPKLKIEDDQSERDPILDKPTEVLLDKLREVDPVMVDR FHPNERRKIQRLLEIYLKTGKPASQIYAEQQSKKQLSKTDASDAASLRFPTLLFWMHA SKDVLTARLDSRVDKMIEKGLLSEVEMLHNFRTEYKIHTGQEIDETRGIWVSIGCKEF SDYQYALCDGSYSDAALAKLKQAAYEKTQAATRQYAKRQIRWISIKLLNALLAAGQGG NTFLIDLPDVSKWDVAAVQPSSDITEKFLLGQPLPDPATLSEAARDMLNPRRDYDLSQ RPDLWGKRECEACGKSYFNSNDWILHLKSRSHRHAVNAKKKKTNSQPNKTRDSTVVLE DMADVFESSMATFPIES CC84DRAFT_1255534 MVKLEEVVDEEFLREQEGPHDEDDWDTDSESDTSSIADLTPDET LYERIAALQDIIPAPTRRALASKYNTATSWVKSGLALGGKTLWVVSTSALLLGVPWAL AYSEEQMIVEQEKMEMANQRAQNEFMAPSAGGQEARPAL CC84DRAFT_1235030 MELEPKQQQAIADIINHNGAFAQLNNVLAAFQSRREALGLSNPG TVENIAKEVQRDVFLNNLSFSGLRAELTKAFSAAPMFQVSHSLSMGSQVQPPYAYTAI YGSPKVFLQANLDNDLQFSGRFNWRWTQALISKASVQLTSQGNMVSLENDYTGSDFSA SLKAINPSVVDGGITGMVMASYLQSITPKLALGIDAFWTRPAMAYPPELNVSYAARYK AADWMACGQVIPDRGAIDASYWRRLSERVEAGINCNLSFAGIGPGGPMGGPSKEGAVT LGAKYDFRSSSFRAQIDNSGKVSCLLEKMIAQPIRVTFSGEIDHKTNAAKLGLAISID AADEAVMEQQERAASDAGSIPF CC84DRAFT_1160639 MDTLLTAEIYANSPRFRRRSSTFVDAIHDLPQKEEMAPAQLYST ESGRLFHSGRIVIATVGLPARGKTHTSVAVARYLRWLGVKTHVFHLGDYRRATLGPGK DVPDDYFFLNASPQSVLLRNKILKKCREDIYHFLEHDKGQVAIYDAVNAISMGRKSLA KEFAKNGIQTIFIESHCTDERIIQENVRRVKISSPDYQGWTDEDAVQDYLARINSRIP HFETMEEPELHWIKMINAGERVVVNNCAFGYLSQRIVFYLLNLHIKSRQTYFARAGTT RDEDSYKIDASLSPEGRDYAKKMSDVLMKYREEEKENMIAQGARDAALKPLTIWTSTR HRTVQTAEYLASKGYRVRQRSQMSQMNPGVCEKMSEAKIRQEFPDEVAKHEQDPYHHR YPRAESYHDLAVRMEPIILELEREENDLLIIAHESVLRVLYGYLMACNAADIPKLEFP RDEIIEIIPSSYNNVAKRIKIPDLPESMVPGSPEDIKIPVPPSGAVSPFSGIGTPQAG SGTATPQLHTPQPLNLRKSHISPSD CC84DRAFT_439135 MTYGSTYIKTPENACMLERHFQRPLLGLQQYVQRRHAAWPNHLR TKPRSDNPSLQSNHAPLHPSTHALPTTNGTSSPTHHPIPLNNTQPSALASSTIPLFSP AIPSSTLLSSTPSQPPSPLPPSSRYNLAIMPTNPTARNVSLAKHAQYTTRLVGPLMSA PAARNSGTANARVCVPRRRISSVGTRVCGGGERRARTADGETRKSVPESSLRVASVRS RVRMGDEGDRVGGGILR CC84DRAFT_439256 MILRGTLSAPTSRRGEIVSRFCAGTAISSISLLYGTKPGPRLPA LWVLCGLEDIAQLGAVDAGSQANSWPRGRVLSRVDARAMAVPDCTLSVPFLPGSFSAG HCPVASSARSIVGLGYISIVPVGQQLTRFLSRICFTREKLENHTCAVFS CC84DRAFT_439224 MEGAFTHMGNHLVSDSAATINAGAGDDESILDGDLSRGITGSRR RRMDDEETDMYDDDDDMESLVSMPVDGANGKAGVKQEEEVELPAHACAYCGIHNAGSV VKCLACSKWFCSARGNTSSSHIINHLVRARHKEVQLHPLSSLGDTILECYNCGTKNVF LLGFIPAKSDTVVVLLCRQPCAAMPSQKDMNWDTSRWQPLIEDRSFLPWLVPPPTDQE QLRARHLSPQMIAKLEELWKDNASATIADLEKGAGQEEVIAKVLLRYDDAFQYQNVFG PLVKIEADYDRKLKESQSQDGLVVRWDMALNNKHTASFVLPKLELGDVKLAVGDEMRL RYTGELRPHWEGVGYVIKIPNNQSDEVTIELRTKGDHKSVPTECTHNFSADYVWKATS FDRMQHAMKTFAIDEMSVSGYIFHRLLGHEVASAPMKIQLPRKFSVPGLPELNASQIN AVKSVLQKPLSLIQGPPGTGKTVTSATIIYHLCKINGGPVLVCAPSNVAVDQLCERIH LTGKSRLPLWMPSRDVRRTSLSFPAFVPTTIKASVS CC84DRAFT_439258 MLGIAYTYVSSLIKEYGWQQNGHGRARTSLRKPCFQNCVYLAPT VLILLRFRRRCVSSAVLSGAAPTILPGTCMDKVTWRSGAGHACRKDHRRFGTELLRSG MLSLSRLYPPVCDPREALPSVGWSPVSANLLAGVKSSSRAMNVPTTSPKQRKANHSLT L CC84DRAFT_1160640 MTHISTSPPRVKHPRPVATPCHPSVVQPGVPQIELAARGTRWLS RACTARRSSGPEHLRHAVQRRGSFFTGGLLFYACRTTTRQGLSLTCVRAALCDICGGR TSSILAATTQMQPQDAARAICCVRGNYCIRYGKEDEHEGMDGGLRNVRGLRSAGPSHE SFAPTPPLHRTTRNSVSTSEYVLPLFYIPSPHPKNNARRTRTPFLPHVSASQNPASLA SLRGPGREFTPGHVTTASSTPAQPSPAHSLSPEREFTPRCQACPLDHRRPNQTVAPTR TPSRLPLRSFMLELKATSPRPVQCSIASSTQTIPIPHKLNPNLGKGPLSLPAILLRVE KANPACPASAPGRGARVRPLPLTFTFAFSSLPAGPDTSGSREGPVLVIGACGW CC84DRAFT_439270 MENSAGRTINSSRLTHPLPESAQSSDPAENGGRSFSRNQHLVSQ QYIPPCTTIFFRHSGILGSFRRNLSGYKHHIGESCIDLGTFAIQSFVARSLDTNRAGA ACCSTDRFLLYLRSNFHLLFIQRHSAAQGTQKSLSRSHDSQGRHESGIRTLFCFGWLS IDFIWSFSFALM CC84DRAFT_439269 MSLLNGDPRAHRPRLPSPQPSFARAITIPAARGSPASISSHFGR NGSLSSTPEGMVPLTSCSLPAVPSYMLPESLIQPPLSTPPTSSPSAMAEALSRGPGLI RRVSRGAQGLPNRFRRGGSAAQREKSSGPVIMRRRSDSRTAVEGAMELSDLDINYLDD EDAEVVVDEDGDPIVDALGIANARPMVSSIPSTSVSAPKRNSRVEQGTMLLKVTKKAK KNIVLRLDLDSAKVSWDPSRPWKYFYIDDVKDFRTGADAKHYREELGYDERFEPLWLT IVYSDTSRSKGRIKTMHLVAPDPFVFNMWTDTLNAVSRDRIDMMASLMGFAEKSARLV WNRQMKKRFNGKDHTQEEETMDLQGTIELCRSLHINCSEQMLQSYFVEADQDHTDSLN QEEFLHFVRRLRKRKDLKQIYKQFTPAPNSGMDQHTFFEFLQREQGVDVNANVEHWVS IFEKLASAAKPKSTPPEDGEIPLPPTMNFPAFQTFLTSDVHNPIFMPNNKTAKLERPL NEYFISSSHNTYLLGPQVKGTSSTEAYITALQKGCRCLEIDCWDGNDGKPIVTHGRTL TKSITFLDAIRVIEKYAFVETQYPLILSLEVHCSPDQQSIMVKTMIEEFSDKLVRQPL SDSHQLPSPEELKGRILIKVKGPPEELDTKVLAQELTTRKRERSFSSPWSRPVQLDNS LIPNSPLVSSPHSLSPPDRANAFWASPRTSATSTTYIATPSLISSADDSDSPHATAAE DQEKRKPKKTKTSNITEVLGRLGVYTRGIKFTDFDAVEAETPNHVISFNERVFDKLTK PGARDKQRLEEHNMSSLMRVYPSGHRIMSSNFDPLRFWRRGVQMAATNWQTYDLGQQL NEAMFAGGNDRSGYVLKPAELRYHDQTPVVGPRRAPKMQVKFTVQIISAQQLPRPKTD APISPFVQFEMYCAEDAGPNATGIGGQDVSSHKDGYSGIGQPLKKRTRTVPGNGYNPE FKDEIEMTVTTRYPDLVFVRWTVWNTIEGKPESAPLAQFTAKLNAVQQGYRHIPLFDS NGEQYLFSRLFCKIKKQDVVPASTLSAMAGHNSRRASVEPMNPPLEQPNTRSNSSNIF KRLIRAPSERKKRKEEQFNRDWKEQDWDAISRSSTLER CC84DRAFT_439352 MSFKGRRQQSNSLDANDTEENLLDTKRRRLLRQSDWAGLTRPKL LMLNFQAQRGRDEIGKRRKTSHHNALTMHEDPSRLVPLPEAGTRRQLGGGARQHHDIK VRIGSDALTNRTTVHTSPQAQASATWDEVSSEEMTSGNAALHRAAPKPGQQADPNARR FVGAPTHALHPRNSTWGVMPRNQQLHYVGGSAGSMRVGLNEDSNASPNDQSVVSSSAE DHVSANKRGEVSNYCITQQVEGTTRSLRLTFDAATEFSAMAPIENASSCNLIGESNHN HYTANAAVTESGHVQMEAGAAGNAEPRPPHDIDEGPWMTFLPVQANSSSLSVADDLSA LNRAHDRPAIHPLSNSASWSQRATQGARALADASLCVSPCLPSITQKCEKDELREHVH AGPGRSERSEKHIDGNDEFWQRLVFGSDKLDSTDGRPEATSPDKVIMDERRIVPFVAV SRSSTPFDPLHGPGFCVSDSTQAAATRAPLVTSSGSMLPIITSSTAPRSR CC84DRAFT_439347 MLSQLAQPAGGNDRVRRLSSNEASRDTLSMGPTVVVPPKHLMAP SDASEIATAMETARHHVDAPASPGTPPTPRETTVTDKYAFAFDIDGVLIRGGRPIPEA VEAMKMLNGENEYGVKVPYIFLTNGGGKTEEERCVQLSKQMEMEISPGQFICGHTPMR EMAEKYGTVLVVGGEGEKCRIVAEGYGFKDVVTPGDIIKDNQDTTPFRKLTPEEYKNS RARNFAEVKIEAIFVFADSRDWASDQQIILDLLMSKGGYLGSRSATFDEGPPVYFSHN DVVWSAGHDLTRIGMGALRVSLEAMFKAVTGKDLKTTAFGKPQIGTFQFATRLLQQWR KESHGIDSPPDTVYFVGDTPESDIRGTNEYNEQSDNSWYSILVETGVYQKGSKPRFEP KATVENVLDAVKHGIEREYKKALRASMVQTGVLSECFDL CC84DRAFT_439298 MHIVTLQSRLMCHPAFSSGCNCFVSTLIHIRFPPDSQCFSDVRS LHSVLQTAQRTSARPVILSRLRSSPVPSIGPIPTTDQIINPKPRRGGESCPSFPIVIL TTTTLSLTTPTPAAVHRCHQEHPAHTSRIRPRTLPTLSLNVPLFVPLTSPLAPAFRAA SSRYRDWMRLGGQRGWSMQLGVGEWVLSRSRSGARVGLQLHDTTLARTTSTMCGRHTH VCEGAGEPRGSAGGVTAGLECFSKHVRDERGCARVCVCISRCRVGGGLASPAC CC84DRAFT_1255541 MAQINGNKPLSAVQKLRIMLSDPAKFVVCPGVYDGYTARIALAE GAECLYMTGAGTTMSRLGMPDMGLAQMSDMLTNASMLASISPHTPLIADADTGYGSAL MVSRTVSSYIRAGVAGLHLEDQVVTKRCGHLRGKQLVDTEEFVSRIRAAVMAREQSGG DIVIIARTDALAGEGYAEALKRLRVAIAAGAEVAFLEGVTTRQEAESLTRDLAPTPCL FNNVPGGVSPDFSVKEAREIGYKIAIFPLLALEVVYPAVRNACRELLDEGSVKSVEKD GKRWGPRELFNVCGLDELMEFDRKAGGKGYVEDA CC84DRAFT_1255542 MSDPNTNPFIALHQTLSQPLSDYDSVNPTSPTLYSIPLKPTSQS PSAATLSSPHPSAQQTENAAPSQIPNKESLPGHTPRDAPQSSRPPSTDDIYTLLLKMG QVQDTMRTSIANLEAVIARALHDTTSPPQDQNNETDAANTRNTRPAVPEDATKSHLHA GITRARVASPPPPRQTVYTRGSHAPDKMTRLEAAPAQLERENAALREEVEGLKRANRR LRTEWRRNAMLQGLRWIGQDF CC84DRAFT_1137238 MASVSPRFDLSLGLEGTHVLVTGGCGLIGCVVVDAFLAAGANVS VLDLGEVIAKREHYRGEKSMYEGLATANLGFYGVDIREHESVAGAFERAEGSGFGPVE CCVALASLDLSSLPMSEGGICDADPEVWQSVFQTNISGTFLTAQRWLRGIRETLKEPG DASRLKNVGLIIMGSESGAFGVPGCPAYAAGKAAVQVGLLKSLARDVPKVYLKGRVNA VAPGAVDTVRFREECERYPQEWVYAESEATVALAKPVPPEDVARTILFLASERWSGST HGQLLHVDGGKMGPLVWQPGEAKRARN CC84DRAFT_439428 MSMDAGGLAQMTYNNFQSGGLGMPTGGFGSRGKGASLKRLSVAS PPKVASIAENSEQVATPRTSRSHLLAGLRTAPKTPTSAQPPASAPYGQRQHNVGARQG FNGNQGMPQTAVGAGFTSAPQYGQQQMMYDQVLAPPQLQFEDGEIDPNIAAQLLATEI YLAQRQQQLQQQLLNLTAQQFGNMNLGGGMRQQSFPNMAYTPYGQQTQQNALLQETGQ PGVYLAYNQLTGQYQYVVDPALQQEMAEFQAAGLSHSPPPPTPGFAHSPPKSNTPTVQ VSPPNESNPSPWASRSSSPPKKSNTPPQNFDPLPPPSANAFRRGHKKTLSTLALDAKT EAPEGPKSAFARPVGFPATPNTGTFGPGQARAGEHPTRQPRGPPSIEDLKEKPTTKHE GSKNFAARQRRQAVSNLVRAGVGRRTQRPGSAGSTSPVSETEMSFPLSDNDTDSVRSA GSGSLSGKQSIGSLRAAANGAIGSERKASKERSRDRTVDRSYTANSISSDEGISVGGG LVEIRAEEQRQRMPTLVLTSAEKRKSSMF CC84DRAFT_439433 MLHEGHVFKASSNLCLNECYCIQVVMLRDHSYLRPNECHATPKG LAMEISYSNSPLERNNASECKEHQPQAKVLVGCKANPRTEHVYPSNLVAQTGLKPSTC SVKQFACRGKEEVRRGASLAMAYRYAARLTRRRMVMVWKANATQTGNRSHPKCTNKQ CC84DRAFT_1235083 MAPETIEPTAPPLRAPSPAPTDRPLHTLPSPFTASNLEVLTQGA EALVYKSTFLTPQTPCVVKYRPPKPYRHPVLDRRLTKARLLAEARVLVRCKRDGVDVP GVLGADWEAGWLVLEWVEGRTVRAVLDGWAERGAQEEEGVLGLMGRVGRAVGRLHGIG VVHGDLTTSNLMVRQDERREEGVKQTNELEGDIVLIDFGLASHSTQNLQDEDRAVDLY VLERAFAATHPKAEPLFQEVLNAYGESFKGARAVLKRLEDVRLRGRKRSMLG CC84DRAFT_1212939 MSSRIFFIFAAAMVATAFSILAKLAPAVYAMCNFRVLRGSGIKL RGRELLVGFLSSGSKIAKVFLTLTSAPLSVNLPSIPPGRTTAAIVVTTFTFAANSKDG DEQPDIDSTSSTPVRATFLISRTESENGCKTASSKLLRKTCARVVHARVPGIVQVPTG GYNCAVM CC84DRAFT_1172083 MESNTSSTLPLPKEPKASLPLPMRTMQPRASDCAACVAGEQAEC KLETTMLELSRGRAFAPVQRQHMIQPAPVRDRERRNNSYACVADRTGTPPNIPAPVQP PTMKSQGHVRKTGGAQPPGPASPQLLAVDSQQDQDEITGAALLRHLDAIPPLGVGRSS NSSVSGEIVSTNAHDVDNPHSARAAQAAADQILGSQYVVGRMTVKTIELGGQVHTYRD IEKEFSGSRTLLVGDRRPVYEQSGARRKQGPEATEC CC84DRAFT_1160656 MGDVVSAFKRLSRPQTTPTGLPNHWVFQSRHVPLEPPGDLVVAV HPQSRYQLTAGPFQLTTTLTTKERAEKVLPHLLQVFINEVQGPDGSIVESKAPWSWST TDEALAMALKPLFSQHGFPEELQNIKVCSGDEKVIANECWDELLGRLVESVSEDARST RNQPAIQEAGSGVQLGDETKCHRCQKDRNDTASPLMKCAACKKAWYCSPPCQKAHWKQ HKTACVANRPSKDATASSVDAHKFYNTVAHQSTEAKALAATLNLELPTSAAALEGTMK PLRRLVITGKDTATNMQLLFGPQWRDSLLKSYDEVRAQVLLNPPRGSPAYVMAANLDN GAPAWSPRPPSESEQRKVDEVRRMQSAIRARVSAGKSPSNADMQAILLSFGANWMDHL PLYQLAVNTMDQGVQVR CC84DRAFT_440110 MSLSCCTRVNQDHDGRPGSHDPVALFCAMVLVCPCSGWNGVMLK MRRADWAARLKSGASRKDADFANAGLRASANVKGSGLDWIELEGPRLGNGNGDTAAAR YDAGTKDGILVIGFGVDVCRRGRAVNKAKKEKAPGATCRFSHGDYESTVAIGWRVRFR VHLSIEGMAPRQVQ CC84DRAFT_1160657 MLELHLPHPMPHAVPRERPFDFYAPSRPLAKMDATRQMQLPMPQ FNGHHGRDALRTAQRAQPLTPSSDFPGSAVRVVSLPSTEGTGQEYGYQLPAIGNSRTA TPHSPGAQRHAQQPTQSTAPQPPAQNMAQRKISANLRVPATISTPQEGLPQLAAEVTC LFWFESSTVLKQVLDGSSPSMHIQPLSPDAIPTTGFRKWVTTILTTTQVAQNVILLAL LFIYRLKLTNPTVKGKPGSEYRLLTVALMLGNKFLDDNTYTNKTWAEVSGISVQEVHV MEVEFLSNMRYSLFTSQKKWEEWHTIVGKFGTFFDRASKVPPTSAISPVNTMPPQLAR PNFVPSPPTSQQPSPPMQMLYSPSHSQFSNTPLLQPQATSTAVSPIGPLPELGPIQRK RSSDYSVEPPPKRHAHGFAPGPYSNAPLIPTLQAPVNRSFEAPPSLNRLPPLPSLSIP APQSNPPMQVKNWSDLPLSVPAPRSMAMVYPPPVQWQQPVSTPTSTAPPSMYPTHTPT TERSRQVSPYPPSAGSSPTSATYPVAGQRQLSPSYFLNQRQSPYRPVRGVHTLLVPPP STSMHNPARNIAYEQMQYQPLGRPTADRRSGPLPYMDHGAWPEVNQFNQLPIPQQPVF R CC84DRAFT_1235089 GSSAHGAAKRGNASSPPARPLRGLSSRTTASWASHEIICPRRRL PSDPPVSFRWLAARCWPEACQACWGAAASSPASASAAAALPHWPSPGLRGLSCASPAS LLTASSRRTHALLEAHHHSSVEHRPQVGRHACLPEPALLASSPRHGQALRSAQHDEHT LRVQPRLALSTQHRAGMW CC84DRAFT_440249 MEATVGMKQKSLQLSHHVRGYRFHLLLHDAPRIATACNHETAEM TLLDDHTGDIHTDSQFLGEPACGKQSNEFHLRPKEGLQAPLSDPCPVRTLFETSRGCP PLLPLQRTRMWRLSLVASSNFTWPGLVSLPSFIIKRTCPRFAVRRRSDGPRTNEDRYA TVLSIIPESPNPRPPSPV CC84DRAFT_1160659 MSPINLDRIQSWIDQGRIDTSKPITMKELNKSRCLHGVKRHGVK LLGRNADQLTSAIHIVVSRASATAIARIEALGGSVTTRFYSPTSLKRVLKGESHPIIS LQADAALIERGAAYKAPTGPPTGLIPPSVIESLKAAQADPDTPVEVRHAALRAVMAQV SKRYPYRLPDATARKDIEYYRDPAHRGYLMHTVKDGDSPSLFFKMPGEAKDRKGQAAR RAAAKASAENRLF CC84DRAFT_1255551 MPGVTKNPAKRVLGDASSSRQNTQASPRSAKKLKLDDGATSNGK APVKIANGSFNASLQQKSRFEEEVLEQMSQDIESLKQTNAERDQHWQRPPLPNNFNEM THGIIMQQIDAEEGVLNGGKGTIKLFGVTEDGHSVLFHVTNFLHYFYVAAPLNFQKND CEAFGTYLESECQKAYNQHSAVINSVQMTMRENILGFQGNQKSPYLKITVNDHKMINR VRQTVQQGNANWKGLWGNVRENGGLLTFDNTPYVQRFMVDTSIVGMSWVEAPIGKYKM ISPRDRHSNCQIEAQISYKDLIAHPAEGEYSKSAPLRILSMDIECAGRKGIFPKAEID PVIQIANVVTRFGEEKPFVRNVFCMDTVSPIAATQLFTFDDEKEMLLKWRDFVEEVDP DVIIGYNTSNFDFPYLLDRAKHLKATKFPYWTRLKTKMSEHSSSRFSSAQLGSRDTKT TNTNGRLQLDLLTLIQRDYQLRSYTLNAVCAHFLKEQKEDVHHSMITELYNGDSNSRR RLAVYCLKDAYLPQRLLDKLMCLVNYTEMARVTGVPFNFLLTRGQQVRFLSQLFRKAL EHNLLIPDIAKAGGGDDQYEGATVIEPKRGYYQMPVATLDFASLYPSIMQAHNLCYTT LLSQDKVKKLNMKKDEDYIQTPNGDLFCTAKVRKGLLSQILEELLGARKRAKKELAIE KDPFKKAVLNGRQLALKVSANSVYGLTGATNGKLPCLPIASSTTSFGRQMIEKTKAEV EAKYNIANGYSHDAEVIYGDTDSVMVKFGTADLAEAMKLGQEAADYVSGKFIKPIKLE FEKVYYPYLLINKKRYAGLYWTNPDKWDKMDTKGIETVRRDNCRLVQTVIETSLRMLL IDKDPEGAQAFVKDTIADLLQNKIDMSNLVITKALTKEENKQGEGGYQNKQAHHELAE RMKKRDAGSAPALGDRVAYVMVKGAAGAKNYEKSEDPLYVLENNLPIDVKYYLDNQLA KPLGRIFEPVVGEKKANSLLTGEHTRSISVAAPTMGGLMKFAKKTQTCMGCKKPMSSA AEKDGAVCENCRPRLGELYQKTLSKVSELEVRFARLWTQCQRCQGSLHCEVICSSRDC PIFYMRMKARKDVEDSGKELTRFDKDQALW CC84DRAFT_1160661 MAEPNITVESVSNADDFTQIFHCVSEAFGRQAKDTVWIVTSPGW DTPEGQKKGAADLVKRWQNVKTNKDGKPNTVFLKATLPDPADASKRRIAGMAIWQQAS FVDGYGDIPTDDMSELLHTLDPTEARFAAQMFRSLWRRRIAYAKEKASADPPAIFVLD MCAVDPEFQRRGIAQKLVQWGLDEAKRRGELECTTEASSMGRGAYQKLGFQPEGTEDI VYEVDEEFSSRDKPPNVFLRTGIKQ CC84DRAFT_1212946 MAERHSGRNHPGSEQTMEGDIEALEKQLEALRNQGQTEQERIRE VEDWFFERILERRIREQSRHHHIRPHDGGHERKPARRDTEEDAKPPISPRLILGEQRV LEAMNQHDIAVHQKVVTAWESKLELLGTDKVDSQKLADNDMLVMFLEAELETILEHKT AQKKEIDRHLQKVKATEDWLMEKGVDMKKRKYPESFRREVSRAPQTDGV CC84DRAFT_1235104 MYFTKTSVVLSFGLMASQVAGHAAVIKAVGDAGGQGSAIGVDPN TPRDGTGRNPFQQDSTRFRGNAADACGETLGGGDNNVETGTAQVMQLNGGTLPQVSAG GMVMMTLHQVNGDGAGPYTAMIDATGTGTQWTPITVATNVDGNARGRNNANAKTDLPL NVAIPANQTCTGTVAGQSNVCMVRVQNPARAGPFGGCIPVQMAGAAAAAPAAAAPAAA APAAAAPAAAAPAAAAPAAAAPVAAGTAAGAAGAVAPVAGTAGTAAAAAPVAGTAGTG MF CC84DRAFT_1137260 MSELANEKATCSTSVETGPAHITEKTHQWDPNLKQNKIDELHAA TVDGDPEAIKKVEKDFLEDSPYEEVRAAVRNTDGGEPANTVRAWVLGMLFVTVASGIN MFLSMRSPAINFPNIVVLLVVYPFGVLWARVMPTKQFNTFGIKWTLNTGPFNIKEHAV ITIMAGISINYAYSTDALLALLAKPLYNLPMSWGFQLLFTLSSQVIGIALAGLFRRFL VWPAAIIWPNQFSNAALLHAFHAPKAVEEDANGWRISRMRYFLYVMGGMFVYYWFPGV IWQGLQVFAFITWIKPDNVVVNQLFGGYTGLSLIPITFDWTYVSSYLQDPLLAPAHAH INTLIGLVVFVIITTLGISFTNSWYGDYLPINTSTTFDNTQAAYNVTKILGPNFTFDL AKYKEYSPMFLAPTLALNYGLSFAALTASIVHAIVFHRKEIWYRFKAARNQEPDIHMK LMMKYAPCPDWWYGILFAVSVAFGLATILAYDSQIPWWAYFVSLIVALIFIIPTCMIY GITNIMLSLNIISPFLAGYMIPGKPIGVMIFKVYSTITLGQAQLFSADLKLAHYMKIP PKTAFTAQLVATIWASFVQIAVMNWTLGNIPDICTRLQESNFTCPNGRAFFSSSIVWG VIGPDRMFGPGSTYSSIHYYWLIGAALPIIFFFLMRVAPKSPLRYLNAPVMLGAMGWL PPATPLSFSSWALWGLIFNYWIMRRFHGWWHKYNYITAAGLDAGLIISTIVIFFAITF KEYSIPWWGNEKPFETTDYLNTAIRKPVADGETFGPKTW CC84DRAFT_440465 MDNANSSLTPNPPFDAREASIDAVHHAIYSGLSTCREVVSAFLA RIEAYNHRTNAIITLNPKALEIADSMDESLAAGNATGSLFCVPILLKDNYDTADMPTT GGALALKDSQPTEDAPSVAALKREGAIILGKANLHELALEGISVSSLGGQTINPYDST RTPGGSSGGTGAAVASSFCVFGTGTDTVNSLRSPASANSLFSMRPTRGLITRTGIIPI STTHDVIGPIARTIKDAAVALTAMCKAGYDSTDNATALVPPALRNIDYTSELSSASLS GLRLGVLNGFFNRTDASDPEVAPVNAAMDAWLARLTAAGATLVPINESLYNATAIQTS YDVQRFEYRELMDEYLQRPSLGGSQPKTLNELYDLRASKDSKGEFVVLPSQYEYVNTA LVSSTSNATYLERRIGIDNLTLALQKTFAANALDAIIYPEQKNLVVKIGSPSQSGRNG ILAALTGSPVVTVPIGFSNATAEAPIGVPIGMEILGRPFDEQKLLGIGYAMEKMGKVR RAPTWAREVVEVPKYSEVPVVTPDGGNVPSAYPLGTL CC84DRAFT_1183844 MADIHVSQAVAQDDLVIPLIDFSAFLSGTPAQKHQTAQAILNGF QTAGFIYLSNHPIPASTISSVFANSAKFFDRPQAQKDALSWYSPEANRGYSGYGREKV SLPDEDKGEVEKLRAVPDLKESLEIGREGEEGMPNMWPPVDGDDDAKTFKEVMLGFHD TCKDLHMQLMRAIALGMGIDESWFDGFTDGGDNTLRLLHYPGVSKKVFQRADGQLQVR AGEHSDYGSLTLLFQDERGGLQVRSPKGTFVNATPIPGTIVINAGDLLARWSNDTIKS TKHRVVEPPPKADSSDAETYPPRYSVAYFCNPNFERVIEALPGTYEESGKKYEGIRSG EYLVKRLTATY CC84DRAFT_1160664 MGLLSQAVLLCTTLAGLASTARIPRDGPSYTNVTYPGQKGDDYD YTGFEQNQTKRADAVIEMFRFAWNGYYSIAFPNDDLLPVTSNYSNSRNGWGVTAVDGL DTAIIMEQTDIVNQILDFIPTIDFTKVDTATRRGASLSVSLFETNIRYVGGLLAAYDL LKGPFSHLDVDADKVDALLSQCVTLADTLKFAFNTTSGIPVNNIFINNGTFAPRYRMA DGTWSAGLAELGSLVIEWQRLSDLSGNPEYGDLAQKAMSYFLKPSTEVWPGLTGGNFS VETGEILDAYGGWTSGNDSAYEYLIKMYVYDPDTYALYGERFAAAADSTIAHLLSSPS TRPDLTMAGSFTGRAAQNYSEELACFIGGSFILGSTAMDRPDWLKYGLDFAEFCANGY RYAPVGIGPTLYSWNLTELAYPQFQNQSDFYQKSGWFVDDNNALLNGQAPEAVESWYY AYQVTGNQYWRDVAWAYTLAENKTERVGKGFSGVLNIFKEDGGGWDNIMHSFTLAEVL KYQYLIQAPEERKGIWDVEYAKDGVGKGGNVNYFIYNTEAHPVRVVAKTPI CC84DRAFT_1081819 MRLRCFPALLGAVAALQPLPPVQWSQSNSSTSGGFSLQDAPKTI YLQRSFANVSDTEGLTLIPPTAYEFAQTFSKDLSQLFGTNWTVQQVESFPGSGIFLGR FKGDTSQLTYENGVATEEGYELEVSNGSVFIGGTGSRGLFWGTRTLLQELLIANGAPL ESGRVVEAPAYATRGYMLDAGRKWYTAEFLKDLCTYASFFKMSEFHYHSSDNYPLNRG HNETWYEVFSHFSLYPENPELQGIVQRPNETLSRAEFEDFQKHCAQRGVTVIPEIEAP GHCLSITKWKPELALTKKDLLNLSHPEAIPTVKAIWSEFLPWFQTKEVHIGADEYDAT LADVYINFVNEMSDFVNSTSGKRVRIWGTNEPSENYTISKDVIIQHWQYGQSDPVQLQ DDGYQLINSEDWWAYMSLKNDHMPILPAPYPQFFNNTRTLNFANVEGWQWDPSLFNPV NTTEQLEPGASGNKGAILAAWSDSGPDATTQLEGYYAMRNGIPLVAARAWSGSRGANV STSDFDSSLEMLTANAPGQNLDRRFSTSAQYKPAEDDTPLFSWKSSGGYSGSNVSLGK GSYGPPYTLSISASGPFTLLGPDTSLSVGTVPSNISANGTSTLVFSTADGFPYPLRST SESDGHDPGHPGRIWWNLTSSTHHPVPITFPANIRIETDVVNGSRVWVDDEFKGRYEV FVFGGRNTIFSWSQMALVAPLQSASGGLESVVLAAGIGGSGGNGSSGTLPGGPSNSGT GRLVGFSTAAAGLAVLIGMVLMRSGW CC84DRAFT_440316 MPIVDIHTHIYPPSYVDLLRTRKTVPYVRNFEDAPDSSRLIILP GEDDPSTPSTSRGRPIGPEYYDIAQKIAFMDTHKIDKSVISLANPWLDFLPADEAGDA ARKINDDVDKICAGYPGRLYAFGTLPLSADAETIVKEVERLGTLKYMRGVIMGTSGLG NGLDDPRLDPVWAAIEKAGQMIFLHPHYGLPKEVYGPRANEYGHVLPLALGFPLETTI AVSRMLLSGVWDRFQKLNVLLAHSGGTLPFLAGRIESCILHDGHLKSNGTRDNRRSVW DILKTNIYLDAVIYSEVGLGAAVAASGADRLLFGEFRSLLVNGVGKKGLLT CC84DRAFT_1212955 MSTADSISAANPPTWNTAIVTSTVLAIIALLLGIPGALLAVIKL RRPKRAKRDHIEIGNANHGFAEDQDVQVPPLRLNRTHTSTAYAGLYCFVVSGGEWVQL EYIGKRTSS CC84DRAFT_1193315 MLLSWTFSKRGRLPVLATIAKKQLRPIFTSSHCGPNLAVAPRGW VKILDPFSGSQQAQVPIQPQDHSQGYQFDPLLHLPGISPYFDAVGFGLEHKAPDGCNV TAASYLVRHGAIYANDKEYEEFIKPFLWKLEKHREGWSGPLAFMSEWQSPVEEDKLEE LTPSGAVDAAKVGKHLLERYEDLVPNTKRILADKKSRTYDTAKNLITAWAHNDTVEIV RVTKNKNGAMEELIPHKSCDAFSKEPGAEEQETFINMYGASVSTRLAPHMPFKLTPKD VVGLQQLCGYESAIKGKRSEICAVFTDAEWMAYEYAWDLRYAYMVGPMNPLSPYLGFP WLHAQSKIFQSIDENGKPGGSWPDEQRFFLSFTHREVPPFVATALGLFNSSSNSREQF PADHINWTRAWRMADLIPFLGHVGMEKMTCERGAVSGDGPGEFIRFIANTAPRPIPDC QTGPGASCPLGDFEHLIGKGAKKHKDFHKVCDKKDKKNGKHE CC84DRAFT_1160669 MHKRESLLKPQQDAEIFEVSASFSLDDFLNSPRYSITRRAFFVL SNVAHMLCIIALYLSYRNNRACT CC84DRAFT_440589 MATCYGRDGRPDPKFLPCNQTAILEQKHTSCCKEDERCFTNGLC RHKALGTDTNYYWLEACTDPTFKDPACPPWDPCRHADNLYWKCLDSKAWCCNNGGPSE VQMRTGHINTTCCNMTEFMFQAADPVVYATAVQMRLPISTLLSSSETALDQSSISTST SAPATSVQPTTSTPSITTPIIDGSSQQNLTSSSSGSSNNIKVGVGVGVGLGVCVVALS AVLFVMLKRKRPQRNELKGHKIAEMHSQSAMYELHGNEVTAEVMSEKTRYEMRA CC84DRAFT_1111015 MKVSGIVAAACATAAAGNPLPRPSEGLPDSSAPVVTLAQGAVRG FTDAGNTVFLGIPFADTTGGSNRWQPPRDVPKAKPGAVFNASKYGPTCPQAISGTSYS QQGEDCLNLNIWVPSSASSKRRAASPPPPPARGSCHGPKPPAGCDTTPRNEDGLPVFV WMYGGAMVTGSSSNPAYQGANFARKGVIYVSFNTRESIWAYPNSAELAGKPGSQNFGI LDVDKALQWVRDNIAAFGGNPDHIVFGGHSSGSVQVDHYLWNHPDTFLVGAVQMAANA KSGPAVAPVNQALDIVAAEVGCASGKGQLDCLRKVDVYAFQTANFNATYNTWFTPVVD EKTRYQDYEARFKAGKYASHVPLITGNSNYEGALFGLVYGGENTNFTKWINTFDADVA EIPKQLLLNSYNQADYATVSEMSGAQYGDARFFCPVDYLLDVRSTEQDTWIYRFFANY SQGLPVQSPTHGAEIAYFFGGNEVFAGQTVTAEQQALADYQNDWFVSWIKNPSAGPGW NKASPKAGALAKLGVDNAATIELASTSDYNARCQSVYNPYLPKYPVIQSVYVS CC84DRAFT_1212958 MGDNGLGQVVSWYICTIAVVPFVALRMYSRWTRMGRLAIEDFLI ILAMCCLIGDLGIQQHMWNLGLGDMSTVTPENFKGIMQMIVPGSILYVSSLWAVKFAL LFFYKRLAVPGSRLILIYNIALGGLAVTFLVIFFDILFQCYPYDKRWSSDPNYQCDPR AAQINYWITIFFNIFSDAIIIALPITMVSRLQMKLKQKLGVAGVFALGFFVIISSIIR AYYSHKNETMLTCTVSMVETAIAIIAACLPALRTMIIGGSTNGPSSYGKHYELSSTRR KTMDPNRLGASGGVISAASGSRTNKSHHNPNGSEDSLVKAGLSVGLDGREKIRVDTTI ETMYEDDRKSRAESSRSDIEQAL CC84DRAFT_440618 MEFALGHHGDLASERGNWTCEAPPGLAFACLRPMAMSEMFPFSV VFVAAVLIVFALLKQFVLENYMMHKDSLHGRAYARLKPRDQRTLVNHHLSLVMKVCLF IIGIYPFCALVSGHNFNTRFVARLTIGDVLFLCSHTYTAIYLFELCYRQGISYITWVH HSCTILIAQLALAFTATHRAQDTTIEMVLCLVWGVFDILSEAITHLTMVLYRLYPTRH AFLYRALRTAFVVSVLSTTIETAVVGWFYGALFRRWSTLNKALTPMLFVAFVAAQIWS AICLWRIGSAKGVLMRGGGGELEVGSGEAAGEEGKEGSK CC84DRAFT_1160676 MRRRAWARKVERFCCSTITYFPLVFVYGLTSWAAWVQVGIGFNT SKNRWTGKSSSALGFFLYMMLNWSYTTAVFTDPGSPLNVTNGYSQLPTQEGGGMQYTS FTVKASTGDIRFCKKCQAQKPDRAHHCSTCKRCVLKMDHHCPWLAACVGLHNYKAFLL FLIYLTFFCWVAFATSATWVWTEILNDGNYSESFMPVNYVLLAVLSGIIGVVITGFTA WHCYLTIRGQTTIESLEKTRYLSPLRNSMKAQLSDRNYLDAQANGRLSISDQLREIHA NALPGITRPEEGVSSPSQRCARSPAPTSNGYSHPNQQSYEYRERQQRYESYESYLDER DNEQLPNAFDLGWKRNVGHVFGPSALLWFVPICNTTGDGWSWEASPKWLAARERIKNE REAEERIQKQRERDAGWGVDSPTEAEFRRDTRAPEPWQINRFAPPQRAPRRPEWQESR RRGQDARYLTTSNGIVSTPTEGRRSPSKADQILGRENGMYADGDVQLQSIDRRKFDRY NYISDDDEGDDYEMSSDEASAAQRKTPKPVPLPKNTRDWNDIPDDFLSAPPKGRRRSP GTSRERTPNKAGRRDDWGKDD CC84DRAFT_1183853 MLAPNRKRLLPLLVGLVLTLSFCFQYRNTDRIYIQWTPSTETQQ TKLPANRTLGFGGAVVVSKEGSNRRHAFVQQANVTEFDVTIPKQPQWTESDVQKFRNS QEDDVQHGSILAWMGHLNVLQWFLDSGLETALVLEDDLDWDIRLRNIQIPLAANAART LMPPKRSLRPPTRLPQNRTQYWGDHGAWDLLYLGHCGDYFNRVTYDGLVFDSKPFTLN DVPHLTYHDPTMPPRSELHPLTQHLFSILNIPEHGRTFHRSKFPLCSFAYAVTRPAAE LLLTALAPPKLKPGGPRAFDVALLHACLKGARETNSITTRWANPASHPDRGLRCWTLN SELFHHVPGESEIAKIGKEHGEDIGQGLSPVDWAGQAQTILRNETTNIGCGFWSGAFA FKNGDTERLEFLREHVGREGKCLKEGRDAV CC84DRAFT_1183854 MAVRRAGGKVPHVGIVGAGVAGLRCADILLQHGVKVTILEGRNR VGGRLCQSDALGHKVDLGPNWIHGTDNNPILDLANETSTLSINWDGRQSVFDESGRQM PAKEAAEISELVWEVIEQAMKYSEDDSSSISPKESLYDFFKKKVPELIPQEMDGDDSV GKKRQTVLDMSEMWGAFVGSPIEKQSLKFFWLEECIDGENLFVAETYHKVLDRIAEPA FKRADVKFGHKVQKIVSSGTEEEPSVEVLIDGKESLGFDEVVTTTPLGWLKTHESAFE PELPDGLKKAINSIGYGHLDKVYITFPTAFWNESTSNDLTTSKSHDHSIPNVTATTAP VHQSTNAKDPTINPAHYPGFTHWTKPTYANPTNPSQWAQEAMNLAALPSSTAHPTLLF YTYGPTSLHLASLLKDHTPPIVDPDEATRTLLTEFFHPYFSRLPNYSPTDPSHQPTRI LATTWANDELAGYGSYCNFQVGLEAGDRDIETMRRGLPGRGLWFAGEHTAPFVALGTV TGAYWAGEGVARRIVRAWGLDGRGGLGNGHANATA CC84DRAFT_440870 MTEQPEQTGEASSSSTPAAPSLACAQCASTPDSLKQCLKCHSVH YCNRDCQKAHFKTHKKECAGLAQEYVKTHEPKMASRAPPRETGKGKGIGKWEYDT CC84DRAFT_1172104 MAVIMKERVRAQTQTLDQCPDSPVEEAQTPLLENMVIGVPPVFE GLTRELGQLVEQPLLGPKSEFPSPFFAFAKKDVSGNRKPQAIAHRGYKAKFPENTMGA FRGAVEVGAEGLETDIHLSKDGVVMLSHDKDLKRCFGRDEKLLDCNYEFLSKLKTLKE PHQSMPRLIDLLEYLAQPGLEEIWVLLDIKLDNDQEDVMRAIADAIGSVKPSPTRPWQ SRIVLGIWAAKFLPLCSRYLPNFSVSHIGFSIAYASHFLAVPNVSFNMYQAALMLPWG RAFIRKAQRDRRPVYAWTVNEERRMRWDIRHGIDGVITDDPKLFLEVRRGWHEDWAWP LGVRRVIRWRRVNEDVWTRYGTFDLQLQMRVARRLGQSENKFAGGPSTSADAFKLPAR VPACATALNMPREIITLQAGQCGNSVGQQFWQQLCQEHGINKDGNLEDFATEGGDRKD VFFYQSDDTRYIPRAILLDLEPRVLNSIQSSAYKNIYNPENFYIHKEGTGAGNNWGAG YSMGEQVQEEILDMIDREADGSDSLEGFMLLHSIAGGTGSGLGSFLLERLNDRFPKKL IQTYSVFPNTQEGDVVVQPYNSMLSMRRLTQNADSVVVLDNGALSKIAADRLHVQAPS FQQTNQLVSTVMSASTTTLRYPGYMHNDLVGIVASLIPTPRCHFLMTSYTPFSGENVE QAKTVRKTTVLDVMRRLLQPKSRMVSTTPTKKSCYMSILNIIQGEADPTDVHKSLLRI RERRLATFIPWGPASIQVALTRKSPYVQSSHRVSGLMLANHTGIATLFKRMVMQYNTL RKRNAFLEPYKREAPFRDGLGEFDEAKEVVQSLIDEYEDAENPDYLTKEDPPNDEGDD KRVA CC84DRAFT_1160680 MDPYSHEGELLNIHTAFHAGAHQQVLDFDTSGFSSENALPVRVL QLRSQIALGQAKEVASSLAKESTPDLVAIRLLAEYESGKDILAEVKGLVEKQGLENLT VQLVAGIILERAGETEEALSVLSKHQGSLDAVALTVQIHLQQNRADLALKEAQRARKW AQDSLLVNLAESWVGMREGGEKYQSAFYVFEELAQTSQSQSPHSLVAQAVSELHLGRL PEAEAALQQAVQLDANNADTLANLIVLNTLLGKKDEVVQLKDQLAGVDSEHQVLTDWA EKKQAFDQAKAKYTPKFEVAA CC84DRAFT_1160681 MSLNKTPDLSVAANLLKGSPWENLPLDKLPWYSPEIEEITPQAQ ELFEKYSNVEPEKVKAHIKELRDKAYVVFPYPCLARWAFLELSVSLSRQYPEVLERVR NGDKYLDLGCCVGQDIRKLVFDGAPSENTFGSDLEKNFMDIGYDLFLDKQTLKTTFIA ADIFDEDSDMKQIAGDIDIIHAASFLHLFDEEGQHKACENIVKLLKSKPGSLFIGRQI GNSESGVHVGLIDSSKKRYRHNAESFEQMWKSVGEKTGTKWKVEARLEDRDLHAVAEK MGLESATIPPGSKWLSFAVRRGE CC84DRAFT_1160682 MSEIVHPTIKDGWFREISDMWPGQAMTLKVKEVLHHEKSKYQDV LIFESTDYGTVLVLDNVIQCTERDEFSYQEMITHLAMNSHPNPKRVLVIGGGDGGVLR EVVKHDCVEKAVLCDIDEAVIRLSKKYLPGMSVGFEHPKVEAIVGDGFKYLEDKKGEF DVIITDSSDPEGPAEALFQKPYFELLNGALREGGVITTQAENQWLHMPLIVNLKKGCK EVFPNVEYGYTTIPTYPSGQIGFMVCSKDASLNLKKPLRSWSTEEEEKLCKYYSKEIH EAAFVLPTFARKALR CC84DRAFT_440984 MKGGRCRGCNNRAGGSGKPWVTGRVSTTNEEPGSTYRNNGKEDK NEEQNATEMDTTAGRAKKQEAENVDRCGSRRMALGTRQLPIFTFDSSRHKLHRSHRGS TRQPQTSPPKPRGG CC84DRAFT_1160684 MPHPSLGEPFSPSKIHPLLSPQRTTFSGLNSVDAALNVPLPHSP LPPHSPTPVSHANQLNTDNAPSVTPVDSSGTAPAPTSLRDPVGTTDEPTRTDSQASIS TASSIENLTTLPKKSFREHREQRKIKHRKNAYSIDSIPRQTILKALQSHRPSMSQDPD AASMDALMMSGKTIEPLKTHPSEMAQHRLAEALSELDLHSAELSSKMAALASPCFFHK KFDKAVNLDKVLDEMADDEGISHSRLMQTATSVREVSKQLQRRPIKMTIRNVMIVTKA RDNQLVHLTRELAEWLLCTPRHGSDVGVNVYVDQKLRKSKRFDMPSLLAKDARFENML RFWTPDLCWESPEKFDLVLTLGGDGTVLFTSWLFQRIVPPILSFSLGSLGFLTNFEFD QYKEALGKILGDTGMRVNLRMRFTCTVYRYQKNAAPDAPQHIEAEQFEVLNELVIDRG PSPYVSQLELFGDNNLLTVVQADGCIFSTPTGSTAYSLSAGGSLVHPDIPAILLTPIC PHTLSFRPMLLNDSMLLRIAVPRNSRATAYCAFDGKGRVELRQGDHVTIAASQYPFPT VLSQPTEWFDSISRTLRWNNRGAQQKAWDGDAEEKDGEEQDNGFDIDFDNDDADQDSG YSAEGSQSGGRASPIRKGMVLPFL CC84DRAFT_1193327 MTTQPRRKACVECRQQKIRCDVEQHKTPHEPCSRCKKMNLECRI LPTSTRNLRQTKAEMRRELEELRWNMRQGGGDPLSTPGSLGDASSFRSPHDPANGSFS NPSAPRTDASMSPALENGPNAAKDAKPIAAGPTISRSLDGFVVDARKIDDCFALFFTQ YHPLLPILESSLSPNSANGYYELSPLLFWCIVVTGARHYLEDRSILNKVSQLITPLAF SSMALRAAPISVIQGLLILCTWRLPTNSMYKDMTHVLCGAAVHLATQIGLHVTGVGQD FARTPLKTDQEQKILRAKLWMQCVIVSIRTSCAEGIPPLVIAESFYDGDEKEREDLLG YLPPDLQFNHQLHIILHKAMAAMARTDIKTVNCDARNLRSLITIFDSQLLSLAASSPS ELDSFQLNCARIHVLAFHFFAHPVNPGPDAESLSRLYSLCISTLQTAHAMTQQANFAF ISQSFIDRTVTLAGFVILKLTRSHIHQHFDLAAGEQAYYNAAQFSKATSLQNGDLGER CCQILKDLWQSNRVFRRRDGSIESLGIRLRTRLSMSVSFDMFWYWREEFGQMYNPYNG EEGLPANARSRPTTPDYEREMTQTTAPGMSQAHTVTAPPQPIKMDTHGLPPQSMGTQS APMSFDGGWDGEAYMFPPMLDQFPDYDWAASFDFSQDPSQMQHIPQMPQPLQQSVDMG PMNAGPTGYQYG CC84DRAFT_1202256 MRLFLTTILSLTAASSAFMFDGFSEENCGGTMQGGINVYDGSCA EWIAPLKSFRFTVHGGNHQAAYFFRDNHCGNLNNVAIKNWVDGGTSWQIGACYNVGGT ADAVASYNG CC84DRAFT_1137308 MRLIDVDTLEIKAFSEQDVPDYAILSHTWGADEVTFQEMSLITR MNSVSQAFSSQRSDDSREGNAGRVYESGAVMVAMEMLVHGGWGAGTNVPNTSEEALMR REGYCKIIQSAKEAKSLGYQWVWIDTCCIDKTSSAELQESINSMYKWYKESSICLVYL NDVAPSIAATIDTQATFEAASNAFTNSRWISRGWTLQELVAPTALRFYYQDWTLMGDK REFLEELSNATGIPIFVLDNGELSELSIAERMSWASYRQTTRIEDMAYCLLGIFDIQM PLLYGEGEKAFIRLQEEILKTTDDYSLFAWRVVTSDSGSASKSVYRGLLARSPLEFRH CSSVERENTTCTLPMSATAIGLHLELEFLNDPKDRTRFLVLIRCNNSLNQRLAIYLKC IDGAHQYARVEAGSLIPIDNWPTGQPRSIYIRQKLNIPRDFFAIEMRCIRIQRRFEHH SVSPTVKISSVFPPLAWNPRIHELSIPSTTLEFFAVLFLRAQSSPYGASTHFQIVVGF NRRNKHYWCKAMQRRWPDVNVDPLRWHAAIRKALPVEINDPMLKNDVRHDIFVVGESG MGINVEVRAGLSGDHIGLHLLVDGFVKGP CC84DRAFT_441234 MHSTHAPLPINPIQTLNEPIPQLSMPPHPTHFHTTTSRSSNTTH AALYHPGGSPLPLEPIPSALSSCPFSFLHPT CC84DRAFT_1172114 MEALDSRHSIGLPSISNLDQVKREEMDYQRKHSASAAPMGSLHP LAHHGHQSSAASTLPGALGGLLSPPESRRTSGEDDAQRQPARHSLPSIQEALGGPEPP HAFPVSAPGPPPAPTSAPQSYFPPSTTSPTDQRTRAFSTDIHGNQGPSNPFAHTRSPF MGTSSTTGPPPPPPAAPLDSLPRPSFSEPRPSFSEPRPSYSAPQQNSKLPALHPIRTD RSPPPGPVRPSYSYNNYPPQSSATYEPAAPHSAGPMNPNYGYQQQYPSSYPLSAPAPG APNAAYPPSATTYSAPPRPFAGQWDSRLEEKKLNPASLAPYGESVKRHLESFDLEASL NEMAEGAGRITDFSKIYRQRAHETQRIGMTPQSMPRLDEVDDMIKHSERVQISLQRMR EVVFNHQASLVEPPQDPRYGRMNGYEHDAQSNYGDDPKGVGGFAGPDPKKRRGRAAPP GRCHSCNRAETPEWRRGPDGARTLCNACGLHYAKLTRKMGGKQAMTSSNLRPKSLDQG SPTG CC84DRAFT_1212973 MGLLALGTPLEWPEAKKVASKVRSWGIEQLLAIWGNAKGKERAA LLWGDEIEYLVVCYDEQNRKVRLSLRQADILAALANDEKLLQEGGGVPEVQRGSAKEG ETAPVFHPEFGRFMLEATPGKPWGIGFKDLLDVEPNMKWRRMIAKDHMEATEYPITLT TFPRLGSPDCIVPAYPASGPKLRSQYVPDEIANPHIRFPTLAANIRWRRGRKVEINVP VFRDEKTQWPFKDPTVNYDLHRWPEDDDVRNGAAKDNHIYMDAMAFGMGSCCLQITFQ AKNIQEGRTMYDQLSPLGPILLALTAATPIYKGFLADTDVRWNQISRAVDDRTPEELG EKPLQNDRWRLPKSRYASNSTYISQDPRLRREYLDPDLVVDEDIKQRLVEGGMDDLLA THFAHLFIRDPIVVFAEDLETLDLSKADHFENLQSTNWQHMRFKPPPPNTDMGWRVEF RPMEIQITDFENAAFSIFIVLITRAILSFNLNFYLPIQRVSENMETAHKRDALSADKF WFRKNPFGSHSHSTGTSTPAEGSRPPTPVGPVEDEYELMTINEVINGQQSAEGKDCFP GLIPLVESYLDSMNVDVETRCDLARYLDLIRKRADGTYWTAAKWIRHFVQTHPKYEKD SVVGDEITYDLVKATEQITREEGRDGLGKEMLGKRR CC84DRAFT_1202261 MHDQDMHLMYLHLHNALFPTFYRVTTSSKLHIVVTESSFNHPLP PFTGPDNTPHPTKQHTMGCLMSCLMPVTLYICIKKCPESPFHGDPDFFKGVPPISTTT LAHTWSDLRTVYTASEMCELLPGKVLDTDKCAVDFSGVDAGILGVLEGVGSPGVVCTV NLKDAGTRGLQEKQDACAELQGGWTVGNRVIRAMENASSTRPFALCPNNAHANTWCSF RLASTSPRSSLRYYPPTTMVDNRNSAPRKRSLRNAPPLTSGSNNARRTSGPDRRQDGA RSASPLQRGVRSRDCERETRGDIGQRYQHMKDAARTQRTVKNDHYSPKARTPVERMTA GHSLSAGRNSGLVISSLHEDQTVVQDTLRNAAHTARYLENCTADTPDPFIASENNSAY LQPPQNMSQNPDLVNGILLESLRMVDYLQRNTTSDARPYRASPEVARYLEHIRERYPE ALVYGRMDGQDQSLERLESVGVGESVTSPVADPEGSDGNGDGHLGEADMDWYTAVWDE NSNDAAKGDV CC84DRAFT_1160690 MLAALLLGAQPYFALAHPALPPTTDLAAAKAHNIYLVTCVPKNR NDDDNPTPSANFTAIAYFRQPLNVTNVDPDTKAPKPDKAALVAQPPEPWEGVRWKVKV WNDKVFSASIAADAATGAKGSLAGDAKLGDEDYVCFKDGETAVRIREDGARGNCVADY WCAGLEAGKGKGR CC84DRAFT_1083823 MDAGVKKSGEVQLNRACEACRVSKVRCLVNQNSSSSQCERCAKA GRQCVFAPPAKRRQRKRTDVRVAELEKELKHLTALLKPNSTIPPIEEDDHDESMDDDE LEPTESPERKSSGYSQSQDSSIAKEPLRSNDPWPPRQNISRNDTRHDLLTTDNDIIDR GVITIELAESLLKLYREELFVDYPGITIPKEVTANELRSKKPALFHAVMAAASQGLGS ALSNKLHEELVYFYARSLFVNGEKSLQHVQALFISVAYYTPPNNPGQMQIYQYTNLAA SMALELGLASKPRTHEQLPKRAIRSLQRISSADELLEHCRTILSLYTIIAGLSMRFRR PNILLFNSWMGECEALLLKSPKTQDKTLIAWLRLQRIADEAYTAFGFDDASTSFTLSE LRLQAILKIFERRMQEWKKAVPDEVMTMTLSMEFHQNMMSMWEFAMDGGKYDAPEFRN RHMTLPALDDDACIQPESLLSRSSLQINATIMCISSAHSILDCFLQMSISKLQRAAGI NFVRACFALVALLKVDYAVGTDAEGMGEVIDSKSLKIDFYLDSVIRITTEAEGPQKCR IPGHWRFVLKEKLKTWHDEHQEWRRNGGHLKRSKKAQAMIDANTSSVTTDVLPPYTSQ AQQLPTPSPLTTSPSLTEQPQIPRSQGLDFVLGGTQLPVGTWQPPAFRFPTTSGTQTP GLNDQMYTGPDMTDFSAAFQNGDLYLWDDINDNYGGWMPQGGTMYSDVPFNGMNF CC84DRAFT_1160693 MSKSKPFPAIHGGCFCGSTRYRLETAPLFNHACHCQDCNKHTGS VFACFTTIETEFITSFGKTPPKITTTVRPNGLVRHLATCGTCGTRLWASGDRSTVIAD INTGTLDLPELMEPDVHEYIESKISWVLLPEGTKTCKGPFDYTKWWPKSSLKRLEAAI QRAQERKKLQVKVAQAESLDVEDEKEADKTPTAQTPDEKDEVAEDDEEFERRYLETEK ALQERLEQLSLRLKVHEKVQGDDLRPGVVQAAKEPASESPSAEPKEVPAATVSEK CC84DRAFT_1111059 MAPELRRSKRNQPSSTPVEKATSATPDSTNNDAPTPRKRARKAV TIKVESNEGQPSISKSKGTASPIPSATEPSLTRPSPQNHPLPPFLALPRELRDEVYKH ILSADSSTTLKSGSSNLATRCGLVGVNAQLCEEFLDAVLFHAPVITTTVRNHNFAHVV TFLNRLSSAQLARLSTRPGWGEKEDYSAKPKRQIRIILSYTAGARDTRAHLNRWLDRF DDPDKRGKEIEFEYAGDGSYRNGGYKQRPRTRAMASERWNSEAAKIGKAAAVSGRAYG GWGWHAYS CC84DRAFT_1202265 MDSSQFREAAHSAIDEIIRYYDTVAERPVLPNVSPGYLRPLLPA GPPEEGEPWSAIQADIDKIIMPGLTHWQSPKFMAFFPCNSSYPGMLGEMYSSAFNAAA FNWICSPAVTELETVVMDWIAKLLALPDCYQSAGEGGGIIQGTASEVVLTSVVAARER LIRRKLGDMPEGDERMDKAADLRGKMVALGSEHAHSSTQKAAVIAGTRFRTVPAPRST NFSVTGKALRATIEELKAKGLDPFYFTATIGSTGTCAVDDLEGIAAVARDYPDLWIHV DAAYAGSALICPEYQHLCPHLAHFDSFNFNLHKWLLTNFDCSAFFVKRRKDLIDTYSI TPSYLRNEFTESGLVTDYRDWQIPLGRRFRSLKVWFVLRTYGVTGLRAFIRGHVKLGE YFNALLEERRDVFSVVTPASFGLTTFQVNPPKANAPSSPATQANPAHEAYLNDFTNDA EGQYREEVNRVTKEVYEKVNAGGEFFLTSTVVCGLYVIRVVSAVERSEEKYLKRVFDL LLETANEVVNGKGKA CC84DRAFT_1160696 MAESQTNSRANTPGASLPVKRTLEEDHAPAISSPLNPNPDAASR ARPRAPPREQREKRETMKKREASSNTRGSTPNPTSKAKKERPSTDSPMRYSIPEPKLS DYQQPREGQFSWDPPLMAPDGTQLLRPLDHAWNKKGYRYTHAVADPQFRHKQFYRQSD PKPYGPRMSHEDTDRWFSFDKDTATIVTQEKGWRMGRGNVVAREGRMYFEVKILKGIP AEGPQDPIDPKTGETKPGPHIRVGWARREAPLDGPVGFDGYSYGITDARFEVMHRSRI SKVFKPLPKNAKSRHMKPRPPHNKPMPVEYVSDEHFGEGDVIGLEIQLPSLTLHRKVV EGIYNPAVDVGDGFDIKETFDPTEKPLDIIRDRIPVGYKGNFLFEQLDYSTCKAVELY HDRGPVPKINPSPNHEEVSVRSLPQSHIKVYKNGKEMGIAYEGLLAFLPPASIPSAEA LKAGARVGFDDGMVGYFPTVAVFNKGVAQVNFGPDFWCPPEEILKQREKDTEMQGSDT SEKRIPEGRKLRAIGERYKEQIAEDVVWDIIDEVDFFVQDGGWNYTGETPQDVAGKST PKARGFANPDENVGVEVGRRY CC84DRAFT_1183868 MPKTPKSPAVRESQRHNPLAEEYAPTSVSLKQKPAKTRKRLDEN AGDAYVDSKSSRKILELGQELADEADSESRPQRPAKANPAFDFDTRLDDGIEEAAQYD NDDDAWGDEEEEIVEEVEIDPEDLETFNKFMQTDDNPISWPGSEAQPSGGGTDLAALI LQRIAEKESADPQAPQIYGGGDPEDAVELPAKVVEVYSKVGLILSRYKSGKLPKPFKI LPTIGAWETLVAVTRPDQWTPNAVYAGTRLFVSSKPYVAQAFLNQVLLPAVQNNIFET HKLNVHLYNALKKALYKPSAFFKGIVFPMLVDGCTQREATIIASVVAKVSVPVLHSAA AIHRICEISASQMSSDPEAAGPANIFIRTLLEKKYALPYKVIDSLVFHFIRFRAAGAS SADAMDTEGGDLGGVGKLPVIWHQCMLAFAQRYRNDITEDQREALLDLLLTRGHHTIS PEVRRELLQGRGRGTVVEPQPVGMDGDDTMVIG CC84DRAFT_1212982 MNALAPICGQSPSRNARTHPPTMPRATATVNGIEVASTDTWEVV DGNIYFPPSSITKSAFTPTATSTHCPYKGDASYYSITTNKTEVKDAAWYYPNPLPEYQ KIKDHVAFYKGKADVKEE CC84DRAFT_1081746 MAPNMRTFAARSVFAQLQRAGTRSYATTRPLAIANRAQWTSRPV SHQIALRQTIRQQSTEVPKPDVKTKKKGFRFLRWTWRATYLSAIAGVAWVGYGIWDMR HPEDQAQPDPSKKTLVVLGTGWGSVSLLKKLDTENYNVVVVSPRNYFLFTPLLPSCTV GTIEHRSIMEPIRNFLRHKKAAVKYYEAEATKIDYEKRIVYINDDSEIKGDVSATEVP FDMLVIGVGAENATFGIPGVTEHGLFLKEVGDAQRIRNRIMDCCETATFKDQSPEEIK RLLHMVVVGGGPTGVEFAGELQDFFNSDLKKWLPEIKDTFKVTLVEALPNVLPMFSKQ LIDYTEKTFKEETITIRTKTMVKKVTDKYIEAESAGPDGKKIVEQIPYGLLVWATGNA LRPIVKDFISQIPAQKDSRRGLAVNEYLVVKGCENVWAVGDCAVANYAPTAQVASQEG AWLARMFNQMAKTHEIEAELAELSVKQEKAPGKEVRDAVFADIKDLQKRLRRVKQIGP FEYTHQGSLAYIGSEKAVADIAWWSGNIASGGTLTYLFWRSAYISMCFSTRNRILVCV DWVKSKVFGRDVSRV CC84DRAFT_1172124 MGASPSTLRVLAPLSFAYDFAAQQYGMFSSPNMLDVHNANPAAF SPQPYFIGGFFFPQQLFQLAWLWKLCRGEGDAKERREMEGLVWPYVVGNVCIGTWMFF WNANDLATSNIFVTINTLTQLAYMMTALPPLRPKSTPSLLTHIVAKTFAGIGVLDLLH NTSAAYYRGVPPSDLVKVATGLGFAAAASASDWIFGGCLVECIDPGTDSADFVREGAG AEEEDGDEDEGEDKVGRREEQV CC84DRAFT_1111075 MNSTPTAPTPTPWDSLAAVLGFPNEDETFWWNTTAPILSKFLHK AKYTLDQQYAYLSWYHRYILSSYGPRPQEGCERTWRAQCTPNASPFQPSWNLQNNKST VRFTIEPIGHAAGTRQDPFNQLAAFELMKSLKGVVPGLKDEWFYHCARELYVPKDLIN IMLAVQGPPKGPKPPTCFVAFDLDERRIETKAYFFPHIRAWNLGVTQGALVIDTVRGL GGGVDMSAALAQFEEYLTSEGPVSHRNVEMLAIDCVEPTKARAKIYVNSFNNSFSKVK HIYTMGGLLRDPAILDCLKPLEELWKLLYEMPEEGWEDVELPNVMHHRSCFVFGFEFK SGQAWPSTKIYFPMWHYAKNDVQISEALARFYEKQGWGKLAGEYKRDIDEIFMEPDMP HSAGTHQYLSFAAKEKSGLYVTMYYSPTIPHLTQRHSPSPVGEGNPMMMMGPPGSGPP LPLRVLQTAWPVLKWVVPGQI CC84DRAFT_1255589 MNGFTEHGLDEDSFGASKGGIASFDAFPKTKKTYLTRGRDSSSW TVLLLCTCIYLSWSEISRWWAGQTTQTFSVEKGVAHDMQINIDIVVAMKCADLHVNMQ DAAGDRTLAGDLLRKDPTYFTQYGKGAHALGQGPDALPGWEDMWDVHDQLGAAKKRKK FPKTPRMRGEGDSCRIFGSLDGNKVQGDFHITARGHGYREFGEHLDHGRFNFSHHIQE LSFGPYYPSLVNPLDNTVATTEDHFYKFQYYLSIVPTIYTDDASLLPLLEAVNRDTDH PAKHVFHSSHAVKTNQYAATSQSHKVAENYIPGIFVKFDIEPITLTVAEEWGGFFRLI VRLVNVISGVMVAGGWAWQMFDWGMEIYGRKQRSRGESMGMLRTFSDRKEKMAFD CC84DRAFT_1255590 MPWAVTVSSPDGQQSYPAPAGETLYIVVGDAGLSAVRTTPDDPA LVLGTLAVKSYGAKLEAGHRDLKILPTGRARLHKNSSGNAPIEGFVLQAKTHNRIETT VIRNEDKITSTNVAGFLTFIWTPPQIQVQSSEKKDTQAEIGEAVEAHDETEDDYDDLG NTVVPRNGKSQVLRSTPHMSASRSEIIQETPTIDRVTDAVGLPSRPGQPEMKEDDSIV TAAVYFTAPQDNGVADGLTKVEEPNDVEMEDDGGKSEALAPATELRKSSRHLKVQISK RPSPALEEGANSPSARTLKRRKIDQDADSDTKTTPVQTARKTATKGRRRESAIKADSI KAHSTPPRSQHSTQSSIAGSPVNVDGDYEGPKPRVALSNSTIQPNGAIARFLKKSGGN LVDSVEGDCNVLCIKVGPILKTPKLLQAVARGTPIVTDKWLTDSALTGRFLPLELYIP PDLSPVWTIPQSRLLESYTIFFTPALKANYKTAFASIEKLCKAVGARRVVSKKPGGKD LYEQDAIFLAEAEGDKDVPALLEAGHMCYTKDFLTHSIVVGEVDLANEEFRVQIEEAK PAKPAKKGRGRNS CC84DRAFT_1111081 MSNPLPRPEDVYSQEFVLYAPDGSQFTISMVEIDWLRHFGVRIA INWATQIGASVTLLLVLLLLTRRDKRKSSIFIMNALCLVLNAIRSILQCVYLTTPYFH PYAVIANDYTRVTPAHRAISVVSNTITLILVVSILVSLSLQVWVVCITAKPLQKWIIM GGTSLTAIVAIGYRFAVMSISNKQTMKDESMEAYDGLVNDMYITFAVAVWAYCAVFTF KLGHALLERKRLRMTQFGPMQIIFIMAIFTCLNFYDAVPELASQSITVICIFLPLSAI WAGLVASDSSVGSSGPDSHQRLFKNEFARSSSTTYGDRSHLTGNTFGSGKEPENPRGH HYHQTKDSVDNGIYMQKEWHVEAAEGGQPSDIVRTV CC84DRAFT_1137347 MVQVPLVRLQCGCNSYDWGKVGKTSAAAKYAAATPSDGFSIQEE KPYAELWMGTHPSLPSKDLETQRSLLELVQDNQQLLGSTVAQKFGDKLPFLFKVLSIG KALSIQAHPNKKLAEQLHAKDPKNYPDDNHKPEMTIAVTPFDGLCGFRPLAEISHFLQ NVPAFRKLVGEDEAKNFESTVKGKETSENDADVQANKKALQAAFTKLMNTDKAALESA TEELLKAASSEGASFAGEGGPSNDGQELADLVVRLNDQFPKDIGLFVQFFLNYVKLEV GEAMFLRADDIHAYLSGDIIECMASSDNVVRAGFTPKFKDVDTLTSMLTYSYAPIDKQ KMERPDYSGVKFNATAYSSNSSAIEYNPKEIDEFAVVRAALNKSGAKATFNDIDGPSI VLATKGKGTISVGNKSEKIEEGYVFFVGSTATLVIESETDAEDGGEGLVVFKAYCDPE DWNSNGGQKL CC84DRAFT_1160704 MAARSRPRGGQMKDEIDEERHLWNTIRADGRRFDQLMAQSDTLQ EKILELAGQQEARKSRGDAPSSRIDNELDAALRENIKLSEEMLTLIKSDQGNDICEQL TVLSALRSADTDSSTSRATNPGKSRDRGQNKRKLTDAADDRDSIAADSPAVPSPKVVL GKDRDRLLPKSGSSRAGSVPAGRESSVKVEDEKDDVKETKQRLTVHTEVLYRAPRSRS GPIQQEGEGTLHRITSVIGEGKQRRYEIIDSDPDPLTPSIPFRASVATIVPIPPAASN LSLPSLEAKKNVLALYPGTTTFYKAEVVDGWKSLPGGNGGGKDGIKKEGGAEEKPGKE AYVTLRFEGEDEVDMVNSVERRYVLPDKA CC84DRAFT_441649 MGASISKMMNKIFGSKEMRLLMLGLDAAGKTTILYKLKLDQDVT TIPTVGFNVETVTYKNTKFNVWDVGGQDKIRPLWRHYFSGTQGLIFVIDSNDRDRIEE ARTELARIIQDREMRDALLLVFANKQDLQGAMRPKEVSDKLQLEKIAKDHVWKVEPSC ATTGEGIFEGLAWLSNNVKLPPK CC84DRAFT_1193343 MASSTTPTTDTPAGGSKKVWTTLITNTAYLSGLLALDYSLKKHG TKYPLVALYTDTFPQEGHEALDRRGIPKKYVPYLLPKMGKDYTNDPRFYDCWSKLTPF SLTEYDRVVQLDSDMLALKNMDELMEMELDPPSAAGKGQKVFAASHACVCNPLKKPHY PKNWIPENCAFTSQHAKPDEAQKQGAAPDFGLGMPNGGLQVVNPSDDVYQLIVDQLSK ETTMDYDFADQSLLGDVFHGRWVALPYIYNALKTLRHKGVHDKIWRDEEVKNIHYILS PKPWDEKPGEEKEESHKWWHAVNQERLAEEKKRNIDDGF CC84DRAFT_1255596 MSAALKNQSKVPSELVDAPEQSKSPKNYRGFVGGVFSGIAKLSV GHPFDTIKVRMQTTDKSHFRGPVDCLMQTIRNEGVKGLYKGATPPLIGWMFMDSLMLG SLNVYRRVLNDNIFHPARYPGEQQKKLPVVGHAMAGVMAGWTVSFIAGPVEHIKARLQ VQYAAEKSKRQYSGPIDCLKKTFNGHGIRGVWHGLSATLLFRTFFCFWWGSYEVFTQA LNTNTNLSAPAVNFWAGGMSAQIFWLTSYPSDVIKQRVMTDPLGPERKFPRWRDAAKA VYREQGWKGYWRGFVPCFLRAFPANAMALVAFESVMRALPE CC84DRAFT_1202279 MPSKKHGHGFQTPANSQRSNRPDLQELNKREQDAIRDEFLTIPC VHCADISHSCLDCSNTATDYKTGPYGSLSPSKKLGADKWIDELKKRYAANKTGLMFDR AIKNRDEQRKEALEKPKQDGKNVVKEKVQEQQGGNNSSQKGSTAKPTENMQELSTPPP NQSIEGGTSGSQNASGSMKLVASYNFDHDRSEIASRRAPAAAELLSTFESLARGPSDK DLKMLHGQQLAYPARTEFAQYKSRKTVLTNHFQLMCMKPIELYEFKVPEIEGKGKRKA RAIMKSIVANFPVLRNNPTLFAMDYFTTIVSWVDLRTYMNNANYANTAPQGSPAQYDL LTFPDGPTTLRYERTVNVGELFAYARMDPNLPATFDIKEMISLLNIIISKCAEESAVA TVPGGANKFYLKEAHAALGSGGSLCTHRGYSYAVKSGVGVVLLNVNSITSAFWRPILF HHVLTDDVTFGRMDWNIFEGVIYGLRVYITYERGDKKDPDAHKRLNSEEGRVKTITGL GLQPAYEIFTPEGGTPTTVLDHYNKTYGPNFIQHPTLQLVNLGTRDEPKWYPAEKLRI LAYQKYKGLVPSNLTNAMQRIACQRPPEAKALVAIEGLKTLGWTDNQDGNQAGTQVAN QTSNEVGNQAGKQIPNFVLPGYPMFYLRPALLRVDGGKLDYPTVKYGTNRTARLPHHG RWKFAGLDLHTCNAQRSFSALVLSETNLSDGLATDIYANLADWTATQYQVAAVNKAAE VQLTNLSHTAIDNGIQEKLGKNKPDMALLVLRGKSIPGYSAFKDLCDRKFGLHAICVT SPGRNGRDGDRWGNITLKMNLKAAGINHTIEKGMISALMRDTLVLGADVTHPGPGSVP GCPSIAAIVGSVDQYAGRFLGSMRLQRESRKEIIDDVAEMFRERVRDWIDEMTPSEQQ YVSLPKRIIYYRDGVSESQYDQVLSEEVATFDAAFSQVVSEFYKKKRVNVDGYIPQIT AIICGKRHHVRFYPPNSTEADQFSNCHPGTTVDDVVTSPHYQDFYLQSHAAIKGTARP AHYFVVKNDGNIPVSQLSQFTHELCYTYVRAPVGVSYASPAYYADRLCERGRCYLRSY FVAPKQTPIRDDFDEFKRDHERKLKAAREKDFPPPAISKRKLKARQSKDKDLVAREEV DRKKVQKVCDEYIMKKARDEFKRYNKGGNPWHSNISKTMFWM CC84DRAFT_1193345 MNNFSFLDSGPQPPLPRAAPSPSPSPTSTQANGMPGPGPQQNGI PMVNGLPSGGQQTDMNHLWSVVQQLSQMLEENRAQTAGIVNGVQAIQARASEEGGVGG LAIREVNGEINAATRAAEVTQLQSSLHNAQSRISTLTSQNSTLATLLTDYENALTLLL DKLRPYAYTQTQAILSLHKHYQGLLEQERATSMQLRLEHSEWQAGLGRVAEYARGSLK AQGESERGLRSEIKGLKEENRVLRRLAGWEEKPDDSSDEEELERS CC84DRAFT_1202281 MSTAIALTDMHASTPTLHHRNSSKPSTTPNSETEHHDPWLDTLQ ATSPLPSHPFSPTHTYWITPHGLLTKNITILDLTHDISTPYTGLTPEYKTAVQAALKD HSFTPTWTCQRINWLGLRHKIIDTQGRLVAEWTHPWTSVGAATLAFTSQAAPEGMHAL TLKPRRWGLRTEAFVLNSVQYIWEMDSTWHSTHMTLYRVFGEGETARRETVAKYAEKW WGGIVTGGALVVDGRDDGMAMVACLSAVVVLKKKRQRAAERRSGGE CC84DRAFT_1137365 MSAVSPVTLAPRADSNNTGVAQESQNQSIKTFAASLSTAAVVFG VQILAFLILSGNWKIRRSKETTEKAAARQSLFHKIYYYKTAFVSKRRRIAAPTTAIES FKNVFTISDREVIRVAGVDAYLFLQYLQLLLRIFIPMAIVILPILLPINRIGDVPGVS GLDSFAWPNVGVQSKRRRLWAHAVLAVCVVIWVCYNFYRALRKFVRLRQTILTLPEHR IRASATTILVQSIPRKWLTVAALDALYDVFPGGIKEIWINRDYDELSEKVKKRTNIAR ALENAEVNLIIECTKKHKKMEEKRAKHEGKKKSKKEKKAEEETQNNAIHHETQEYGVS VNNPHQIEQTLQDVLKEDSSSSSSSSSSSRSSSPERKRKILPIPILGEGIHAVGDGFR DVGKFGGKVVGGFAGGIKGAFGKQQGHNRDTSHPETLASESPASPDTAEFGGRGTSSD TYTRLNDPAMVKFDSDGTATEPNSPDSAAEKKRPHTAESTLGPLKAMNTIKKSRLNPV RRLSDFASPQPFRAEGEEFPLEIKKPEDNHYDFAGVYDSSFVEDGEDAVWRKYIKPKD RETMRVPIFNKSWWPSLPLIGKKVDTIYYCRKELARLNHEIGLDQANLEQFPLMNSAF IQFNHQVAAHMACQSLSHHIPQQMNPRTVEVNPNDVIWDNLTMPWWSRYVRMTVIVAT IVTLIILWSPLVAFTGGLSKISTLTDSVPWLAWIEKLPVWLISFIQGVLPPLILAILF AVLPIILRLMAELTGTTTMGERELLVQNFYFAFVFVQLFLVVSISSGITGFIDEIRGD PSQAGNTIVNIPQTLAEQLPKAANYFFSYLILQAFSISSGTLLQIAAVALLLFSRFLD TTPRQKVSRVLSRPGITWGNMIPVYTNFGAIGLIYAVIAPLLMIVLLITFSLFWFTYR YQMIYVSYAKAETNGLIFPKAINQLFTGLYFLEITLIGLFFLQRGPGGDLSCFPQAII MVVTLAFTILFQLLLNRAFGPLFKYLPITFEDEAVERDREFQRAQETRWQSKGDGEGG DADEHTSLNSELSAREKAERRESQRLEDEDRRRSRAMHDGAIELKQFEPNGKNTPHIT ESPERIRRPNWADRSRDRSRSHSHHKGDNPNSHSHSSHDFAPSTPKKEKKDKKKPLHN PLDLVTSALRAGVDDLGRPIRDIEAQTLPTANLFDDIDDQLEDIEPEARQKLIKRSFQ HPATRAIQPAVWIPHDELGVAADEIGRTGAFSGKIWITSVNARLDASGHVLYRGLPPD RDPFENIEV CC84DRAFT_1255602 MSSHKTIVEQKQQFLQSKKQYLSRGIAPSEKLKQIALDGGVELS VLKGAVDKVNRELKQHSRRVYSRQMSEHVVEQIDTFYWESGSRDVDDEAIDTTTDMTD DEHAIYQGEDLTQDAVIAKLPTRWDTSSDPTPSSAEEHVDQDDYLSAITRLQSLSAHR LTLQQKLNTYRTLLALLEPYRNPKNNVQPNLVWKDSPLATELGKTRTLAIRVAGRLGE KFGDVQVPATDEGRDIDMSEDANDKVNRVLAGW CC84DRAFT_441764 MMCRPTARFPCIVAAARGLSNTIRVNTELARTVPSYSSSPTHHI RLLAFVTIRSHSPHLSFIIHHNQSLPYIAYHYVVPLRRRQSSERPRQTASQINSSSRD IRQYFRNMSTKTSTPRYTTLPLQHTLRRKHQVLTRTGPCPPKLHRLSRSKHLSSALPL TRAGSEDGEVIELVKSTPAKFNDIRSCLEMQLSVKITEEDWEDLLKGDV CC84DRAFT_1081711 MAKDSAPQEFPCTLTLSIPFPTAHLARTASQALAVDAELSPLVR RSFSTTSSESSSTEERILKVDYAATTNRMLRVAVNGFFESLGVVLGVMRELDVDVVQG EVEGDLKGVQGLEVGV CC84DRAFT_1255604 MSSPSEFLTFYRRATMSTPRMLRSVQRPATRSFAISAARRKGGV DADRKAKTDKREDTEHSVNKAHSAKGDTYDVQSSNAKDGMDSANTSGSGSSGGTATER KDTTGSQAKARNDFPEAPDLIGMQDERGGRGA CC84DRAFT_1160713 MKKTLLLCFIHGFKGSDGTFRTFPSHLKALLQHALPKVTVLAIT YPQYETRGDLRECVGRFKEWLQDKVIDLEVANGTPSPTVDPSVHTILIGHSMGGIVAA ETLLSIIDEQPIPSHNSASNSASSLRDSSTLMFPYIQAILAFDTPYLGIAPGVVAHGA EKHWNTANSAYSAYSNVAGAFGWGKSTETGAQTAMSSSRMLEGAQRSAQAVSDTNADA ASVPLWQKYGRVALFAGAAGAVAAGGAAAYMKREQISEGLGWATSHLEFVGSLARPEE MKKRLASITKLSQTHDLGFANIYTTLGHAVDSQEKSSWTGKVMGRDRTFCNLPKGDLR QYFIPAVNDKSTAETWAHMSMFDPKQNPGYYIMSEHAKEHIIDWATNEWYEDSDGATE GVSIEEEEVLVDRPQEEEFEDVRRPSNDNPWKDEL CC84DRAFT_1172143 MEEFKQKMFHSRDIDYKGLGRCRSRERSGASWHRRDRYQLAAHQ RWHSDARHYSGHISRSGATAGTLNTNQTPSNELSMADAVKGFLQQIASKQGVANVAAD ELPGVVDPFHAVQLDTARDQGIARELLANKTTTDNSQSAVTGENTSRRLQTARLSEAH RPITVEAASGLSTPRTQQGRKGLTAAGTSTCADEHLLVTPCQYLYLR CC84DRAFT_1172144 MSRPVAKKAAAKGEYIETLQLLFPSITLVATLPSSAPLTSYKDS GNKVSRRSAITGTANITLGGRTVIMADVVLRGDLHSTRPTASSSGKEGTPTSISIGKC TVVSTGTVLKPPCRMSRGAPNFYPMKIGDNVFIGPNCVISAIAISSHVHIGANVTIHP FAVIKENVKVLPNTVVPSAMVIAPGSVVGGRPARIVGEVGEGWGVSGGGAGGGLGVGG GGEEKWVEGGDLRELVRSIR CC84DRAFT_1255607 MSKSNSKQTIAVNGEQLYTIYVGKAFSECFSVDKDLETLLRAAS PFFAAKFHEQKRLIKLPTFDPQDFRSYKDWLHTRRASPHRQADFIESRCENEWGHICQ AYVLGERLQDYSYCNVMVDVLAKKSSTASDQSVKDILACVENVFTRTARTSPIRNLLI DTVYSRIFSTLPDAECMTRLEALPMVPQFTAGLFMRKGKSIKPQWSDPCQYHRHDRGE PCFADTEPSIWKDMRAMFHDWCSFICTNAKGISDSYQAFRPCVSSTYTVLKKLGTKCT AAFSWCRALVKAHL CC84DRAFT_1160715 MAIQKKHGKGRLDKWYKLAKEKGYRARAAFKLIQLNKKYNFLEK SKVLIDLCAAPGSWCQVAAEVMPANALIIGVDLAPIKAIPRCITFQSDITTDKCRATI RQHLKTWKADTVLHDGAPNVGTAWVQDAFSQAELVLQSMKLATEFLVEGGTFVTKVFR SKDYNSLLWVFNQLFTKVEATKPPSSRNVSAEIFVVCRGFKAPKHIDPRFLDAKSVFA ELADPTPNNEAKVFKPEIKKRKREGYEEGDWTQFKEAPVSEFINTTDPIAMLGSLNKL SFAQPPNGDVAIATIDKLPETTIEVRNCCADLKVLGRADFKRLLRWRLKVRDIFGFSK KKQEEAEEVAEVEPMDEEMQIMEDMQRLEDQDSKKKKKARRQENERKQKEVVRMQMNM TTPFEIGMEQSGPQGDDSMFGLKSIDKAGALAKVARGKMATVVERQKDDESEEEVITD DEGDQLDAELDNMYSEYVEQRSARDAKYRAKRARKEDEDGEWNGFSDEDKEESSDEEL VQDQDSDWSDDEDEAPRPLITDLDKDGSGKGGLTKRASRFFDQDIFKGIPGLEELEEA EEDGEDSGIDVDGESEDDATAAAPEKAVVKKVQIKAPAQDDDADSSEDEDKIEEVARD ESQWEQDNTPMRNGRPDIDIITAEAMTMAHQLATGKKTKADLLDDSFNRYSLRDVDGL PDWFLDDENKHSKLQRPKTAAAAAAIKEKLRALNARPIKKVREAKARKKFKAAQKLEK LKKKSAMLADEEGLTEKEKAQSISRLMSRAAKKKPKQKVTVVVARGTNKGQG CC84DRAFT_1111120 MSPRKKSKPNPLAEHAGASASPVPPDSTAPAATPAATTPSGSTT LENAPASSAMQGSLDSSNGAATPSTPAEPQLNTKPSKRWLSGGSWRSKASATVKTASQ SIGVSVSGGAPSDIPAEEPKTSKDQSPAKFLMKRKSSKADTIPASLTTLNVSSDGSVN EPKEPTPEETPKEPPTVNEPPLPPDPPKIDAAQQAGTWGWTSWWSRPDGYSEASKTPA IEDAQGTPLPGVTPSEEPTAAAKQLGSVTAHEQPSKEQDVEMKDVPAEDVHEPQDTEM KDRDTSTTSAPAPRNSWFWSWSSKESVPADPPATTTAPPEYVPDASNEEILLPQAAEA PQTEPTSQVEETAGDAVASEAAPDADKKGRSNSQGWAFWFKSQSKAEVNSDGAVAHKL VGEVAVSDTPSQSHPEPAQFNELEQPKPDDSKPAEEPKAKSPTSSGRSFLSLRGRSRA KTVPKEISTDTPSSSKTVTPSKTSPDPSPTRPGTAPAAEPAAKEAPKAKEEPPNILLP EFHTTYRMVQQPSFWQKVTQYFLGNEAPQPHLHINPAPPRIKKAVAIGVHGFFPNSYV RKVIGEPKGTSIRFATAAAASIKGWCEERGYEPEIDHIALEGEGFIADRVRTLWTLLL NSVDVIRKADFILVACHSQGVPVAVMLVAKLIQSGFINSARIGICAMAGVNMGPFVDF KSKAGIFGSIASELFSFGDPKSLASQMYLAALDEVLRFGVRVTYVGSIDDQLVSLESS IFSVVSHPYIYRAVFVDGRNHAPSFLTHLVGFVLKLRNLGLPDHGLIRELSPHLAGSV YGGEGHSRVYEDPRVYTLAIQHSLETTSLPVSPQQVKANVAATFGGINIPVPGAKYNA KDTYKLRVKEYKSSGSAAGENPYFLPWAMRGLLEEELVKKELGKEVDGLLNMFEEWKP TDKRLKDVKFRLEGVRSKL CC84DRAFT_1160717 MDHPQTPRVLAPHLSNFQHKLVRILGKVVQLRGETAVIDAGGNI DVILNRDCHLAVGHAVEVIGKVDGNLHVKVQAATDFGTSLDFNAVQAVVEATHRHREI FYDAD CC84DRAFT_1183887 MAYPSTSIRFTGHGNQGPQPSCFVPFRSDPDFVDRGTLLDRLRE RCAAPASRVALVGLGGVGGGRASTSTRTSGLQRHLSEYLPPSRHGSVLVTSRTRRAAM QIVEDSDIMLIEPMHDAAAHALLYKKLGDKVDRGGGIAELAAALEYMPLALVQAAAYI RERAPRSSVRQYLAEYRESDSRKTSLLNQAAGHLRRDKAASNSVLLTWQISFDHISSS RRSAADLLSLMSFFDRQGIQEALLRNPSGITDDDNFEDDVLVLRDYSFITVTEANTFE MHNLVQLATRTWLGNQGQLDRWREQFISNLCADMPTGQHENWQKCQVLFPHARAALTQ RPQGKDSLKEWALLLYKAAWYAWERGNADEAENMSTVSMEVRQEVFGEENVETLGSMH IIGLARELGGKYKEAEAMNRQTLALYQTVLGRKHPDTLSSMSNLAGLCCYHESLALYE RACAGYHIVFGEDHPTTRTCRQHYATARMDATKNQCTPTLAPATPDSNVGVDIRKVSK LSRGLAKLGIRSSKTSLK CC84DRAFT_1160719 MVEAAGLVVGVVALAGLFNNTVECFEFVQLGRTFGKDFQTSQLK LDNARLRLSRWGKSLDLDEDVRNTTSLQGRFGSESTIKHAEALLGQIVELFAGAEGVS NSYKNRTAPQDDSLAVYNPQTDLDPAMAKLHDKMRQLAIERQNRSGIRQKAKWALYQE KHFRRLIEDITELVDSLVELFPATQQAQRELCDTEVSAIGESEAISVLKEVAAAQDQF LEQAITKTTDGADKSHHIIFSGSGNTGLQIGHNSGTMSGFTFGKGG CC84DRAFT_1235236 MSPIPPAGFVLVAGANCYLASIAMQHLLATCYRVRGSIRDPAKH RWMLSNYRPAFELVAIPDISLPGAIDHAGQDANGGAHIASSTAMKAYTKEVIVLEIKG LMNLLEAASMEKNLTRITYRSSQTARVKHVPSRKYYIDKNPLNEDARQA CC84DRAFT_1255612 MRSLLLGIVSVLVGMALGHAGANPDIAQVSGGGGVFACAMPQWS HGCKYFPAHDGCQNADLYNDVGGRVFEIYAFGPDQGTRCKTFAKANCIQPFYALKDTP TKDWSITYPGAAETSEAVRSFMCYPG CC84DRAFT_1160720 MRGLSLITGLLAAFVPEVSAAGCSSALTIDNFSKFSSNTNSLGQ WTSDDSSMQSISAASGVLSFTPKANAYFYETFTCQAASGNGYNSVQFTIKGPKGGSLN LELQTKTSCSATTYKSAYVAVTGLTGNTQTITLPLSQFSGANANAVSSIVWSGFSSNT AWQLSNVQFGCGGAASSAAPSATKDAVSTISSAAPQKSGTPSKDGSCGSKTGTNCVGW VDGECCSQYGYCGKSDAHCGTGCNPLYGNCAGTSASASKDVVSSTATGSRTTLLTSTR TTLATTASASASGTCSNLLIDDWVSQSRLTFLFYNAMLQPSSDDASMTSLVVGAPSPN RVTINPKDTSSYFYSQFDCVNAKNIYGGISLKIKAPKGTTFSVELDSSATCDPTKAVQ VTVTTSDLGWTFDGTEKLYSIPFSKFSGLDTSKLTTVLFGGFTKSVSFGPMGFYCGST GTEVKITTTSAVAGPTSTVAAPSGTAAALVIDKFANADSNALGFWHGYDEGMKVTISG SKATIVSSDADYSFYTQLSASCTDMTKYKGSYLHIAYSGSTAFTVALQQHNSKCDDSI APYPETWDSLEATRYAKNGHIYMPINHFNIQLTRTVGIAIKGFYKSDQVVLSTIEIVP SVPSGYTIPNKLESGDLVFACKRPNSFAFAIDDGSPEYSQQVLKIIKEENIKVTFFTV GAPLLDASTNLTNVYREMEAAGHQIALHSYTHPKMEGLPDYAAIDWEYKEDIDTVAKQ FNGLHTNYFRPPFGTEGARMRQRLAQTLGAKPTITMWSVDVEDWLWAQTDTPEEQLKA FERDVNKGGNLVVMHYLYPSTVGYLQQFIQIAKKTGKQLMRVDQCMMDPNAPAL CC84DRAFT_441878 MIAALAIYVESTIHAPQPGFLNGMAKRAVESDLDVLHGTSLQSS EQHFAMASNASRRFQKRTDETRRRGALFVALLAGGDTSVSTWILTDDRHAGRLESGIA LRLGVVCGCLPTTWQRLNNHAGTLVSCPCCATSNPKVMRHQSSGLALHGICCAPFAGA CC84DRAFT_1235242 MKTFTAPVAGQASLVEDTQQGHTTPHVAMDQTPDVPSPALFFKW GGEFPGGRVRPEQEQKKVDGELTEALPTAASPTAASSLSPANAASKKRGRGHEDAGEG EESTERVRLNIAAPNPDHDNVSTLAFVLGETTMQQAHIFQEPQRPNFTDEEAEPEDNT CLSFEAFTIRPQFEY CC84DRAFT_441880 MLNDHRKRIALMSQPAQPLLTRALGSDLTLDAFTASRYAPRDAG LAGMSSVTWPIFCIALSAFHNARFLLFFGFGAQFEAWFLFQDLFLIDSLLMSLVQILV S CC84DRAFT_1160721 MAGTSDLTKLAQKLASEGPHKIEATPRRVRALFGGSYVLDTTKA YHVWEHPYYPQFYIPSTALTPSANLTKNSSVSGTSDKVHFGTLSVTNKTTNTRTTTDR ILIFSTKDLKDLVKIDFAALDQWFEEDVPIYQHPKDPYKRIDILSSTRPVKVALDGVT LAESSSPLFLLETTLRTRYYLPPTSVNWEVLSKSDTITYCPYKGRANYYNVTINGKEY KDLVWYYQYPTAESATVVGHLCFYNEKVDVWVDGVKEER CC84DRAFT_1160723 MLTTSAYENGASALLLQLSGTIAVQFRGQTFGFPVAIWVPHAYP REPPIVYVTPSPDMIVRPGQHVSGDGRVYHPYLAQWAKYWDKSTLYDFLAVLKGVFAK EPPVRSKAQQPQYNAPAAQAPPPVPPPPAEWRRSMQGAHAASPSPGPPSGAPPPPPKP PKPYEQGRPTPELQSQHDRYSQPPPLPPHPTHQAPPHQNQYRQPQRNSYAGQSNWQQQ GPPHTAPRQTSYNMSPATPVAKPHRPHVQSQNYPPQSYGIGSPVSPVTPEDQRSANRL YQHQAPQPLPSQYSHSQHHQQPPPQQVQQYGAPTVQPTYQKDPHQAYPPQQYAQHQKQ PPQQPPQPPAPPPNLMDMDSLEVTLPSQRGPQQPLHVPPVPPNPEKDALLHALSSSLT AQIRQTVSQNHAAIAPLQAQQAALQNAYARLQSELDQLQQLDAALASNEQILRDAMKE ADRVMEDARRRKAPDVDDVLVAPTVVGGQLYAVAAEERGISEALFVLGRALDKGRIGA DVFIKQTRALAREQFLKKALGKKIARGMGLDEYGMR CC84DRAFT_1160724 MDEGSRPIYLDAQATTPMDPRVLDAMLPFMTGLYGNPHSRTHAY GWETDKAVEQAREHIANLIGADPKEIIFTSGATESNNMSIKGVARFFKRGGKKNHIIT CQTEHKCVLDSCRHLQDEGYQITYLPVQPNGLIDMAQLEAAIRPETALVSIMTVNNEI GVVQPVEEIGKLCRSKKVYFHTDAAQAVGKIPLDVNKMNVDLMSISGHKLYGPKGIGA CYVRRRPRVRLDPIISGGGQERGLRSGTLAPFLSVGIGEAARLAKQEMEYDTKRITAL SNRLLEGLLSMEHTTLNGDRERHYPGCVNVSFAYVEGESLLMALKDIALSSGSACTSA SLEPSYVLRALGSSDESAHSSIRFGIGRFTTQEEIDYVLKAVKERVTFLRELSPLWEL VQEGVDLNTIEWSQH CC84DRAFT_1084798 QGEITKDAVYIDAERRFEELEKETKKLHEESKKYFQAINGMLTH QIEFSKAIAEIYKPISGRMSDPDSFHDEGNAAGIEACGQYEEIVKELQETLKPELEMI ETRVIKPADQLLEIIKAVRKMALKRDHKQLDYDRHRAALKKLEEKKDKTLKDEKAMYR AEADVEQATQDYNYFNDLLKDELPKLFKLEREFIQPLFQSFYYMQLNVFYTLHERMQN IDIGYFDLTLDIEAAYEKKRGDVQAQAEAISMVKFKTTGGRKPGQGSKYASKALEAKS ERRSSTLSASTDTPPPPYSPTPGVGAIASPPGSATSWGSAAKSKGAAPPPPKPKPSRL SGVPATKTVTALYDYEAQAEGDLSFITGDVIELVTWTENVNEWWIGKVRGKQGQFPGR HINSLKCWNLELMACQATMSSSMHKAGGRDTHRFYFRWHVLVLAGMRGGFCWLTRWSP CRRRLCFRSLILRTILIHVII CC84DRAFT_441914 MSREAEAGLLNPEYTTLRSGLYLVYGKRVYYQAAVQIVCLYTTA LRMEVVTLLPSQPYPETVQHVLRYSGPQPRLIWRDVSSLIISLFRPPSVIYRETQFRI CHSFSPSNFLCHSLSEQMTQNISARYIAIPLVSKAAWFDVTVDGAALQKKKESLLSDY TRR CC84DRAFT_1172156 MSAHEEADETRPAVPPRSSQRDSTSNSTSHRDSLYRASPQISPV QPAHPVVAESSKAPVAPPVPEQSAQQQQHQPAPTGQPTQAQQVHNLFPGTAAPETFKT KRQELEEGDAHWKWKVGFRAISIIVGLIGIGCAAWITSNYNNSNSYTSSSYYYYYYDD YLIPYTLVTFILSVLWSGACVIAFFARRPNRPIHPGAQVGCDLVLWLGFAVTGAFAVI GTLSIYYSGQDDYSSSSGGYTQASNGTWVWTSSSYSSSSSSASTRSCEYYSSSSSSSC AAQDAYFNAFMHSKDQRYNLDLTVTVCQFIALLMHFILFVWACVDTARRNNRGVSKDA EKLAADIVMNMVKNGAIIPAPGQAYFPPMAQQGAPMGSVPMMYPQQQYHPQQQFPGQL PGQYNTPISHGKTPIPQPPVASSSDEKGAGARHATGPDHSTNAASLMNPAILNPNPGL SRLSSFSAPRHLRYSETTDGSSSIPNACVTKQRNTSISDIGIPLETPATVCSAPDSEK LPTTVRPPVTALPQGSCATSWTRAEEMSEIICWKERKPTPTRSSDYTRRPRTRMQAAS FRCEALDDAVERDSEASDGEEAEFDLGSCHAV CC84DRAFT_1172157 MDRTTKKAERKARKPGPRSDLVVLGARPQHGGPAWLPRRDRPIT PPLGISESDGKEGWKTVSQTGAFFTKLPLEIRRRIYDFALGEEMLRVEVDGAELDMWR CNGWTKKFWADDGGWKRQQGPGWKFLNYPPEEHKKGRKRRSRKAAINLLCTCRQVYLE AIGSIYESQTFVLAIGPHEERVHQHDKPTLLYLPYFILPQRLNQLRRLYIHWNLSPST LHIGIADETLAWWKECWHFLSTLQGLNELLIRFQCRDGEHSRWDWAPLEAQLADYIKK IRVKKRFVVVLPFPESAIGNMYLDGEESRCEVRVAEREPESAT CC84DRAFT_1137405 MSHLQYFDYPNFGTRTRKDFGASQAVRVGNFIEISGQGGWDPET EEFPIALSDEVEQAFSNVQLALTTAGGKGWDRVYNVRVYINVRGKDGWPEYGQHLTTS LKKWCPNHGPIVTVLEVKGLFRDMRIEIEATEHVG CC84DRAFT_1213021 MSSKWQLFIATDIDMAEFSAAGGTVPSWILNQANPNCDTCVESL TRAHWRLQEKTEMRRKYENLEAHNTRIEFSYVNHVSLSERTIERLEKALKETLEAKKA ELEKSTALEKQHTDLAKKVEEAQARVHEIVAKSREEFEKEKRSLLAQLSDKINELKSE KEHKGLLLMKAMEQNAEIEKVREEKAQLERTMSHLHEEIENKLCAEVKAKDQKIEWLK QIFKEREEQHEHERRQATKARSDLINRFQAPTPSRVASPLQTSNRDTGSVSARNSLKQ TPQVQQQASQNDCGRNIENSITASQNGLPASRRNSISTNIPQLPSATTPLQTGRRYKN VLTMTTPSNGHQNEAEQNTTPGLGHNRSQHSASASISTPSTLQTVNLQLATGFNTPQD NANQHQQMLQQWLVGNSLKEAQVRFASGQATDLDLVLLSDDPGLRSSAMQEHFNATMS SIQGIAIQREIRESMRRFQAQKQQNAVKMDFTTQSQYSPIMSSPVCSEDLLPSFNHHG IVSTGTLQNDSLQNGATSVMQSQTQTQALPPRRSPRLQKSHLAYASLDRQNAVRMPNP THRTPKLLIQTEALHGTDAIRRTTNTNVSTPGLPHKPGPNDEWNSPVIPMNQFQGTMP TFQPPNPNTLLQQSVNSGSKHIDNSLETLGESSRFMNNENGLSQSNGQGSQQSGDRRP QTVIAGGCYLPHIEQHTTQVHPSSQNLAPTRPLSRAASLSPSRSPYRANVRAGTRISQ PKDIPDGGHRGVVCKNCHHEWWNTWCDEEKNDTCYNYWKNGVDCERPHCPGFKNCKNP NCKNPRCKAAHERDGFVNTFEIENKRAMKRKNKMTDDHDEPPRKKMKDQGGDLEWEAG GVREPSGDH CC84DRAFT_1235297 MLHSAPLWLALATTAYAQFGIEHTQYGTSPPVYPSPNITGTGWE AALEKAKAFVAQLTLEEKTFMVTGSSGPCVGNIAPIPRLNFSGLCLQDGPLAIRQAVL ASVFPAGITAAASWDRDLVKQRGVQMAEEFKGKGSHVILGPVVGPLGREPFGGRNWEG FSPDAYLSGVLVEETVLGIQSTGVQACTKHYIGNEQEIQRNPSTVNGTEVEAISSNID DKTMHETYLWPFANAVKAGTASLMCSYNRINGSYGCQNSKTLNGLLKDELGFQGYVMS DWGAVHTGYAGIEAGLDMNMPGGISFTGGASSSFWGGNISTAVTNGSLAIERVDDMIL RVMTPYYHLGQDVGFPVVDESTVPLSFFSRADWKYNYTLGPMVDVRAQHSKLIRELGA AGTVLLKNVNNTLPLKEPKNIGVFGNDAADLTSGQYSLSISSGLASGDYDIGTLAAGG GSGTGRFTYIIPPLDAIKTRGQSYGALVQYITDNVAIAAGGLSSLSPTPLDACIVFLK SWASEGDDRTTLIAEWNSTVVVEAVASNCANTIVVLHGASPNVLPWKDNANVTAILAA HFPGQETGNSIVDVLWGDVNPSGRLPYTIANNETDYDLRIVNSTELVESTNPNAWQDD FKEGNVIDYKEFDAANKSVAYEFGFGLSYTTFELSDLQIKSAVSNPSRVPSSSAKVIP GGNEELWQTLVTVCATVKNTGAVNGAAVPQLYVSFPSEAGDKTVRSLRGFDKVMLAAG EAATVEFPLMRRDISYWDVTGQTWRLPSSSIGVDVGFSSRDLKLNGELTV CC84DRAFT_1213023 MVSFTTLLALSAGALSSPVVQRQIAIPENWNWHVSGWEAGCARS GCYYNFNVTVPTVEGQIAGVKAYCNGYENGYYRKGNWYENCQILEGVNNGVAAKLSER PPESDTESTLPQEILISFVLAGYEDRPAYNFTGSHKTIYNQFAAPLQEFDVTPTTVFG VA CC84DRAFT_1160732 MTVVHIVLFKFLPSVSKAHKETFVTQLKTLKSLPCVLNGRLVVG GPSITDPIERSKGYEFSLVSFHRDRKALEEYQASDEHHRVTSQYLWPFKEDVTRFDFE VAAEDEYMCESMVKGLVGASGLATPTEDDSCTGTGFHSR CC84DRAFT_1160733 MAQATAEQKQYVAAADAKAFVEAVLVGNGVTPENAAIVARCLVA ADLRGVDTHGMNRIPSYMERIRQGVLDGLATPEVTQITPVVSRVDAHNGFGFLAADSG MTAAIEAAKIYGIGMTSVMHSNHFGMSAWIVQKAVDAGMMSLVFTNSSPALPPWGGKS KLIGVSPLACGAPGKDHPFILDMAPSVAARGKIYKAKRRGEKIPLDWALDKDGKPTDD PAAALDGGVMLPMGGPKGSGLAIMMDVFSGVLSGSAFAGHVTGPYDPSKPSDVGHFLV AIKPDLFMSLEDFKERMQYLYDRTVGAEKAAGVDRIYFPGELEQIEQERREKSGIPLV QAEIDALNAEARKVGAKPLVVNGSS CC84DRAFT_1084983 CDAQLPACTNCRKAGAECTDGESLRLRSVPDDPAVSRLRRRVTW LEAIIRERLPDVDLSVEAPIEGSEPPEERTVIPSGHGDHRSVSVDNTTALPLQAVAQN DQRAHEIGLISVGTADQRYLGPSSGYFLARLLLGDSPRRHDRREPVDYNAGNSTNLAQ SLIDQLVCATPGPLPLPGKAQANELARIYFDTVHPQYPILHEPSFMQGMNYLYDSHAQ TLENDASVAFQVNMVLAIATSILSSRVRSHVPGESYCLSALQHLERLNVQNSFSGLQC ILLLLIFTIHSPYMRLNVWYLNYHCIAAVLELGLQRDVTTSSGISLLDQEMRTRVFWT IFTLDRTIATMMGRPIGLRDEACDLRLPQDIEDHMLTGLESSQVYGTGNMALSIHLFK LAKINSEIKYVANSIVREAPSYAYPPISDINAWQRGMLAQLDQWGSSIPQIHPRHTYV RTLCELRYYSMRILLLRPSPAIPKPSPESLTECYGLAWRAIHVYDRLYRQDQLVHDWM VLHGIIFSTITALYCIRAVPELARNTELDELMTNLSLSLSLVSVAGEYWSGAKRSRQI LDDMGRSTIRWMKYLKTNNTDELGINGSGSETIQAPPNPSIPDPAAMDTTLGGYYQGL NSANTFADTTPSALSLEDRFWADPPQQFTFGDITNVDDIMHSLFEDFVPQMNNMQD CC84DRAFT_1172163 MAEQQKHPNADYDLNTPIPSDAPGLRQGLTSYGDAHFSLFLRKV FIKALGYSEDALSRPIIGIVNTYSSFNPCHANIPQLIEATKRGVQLSGGLAIDFPTIS LHESFASPTSMFLRNLMSMDTEEMVRAQPCDAVILIGGCDKTTPAQLMGGISANKPIV HLVTGPMMPGSHRGVRLGACTDCRNNWASFRAGTIDLEDISAINEELAPTAGTCGVMG TASTMACVLVALGMMPIKGATAPAVSSARLRIAEETGKLAVQAAATKLKPQQVLSRES FLNAITVLQAIGGSTNAVVHLMAIIGRHPDVAGTINLDTFDQIGRKTPLLVDLKPSGE NYMTDFHNSGGMLALLHELKPLLHLSARTVSGKTLGEEIASTPYIPVPRNSPVNCLHP LERPLYDSSSLVVLTGNLAPGGAVMKASASKDRRLLRHQGKAVVFANSADLAQRIDDP DLEVDANSVLVLQSIGPVGNPGMPEAGLIPIPRKLGEQGVQDMLRLSDGRMSGTAGGT IVLHISPESADPESVLGIVRSGDEIICDVERRLLQLDVPDEEIKRRIESRKKEYDAIG KAAQRHTRGYRGLYMRSVNQASRGADFDFLTAAGPE CC84DRAFT_1213027 MLDLKGKVALIIGLGQTGTEGWGIGAACAVLFSRQGALIFGGNR TLASTTKTTQTIHEEGGVCDIVTADATDSSSVRALVAACLEKHGRIDILLCNVGVSAP GNPATMNEETWDAQININLKSVYLACHHVLPVMEAQPTGGAIVCISSIAGMRYIGKPQ IAYNTAKAAILQFIKATAVMYADKNVRLNTVVPGLMDTPYTKSLADRYGRDYQEFKEG RDRQVPMGRMGDAWDVANAALFLVSDESRYVTGQKIVVDGGITATTASAADPPVPPII SITAPEDDFFHPDAREFLQHWESKYTDRACTVSQAEFFAPVELLVDEDASTSSEARFE DDDIPLHPSAPQIVVSEPDAVFESRISLDEKSTPNKASRADTRTTFLGDIDDPAQATP KLRQRRHSTISLVPDRKSSHNTQPPGEDSQPRPTPRPWTTKEYHPKNGWRIKVRYAAG SPTGSIVDVVVVYLYNSALSDKLDRDADIAMFNHYEHPEPPDRETSPTRKIQRARTEL DKQPKRDGIGFTTPNHWASPVDPHRSRRQHVNLLTDTKMLREQVPGMRLTTVGFDIKP NLANSLNPEAAAQQLYDHLQQHRREHKRAPMIFIGHTLGGLFVVKALAKYQDVSPIAS DILTDTAGVFLFSCRTTFPDSQIQVLANLYGARSTDKLFTELSKSSIAQDIGKAVNGG LCSKYPRTESSNATQREHKRSQCVSIGFPVFQVLARGEVEDALGNVLGVPLRTIAIGK EPSNCFRFSGPQDPDFVHLMTLIHSALQTHKLLHAAALGRADDIHSMIRRGANVNLRD RWSQTALQVAVRLNHEKVVIRLLGGKNVDPNPRDKLSNTPLHYAVRTGNETIVRALIH NGADISIENQRKRTPRDLAEKQKSRKHISKLLKSKLMSGPDQSPSSKLIGSGKSPTSQ DAIMACKNSQITVTEIYSTRNSDRHWSVSVSVDSLLYGTSTLEDILDQVRPQAVKAES PVCVWIHVPENNMVWLEDLFAKLRLHPAIWQDTKRSVTESLRNRAITPHVNFGDTRSL FLPYLSYEANLRQARRTSHIQEVDAKYQERRNAELPFATSTLSALTEGSSRASTVERR SQLQVPKGKDLESYPYLEEDSDSDFSDIFEADDPDELEEEEKALINAYLYNPPALHVR RTLDQYYYHMLESTHERDIDQVVSRWAQKVKSEPKHNILMVDQLWLWIGHNREAAAPG EHEMELLRRRNRSSDRVRVTSPERNRFQYVVSCFPNRTGSGQLSHRTLDDLRLRVLDP NSRKRDPIRKPEDLVSRIMETCCNIFDRMQDVEWLRFYQMFEDSVGTIDDKESKLFRV FQQGSNQLLQLDNGNKYYNEKKNKLLTDLLDIGTEIELLVEVKDIRDEVNIILTVLDI QRKLVDHMIQPVGEEKALLGTPVVSNMIQADINDFTKLDSQARTIQGKLNTLMDLKQK AANAWEAKEARETAVAAGKQGNTVLVFTVVTIVFLPLSFMSSFFAIGIAAFPHNEESG EVNWPLETIIGLLFGVSLCVSIPLIIFALNMDYFASVYKELRNNYLPVIGTNLIPMLP PLGPSSDPTAYRRRWTGLLQEQRENYMKNEDLAVAGLRVEDGDSALVKPAVSFPSTAS SHTFIEKDESDFPSHTRTKHRGFRSRRRAPDDESLVGSQ CC84DRAFT_1213028 MQLPITDKILDDDRDSNDKIPNIPFEVGLYGVTSRTLIVGINGY VSPGSRDSGAYTNGSLPNDGADVPSWVPYWSDLYIYSGTAQGIYQQIDGDENHRTLSI EFFMSFYGASSSYTHFMVTLFEEDIGRVVFSYFQTASQKPGGQSNYGTIGVQRPATGE YNQYSFNVQPREGLTIEWRPSTNQWRDVSTGTC CC84DRAFT_1235301 AHSSPSRHPRSPSLPKRLASAGRVNIVVSRRSLIIVSDAEPVRR VRSEAEVGCEVTSTQRRGPNGCPGNAVACGLASIQGAIQHLRDRRRAGVVRRRVVIES LEAGVLELVEILPVGCGSLAEGCAGGRSHDRGRVSGVAWRLAEAAVSAASATESGKCP IGARAARLASPIGIASG CC84DRAFT_1172167 MFPFKTVLITFIEGQPITLEIARDVLSAYITSERAMKTISHDIA AYLEPRKWYSLSGAASRKRIADANYELFRQLALLDYKVQHFYKQKEKTYAQTSVAYGS VSDFEEDRSKGPGEPNPVPKSRSLPPPQWAVEIQKSAAKTRHFATLLRNPSSCASLVE IEKVYDDMLEVYELQRLNLVAVVGIMRGRLAWFDGGDKKVWKEMMVEGPHVGWWLPDC LCEVRPLKKV CC84DRAFT_1160736 MSFPLLLRSQLPSYTCRSCLARLSRASVRAKSTASGAKAKPFAL PSSPARTRFAPSPTGYLHLGSLRTALFNYLLAKATGGQFLLRIEDTDQKRTVADAESR LYRDLRWAGLEWDEGPEVGGPYGPYRQSERSELYQEHAQKLLDNGHAYRCFCSAERLN ALAEQRHKMGMATDYDRTCADVPKEESDDRAAKGESHVIRLRVPDQYPNYNDIVYGKF KPLKRRGHVVETAYEDPILLKSDGLPTYHLANVVDDHHMKITHVIRGSEWMPSTPKHI AMYNAFGWTPPEFAHVGLLADEQGNKLSKRNFDTDITAFQEMEIFPETLTNFAALLGW SHQEKSDVMDLNDLVKNFSLKFTKGNTTVTMGKLHFLQRKHAALRAKAGGEGLDEMVQ SVVGLLCMPDSKFSYADLTKLKGKSFLPDAYIKRVIQADSENYTNANEFVYRNSFMFQ LLRHPKSVTSAEGIPQAVKALQDAKEWDRDHLQNKIKEIIDARPEGKAGSKDVYHYLR KALTDRDEGMRLYDVMVVLGREESLARLGVDA CC84DRAFT_1193363 MADVEEVDLYEVLSISKGASKAEIKKAYHKAALAHHPDKVAESE RAEAEVRFKAASQAYEILFDDDKRHLYDTHGMAAFDPSRGGGMGEGPDINDIFAQMFG GMGFGDGMPGMGGMPGMGGMGGMPGGRRQPRKGQNVEREYEVSLEELYKGKTTRFTNT KQVVCEKCKGSGGKEGAKAHQCSQCNGQGQRAVLRQVGPGLVTQETVPCGTCSGTGEI IPEKNRCKRCKGKKVVDGKNVVELYIPRGAREGERIVIANEADQKPDQEPGDVVFVIT ESPHDTFERAGADLKADLHITLAEALTGFNRVVLKHLDGRGVQLNVKQPEGKVLRPGQ ILKVPGEGMPMKRSDAKGDLYLVVEIEFPEDGWLKDDAAIKKVRDVLPKRDEDEEKPE EVEEVEFEWDADPEDFGAGSGDPRAGGGWEDDEEEEGGPQCATQ CC84DRAFT_1160739 MDEREEASNEPLDLVRLCIDEIVVVKLRGDRELKGRLHAYDSHC NLVLGDVEETIYVAEEEDEDQPPRVRTVKKQSEMLFVRGDSVVLIAPQEGVAQ CC84DRAFT_1160740 MATETKQGNPLLEALPPATDYLTYLTLVEQVLTEDNLPTLHQVL QDRELAVNIGWDLVHILLPLLPSSEECLQDVAAKGNPREVILKVTEALRLLEFEERAR DSDDEEEEEAVAASSSKTSGLSDTVLPPLPVLKFEVLLDLLATLHGRVKAKYPSRFLA TSLQAVLTAYNRATSHRDELTLSAIKLVKTLSGTKRPHLPPRTPSGNLLRTVSNRMEP DPEAQTELPTADEQTLTTRLFQSFLTHVMEDYILTLTSEDDVPGFAWSSRLMEKYEPS RIRPDKPKYADRFANEDPLKSRAAIIGQLVALAQDLGLKTQDLVTTIMDPETEKQGIP GAEDEPPNSAEDIPLSNTGALLLYTARTVKQELYTNVSSEDAPYIHIFPDHTAILQNY VGIIGQQTVGMEPEALLDAVLALGLIAVEKNAVGEPADDEAFAQYLQTTSLISANTPS PSLRSHAAYLTSTILRSHPSSIVRLSFIRDTLEHCPYENLKESAVTWLKGETIEANSN MPAASSSAHQPHQHDHSNDSEEASVFATPVALHTTAPFLFPDLTSAYVSTADLQESFV QFRQELGFYLATLNFYYLLLSVKPWHDALGIRALHSESKLEEHYLSPLKDAIIRFKGG LAEGGELVGDGSEEWVGQATGEVERLGHVIERVEAAGEALV CC84DRAFT_1083669 MYLHTSLKSLGFLALPSSLRIVIAQTNETLVPFAFKPLPLGSIK PNGWLKDQLTLSANGLAGHQHDFYDFVAHSTWLGGTSEYSSLREGFPYWFNGLVPLAY TLEDERLLEQVHSAADYVLEHQQEDGWLGPETGSERNFWGRMPVLLGLKGLAEAEKGG EWEERVVDSLWKFMEVTNTMLRDNFTGYHYHEGDAVGQGDEQWGRVRVQDMLITLQWL YEEHSASHNASQVMENMQLLIEGSLDWADWYNPKVYIKEDLNTLPVSETEPLFAYEHG VNVGQGLKALAVFRRVTHNDSLVQTSKDAVDWTFKYHAAASGAILADERLAGLAPYYG SETCTLVETMYSLSYLYQALGDASYADRCEKTAFNALPVQLTPDWWARQYVSQPNQPY AQHLDETPFWNVNQWGQSYGLEVDYPCCTVNHPQGYPKFAAAMYVQVEDNGIAHALLG PGSATVGDTMIDCETEYPFSDTLIYTITTKSVFDFHVRVPSWADTSKTTISMGSSSTA VNPDPQTGLHKISIPFGTWEVIYTLSTPSIIQEPRANDTVAIHYGALVFALAIPSTNS SSRPKQYGSNDPLPEGYAPTQALDYSYTNTSAWNYAIDPSTLIVHRSNDTTSLANPIF APGAAPLWMSGKACEIEWGMYKGVPDAPPTGEARKCVGDVVDVRLEPLGGAKVHMVDL PVLILSEGGSTDGEKGDGGTGAAI CC84DRAFT_1082157 MASQTALEAAYRTLISRGRLSHDNGQAALVSRLAQLQTSLSQPR SKLNAPAPRGVYIYGSVGTGKSRIADLFAATLPAQISRRRIHFHEFMLDVHARLHTAR SQSSFRGDPLIQIGMDVRKESQVLCFDEFQVTDIADAMILKRLFSVIWGTGGVLVGTS NRPPEKLYENGLNRDLFVPFIHQIKDRCEVWKMEGQDDYRTKDIDDPADRIEVLFLDH SRFEESLHSQLSGQALQHFEIAVHGNRGFSVSA CC84DRAFT_1137440 MPEAAPTPRAALANATTSTSNTPSGKESQYSYFADTSRGPPITA PSHSWFTSPARSHASGQGKELPLHLIQLILEYLDDAADLARVTRTSRLFYYMTLPRLY EEVTLRTYAELRYVNGRPTGYGSGSPFAMGLNTLVSRNFADYVQTFRVIGEWREHDVD DYSKGRVPDNSMVLQVAMRAAMDKMKNLKNFAWELNTKPLHTVYEGLMQKSSLTSLVL RFPSRRIPRPTTVIPPLPNLTTLICYDIDPLASPDNISLLLLTSKKLENLKLHFNPRM RETGEESVQLNAYFGRCIAAGYSIALKRIALYNLYCRNQDEGFERATNPSTIEEVTII NSMGSSDPMTVFLDNTWRLNPNQPIPKNLKMLRSDTVEPEQVTMLTKFQGLERFYIVS KPMCSKATSTAQTPTSPSSNTTPNMHTNGSISTPRPTTEGECKSVAGDYLAAIQSNHR TMRHLLLSDHWMLSDDALFKVCQTLPHLEQLGFACSVPPMESMRQMISHTPNLWAIRM LIPPGSLFQARMDAMDIEMHQFALATEMWRPQYRKLKYVGMGNKAFKLGDVIWPKGNG NARAQENAQNGSPFGGRGTSMIELRNGPMRRLEQVDPNSLSWIEIWGMDSMEFEAKFP CC84DRAFT_1083011 MEEARLARLGKRKRRASPDRPSKQVSKQAEAKTSSEIRYPKGAI KRTWAYKYPRTEDISIEEVLQAPTLNIAVLSSFQWDDRWIFHKADPMKTKQVWIIGAN TDAIKQNIIQELAECNTPNVKLHFPPMRATTTMHSKLMLLFHETHLRIVVPTANLMQV EWGETGKDPKSVGSWQPAVLENTVFLIDLPRRVDGAVSEKLETTFGQSLMAFLEAQEV GQKVREGLRKFDFAETNRLAFVHSISGSHSTGPRRNDTGLPGLAAAIRRLNLNNVERL ELDYASSSIGGLKEEFLEKLYLAASGLQPLSSKAPEDWADRFRVYFPTHDTVINSTGG VECGGVITLNSRSYNAPTFARRCLRTHISTRSGLLSHNKMLLARGRQKDGTPFGWVYV GSANVTESAWGSQSILKSGKESALKINNWECGVVVPVPTEKLQGLADGEVPPMNVFQG TVEVPFKVPGEKYEGKKPWFFMDQ CC84DRAFT_1202310 MDSTRKTGLEAASHSNTGRLSRTRQMQEIKSTCCSGMRDWIVDG GCFGQCLHLEDRSHATTLESIFFDGHRGGVDERNFRLKQRLLHAKALTSTLPQLQSMQ WLSSVWGKSDVSFVSSDSVLPPTTTKLYIGKIFPSDTHVPSMPQEYCERSLQCLKFIS LELTFKKGLEALSLRKRYLGQDRQLTEFTDHNTAKSLQTEFEGGCGDFMLDMVGRCLN CSFRLQPGWNSKDFLNAYRTHVLEPFEKILAAWSNMKV CC84DRAFT_1183906 MGISPEFISIGGNRNPAAADWDQDGGRLLAFGADNCIAVWEPED ENLSGVTAILSGHTDVVNAVKFFPTGSGNATVLLSGAADHSLKIWKGRKSEIGFGLVK TMSEHRSPVTRIATLPGSDVFATGASDGVVKIWKLVHDADFSTVDVELIQSISLTPRH FPLAIALAKLDESSLILAVGGTRSTIQIFVSRDTQFQLSATLAGHEGWIRALDFTKES DKEDSDLLLASASQDKYIRLWRVHQGEELPAVSSALNDPALGVLGKSLSNKAHRFASA TSKYSVTFEALLLGHEDWIYTSSWRHRAGKLQLLSTSEDNSLAIWEADPSSGVWVCIT RLGEISGQKGSTSATGSAGGFWIGLWSPDGDSVVSLGRTGSWRRWTYSPTQDMWTQQV AITGHVREVKGLSWSRDGAYLLTTGSDQTTRLFSEWKREQGSSWHEFSRPQIHGYDLN CIDTVNNVQFVSGADEKLLRVFDEPRGVSEMLNKLCKIEAASADRPDAANIPVLGLSN KAIQAVDENETPENDEDDDREAVDPASTVKKSTLEFDHPPFEDHLARHLLWPETEKLY GHGYEISAMAVSSDGSLVATACRASSIDHAVIRLYDTKEWLEIKPPLKAHSLTVTALQ FSPNDKYLLSVGRDRQWVVWERAEDGVYALRHANPKGHTRMILGAAWTALVQPTFLTA GRDKSVKIWQINDDAVELKGSVAASAAVTAVACNREAEKSTVQFAFGTEGGELAVGIA GAEGLDKAATVALAKEVSPAKGINQIVWRPGRSEGGQQIAVASEDSSVRIFNIEG CC84DRAFT_1160744 MSDAKDEGTIGITVEFSGGLEMLFSNERKLSLTIPAKDEDGTRS NIAFLVRHLCDTVMKDPRKELFVLDDTVRPGILVLINDADWELEGEDKYEIEEGDNIL FVSTLHGG CC84DRAFT_1213041 MSDEAADAIVNRDTPIPVVSITSPGDHSRPSSSRTPTDSRHHLS ASKLKDKLQSLGDSHEQSESPNRFSDKMFTMLLSSVLPQDIDDDGDTPQVKDRRSRKY VDRPNFSLPQMSSNFRRFNARIGVAFVAQNRAIRLFTWRQPPQTISFLFVYTFVCVNP TLALVLPLACCLFFIMVPAFLARHPPPPTHLPTDLYPLGGPPLAAARTVKPAPELSKD FFRNMRDLQNAMEDFSRGHDAVVSFITPLTNFSNESLSSIIYIILLCTSVMLFICAHL LPWRFIFLAIGYALTILGHPTVQDLLATPENERLFSEKEHDGRSFLINLSKDIELETA PDHREVEIFELQHRPLHDTDGEYESYIFSPTPYAPLSPSRIAGDRPKGTPFFEDVQPP RGWRWSDKKWVLDLLSREWVEERCVTGVEVEIEGERWVTDLHYEELDDIREKEFSMKS GKSGKERTRLKERNVGGAGSDSEREVLRRAWEKGRPSRKGEWRRRRWVRGVERVPVSN GGD CC84DRAFT_1193372 MESSLPVLSRASSAEESNGSTDADGRVRKFQRTSGTEHARRKSS APSVTSAPRPPQDTSTKTNFRGRRQSVRDHKVPLGPRPYEKQRVTSDAGSIAAHGRAF PPANDAASRFSFTSQAHPPDAPADAGERFDFIPEVTFDDFANSLQYEPALSHFPQPPD SSTLASSHMAAPSQHPFTSSALASSTQHAPSPFASSTAETRPARSTSLIRRFSQSRSK PGPPNAPAEPSSIPPPTSSMSIRTRRQSQLPAQQPPNPAARAPRKSVGPGMLPTPSLD SRQEVPRLASHGDHVQTRMGRTPSFGQGGNRRGTLPPGLILGADTTRVPSATRAAKAK SLHVAPRQQPTLLHAPTVFDPSRSPARSTARTQTPSSSSSKRQSTMHHVSGLGARTIS PTDARRLKRLSMNPNPTGGPVSPPTPQAEFSFDERAFDQSPAMIFRKSVTPSSARATP DQNPNRKSYASGISISSNSSLNSLRVGNTTLQPRNPALAGSRLPTPKPRNVHSSAGAE EEEEVPPVPAIPKAYESPKDPENKTFSFSASALKSSAALRPSPERTTSDDMASISSLH TQPRASESAKTDSAKKEKATIRHRRGLTVGAGSDAEKSPSVHQSNKKNLQPIRLPPLN LLPLGTPFNNRISSFPAPSAEVNDRNTTPPPKRSANKTPSTPMTASKASFFSRNNNMD KYDGGKHLRSSSSAFNLKSTDASLHGAAAMPIPTLNPNRQIATPFSSNSLPKNSGDYA LLYSRPSGEYKFTDTDAEMQSMKVQGPRAPQKDTDSAKTSTSTEPETPSSGSSLRRKL SLSGWRRGSSKTAAQASQTSQPRHAPQKSQEPGPQPPKHGDMPPPKLPASATWSGAVG ASPTPATRTRPSLDFGRRKTSTNTTVASDTEAEKARKASSGTRTASGYSEQPYGQTAP KSNSMLTPMQRMLGSKSSTNMLKARNLDTNLDRDDLAADEEMKKLGSKRKDFEHAARD VDELRKRARPQERVTAAQALQMVSLNIFERGEIIDYKEIYFCGTKSAKKHVGDLNATT ANFGYDDDRGDYNIVLGDHLAYRYEVVDLLGKGSFGQVVRCVDHKTGALVAIKIIRNK KRFHQQALVEVNILQKLREWDPDNKHSMINFTQSFYFRGHLCISTELLGMNLYEFIKA HEFKGFSLRLIRRFCKQMLGSLVMLKSKRVIHCDLKPENILLAHPLHSEIKVIDFGSS CFENEKVYTYIQSRFYRSPEVILGMSYGLPIDMWSLGCILAELLTGYPIFPGENEQEQ LACIMEIFGPPEKHLIEKSSRKKLFFDSMGKPRVTVSTKGRRRRPSSKTLQQALKCDD EAFLDFIARCLRWDPDRRMKPDEAMLHEFITGVKRAPRPRTAINGAASAASPIKRVAS SQTPSSRVRPLPEPPATNFKNGTAAASDRQVSASSPVKTGPSGPRRQSTVPPAGAGIK RTVNGAPAPATSSLPRVAQRNVSGKSDLAAAAAAASLKSTR CC84DRAFT_444379 MIPTIPRLEDYDVSPQNGFLPSDLPLEILPDAYYQPWETVARSF QSLILAKRLRQIIDALPVLSTEFLLTEAEWRRAYSILGFMAHAYVWGGDRPADIVPPS VSIPFLQTCKHLELPPVATYAGVCLWNWRTLFDGEPVDSLENLATHMTFTGSLDESWF YLVSVAIEARAGPVIPMMIEAIGAARRGESNKVVECLRAFAERLDEIGSLLERMYESC DPHVFYHRIRPFLAGGKNMADAGLPNGVMFDDGTGEQPYVQFAGGSNAQSSVIQFFDI ILGVEHRQTGEKKPDSSAQAPSSQAPAHGFLVEMRKYMPGPHRRFLEHVERVANIREY VTSRRHDRALVTAYDACLAMLRALRDKHIQIVSRYIIVKSRESRSDSRSLSPKEASAQ RLNLANTVRRGNSKKLRGTGGTALIPFLKQARDETGEPAIDAWARRLLNNGPGGIAMR DGVAVLGKMNENFTGEVEVVGLAGSWSVDDSEGGICHW CC84DRAFT_1083785 MSGNKDQTSTLQSVVDQASAAISSGIGSLTGNPADKREAETKRA TADAEHDLSHTAAKAGPFTVNASGGVAKDDPDRSAGSWNQTVGSAKEALGGLVGAEGL RQEGIRQNEEGKGQEAQGQVKDLGKGLHDRVGGAIGGAVAGLTGNAAQQSEAQKQHDD GKARQRGVEVDLQKQARQEI CC84DRAFT_1213045 MPFTASDILKIIFAIFLPPLGVFLERGCNADFFINILLTILGYI PGIIHALYIILKF CC84DRAFT_1069304 AALTRSEIHALSDADRTCEDANRQLASARAHVHRDHTAADTGVF EESLRLKNTLDACEQQAKSSPSWDALAVLVNCEYRLYVLNQTTPLRNNPFLSHWVEAV QRLGTPAAQRDANTDGSILSDEISTVRMELIKALLQSRNPSQFARASPRQLYNNYVEL RQTSLFNIRTYVRMLEEEGIYERTPEPDSDSPESTSTASDNKLNEFQRWKLGMLSRLE TEPEHAVAELTHLPLELSSLDFLTTLLQEHTLQALNIEPAPVIADFIQHALRMTEQMG RGPGETGAAESEPEPMLESGREAQTRAVKLLLLFMRNLIRKALLPLESIYFEIQEICV RYVWIREVREFRAFV CC84DRAFT_1183913 MRYSLAAIAAFAASASAHGVITEIQGANGVTMPGLSVQDGTPRD CATPRCGSEADTSIIRTREMGGKASALGRTQGGGAVDAGAAIAAFMGGSSGAAAAPAA GAATGAGATTGAGAATAGAATTKRQNTKGTKTPKGTKENAVAASAGAGASSGLPTTAD DGTITMTFHQVNQDGAGPLTAAIDATSGGTDPAAFQDAKVTQNVPGIGIGGLSAATTT DFPVKVQMPQGMTCSGTAGGASNVCVVRLQNSALAGPFGGSAAFTQSAAAKKRAVEYN LRKRHMARGILGKPE CC84DRAFT_1183914 MKSTIVALNCHIITFLCRALDWYESSSFSRALQSITKPAALRYD DIIMEIDKTLAQVHVLSVTGSQAEQRDMHEEMREDRDDRRDFRSVIQSRLDEMQHQLN ALVRQKYYEGDLKAVHTELRDLTDLIHAISMAQTSSEKTLLQELLVMKQHIQATQASI SHQLSDVQLNQTLSVISSNCKIDHKAAYENSFLLRRVRSTSSSKCAPFWNSPQLQLWD QSLSHSSILLFSTFRDRLNVRDFYIGVIEQLLQSQVPVLWIIEQKDQKYNIFDVLKSL LAQALARQKKRAPANPPLCDRPPRNLFVL CC84DRAFT_1213049 MESTGIFDMGVIPWEPPLSGETHLKQQIDSHLGRLQQGNAHAAA SYLQELDQKLPQEQNAHAQNLQLFDAERDLVAVQHPLSKAPERITISDDRSAGSNMDV RNLTALKDRTGPRSTPDPGPPSGVSPTSYGNRIRTHAIGTHINQKNTTIISDESDYQR VQSSLGRRRLQQRFGRLHFEHVPADGVHVPAEEDPKDHPTAHTFLKSPRISQPKFVPR GQWIDDVERTDTSSQIKLAHIQMLQQKIVGMQKELQDLEEQKLGNMEKQYQVIYRIGR TCYFDHPEWTQGRKSIVSRIPVKNLDLFLEKNKNIVLIVYRDFEPSSSRKRGTKIACA QPKNVRESIRPTNRRLRKIFETMLAQDWRYDSYLQHLRKTGEIDAPYLFVFHKRRNWK DMMEQFPRAVQEHLNLFAIYVSDNYGDEYTAADALFARRELSIELVKYFFQPGDILVS RAMGEFRGYVADSWPQDSPSATFKGSRHPWYQPVARGPTDPHWQSDDDDSDFESDDVG DENEKDPDLIVREIRMRASASMKKEMDKESVSVESSSEEFPISVYKWSFDGDFKREAD KLVLKFSHPEGNASLNTRTWSIDDLEVYPLSFAPPEVVQKLHRRGTMFWKCRKQCLMS YRETSADVHNESDERFVIDFRTFKKLHPENKGHAIINSVETLSQTEMEQPEPPDEAFY YLVPPQSKGFNLRTKKWLDLNMDQVHPVVWNQQAFDSLVLDQDRKTKELITALVSKQI SAAKSTDVIAGKGNGLILLLHGGPGTGKTLTAEGVAEIAKKPLYRSVLHLGKIWDCVV LLDEAEVFLERRSLDDLQRNALVSVFLRVIEYHEGILILTSNRVGTFDEAFRSRIQIA IHYPPLRPFQRLQIWRNFFDRLESFKDGKVDVDELRDHLEELQGIEINGRQIRNAITT ARQYAEWKEKGPMNYEHLKIALDVAGRFNTYSEKLRGGLTDDQIAEEEGIR CC84DRAFT_1111202 MGSYDCYCALCSGPLGKGWVKFGSKKEKALAKRRRWVELKRRRL AGEDVDETVCDEEDELEDDILHNDDQVQRQGSSTAEGDGDSAGEENVPDTRHDHDVAM SLDVMEEEGGDADDETCSDVAGDSPSENHDSEGSEDGWEDEGDNNDDDDDDDDDDDDG NGSEESASEAGSLDWYEEKGSYDPTKLSHKDVRWLERGRTVGFNPEAPGVTKCFVSGL GHYEDHGSFRIKTPGKEPNDPQMDEYTCYAAYESDEHPTYPFHEACLQVLKKGLGLRD EDDIDRDILYAVLSQNSQDYARALSLDYGQIEGPEQFWESIAGEEYVACDPGYKEGLI DVIQSLIPSKLFKKDVEPLSLERKVQNDPLEMLPYDVLYEICTHLSFTDAQSFTQSSL HVYTSTQNGAFWKHMMRLHIYPWFWEASDVMKNEVFSEDLDDKNLFLWLEAATRPRFG AEGPLMGIINRRRIWNACQQLVPQYAEKMEPPKRAEPEDAEARDILDRAMCFHMPAVA YPIGKQIRTVSLQFIRSWNQTSSGSSVLDTYWGQNGALVGISITLNGDSPRVFGSTQG NLGNPMHIPRGEWIREIVVSVDDVNMFSRKQDRSHFRTALDNVPYGTACIRSLAVTLT NNTSKNVHQPSGQPLNNRTFVVLPGLHLVGLTGQIAESGEICRLGLLQAPLPGMSSAV RSYTEAEQLLWNHSARTLYCHRENSWNDITTHPSLNIRALTLQGSAADPLRSFTGDIG SDMIPWHVAVWAMTVAQYKSLERISCFQPIGGTASSGTKTWTVPDLVGLGVGRTSGSL ELLAGAGGPVPVQSPIWQEMKNPRTDLVSWIQNTPFKAFDEDSTEHFLIDGPGGEIIT AVHASIDMKAVKLFTNRGRNCYFGEKDRGQWLEYQAESGHMIVGLVCAFGRLGGWSWS AKMPSHWKLSGLGVLTVAEDYFQKDD CC84DRAFT_1183916 MAPFRTFEGKLAIVTGASRSFGAVCAEHFASRGADVVIVYMTDD STPRAQSLAKSFAEKYSIRALPVKADLSKPDAPQHVVDVAKAYFSDASGRFQIDIIIN NAAIVNAQGIDQLDLDLFDATFNLNCKAPALLVKAAFPYLPTDRSGRIVNISSATTTW GVWWQTSYAGTKGALEAMTRVWARELAERCTVNAVAPGPMDTGLYSSLPDEPREALRP LNVLTPLAKARPGVDSPEVLELAQSIGGRPGYLEEVAGIVGIACLPESGWMTGNVLGA NGGGAFVR CC84DRAFT_1081715 MVLTSSAMFLSVMVPLPFDIATTVMRFWIRYRRKAWGADDWAML ITLPFYTVAMVATVAMSFSGVGAIDATSTPQQNINSLRWFYVFQEPWCFTLVTIKAAI GFALIRIANRKRWIELVIYLNILVCLGIIGGTGMYLFFQCSPVRKNWYTDTPGECRPR EIQTGLSYAVAALSIITDWTFAIIPVCLLWNVQMNPKVKFSVIIMLSLGVFASIAPIV RLRFLFGLNDQSRFLENLSPILAWASAEMNVGLIVANLPACRPVLDSFISRLASSRGA SRDRTLGAATSRGAGKTMDRYLELEERGNAGLETTIYGKRDRDSGSELDLDDGDSESQ KGIVGLREQSSGPLRVQVKRDISVVTSPSEV CC84DRAFT_1213053 MISPKLSTAFFLLHFGASLGQAQSTIAWSECPKAVTDGNGLPSE CGTFAVPFDYTDSDSTKKLNLTLVKIKADVQPSRGSILINPGGPGAGGAAFLSTIEGA LVFSMTGRQFDLVGFDYRGTGDTLPVECYDPESIYDQAEQFKAAAMIPQLTNASSSAL GLIWASAGAAAIRCHKSARDTLPYISTAFTARDFIQVVDALGEDGMLRYWGHSYGTEL GATIASMFPERIDKMVIDGVINPHDYTEGWEYEPIPVGDVALEKFLEACITAGPDACA LARSNATVASLTSAIHNLYEQVKVEPVLMGSNITTDLVGPGDIMNVLNNGLRIAQAYA PYIAAWFDAIFRRNLTAYHAARAYLFAGIDAAGPFGVPTGVFGIIAIRCADSTFRADT LDEVRPRVEQLGTMSYMFGDTYTAGYLSCARWKVKGKEQYTGDYKAKTKNPMLIIGSP YDLRTPLVSAKNVSAGFEGSVVLQHNGLGHTVLYSPGQCAIQAVRDYFNNGTLPAAGT VCEQDYDVFSGVAITESFLPQGGETNGTGTGAGAAKQAAQTQMEYTGAASMGAKVGWA WVVVAAGMAMGM CC84DRAFT_1183918 MTANNLTAPPSPPARAAAAVDDDLVPSLHALTTATPTTQDKAAR SLDTTASAVSLKEAVALTRDPPTAQSTADRPEQPPPSPEAETPVATLPAMGKAMGSPP SERRPSTPRAQTEPAPSFDMLPTHTPRARNRSPYSRSHLRSSSGSSLVFAPPMMRAHS LPTVMNPTGHLALSPSPVPARPSSPLRSPKRTRSPRSQPTLYEDSYAHSGAPSVCDIS EDAELELTPNMGTAQPSPISSLYSSTSSLSRRRRPASPLHQVAFGGAPMAPPVRTPTS GSPSPLLSAAKFNEPFPGMSSHYPISLSSSSVPPSISSLETIPDTPDEEEEAIEADRI AKLKAAADREEERNSGRRSSLDVPGGSGRAFGFGKRDSRKRWSVCGAERRGDLDLETI WED CC84DRAFT_1050459 PSSFLSERWLPTDHTDRPVVTLSDKPDVFLPFGSGPKACIGKSI ALVEIKLIPARLVARLVWRFNFEL CC84DRAFT_1202321 MLSLPTLILLLAAATFGQAHFASNNTAPFQEDPSGGHVGSSYWA SPTRPTDAPNSVRCGRDNMNWAAKTDVLKVRPGDTIEFVLTGATLEPWTWDNEDLVQW ENCPEGRGACNTRSNNTDKSIWPFKVLHLGSVIMHLSKVPVSQDIHAYDGSGNWVKIY TLGLEIRKDLWPDVVSWLPFNEGKLPPRFIATIPKQTPGGQYLLRVDQSYFGQYDLDP QIYPSCAQIEVVSDVTGDLPEGINIPEAFQKNSPGMKYVQEHWPNGYTYPGGPLWDGE KLEQDYPPHKDLSLEDGPPDLGSA CC84DRAFT_1047487 LHEAQEAEIAPVSIENNIFVETLLDGIARLGGVRDIIFSSFICI LLEIKQQSYPVLYITNAGKRKFSDEEKRAGNLQAAVQFSQPWGLAGIVVAADPVMLWP RVIDFVKSQGLICGSYNGCNNDP CC84DRAFT_1235376 MRTLRLTKNFTKGWCSGSVFCVLLLTQGRFKDWSEQYGDVFSLK IGKGTMIVLNSKRAVYELIDKRSAIYSSRANDEQFRTALKNENIANMDADAGWRAQRK ITARFLAPAKLDGELAKISEAEITTLMHDLIVSPEDFSKHINRSSASFSAIALYGQRA TTHDVFWATFVYEAMDVMNKVVSPGTYLPSEQFPIFKLLPKRWNPAHARAEESFRFCT GLFTEAQKRVEARRSQGDKRTSLMDELLDENTRSDTSFTGTKLANFLGALMEGAADTG ALSMRTNIMFLATHPWVQDKAQKELDTLCGADRMPTWADFKDLPYINCIMKEGLRIRP VVPTGIPHACTQDNWYEGMLIPKDATIMIPLWALHHSQYDDPDTYNPDRYLNHPGLAS EYAASHDYQNRDHYSYGAGRRICPGIQLAERTQFRMLSAMLWAFRLEHAIDEKTGKEI PIDTEAFEDKLITGPKAFQVRFTPRSLKHVEVIKKELGNVSGLLKTWE CC84DRAFT_1202323 MSDKATMEKNDVEFKEDAVQGQVRDHLITPQQIQGRFDTLRGLS EEQMTVLDKKVVRKLDWRLMPCITLMFLMNYLDRINVSNARLAGLQKDLQMTDTLWNT GISTFYVGYLVGQLPGNLWLAKGNPRLVLPTMMMAWSIGTICMPAMTNAAGFAVCRFF IGLAEAPFFPGITLMTSSWYTKEENPMRMAIWHAGNTISNILSGFLAAGILTNMDDIL GLHAWQWFFIIEGAVSILVAAAGYFLLPAWPHNTTWLSQEESEMAQYRVMVSNGGLHE EQGGTWAGVKDAAKDPFTWCFCLMHFALITAQSFKDFMPSIMETFHFSKLTTYLVQAP PYAIAYAVACGLAYTSGRFQESFYHIVIPIIFSAAGCGMLIGTLNVGARYFGLVLLIS GTYSGLNLQLSWETTLVPAPRSKKAALIAIANCISQTSHWFSPYFFPTSQEPYYRLGG GLILFGCLACVFSACLVKWRAIALNKRLDEAEGWSEHSGVERGWRHVY CC84DRAFT_446457 MASIFRSVSNKIPAFTHQTAFRCCLKCPPWSKILPVDHSHTADF MWCTVHRRAHANGCPVPRFKFPLPSPSRGFRTSVPLPTSQPFDHSFRLGLHTTLPSGT ATDPRLHPAVLSPVPIRWRIDCVLVRQVWGPALLR CC84DRAFT_1160757 MSATTIVVARPDPTIVDKVAAQLPVCKQSTPNSATKTSPPAHIS LVAGAVAGGFEATATYPFEFAKTRAQLYAGGSKNPFTVIVQVARANGFGAIYTGCSTL IIGTAVKAGVRFLSFDAIRSQLADECGVLSPGRGLLAGMLAGTVESVVAVTPTERIKT ALIDDAKSHHQFRGGIHAAKSILVAQGVPGLYRGLLSTTMKQSATSAVRMGSYNVLKE FLRRKNLAQNSVTTFATGALAGTITVYATQPFDTIKTRSQSAKGASTSEALRSVLRET GVCGFWRGSTMRLGRLVFSGGIVFTVYEKIVALLHKPN CC84DRAFT_1137480 MSPSDPSPQTGRVRKRAAEACAFCRRRKIKCSSERPTCAACKTH GTTCSYENADDARQPKSARRDYTPGHLSDAVTASASTHPLAVPVRERLSHHSVERETP PSNSVSRIVTANGMSSYHGRTSTLFDENADRSTVERRPSMPEEWVEKGLLAEATRQRQ LEDFHFRNKTLDFDGVEPELGMHLLSLHWNRQHHSFLITYRPAFMRDMASGGKGPYFS KLLLNAIYFGASKFSPRHEEVRRVPNDVRTAGWKFRQRVRELLGEALEKCEITTIQAL LVMTNSLFALGDERSAAWVYAGIAFRMIVDLGLHVDTLALASTGTRKISDEDYEIRRR VFWAAFVVDKIQSLYQGRPVSIKESDTLVPIKFLDSYEELEQWAPFAYSAQSSAYLGS PAYSVSTFRQLCMLSIILEDILSSIYTERSHVTSPGDLSSKLQNIHEKLTSWRSSLPT HLAFDTAKTSAIPPPHVLSLHAMYNVLTILLHRPFVTDGHLYNTSRAISINSFMICAT AADSIVLLLRAYDKAFSVGRAPYLISYATYVAATIHVRIAAKRGASSHARSNLEACLD VFRKNEETNWAVRRANAIVQNLMRRLGIESSPPEKLGDGIATGNTRDSPDVSAYQSIG REQSLQSLDIDDIIQTFIKEQESFQPTQLPSESRNSFGDIHPPATTRYSTFVDNSGAH TGQENGFDIPNTYQGNPYPMPAGASVTVDDLLYGLNGSAMDSFAFLDWDPAA CC84DRAFT_1111222 MRAGTSKGLFIYRHDLPASENLWAGPLLAAMGSQNCDARQIDGV GGATSTTSKVAVVSPSARPGIDIDYTFVQVAVGQRTVDFSGNCGNICSGVGPFALQEG LVQPRPGEKTLDVRIYNTNTSRVIHETIQVDEYGSFQEDGEYTIPGVKGTGSEIKVAF VDPAGSMTGKLFPSGRRTDTIVVQERNGPRFSVKATLIDAANPFVVIDGSTLPPYLKT CAKDSIGYLEHMESIRREGAVMMGLASSTDAAAKVRGTPKLAIVSPPESKFPSANAAA SVHVLAFSMGKPHPSVQLTGAACLASAAIIQDTVVNRVATTPHWQVSKTERSPSPMSD SDGSCSLVQPGRKLVRISHPSGAIEVEVTAASSKTVAVVDRCTVSRTARRLFEGKVYY YQEVVQ CC84DRAFT_1193390 MAVLISSRVCFHPLSGYPGPRLWASSRIPYRHAQISRFYGPVVR LAPNKLSFATEEAWRDIYMHRRGHSEAKKDTIWYMAPEGMPPNIVTTADIQIRARMRR LLSTSFNAQSLLDQAPVLEEYADKVIDRLSEIYRDNTSQGKATVVNMLDWTNFYTMDI IGDLAMGESFHCLDQSSYHPWVKTLCMFFKGIIIAAAVRFFPGGWLLLQALIPKKLRE QQKQRTEFTNAKIRQRVELKTRRPDFLSPFLREMEVSPEKMSLGEIQSTFGVILVAGS ETTATTLVGCLYRLASNVTTQEKLWSEVQHFQSNQAITVESTRNLEYLDAVLNESLRL CYAIPGGLPRIVPEKGDTYAGHFVPGGTSISIRPHVLIHSEKYFKNAWTFAPERWLPN EKRPEEFANDNLSASQPFSVGPTGCIGKPLALAEMKVMLAKLLWNFRLSMVEDKPFKW EDLKMMMVVEKGPLWLALEKRQH CC84DRAFT_1193392 MEHVSRIDTAREAVDQALNFADDEPLSGETPVHDIVLSDPLLQH LPFEGYRILDPQSSRAAPIKPLCDRTHSYISFVTPVFGDDRATGFAKRAASICRFVGR NWNNLLLVFVPMGIIAPELGCGDTVVFVFNCFAIVPLADVLCRATDDVSSFLGDTAGA LLNVTMGNATELAIFSHALLQRQYAIVRTSLLGSIIVNMLLVLGLSILAGEIQMRGQS YNVLATRVAAGLLCLTTVSLLVPSTIKSSSDNIIQKRDILGISRGTSIVLIVVYIVYL WTQVKSTRFSYKPLIELGDEPVVQEMQESLELDRGSIHRRTLSYPRTFAPLPRSDIAA PAQYIDNRDLPDPYLAETFSDQMTATLELVRTIPWVRKAIPVAMMILSTCLISICGEL LVGSIDHFVDHSPISKTMVGLIILPVVGNASELISGIMFAYRKQMDLAFAICIGSAIQ MALLVAPLVVLLGWTLGREMSLNFSLFEAITLVASTVLFFSLVFDDRCSTIKGASLIA GYTIISLTSNFITSTED CC84DRAFT_1193393 MRSVSLQSFERAPEIHGSDPHKDLPLKQALHQYSKYVYYILGLA TVIILWGYDLVVVGSITAVEPFLRDFGEFDRIEEGEEKWIIPALWLSLWQAMPCVGQL FGAVTAGRLQDKIGRKKCLLIASVITALSVLVEVLAYKAPNVSGKRGVFLAGKIVQGF ALALVKMVTLTFVSETAPTCLRGAAMAIFPAFNLFGQLLGALVVFGVNQIKNETGYLI AFAAQWAFSIAPLAMAFILPESPAYLVREKKMNAARISLERLFAPKNNIDQLLQNLEA SIEEEQRIADEITYTQCLKGSNRRRSFIVIFANLLPPLFGLPLLTSSSYFLQQVGMSS TYSLMMLIVGIIVGTVANFGSTWTLSHIGRRRLTISTLLLAAIVWGTMGTIGCVASHG YTPWLTAAFLMLIIIICGLGVWPASYAIMSEVSALRLRAPSQAIGGTAAYVSAIFTNF VLPYLYNPDAADLKAKTGFVFMGCCVLAAGATWLVVPEMKGRSIGHVDRLFQEGIGAR KSEKWESGMTPR CC84DRAFT_1083091 MGKRSVFEANPKAAHTGHHAKRQRTDGSHDREFEAEGTIAEVTS AQQLQRTLVFDQGNVAALRQALQSFKHFLDSILYTADQDDVPRKRAILREFLETQKSQ AKDAKDTIILPQLMQAWDYAAETNFDMLLAQVTAQLALLFKVLSTHADFEQYGTLLCK TLLQPSVARRFVRNVSAPISKENVILPAVRLLIEMTKFNEGAFARTVYARKDFTFDPK ILTRNIGAWKSIEGKTAEELQRKPSVRTVSVRYLLAHLRYQDERTKAEILGNKDIIRA VLDHVTVDPPHLISEIFDTFQNHVFLDRAIPRTVKSRILNGRALTHIAALYRYEPPEG SIEAGQKAPDALAHEFLRLVCTSPAYGVMLPTQGYYPQAGDDDDGDVQMDDVLDADGD FNLESSSGSQDPVRNIILSEFLQSLRPYAHTLHQELVIDIFNACPELIGDYFYKKKDF NYEPKLTATWIGFSAFLYQTIEMPVPQYFGAWQGYRDSPPPIYTLLQSVLPQPVTQQV LVKCLNSSSDLIQLFAVRVLIVAFQKLRKVTKELDSARLFRPSKSWSSTSARIVSEFG QRCPPMKVIVTAYRQPAFQKGLKREAITRLLRLYYEVMPQIALEEKFDVSVPLCNALI QAEKRQESAEEHAFCVMELEHWIQMARHSPAMRWWQKNSDELYAGIKSLLVDIVRDQE MFQIQTAPDALDALIASLSASCGQSALVLDFLDDCCARFSKGPIKYFDDLDALRAKLS EADADIGPLSPLSLTMVEQWPFKGGKSEKGNPAEPLAQWLSKLLYLLKLIGEDEHILE LVRDALVDSADKPYKDVLQDSFLWKMGKEKLKEALKLATGADFSGSERSSTSPTPVEQ TVEKMLPAIDPELPPEEDEKHTGLNRWRKKELEESIEDGHVGELLLCLCSKHREIRIQ AVSNIRQLIAMLDREKNDQQQLWVMLNQILETVGALPDSKPLPYIGGVFAAECVKILA EPIHFMYPKISKFLTNRPDWQIRNLPRKFSHLIITSEPDEDDGYHKEVGWLLDYLLDS LRTPEDMEIFRTNNVIERLLSFYASKSCAIAEKEKIVRVLQRATSVGGSTTLITRCGL VSWIRMMLDNNDHRHRELRYLASRAYELCDRDKVDGWSNGTIGGIVAGIVGA CC84DRAFT_446600 MPRPAVTAAAFASSETHQQPAPTISARLSRNTPELPTNFLLPIA YCAARPICVQPPPATASPLLCAASPQGCSRYATHPTKSSLSSRSDNHAARSLYPVR CC84DRAFT_1255656 MAGGRYTVEQLENLRESPLVKKPDALPSIEQWMDVPNDHSNNNN ANNAGSTTNRRTRANREGDAGVAGGEHRSERPLLGAMGQFGRRASTQHGDEPVIGGPP KLNFASATRSKPGQSKEATGITSIDGEHTGDRFPRNDRWRDGDRTTTRDKDFPNGRRA RREEGEGWQKTPGRKSLGQEDFDRGFGRNGERDRKDVDAENGDGPRRRGDRWGRRDET KENEGGKFGTTAQGGWRERNRERDKERDWTRGTGKAEEDPEWMDAPVPKKESKAHTQE DFQRWKEQMKAKDTPMEEREESKPPELPSFFADTISQQPPQSTGTPSGLEPNLGSMFG TWSASIKAAESAPLEPATVKAKPAKASRFMNMFAKPEESSTSTAATPPPAPLPASPAP PPPTDKNADQEGFQRILQMLGGTGIGSAPAPAPPSTIPTPSNGLRLGGGISLDPYQSP PPPPLEMQERRAQPQHMPSRSSLETQALLENILAPRPSVDRQQSRFNSMSPDNSMFEQ FGLPRPDSSRPDQQAPSRNNNNNNPQDPNLAALLNSRSQVNRDKGSSAERDFLLNLMQ QPRNTPPQLSNQNLPPQNMPFLDQTRQPQGPSKGRGGPPPGFINERMDPRMDAMYMEN ELMRQEQERRNQVRELQQQEAARHKGGRGMPMGFPDNERDVMASLQRRNTAGEIPRQM TNMGIPSQHLPDLPYMGGGRQPGMPPTPQEGPNVRPPPGFNGPMRQPPGLSGPPPQHQ MGPGPSFSAGNTPMGPPPGFNPANNMRPNMFPGGPGGPGGPGNGMQGPPQGYFPPPQG YGPPMGMRGEDPRMMFEQQQQQQFGGPGPRQQQQQQGRPPNIDTRYGESKADPS CC84DRAFT_1172196 MKGFWTGVAAVAAAAAGTAEAASYDDIPPIEVYGQHFFYSNNGS QFYLRGVAYQQNYSPNGTTDSNASYTDPLADGAGCKRDLPYLKQIFTNVLRVYAIDPT QNHDECMETFAAADIYVIADLGEPKTSIVSDDPEWNVPLYQRYTSVVDALSKYKNVIG FFAGNENVSSGNQTAAAAFVKAAVRDTKGYIQSQKYRSSMGVGYATADVPTRDDLATY FACEPGSSGNATQIDFWGYNVYSWCGDSNYADSSYGERYDFFHDYPVPVFFAEYGCID GVSGGPTHRPFTEVAVLYGNMTEVFSGGIVYQWFMSENNFGLVELTNNDASVSPYPDF TSLRNELSSIKPTSTARSAYSPSNSAPACPSTDASWLPVASPLPPSVNPKLCACMASN LECNIASTDSNKYEDVFNYICGINSGKYCTGIQHNATTGEFGALSGCSAKDQLAWVAN EYYVGNKKSASACDFSGVATTQAAATASGCGTLLAAISDGTGSVPSPTDKGTAAVGTE SAGASGSKSKGAGSTVSPPGFVAYGGLLGAAYAALAAVSMAGMLVL CC84DRAFT_448938 MEIDAPLSFTPGAKSAATVLCADCGAPIDGTQSAGAFCYDCIKL KTDVTGGIQREATLHMCRDCDRWLSPPNSWVVARPESRELLALCLKKLRGLHKLRIID ASFIWTEPHSRRIKVKITVQSELGDGAIMQQTFEVEYTQNYHQCPDCQKSYTHNTWRA CVQVRQKVPHKRTFLYLEQAILKHGAHKDAINIKEMHDGIDFFFAQRNHAEGFVDFLK AVVPANVKKSQELISHDIHTSTKSYKFSYSAEIVPICKDDLVVLPIPLARKIGNISPL TLCNRIGTSINLIDPATLQTAEVDTAVYWRTPFRSLADVQELVEFVVLDIEPLGQSRG RHFLAEATVSRASDMGSNDSTYYTRTHLGGILHVGDSVMGYHMTGTNFNNELFDKLEE SKLSSTIPDVTRSQKAKSKRNWRIKRMAREESEMLPRKQDQEKMERDFELFLRDVEED PEFRAGIQLYKQQQEAQAQKDADAMEVEESDVEEEDHGLAIPMEQLLDDFEDMTMAED KE CC84DRAFT_1160765 MSLSRAVSSCLRCTACSAPVARALPRTSPKTAFRASWTAQRPIS TTTRRLASRDDPAVEDPEYAFLKDEEAKDVFSTKEEVVELRVDEEPVELRVETAKDGE MAAAEDPINDEASLEETPESAFDAAFDLPPLPTVEPPKPTLPWYLQNRPAPEPTQAAI IPDLPPNPPPLLQNLLEYVANTAGMDDLVLYDLRHLDPPPALGSSLIMIICTARSEKH LHVSADRFCRYLRREHHLKANAAGLLGRNELKIKLRRKAKRMKMLANVGGAEPEGNID DGIRTGWICCTIGKIQAHPDDPGFGPVRGEGEGFVGFSDVKPGVNVVVQMFTEEKRAE TDLETLWGGVLKTHERNEGKADEMIRAAGEHLEEADLEAEVAAEDEKEALEIKSRPAP VAPPAPTPTPTHVFNVPRPTAGDAFPDPSAFENRQQLRRLHTVGLRV CC84DRAFT_449008 MARYATKDSSERRALLLWAIVEIVAFSFSADVQRLKLATLKTGP ERPKILPVDDISSAWNELGRPLIAKICSLVRTVDNKMTQNRWLLIHPRDKGLVKKLVL EPVEELGLGLDFVLEILGRFHRGWRYARPGSTLLIH CC84DRAFT_1255660 MSTNTKTAPTSATMATSEPTSLPIENGLMPTKSKTESGNAEMHY VSGFRLFMVVASISLITFLLLLDQSILSTAIPHITSQFHSLPDVGWYSGAYQLAAAAL QPLSGKIYTYVKIKHVFLAHFFVFELGSLICAVANSSSMLIGGRALAGLGAAGLMNGG LNILTNSTPLEKRPLYTGIMIGIGQMGLVSGPLIGGALTEHATWRWCFYMNLPIGAVT AAMLALIHIPEPNPKAPYSIALFKDLVLHKLDLPGFALFAPACIMLLLALQFGGEGSH SWDSATIIGLFVGAGVMAIMFIVWEARVGDKAMIPGSLFKNRIVLACIGQTIGLGVCV FVGSLWIPTYFQSVKGAGPTQSGVNVLPQILSQLLFAVVSGAAVSKLGFYMPWALFSG AATAIGNGLLSTLNQNTSTAKWIGYLIVLGAGRGAGVQMALVATQSALPMRLIPVSLA LIIFVQNLAGAIFLVVANTIFTQSLIKKLGQYAPSVSPQAALEAGSSADAVRKLVDPS KPWELDGVLNAYSESLKTIWLMLVAFAGLAFICAFGMGWVDVRKKKSGNEEKNGSENE EESAVREKDELEVSQTAADEGYTGHDAMIPKILSLESVTVESSKEHPHNARTVFSFEV TEELCNMTGNLHGGAVALIFDMTTSTALMAASSEGFWDTGHVSRTLNCTYLRPAPVGT KVFVENQVVHMGKRLAQTTGVMRIGSPDGKVCYTCEHGKAAVGSFSL CC84DRAFT_1160770 MDRPANKLSAPYGHACSNCVKAKCKCVPRQGGGCERCNRLSKEC QPATFVRKTGSQKTARKSQLEDKLDSLVTLLQAQQAPAPSLTPSPSVSTTQAEVNAIC LQNPHLLPTSQGPIPFPSERPPKEILSQFRSRYLEQFPFFHVLDLTANNFVRERPNTY RAIQVICEKSRARQNEYGPRVREELILRVVTNGERSIDLLLCLLVCLTWQVYFTPSRR GGMGMFLNVTKHVISDLGFNRPTDLVMACPKPPVIVGQLPDQHESHNDEERRALIACF ALSAMTALAIKAEPMRWSSRIARACDNLSQNLDNTGDIVLVAMARISRVSVDAYNTLQ QIQDDPPSAAYALHQVKALRAMLDMVKSGLSQAQLQNKMVLLFLYGTEVQIYEPALFA IAQTASNDTLDYQRIEYLTACLQACKDSLDNYLAIPSITMNMIQVLAFSNSCQVLYSL SVLECPGWDRYTARATVDVLWYFDRVCVKFEEARRQLAEESGSQENVFALAGGHEGLK SLCASWRENLESATGVGMNFTGELGGVGDAWMTNADMWFTNVWGDTQF CC84DRAFT_1160772 MSSPSIIPDRPRTFSAIPGPVDLLPGADDPTELKKKHLRMLLEE YFQTIDVEHRNAQKKKPEVDQELAKSITGHEAFSSQHKRKLQEARNGSRMSRFDFLEE FRQKIEAYKIIIQEEEED CC84DRAFT_449064 MCMQQGWKSVGLCRLHTVHKLLPCVGLRSKPKPSRMDAPPCLPV SLFHPLSPLIRPHLPGFPKIDSPGPITPTIPLQIGGAQLGFSAPALDATWFGTVGAPV AVPLSVPRCVDLCPAEKSCGMLEVEREFTAMGTGRRRGPFAPSISQPNHFVGVGLRMN VALALTHTPLGETFD CC84DRAFT_449061 MSTTPPAAPPADAKETKGDHRKSLGKYVKRMSSVFRRERTTKTK GSGPTTPTLPESSTGAPAAIAEDQEAATASPAADPVDIAPYDAAAPAAEPSKPDEVPV QPIMQRSALQQERARALFAKYGLTLESHEWIAAPAMPVNVQRIEKPIRMRVHRTCHRC GTTYGADKVCAKCEHKRCKQCPRYPKKKTPLEKAQSQKQSDVAAAKALPKKKRVLTVP TRTGGELAYQPAKQRVRRNCHKCQALFIPPTATICEGCRHIRCTKCPREPAKLNKWPN GYPGDVEYDSDTEMERELERSKRIWRKPRTRVRWECDHCNTTFLDGSPQCPGCGHEKC EKCLRKPIKRVKPQRSFDPQVVKSVEAKLQKLQMHDERLSPQPLDEESTS CC84DRAFT_1160777 MESSKGDMLPKFYTLRCANGEYLGVELMQHRMPRHGSQPQKYEE YAVFAPCLQSREKDDFRAALRPVFDSDKGVFALAANRHKHGFECPQDTLLTRDTRHDP QKERPGVGGSGPAVYFKLIPFEDSDRIRAVLINRAGDGKQDMWDCKLEPSNILDAGRL VYTGPDCSAQIHQIASRPLFDTYRCWLWVDNFIEDHVLVLQDIRLNDGEVETLQHVWL SGQAHPVVWYAGYLVEGLLKFAIYKIHSKDKAKDASFIRESLRFDEHRNRLNIAPNVA EHVCNLICKVEVPHGWLSNKMSTILLGKDEEMGRLPCQTDQLCGRTLSGRLDEVEHSS GNRRDFDGFGFHVTCVIEKGDITGGQLRLTKG CC84DRAFT_449172 MRGMDSLARASMLSSFLLIFSNVILSSSGSSGSSRLVIISESGP PMVGIISMTFPNAARISLRPASSSFLLKSLVVSLGLEPMLPVPLSSSTASFVAKTSAY ALTRASISIPLSIAKDKGKNCCKDQVYSRERRRVCCEGHSCHFKYLTNTYRLLSPPQN GQVTYAEA CC84DRAFT_1193400 MSQTGSKADHMSYRIGRGEQGVLTFEPYSAEILPLWRFKTPAIA RQSSKKIYDKFFEYEKQDDFVGMDMCRKFLQMGMTRAKRYANHAGGRKYDKETGKELG RSTNHEGAKEKLEASMIFREVWEKAKTYETYVEKKKIFLKEQKEWDKQQKKEAKV CC84DRAFT_1172206 MSPAFRAQFGILPHIVKMHGAAFTAIITGLNDVGSRSTLEERSK MLNIRVEVPKPLRKFPPAVVSTACSCFVTSPTVTATQFPFHIVTSTYTDTATAVVTST TTVPTITTTKTSAVATTTAIYKYGGQYEHFCYPLGSENNLQGTEQDGLIAQNYTQALD HCARACFDDLRCHQFSTHSGYTSIPFTYDCFFGSGIPGQQGWVLNGPEGQKSTIACEN FDAPADRPGPYQRYMLTYDRVG CC84DRAFT_1075192 AALGAEITGLAVFRPTTDAITPERVNELMAQMHVDVPAGGEDIS YGASPAQVLRYWKPKPKGEGKAPPLIVFIHGGSWRVGTYLDSLGSRKVEWLVGSGYAF ASINYTLIPAVSVKQQVQECADAISFFTQRAPFFGFDGDSIVLMGHSSGAHVAALLGT DARYLENVGVGTDKIRGVVCIDGSNFNAAAELLDSPGPVAENMLAGLGDDVGVLRDMS PTYHARGPNAAAFLLLQAQRHGDIRQAVEFEASLLAAGTKVEVRVFEGEFFEGHVAML IRLGDEGYPATGVVGKWLRREVP CC84DRAFT_1172208 MPIPRPSFASHRSRSYDADAKTFERPGMRCLGTRSLQSNACKDR DGHSASRDKLSPLAPTFGPRKGIVPSGTVDRRRRVPRYLPFVDQRLLVTHGIRVIEPH SVPVRDAKQVVPAAIVISGDEDRRVVWEPGRDYVEVDPTFCAENLEEAVEEVGV CC84DRAFT_1172209 MVRVKNRYLVVNILYPTSSPAAKASDAVPELLQFHAPTSDAFHT GQLVRLVREGITELFGDYGMGMANRTLKVTYWSPATSTAIIRCPREHYEMVWAALTFT TKLPRPIDTPVVFKVVRVSGTIRKAEEEVIRRSKGIIRKAKEVEKGRKDRLMEGVVQA VEKRGEPDAVLAQVDEAEESESDSD CC84DRAFT_1160780 MASSSSSSTQLLYAGIAHGTKILAEHTARPSSNTSSLLSVILPK LSHAAAEHKTLDVDPYFAHYHTLSPSSLPAAQHSAGGLTFLVVAEKQLGQRIPFGFLA TLEKKFFEVFDAESTDFAALPSYGCASFNATMKSLMIEQGGTKAGKDDALRTAQREIE GVREIMTENIERVLDRGERLDLLVDKTGRLGDTARDFRVRSRGLRRRMWWKNVKLMVL LVLVVIFLIYLFVGFGCGLPAWGRCLRR CC84DRAFT_1137522 MAYDRDAGQNMALELQDGYVCFGQSFGADKSIAGELVFQTGMVG YPESITDPSYRGQILVITFPLVGNYGVPSRDEMDSLLKDLPAHFEAREIHIAGLVVAT YAGEEYSHHLATSSLGAWLKEQGVPAITGVDTRALTKRIRDEGSMLGRLLQRTQTSPI STTLTSGAVNTQELANGAEEVDWSADFAKVEWLDPNKKNLVAEVSTSKPKIYSPDPST ALKHPSGRPVRVVCVDVGLKYNQLRCLVRRGVEVEVVPWDYDFPKLAGKEYDGLFISN GPGDPAMMKDTVEHIKTAMSEARTPIFGICLGHQLLARAAGAETLKMKFGNRGHNIPC TNMITGRCHITSQNHGYAVDSKTLPSGWEELFVNANDGSNEGIRHTSKPFFSVQFHPE HTPGPRDTEYLFDVFISTIEKSIANSAVLSRPVEFPGGTAEENRRLTPRVNVKKVLVL GSGGLSIGQAGEFDYSGSQCIKALKEEGIYTVLINPNIATIQTSKGLADKVYFLPVTA DFVRKVIQKERPDGIYVTFGGQTALQVGIQLKDEFEGLGVKVLGTPIDTIITTEDREL FARSMESIGEKCAKSASASSIDEAMEVVKDIGFPVIVRAAYALGGLGSGFANNEQELL DLCKIAFAASPQVLIERSMKGWKEVEYEVVRDARDNCITVCNMENFDPLGIHTGDSIV VAPSQTLSDEDYNMLRTTAVNVIRHLGVVGECNIQYALNPFSREYCIIEVNARLSRSS ALASKATGYPLAFVAAKLGLNIPLNEVQNSVTKSTCACFEPSLDYVVVKIPRWDLKKF TRVSTLLGSSMKSVGEVMSIGRTFEEAIQKAIRSIDPNNLGFNETAALMGIDQELQTP SDQRLFAIANAMKSGYSVDKIWEMTNIDKWFLSRLKGLSNFEKEMSGYTTASITQPLI RRAKQLGYSDRQLAKFWETNELAVRRTRVDAGIFPVVKQIDTVAAEFPAHTNYLYLTY NGTESDIKFNDQGVMVLGSGVYRIGSSVEFDWCSVRAIRTLREQGYKTVMVNYNPETV STDYDEADRLYFENISLETVLDIYTMENSSGVIISMGGQTPNNIALPLHRLNVKILGT SPEMIDNAENRYKFSRMLDRINVDQPAWKELTSIEEATEFCDKVSYPVLVRPSYVLSG AAMNTVYSRDGLAQFLQQAAEVSKDHPVVITKYIENAKEIEMDAVALNGNMIGHFISE HVENAGVHSGDATLILPPQDLDPVTVQQIEEATRKIGSALNITGPYNIQFIAKDNEIK VIECNVRASRSFPFVSKVMGVDLIEMATKAMAGLPVTAYPPVNIPKDYVGVKVPQFSF SRLSGADPVLGVEMASTGEVACFGRDKYEAYIKGLIATGFRLPKKNILLSIGSFKDKL EMLPSIENLHKMGYNLFATAGTADYIQEHGIPVKFLEVLPSNEDQQKPEYSLTRMLSN NLIDLYINLPSNNRYRRPANYMSRGYQTRRMAVDYQTPLVTNVKNAKILIEGIARHYD LEISNVDFQDFSKIRSDNEDHATQLSRRKQSLSLQQLLARSPFKGKHITSVKQLSRAD LHLLFTIAQEMRLGAQKYGCLDVLKGRVLCTMFFEPSTRTSSSFDAAMKRLGGEVVVI NESVSSTQKGESIADSIRTLGCYSDAIVLRHPQEESIDIATKFSDVPIINAGNGSREH PTQAILDIFTIREELGTVNGLNITFVGDLKYGRTVHSLCELLSHYDVNINLVSPAELP MPNSVRDALKSRGQLSTVSNELSPEIVAKSDVLYCTRVQKERFTDLASYERTKDAFVI DNRVLKAAKDNMIVMHPLPRNNEIHEEVDFDPRAAYFRQMRYGLYTRMALLAMVMAA CC84DRAFT_1160782 MFASLLRTRKDAAGPETTPLLQALNRYRSRDADARSAADDDDAA HYDAGDEDYEDEDQGRRDGPLLPVFSSEVLDRVPIYNTTHSIRILVIQRCETTLSWDQ LRSPQVSQFLVKPIQQAILQSHFSRGAIYCLLSNCLQFRKEAEANPGNIGVNKSRALI CELLAVRLLKEHSTRELIDALSYDFNPLQGLVSPGAGTATPGGAWARERSARVSTVEI AIRAQAKKFLAHPLVVQQLEAIWAGTIVFHSVADSLHRKPEPLNRQYRTFDDTPRPGP SRRLSPTKPNRPQAQIADLTRKTVTLYDPHDASLFKLSRLRVPRYRNLFSTLSYGIML GLFLAVLAEKSDDITALEVLFWIWSAGYMLDEVVGFSEQGFGLYIMSVWNAFDLGILL MFLLYYVLRLLGILMPDVHSRHDMAAIAYDVLGSTAVLLFPRLFSALDHYRYFSQLLI AFRGMALDLVAILVLIVISCSGFFVAFSLAFQRTLSPSDTAYALFQLLMGFTPAAWDI WVDANPLGKALLGLFLFICHFLIVTILVTVLTNSFMAVVQNANEEHQFLFAVNTISMV KSDALFSYIAPTNLVGWLLIPLKYCMPLKSFVRMNRLVIKITHIPILFSIFCYERILL SNLAYGPTDLVEQRGRSHAQGAIPAFSIRGGGDLFSPGQRLREPSITTFHKDRALEEV FRRPFRDPSQRTPSNAYPQGRRNSSNVVSDWMKRMGNEGGDVAPPQDDARSLLDRLES RKRPILRRSKTAGQAPQRRSKYPLTTSRGVVSDPEDEPSPFTRPILEEEEEPEGPQQT EDDGDDELLTNDEEEHGSEHTTKDNKTPHQESRLVTPNDETTDDEEYFRTPMTVRPRT PLSRTPVRASSPISTVNPLVEISPSKKHPRFKPSHNRNLSTNTVLFSPTKDDHSAQSH SSVSDVPPRTVTRSGTATPGRLPQESARNSGRSGTTTPGRIPLDGHRTPKRPAPNTAA SSRPRAILPPTQLSRQNTNIANFISLNQRQRQPSFNAMALDLASDIGDNRPQGGAFSG FPASFKSQMEMAARVKAKEQEGSEHNEENKRMSRIMLARMTTLEEGFRDMLKEVKGLK RSSGGSDGTRSPPSELLKQRKAKGKKKAADQRRGELQDEPRMGSSL CC84DRAFT_449302 MDTLQSHWAPHLRENGTGDASFVSQRIKASTARIFPFLDLPREL RDQIYLHLVPGSLSFTMPNQHREGEYGYPNVRGFYKYPFSYYLASDPVLFLNHQIRHE MLDSIYANTEFRFDWRRRANFILRVVQSIPDSAKAKIRRLSWCSHSLHTGGDRRSYNG WDKVMEYIATHFTSLNSVYCSFPSGENGSHRYHNWQVPRLAVTLLMKGKIQEFIWAWS QMVRWGPGFRADVLVPMATRLFTIPYDEEKDWDKGFEFRRLLESEEQLDTSDRLDRWA LECLRRPQHQFTATVDARGLVLTRK CC84DRAFT_1235435 MLQSPVSPESPDVKHGARPFARIHNYEAGLEVAENQNREKNDLD PVVVLSSPVETDSPLFITHGRWAEAPQPIDSTSPQAMGDAALEAHRTTTTQPESDAAK TEKGSTLVDSEEGGPRRWCGLGRKVMLLIIVLVILLVAAIIGGAVGGTLAKKSAKSTP VTDNNADTPQSSTASQSTTASARRSGDSPTATEATGPHVRFRLQTYDDYEYRGKIQVF EDPGLFKPKWDILSYNWTPARSGDLEDTSLLRCSVAFCANQTVLGWRGASIMEQPGST SANHSANFIHIACDQMYLNPECMGLGDPDATSWATTKDDYSQVPSATPTTDGDTESTR STTLATTTPPPKSRSSFSSNSKSTSGSGSSPDTSSAAKTQA CC84DRAFT_1083533 MVATQDAVFGELTEEGPNYRAVGWKGAVVLMLKTQIGLGVLSIP EVFDVLGLIPGVICLVAIAICTSWSGYIVGVFKVRHPDVYGLDDVGRKILGRFGYESF GIAFALFCIFVAGSGILGIATDLNALSSHGACTAIFVAVAAVVGFSLGSIQTLGRISW LAWVGTISILVSILILTVSVGVQDRPSAAPLEGEFKSNYKLFGSPDAAGAFAALSSIV FAYAGVPAYFNIVSEMRDPRDFTKSLIYCQTIMSAVYIAIGVVVYYYCGSYVASPALG SAGPLMKKVCYGIALPGLIVSTTMYIHFAAKYFFIRFLRGTSHLARNTKIHWMTWFGC TGSVAGIAYIIASAIPVFGGLVSLVGALLGTLICFQPMGGMWLYDHWNKPRSAKWMLM VFWCFFIIISGTFLMVSGTYGSVVGIIDSYNKSGGSAAWSCADNSNSS CC84DRAFT_1183947 MSQPLTLFWPIFPQRSDGKTVVNNKGKPVRNGPTEAQLDRTPNE QGQSDYYRLIEKDDAKHVDWRKKLGGMLLREIGRKEDEDKWQQCILWDFPEGYRLYEH IKSKTNGDSKTHSGGGHDRQDAYLYGYPKGPRKRFRSPVEFFPHLLWLSTDESSDYNN CSCRICSPNQVEVEKPAQPARPADATSNASTAMRTMSASNGPVPVNRNSAPIPIRRSS VGTPSTPIAQSPVTKPATPTTQYNAGQIKAPPRLEPTPLPQPRTLDQQVDNQYNKFLA RTGEVVWFRRSGGAFGLGLVARRWTSANAAHTGMYSVQPLSYPGHSPPQEVVEAGADV KPWLAWSVPSCTFEYLRNNPTMTYNQVDWKALAARQWEGHPEVDASILAAKAIDMTYT PFERLKTVPSNGGEERHWNGIYYGAEKIWRGEPVRLRLGLGSDVLVISEIIQKVAPIG NNQVGSKIEMRGDVYAYATLPAPNPKSPPALPSDNHVPLRMREDMNWRNQTLLSSAGE LCYWKLISANQRVALEDIKGRWYEISSLFQEFFFASVQKKEGGNGSWMNARGDATGQS KDPGALRADRLEAFGSAIPQQFRLVDGLDPPGDHEKPATAMQGIELGMGAATGETNFA IDDFMNFGEDTTMPFGDSNIF CC84DRAFT_1137534 MSLETPSKKGGVLENLLAQFGARQTLTSATEARAQARRETSSHS PQTATVAEEAASPAPNNHLDLQLPSVIPELSTIFHATFVSSPSTSTWTEYTSESDCES ESPNDSSTPISKPSLRRARRSYVQLEGLKMENRRKDRDEAPRRSTRVRKSDILASDTV YYPATPPSKRKSGAPSPRKLDTARARLRDDIARKTQAKANGFIVAHRELFLPLLPPQN YVTKLIANDQPPSVVQYKRLPAQPKGVKATMKPYQLDGLSFLVYLHNNGFSGILSDEM GLGKTLQTLSLFQYLEEQDREFGVVSEESRPYLVICPLGVLNSWVNEARKWVPELKVL RYHGTPGERDRLKRVAQGLEDQQGNETAQARDRKASKKAGLKVSKLPTESASDSYKII VTTYDTFKAEQSWFKHSFLWRYVVLDEGHMIKSNVTQISTALKRISSEHRLILTGTPV QNDLMELWSLLAWLLPDVFTDNSQTLFKESFDLARGRANQKTMDDARRLLELLMLRRM KDSPGVDLGLPPKEEVLLYVPLTPMQRFWYTRLLTRVDDSMLDDLFAGGKTKELAALE TEKKEDELRRTQQNAAASNGKEQWGETAAIMRQAVQTEQKGGTANSAWRKLMNLVMQL RKCCSHPYLIPGAMPEPYYAGQHVIRSSGKFIMLEKLLRHSIFDQRKKVLIFSGFTET LNWCENMLEMISNFGQDFKHLRLDGGVGRARRNLEMRLFNDKKSDYKAMLLSTRAGGL GITLTAAEDVFFLDEDWNPQVTLQAEARAHRIGQQKNVTIYKLCTQGTVEEQMIGRIR KKLYLSTKITESMRTMFGEHVSDTGGTSLVGGDAPEMSTSQLKSLVRRGAQTLSHPEI DVTEMLSWDLETMLQKCRDKPADPQTSADSMEVDEQEWLSVIERVETAVFDGKRYQRK LEKAGLKGATDVSNIREDRRQRKKMTVMVDGYEVSKESLDCAQWEAVPTMAGKDPRLA DLLREKRHEYVHEDYCLACFQDSGIGHMVECKSCPRVFHFDCLDEEYQEKVKGFSGFF CPQHYCCDCGKNTTDAGGLVYRCRWCPRGFCEDCLEWDEENLELIGENLPEFEMMHQP SAQTGFYIKCPDCVNSMQEDEAKREWITEMEKSYAEQHEEWFKEEEEEQQRIEQQQDA AQESRRMDSDLSKASTYLQVPLTEDERGSTPSLTDYSTTNDESGLNTPRAQTFQASSK KRITKVDSYSAGSPHKRARPTQRARSNGYDLSDDAI CC84DRAFT_1172219 MRHKVPPEGPLTRRSSWFPSRSPSFRYCSCIINYSQAYERIVDP LLAPKYEARTSMRGHSFPQTEVAVLVLSYSSRQFNVWQSVEMEVEVVQQHLETIQKQD ESRVKWLQVLSYIPLISCQSLTSAKELLRAYCNTVDKLKDSVTDVNNQSILNFALGRE NEQLKSKFEELQRSVNRSAFVLVLIDADTDSYVFEDKYLLRGTDGGREAALALKSELQ RELRKESPAVAELPFLLKLYANVDKLGDVMSRCGMYEASNRLRDFCRGFCQTGGLSEF IDVGDGDDRADHQIKGVLQHFQENPCCKHIVLGSCHDNGYVCQLDVIRSEGSLRDRVT LLKSFQTGWQYGDLPFKSIRLPSLFRTRAFSASTPLHAAQPAPGNGLRNGITFTGPAL SYAARAGASDGSTVEPTPPSPVNTNNRSVILVNAKGERLDRFLKKPPQAAFTNFHVKK RELEATGKRGPCNLHYLGSGCPMMASKCQFWHDGFDNADISLLKWFMRIQPCDEGLRC RSVWCFYGHSCNVLAVAAIVMHTAMLTVVHQSQASTG CC84DRAFT_1183949 MFGVIVSGRPVLTDAQAVSETQFAFQIPSVPTFSHIVVFLLPGT TLPDGTAAAVYAQLPYTSEFKLLGAIANEKPSAIFKVNNRAGGPAGGGVGNEDAMVDA SVPLNGAAVPPLALGISVEPAMQVAANLEQQKAQGSTNPNAGTEMVLRQNPVTTKVLA QRIIKNCYDFLTSWGSGDTVPLKAFQAWWTKFENKIEHDPSFLERSDGG CC84DRAFT_450925 MCPPEALKSLKSSTTSPSSIPAIVPPTTLSQSAYSHAASLLHHS ILNHSIRLYIYTKTLAAAENSVYHTDPAKHDQLFVACLFHDIGTTETYNGPQRFEVEG ADAAVAHLSKFGVSEEDKLQVWYAIALHTCKGIVQRMGELPALMRRALDVEFSVGVWQ DEEGIEDVAEFRAKLEKTYPRMDIEKVLGDAVALQAVGRPEKAPSATWPGGLYRAHLE DPDWKGVSKAF CC84DRAFT_1213091 MLHSAAPSNKRIFAPTGGSTDPGHAVQYKAGALNISDLAPTPTA QFHAWFNEALAAGVYQPETVTLATCSLPDGKPSARTVFMKELDDRGFVVYTNMGTSRK AADMASNPQAALTFWWREMERQVRVEGRTERLTSEESQVYYDTRIRGSRIGAWASPQS QVLEGREELERRVEEVEKRFDGQEKIPVPEFWGGVRVVPEVVEFWQGRESRLHDRLRY RREGEEWVVERLSP CC84DRAFT_1160794 MSKKPFDPSTLQAFGNAAAGHDGVLSDLSGAVVVKPCTAAEIAF YESISASHPDLAPHLPTFMGQLSLSSDQSGSAPVAIEESGTIVTADGVVERLHGKKLD TELHIVLENITHGFTKPNVLDLKLGAQLWDEGAKPEKRARLDKVSAETTSGSLGFRIA GMRVYKGEPVPGTPEGLKEFVEADYEKGYWVYNKMYGRKFAAEDINEGFENYIHGQGK QGKELERAREVLAYFLGEAKDIIRAFEKKESRMYSASILLVYEGDVDAYARAKERLRS APAGEEGDEEDDLPQLAAVKMIDFAHATWAPGQGPDENALRGMRSTTSILKDLLDSVE KELDAAEAA CC84DRAFT_450838 MIALPGLNLGAQAPEPLNVPTTSATRTQELSANTEYRFEVSFAR SLAIKLVTGTAEYFGTELAPATTYNFSGAKGAVFTWHGCKLEIGGEAESEYVAEETQM MSAANAHFALEALRERAHQTRDAGPRVLVVGPENAGKTSLVKTLTAYAVKSGQQPMVV NLDPRQGMLSVPGSFTAAAFSSILDIEEGWGSSPISGPSPTPVKMPLVYHYGLRDAEE GTVFRPLVTRMALAVTSRLEEDPASKSAGFIIDTPGAVAQGRAGVYENIEHIISEFSV TTILVLGSERLYSDLSRKYSNSADAIAVVRLDKSGGCVDRSDAYMKALRHAQIRAYFF GNSEAGLAPHSQSAGFDELSIFRVKEGSDMQFNDGGDAYGGAAEDTIYERVTPSSEIV NKLLAITTAAPTDGHASIRDASVRGYLYVAEVDEGKKRVKLLAPQPGQVPSTAVVCGR WPEDVASLVG CC84DRAFT_449394 MKPQNKSKNLRVWSLCLLSACASVNAQVQEPLKAPIDPLKYKAA CPDYKNYAMRQHPPYSLGPLELPSQRPSKYCRTFESPLVEKVIEDMNEKLIDKDLAKI FENAFPNTLDTTVRWHADGTVKPKPSKKSWDAAAWKGPQSFIVTGDINAEWLRDSTNQ LAQYQSLAKKDKEIRKLILGAINTQSEYVIGSPYCNAFQPPPPSGLNPTFQGDGDTVH PVYEPSFVFECKYELDSLAHFLSLANQFFNNTGSTEFVNARFLLALETLLEVLENQSI STFDEETGGFRRNEYTFQRQTNTGTETLNLGGVGNPLAAGTGLVRSAFRPSDDATILG FFIPANAMISVELKRTAVLLRKAGKKDLAKKMQEWGQKIEDGVWEHGVVQHKTFGRIF AFEVDGFGSSIIMDDANLPSLLALPLLGFTDAKNDIYQNTRKMILQKKGNPYYLTGED FNGIGGPHIGYQHAWPMSVLVQAMTSESDDEIMTCLGSVKNVSRNGLIHESINVNIWS DYTRSWFAWANSVFAQTILDLAKRKPHLLFGEGAESYTL CC84DRAFT_1202356 MGGLMSDPIQGNLPEHLQRCGAHIIGRDSTLNMVTRTLVAPGNK ACIVGPRGIGKSETAKECAYRIHDLYPEIIIIWIQGCDQLAVQATYDRIFREKTEPQY VDHQEMTLQNLVEKLDTGFDGRWVLIIDDIASRANGQPVLRRLASTPIINGSILFTMC NRYDAAILVNDNFIFTLDPMTVEEATQLLGLELEGVFLPDEGINDLVQHLEFVPSAII KAAGFMRMYNLSTVQYLEYLRRQEDHVSNLHLQNNLGLPGTCALIGTDMLSAVSMKTL YEKDGDTGQLLAIMACLGNTRIPLTLFDASYWPRDLTRSLIVLRDSFLISVSDSDDTI TVPRLVRLSVRAFVRRHQASARFAALALQLISEKFPETGKQHAMLRECRLLLPHALTI LQEYASQWTTCDAKHRQAQTGVTPSQQLSPWDFLAPLALRVSHFLRIVGQYANAFQLA GQAIQWLFNGYNIHASAAEAFLCESNIATISHYLGRPIIFACRVEQLFRSQTVLLNSH KPLTIRALRSKGLALQAQGHHGQAEGYHRRAIAICNSIYGPRHVKLLDENHGLALSFL GQGRAQEALCTLYEIYTAMEASLGLSNPKTLSVLANIGCALQHLSRWTEAYEVMTRAL SGRKAVLGEDHPHTIQSRANLAQIYVANEDMAGAEKITRETLRIHVAKLGEDHHLSLH ILNNLGYLLLGQEIYSEAAETLHTVATKREIVLGAQHCDTLASMFYASEAYWKMSRFD EALYLGHRSQSHQDIRSNLDRACTYPAPECTRDCATTMPPTNDDPQALPLNVGPHPTP AKDLHHHKPNSDQDDARTDPDIIHRDPALENPHPQFGQHSRYSARTYLTLGCDIVILF IIATAVMYLFFQVVEFLSYNDADVNPKYGKKDFAFVLAVVYLVLGR CC84DRAFT_1137555 MDDHEWTWSEKFQDYYRWQLRNVPGKARYDLKWGRSLISRDEQS PSSVNTSLPPSTAEQSDNARNAIVPGTNNSTLPYQLSSVNFPSISEVQDQYQPPEFIQ LDPTFRGNNSSPSFSYQRLARPLGSEDTLSTTGPGINTPTTSADTSRLSYSTPGSEQG TGRYDDDTVRTASPDQDMTSRLGTLSLTHETGFETIRNPRAYFKKGRVFKVSWPEPRG EMGPSTSLSAVSIRADTPTQLSLPQTHGALDSTSVLDGMSGGTTLHVKTRMFVVIRQR AQHCLCLPIYTYSQQGTSKAGVKPEDHAPLIKENTELILHPEEQGSKLQRPIVLILED TTLQWSPLSRINISKVQSVEYNLKVKTVGRISPDCLSDLETMFRDAIGLSED CC84DRAFT_1160798 MIDQEKFANSPSLYDRLTWEERLIGHGDHAGALLRTKQTTRLIE PIPPDDSSLMSRAPLLPLHNESRPQGDSSVTHIHASPKHISGWSVTELVSQTIRIHNV AALHANVSHLSSRAAVDVELHSYHPALPLPSGETHLCAAATAVHWSISLLESLRTVCT TVIPPTKTPVALRVVHTTADFHNLTIFGISTIERASATTVPANPGPNLPPITTPPDDD LLDHPQSLCGTPPLPPISTPPDEDALVLGDNLASKSSLSRSSQAEILTLKWGTPIGTF RLLMRRLLGAKFAWGPACFRSWCLN CC84DRAFT_451051 MKLTSRANALTTVIERPSHLNRKRERTHSRRRQCSHRLDPYNPQ LALRLRRVHHNMDTVELQQGPQAPRLHMDNLIHRYRRLRMGKDKRKATGPKRSQYNIH TPSRRNSTTPMDRRPLRLHRAGERTQAKDNIHTIKDLHQVQTRLLAPQDHIVRQI CC84DRAFT_1213097 MATEPDPFAQTLLARAHPPAVAEALYTERVVKRPLHIRATSPMP SVRAARRKTQNERKAKARARSALKPRPLSAAQKRALGLLEIPKAQQKYEIYEGLHKLW AGYMAEILGLGAEGGKAFVTPSSAGQQLASADMHGAIVEVVRSRCPSRVGLKGIVVRD TKFTFEIVTMKNVVKAIPKEHTIFRFEVPVPSKQGEEEKKPLVFEILGEQFQTRGADR ANKKFRIHYQPDI CC84DRAFT_1183956 MADSDFKLSNVQGDILVGLSKKTEVFFFFRITDATAFKSQLKTL VPLITTADKATGEKAAIRAFKASRAHGVNGDGTNELGEITGDGDLLPIAGVNIAFSQK GLTALGITDDLQDPLFRAGQRKDARSLADKGTGETDEDFKPDWDEAFLQDSQEIHGVI LVAGDSFGSVNGKLDEVKATLSANETSSIAEVATLAGDVRPGEFSGHEHFGYMDGISQ PALKGVDDVDPKKPKPGQSLIDLGIALLGRSGDPVEGRPAWAKDGSFLAFRKLPQLVP EFDRFLEEKSKPIADDFDDAPTPADILGARMVGRWKSGAPLRITPLVDDPVLAADDQR NNKFKFNPQSQEMCPFAAHIRKMNPRKDLDQFATEQTPDLVGPHLILRRGIPFGPEVT SEEKLTKSTKYERGLYFVSYQSRLDQGFSFLQKQWANNTSFPPGENKKPGFDPIIGQA PDEVRSMTGANDDKLDEDLPLDRQWVQSKGGEYFFSPSIEALNGVLAGVAAP CC84DRAFT_1137562 MASINAETTPGSVRPLEPKRRGSKEKDSGRSTKHRASQACHACR LRKVRCDVLTNGPRCTNCRLDNTECVVLPSRRGKNNRSRHERVEGRSPVPPKPLPFNV HSNSGSMHVQNAPRREGPATSPTTGEVPVCVTFDEDAHDEDHSKGLQTPDQVPSIISP NPSIGSISQDPRRPSTIEGLPSFISPLPGHLLREDLEFLVQKGAFLIPEAHLQSKILQ SYIFSIHPFMPILDLRALFRAVYDGQRNDHISILLFQAIMFAGLAAVDPEVINSMGFQ TPKEAREHFFNRVRLLYEFDVEPSELAVLQSLLLMSWWYGSPKQRRHTWHWTGLAFSV ALNMGLHREPTGSRQTDEERHFRRRLWWSLYIRDRLLALGTRRPMRIRDDSFNVSMLT IEDFEIDASTQLALPDVEDIARTSLICVELAKLCVCIGHVLSSQYTDLETQADVPPTM MVVPKRPESSAVGLNSCDEEIANWYQNLLAAFNRFDSPPRIQNGMHSCYEVHWAVLNM LYLTLVNVLHRTQALQHSPDDASAQATQRSSRAKVKDAARSATKIAHTMLRRNQVRYM GVPGVTALIAACLSHILDIRSDNEDVRDASVFRFYQTMQVLQSLRSIYASADSAVSFL ASVIRKAGISVPEQISSVHVDAMSTCSDRAATTRNYASDYEGPSAYEGRRRTIAPLES GVPATEQARQPLTQQSPAIYFPQPQPRMPFDQQAPPLLSEMSTWGFNMADLGTAPLGQ FADPSTGESFFEWTNNLSLDVGMDMSGGIGSDVDYYRGTYDLFA CC84DRAFT_1172232 MGKDVSFRWEDCDALKHHCGFCNTPLHHPSAKRSCFGTHAEPCF RFHQFMFMRHRGHTCDMCSHIDEHHYKRHFEMCEQVRSIYESCGENWTLMPEYGEDRG RSMGRGPDAFDSDGRSSIPGDMPLRKRDRKEQKRQIRAATRSKVITHEDVKYIESVLH PAGPQEDTEIPANSEEIEEIEQHLNYNAQCYNHGQKRSKIREFARIPDADIDFGAEID RIFEILHINELLKRNERNRGLRNKELASFNTIVSELKGLIITDMVQNKKDELEIRMRR AAFLRYANKTSFNIVGNRYADKDWKTGQKYRSVGSDSALSDCLTAVEEEVEEDDFIDE NELRNLSTATLHDADRRHIEQSHRKLGSDEFPEEIVAVQDIKNLPAEFGPKPLPSLRI VNTNIVPPQKIRFYNPWKQLKPSITKPSEVPKRTVRNLSSSELIDKDPVPEEDDAWQT VGPTTIPMRNSSGRIESRRTKNVLSLQAPKSSSSHTTPIAPWHALKPTRVGVTAWMDQ GAADRRKMAEKLDREEKKGYAQNLSALLPTAYMLSRRIDAQFRIEERKKQLEFVEGRL QRKVEDRKLQKPKKPTEVAELPDTKHAAVESRKKKEKKRQREAQRKARRAAEKEHGDP ELVGPSPDGTEADESAFEVSPVDSMFRDGGIDSTFRDLCSLLKEGSTSEAEGTDAADD CDDDHSSSYDEVGEAIEHSIHVPHAKAELMCHSLRLAPSMRDYSSVGGNMTPEFSSKL SKHLFGPGRTTKGVTSIENKSVSFELSKSPATIPTTKTGRHRDWLQQFANAMKFDSVG RPLFEPDHPAYKNTSSACSWIGECPYESSGIPDCPYHRTYCKCVDPTNQNNFQYIVYA DSNPCELGPYNYMQSRKLMEFFQSQPETKDKLMLVDEDLYTWLYTVGRHWRVEGMTMK KLENTPMPKRLSWEIEDYVRGFQKGRAMNQVEQFQDLADRNERLSLVGCRAKVTEQLL ESLRKKCETGPADESICYCQADLPENLKAKEELVECMFNDCPIRFFHRHCIGKLGYNK VTTWYCGHCEKNISVAAQKALHEAHAISMKTPAPDFESREMKGKMRFVAKRSDMLLTG HCEKC CC84DRAFT_451145 MDVTRALSGARLRPLRFLKETSFEKMSAKTSTEIIDIRPGPTEF DILQDIKDGLRPEHGGEKTLPTMLLYDEAGLRLFEKITYVKDYYLTDSEIEVLDTYAD QIAERIKAGSVLVELGSGNLRKVNILLQAIERLGKDVEYYAVDLSLPELERTFAEIPT NYQHVKLKGLYGTYDHALEWLKSPKVSAKPKTILWLGSSLGNFTRADVPPFLTGFREA LQPGDTMLIGIDSCKEPERVFRAYNDTDGVTRDFTLNGLKNANRIMGTEAFKPHEWEH CGEFVEKDGYHRAFVSPLKDVIIDGVHIKKGERIRIEESWKFSKEEIEHLWSEAGLIP NTTFSTARGDYGLHFVSKPAFFFPTKPERYAAKPVPSIAEWRELWTAWDDIAQKMVPT EALLSKPIKLRNAVIFYLGHIPTFLDIHLTRATDEKPTEPAAYTKIFERGIDPDVDNP EQCHDHSEIPETWPPRDEVLAFQDAVRKRTKALYDSNAAHENPRVSRALWLAFEHEAM HLETLLYMLIQSERILPPPGSVMPSFDALARKSKKARVENQWFTIPEAEIRDGLDDPE DGSAAKRYFGWDNEKPTRSLRVKSFKAKARPITNGEYADYLVQTGKCAVPASWCDGLD PAAKVVVNGVNRRNGTNSINSSIDKVMQGKYVRTVFGTVPLHYALDWPVVASYDELAS CAQWMGGRIPTLEEARSIYNYVEHGKAQEFEKTHGNKIPAVNGHLINNGVSESPPSQH LSNGSSGTGSDPKPGDLFIDLEGTNTAFQHWHPISVAEKGDKLCGQADLGGAWEWTST VLEKHDGFSAMPLYPGYTADFFDGKHNIMLGGSWATHSRIAGRKTFVNWYQRNYP CC84DRAFT_451433 MDYGVRPVISYSPDRLSDCFDACVHNTQMMHNLEKWGPALHNLR GQKGYLEGMLSKTVTTLNALRDRQTRNQCILSTNPTPRTKRKKIQQDRWRTDKTIQTC ENEEKIILDCLRVCENNIQTLEAIIYPPESSLNSAEHYGSNSYGELDTASFDWQGWTD DLVISPFERTRTGPLPLDEVSPDTMAGCTQPRSAFLVAPPNSAYTKFMPRPEATTFKP SAAHMQLSVTQLARELDKLTISGFLASKRMSSIHRRRFSDEAIAFRRFPSTVEPTPTR KGSSSSWPGQRRLRGNEDRGSAGKARLKRCISI CC84DRAFT_451691 MADASHSTSADGGTLRERPSARPLHLHNSDDARQKVLDLNEQEA KDQKHGPKRTYGRTPDGTVFIVPQTHDMVSQLLSPSQPKNLSDLVVLAVLAGLIFLLY ALPRSARKPVFAVIFLFWRAAYNVGIGWLLHMQSNHNRLVLWAKNSHLFENPDLGANK HRTLYKLLKREMETKIPQDYKFEEAPLEYNTWLLFRRVVDLILMCDFVSYCLFAIACF NRPDESWGLWLLRWATGILLFLFNLWVKLDAHRVVKDFAWYWGDFFYLIDQQLTFDGV FEMAPHPMYSVGYAGYYGISMMASSYAVLCISVVAHAAQFAFLTFVENPHIDKTYNTP PPRKRQQSQSIHRDERPMSGHSDATFLDGTPLNESDGQPSQIHNIVGPLNTDFHRSLD TSAVLFTLYMFCFATMTPNTWPVRTFFLVNAFIWRLWYALGLGYILDRQSKKKNWTRH FIKYGDSKEEAWRQWKYLYHLSMTLSHVSFAAAAWKMYTLPSDWTYGWTLLRHVLGVS LVALQVWVASSIYESLGEFGWFCGDFFFDPPSKNLTYSGIYRFLNNPERVLGLAGVWG VALITWSLPMFYLAGTAHILNLVFLQFVERPHMQKLYGEKLRLTSGVSKTLRQALPSP VRNWQSAADEYLNSTVEFIEEVIESARPKLAAGVDTFFKDSTALFKSYPARISITRLA PELAGVDPTQYKLEIEGSRLSPYMEFQKGHAREGSMARTPAERTSDFDKLMLEYGAPI KVRWQAPLNHSNKDWIGLYMVADNASREVTRIGSNGRWIATNKGVHDTSRAEEGILVS DKIMPASARKDAEVDCYTGEVEFRGDKLWWTTGVFEFRYHHNGKHNVLAISQAFETRI PRFDEEDVELDVNGTIREPVERALLPVVQNCFDRDPEIAPSTADEPFGSLVERDGKYS RRVVFAVHQMFGIEFAPEVVQADGTVRNLAWRICNAKKVLAPYSMSRTGSNTPELR CC84DRAFT_1235535 MKLSLALMFPALVASTAALVVPSSTVPKPFSAPDAHILSLSGPG CPPLTAPRDSFPTRVSYGLDINGNKEFYKHVTYPWLAVGLAADLGEPSSRYCDVTLNI SAPAEFQIVLGRNGTRALATYDLDEGVEVGWKFEYDVPGADKRVDSIKVIGPLHTGND EVAFNASVPAAPYTFPTCGEVVLKLRAEVTVKGTKEGEKGRVDSQRAMYEHDGYKGSY AYGSPHRGAAPLTSCVSGEPSHCLTRTSCLRTERSNAPTMGKSSSKTSARVLPLIPLA APLAVLPATTLKIPIANRSDIAAILAKIYSISPTAKPDAAITVACVPLNSPDVSPRDG KLLIEDGGKKGGRENLYETDPVNATKKDVFGYACVAKVSGVQGRRQGELSLVVEGLER VRVVDVVQDRPYFEGELEAVDEFGMYTPSSQAVPDECKLTLPVDLDNPEFESQFNLLK QLSRELLALVRLSSILPRAPAVSLSPIVARRLELFIARKDLTEAGSLADFMANVVDCT HEEKLRVLAAVDVKERVDKVIEILQRQITNIQGSTRITVTTAAVPVPTGSFDIDQLRR LQQEALQRRGLKGSNMPPGFPGGLPGGGANVGDDEPNEVDELKKRLQDAQLSPEADKV AQRELQRLSKMNPAQAEYQVCRNYLENLAEIPWTKTTADQLDAKTLIKAKKQLDDDHY GLEKIKKRLLEYLAVLKLKEHANKSLDEQIRTLADAAHPKEEKDEKEEDLDEKPTREP TEEEMRLMEKKRMVDKSPILLLVGPPGTGKTSLAKSIATALGRKFHRISLGGVRDEAE IRGHRRTYVAAMPGLVVNGLKKVAVSNPVFLLDEIDKLGTSNYNGDPSAAMLEVLDPE QNHTFTDHYVNIPIDLSKVLFIATANSLDTIPPPLLDRMETIQLSGYTTLEKRNIAER HLIPKQITTNGLHSEEVLIPTDVLDKIITAYTREAGVRNLEREIGSVCRSKAVEYATA KDTDTLAAYNPAVTLSDLDAILGIERFAEELTEQHARPGVVTGLVAYSSGGQGSILFI EIADMPGSGRVQLTGKLGDVLKESVEVALSWVKSHAFELGLAASADEDVMKSRAIHVH CPSGAIPKDGPSAGLAHTIALISLFSGKPVPPTLAMTGEVSLRGRVLPVGGIKEKLIG ALRAGVERVLLPEANRKDARDLPAEVLEGLKIEFVGHIWEALGLVWPERWEGREAGWE SRL CC84DRAFT_1083712 MIRLLHVRRKEFKEFYESESVPLYAILSHRWMHVQRNGKYRALE ISHREFLAGTGDEKGYEKIDKACEAVRDLSQKLVLDLEWIWIDSCCIDNNNNEEHSEA INSMYDWYARSHVCIAYLEEANPFESDKPSEWFKRGWTLQELIAPEVVLFYDKDWIGC GSRNEYTPGVYGGHSFQDRSKELAAITRIDATLLKLNKRKDIKRWLNATPACQKMSWA SDRRTSKKEDMAYCLIGIFDIPHMYLKRGEGGRAFIRLQEEIIKQSSDLTLFAW CC84DRAFT_1202368 MCAGVAPTMLVAGQQNNNSPTSIQLDPPAASCANLTMFTISTSI PAPSPLLSLPPELRNRIFAYALTSSTSTLQYSLASTPNHPVFSDTANANHNQEFNQLK YACRQLYAETAGLEVKHNALRFDLPEDDAYTLARVQNSVDSGFSTPNSEAPSTTFPPF LYSCAPDKRKWLSRIIITIRKPASYSLSQPTVDRLLSLAKFKRENAHVEITYEYVDEV YEYSAPKWQVSVPWLSVAVCLGVALPVEGALRPVVDAVSGLCGKGSLVFWGAAFGVWD LVGLK CC84DRAFT_1111312 MVFDRGSRADYNAWEELGNQGWGWDGLLPYFKKSVDFTAPSVED AEKFGYTWDESAWGDGPVQASYPSFQWQTVRTSWDAWADMEIPLIKEHALGDAVGRFW VPSSEHPVNRTRSYARYAYYDPIATRPNYHLLVGHKAESLVLSPKNDAEGVLFYQRDS PGEKKKVKAKKEVILAAGAVHTPQVLQLSGIGPKAVLEAANISIKVDAPGVGNNFQDH PQVYLTCNFTTDVWPNPGTLANNATFRAEAQAQYDTNKTGPLTQALNAAFVFLPLNTI HSSPSTFHSQLQAQDPSAYLAPNLSTRVLAGYAAQRRILAKLYNSSDAAVYESPFGGA CSRSTLLQKPLSRGHVHINASDPYGEPAVDFRAYTNPLDIEQGIESLKYTRQYLRNAK FASLTPVESAPGPAVKDEDTAALEAYVRLTAGPTSFHASGTTAMMPQELGGVVGSDLK VYGVGKVSVVDAGIMPLIPSTHLSATVYAMAEKVR CC84DRAFT_1111314 MSKLGAGVKALINASHARPGPVPAPRHIQSIYKRIEQEATAHKL GRPSWLALSTATTMTMNSPESMVALYKSASENQSEKDAVEIAELMREVGLKCIGFNGV PRTINMLNAFRAELPSSVVAALNTKPSRLPTQSNVDSINSRGRALWNSIYRPLAEKLE NKLADAHPDLPVFIISSEYGALFSDPERSTGADIGRITTSLCAISCLRAQQGVGPQVL SHVFGLRKAWDDGTWKSEPEAGEEEGIKWLVSDEGCTWALQKVDEVVEALGGASGSTF APVKAKL CC84DRAFT_1160812 MHFSTITILSLSALSVALPTAKRQNGASVLGNAAYNDISISGGT AGNAEAEALAIFANLDTANPENISKDDQDTLNQVNQVANDAETGAFNPAIKAASGDEA TALQNGKIKNKVLKLEATVLKLQAQQAQGSDVADKLAEEQKKLANNIALDKAAAGQAS TFLPFDAQVTGAGPAIGAAAGGNAAAGSAAAVDNTATGSKGAKKGKSAVVVRNDAADE ADDVEDEADDQAEDEADNQAEDEQDDE CC84DRAFT_1111320 MMAPPLPALLLAFSLLSIPSQARILFPFEQRQLTKEYVASLSEA DQALFGFDDDLSTLAVNTTDKRCRYGPADGKWPAEKAWTRLSKQLSTADALIKTTPQA SVCYGTAKDDAKCQTLTASWANSYTHIDDPTEVLSPIYQGLTCQPPTIYNSGSCSLGG YPSYVIKAADVLDIQLGINFARNDYLRLVIKNTGHDFGGKSVGAGSLSIWTHGLKDIQ FFDSYTDGSYKGPAVKAGAGVQAFDLYKAANEKGLVVVGGEGQTVGIMGGYIQGGGHS PLSSMYGMAADNVLGFEIVTATGEFLTANSTSNPDLFWALRGGGGGTFGVVTSVTVKA FKDLPVTAASWTFDSAKLGKDKFWTAAKAIFDRSTDYVDNGIYTYFILSPSTNGKDFT FTMQPFFAPNRTTQGLNVILAPLQSQLTALNIPFSPKITEYKGFYPAWQAEFSLETQS DVQTAFGSRLFPRSNFASETGRNVTFTALRQTAEAGQMIIGFNLAPTLARGGNPDNAV NPAWRSAVLHAITGLRWDVKASASDIRAARTSFTNGTMKRWRDVTPSSGSYLNEADRL EPNWQQSFWGNNYAKLLQIKKDWDPKDVFWAANAVGSEGWVVESVDGLPNENGKLCKV NGTTAAVAEEAKF CC84DRAFT_1160815 MRPALTLATKFLLDPRFGAFWYHLMYGTPVTDAATRKTYLEHSN REDDLQTACADFEALLESLADRTTFYWRPEKSEGPTFAGVTQFSFWEILKDFIDSSRF DRLRKPVDSYNSYIGLSSKFLYNLMSENAVTYSDVNADIRLQFVLAVTITHELAHAIY GWRGLPHVDWTNGLVEVFAFDTDISNEIGWSWENHTWDGVILACTLDHDAIGEVRART WESEILNETYIFTPVPEDWLKSLFMKDTWKNINQAIKKIPKPASSPWSTSTALQSIQS IRF CC84DRAFT_1213111 MARFEASSSESRLYTFSDETKQKLRKFRLGTSRAKDPQAIIYEI DKKTLEIRPIDGEVYTNVQELADELPDHAPRFVLLSYPLTLDSGRLSVPYVMLYYLPI TCNSEVKMLYAGAKELMRNTAEVNKIIEIDSADDLEEIEEKLKGDS CC84DRAFT_1160817 MAATSAQKKGLIHTAACLIIGDEVLGGKTVDTNSAYLAKFCFSA GINLKRIEVIADDESEIVEAVRRMSKNYDFVVTSGGIGPTHDDITYQSIAKAFDLPLK QHDAAFERMKRLSKPHPSQPNFDWNTPSAALTAKKRMIILPYNDSIPDEEQVIFIDDS LWVPVACINGNVHILPGVPRLFEKMLDGLKSKLLPRLADPEGKGVLRILISTPLPESA VAEYLTQLAARAEPKGVKVGSYPRWGKEHNTVTLVGRDVEFMESIVPEVEKAVQGHRV AVEGEDDPEHTDPKKTEVA CC84DRAFT_1160818 MASKFLREYKLVVVGGGGVGKSCLTIQLIQSHFVDEYDPTIEDS YRKQCVIDDEVALLDVLDTAGQEEYSAMREQYMRTGEGFLLVYSITDRQSFEEIMTFQ QQILRVKDKDYFPMIVVGNKCDLDGERQVSTQEGQNLARQFGCKFIETSAKSRINVDN AFYDIVREIRRYNKEMSSYTGAQSGGQQNGVSKMGVEDGSDEKKGCCGCVVM CC84DRAFT_452508 MALRIGLASTSDALRPMLAAWDAAYSWIRFAGALVPFGPLCERD RAVGWVPEDIIIIIIIITILIIDEGAVYVWRGGCEFGRAG CC84DRAFT_1160820 MGAARDNDAIDLTDNDIAQLGNFPLQPRLRTLFLGQNRIANIQA NLSSSIPNLTTLVLTKNRISELAELDPLGGFKKLVYLALKDNPVTSKENYRLWVIWLC PSVRYLDFVKVRDVERRKATELFGTAEEPSELATKIKGQKSKGFVVPNYTNGADTPTK ERIWTEEEKNRMRAAIRNASSLAEMARLEKDLAEGRIPAYVLEGGDPMET CC84DRAFT_452529 MKIAGPIVLPAAAVLANPLLPRQSNVTDYNSAPPNLTSLANASL FDTWRPRAHFLPAYGSIGDPCMHYTDPATGLFHVGYLHQGASGATTSDLVTYTDLNPN SGPFIRAGGINDHVAVFDGSVIEKGINGTPTLLYTSVNYLPIQWTIRYTKGSETQSLA IATDGGRNFTKLKHGPVIPSPPFAYNVTGFRDPFVFQNPNFDQLLDSSNGTWYTIISG GIHGEGPSLFLYRQYEQDPYFENWEFLGQWWSEEANSTWTEAGWAGRWGFNFEVGNLF ALDSSGYNAEGEIFVTLGAEWSYTPIVPQVSDNREMLWAAGSHKFVDGTLKFVPSMAG RLDAGRSAYAAAGKHLPASSQAGQNSGAPDRFISYLWLTGNFYGTLDFPTTQQNWSGS LLLPRELSVGYMNVVDNALAWEKGSWRVESQNDNGTLTLATLRQQIAREPLAAFQQNA TNTTTQSGGSISSSHKFDESPRSKHYMMTASISFPSRTNDDLKAGFSILSGTNENTNI YYQFSNESLVIDRSNSSAVAATTPGINTDNESGKFRLFDVPTANGTNIETLDLTIVVD GGVVEVHANNRFVVSTWVWSWYEDSTDVGFFVEGGEVEFSEVKIYEGLVDAWPSRNST S CC84DRAFT_1202377 MILLFLSPRNTPISSTMSFSTIIPNIWFESNAEQAVQFYTTVFP SSSITHTYRYTSAGQAHHNKPVGSVMMLTFSLAGHPFAAINGGPEHFQVPNASPTFTI ECEDQAEIDYYWEKLGQGGDEGARACGWLKDRWGVSWQVVPRALEEWGRDGDKEKVRR VMEAMMGMVKLNISELERAFEGAA CC84DRAFT_1172251 MKGLYQFIAGSTAVYSAMAAPMGTAGKLERSTNIWTAAAQADDT IQGDQGPGRKRSTNIWTKAATADDEKRSEAIWNIAAAADDDTAKRGTTIWTVAGGADT KRAESIWTKVGDSTAKRGESIWTTSIDDTAKRSQSIWTKAGDATAKRSESIWTKAGEN DAKRSEAIWTVAATAEDDAAQ CC84DRAFT_1084949 YATSGIPFSQASHLPFETVPSSEQPGKYMTGLSVFHQLHCLNRI RKLLHPERYPWQLAANLSESETRIHDNHCVDLIRQALMCNADISPIQWEMNAKLGIPL PSARTVHTCKKWSSIISWAMDHKI CC84DRAFT_1137600 MSCLRCRAALPPLSAVRSPVGRAARWNQIRQLQTRRSQNAPGHP SKTLPHPTSQSPQSQPATEPHYPPNLNEEGHFPGGGEIPKVKIRFLAPTIWAITVSTG IYVSLAYFTAKSELESKSPYSLFRGNSRGSSYSISTPNGPPTPTEVVTRAWRNADPMS KLSWGLIGVNGAVHLSSLVAPRVWQHLWHIPATNRHYTLFTSTFVHSGLMHLGVNLYA LYNFLPATGYSSLFRGDTNHMLSFFLSTGVLSGLAQHFASMIFRQGRAYAPFISSGGA SGALFAVFGAFCMEYPTQGVGIILIPYYMEAQYFLPIVMAFDLVGMIRGYSFVQFGHA AHLSGACIGAAYSYLDGHNNAWRPLVDYWKRHLQQR CC84DRAFT_1235569 MPGLIGASSRGTVRYNVLDLSVRPEDRGLFSGPAHKYSEDIEVD LFEPVSSPEIVQGPEGLDVQGFAYVKHRSALDVDEWMNGENPEETYVKEVEDLVGKVT GAKKVVVNHLGIRKRLAEKNADPSFYRKAGDKHDETVKERAKKETAWVTGKTDAGLEP ARFAHVDYTLEGLKRTARYCRKDIKAAAQEALDAEDANKDAKRYAAYSVWRPLKPVKR DPLAVADWRTTEKDTLQPIEYRATSNVVDKGEYMLEQYTQTPEAKNTGQKWYCMTKQT PEDVLILKFADTASEKDPKISQYCAHCSPMLPNVDNEEPRMSVEARVIAFW CC84DRAFT_1081966 MAPFWELSGRKLHWAVWTESLVCISIFGYATASAGGVLNGESFR KQFPTIDVTDAPPDQKHDKSVIQGTVVAMYTLLGAFGAIACTFLGDRLGRRMTIFVAA MAVFIGGLLMSTSYSLGQFIVARCVLGLGVGGITATVPVWQSELSKTKSRGSHVSSFG IYCGTGLSLALWVSFGMSFTKGSVAWRFPLSIVSVLAVIVMCFIFTLPESPRWLKLKD RHEEAKRVLDLLHPGDPEAVEKEIEDIELALRISVRHTSLLSMFSMGPQRIFHRVVLA SILQIMLQMTGVNAIAFYAPTIYEQQLHFPAVEAGVLAAASQACLILGGILCAFTVDR FGRRPLMMFSASAMCVCFVCVTALTSQPDNRAASKAAVFFLYLYYVVYTVGYIGIPFL YASEIAPVQLRAAICGLSTAISWLFNFMVVEVTPVGFEDIGYQYFIIYTVLNATFVPM VYFFYPETAGRSLEELDAIFAQSKSIFDTVRVAKQMPKIHLKELGYEKKAADLEHMEK YEAV CC84DRAFT_1235575 MAQYKSFSDLPKFDELKDKRRFWVAEAGTKDEGLGMLRYLTPEH VAEVVQSEVRTGERVCVNWDMTRLETPGFNRFPCDHQIVPIPNYEGIAWDDIWRFNPQ AGSQWDGFRHYGAEQPNGKRAWYGGTTGDEISKMESDRIGIGHWAQDGIAARGFLIDY VSYAEKKGQKVNGMTGHAITLDEVKEIAKECRIEFRPGDIFFLRCGFTKTWESLSLDE KKQYRADTQVQKHKHSGLIQSEEVTRFLWDNHIVAVAGDGVSFEVRPNKDHDWSLHHI CLAGWGVPIGEMFDLERLAELCKKLNRWTFFVTSSPLNHPRAVSSPPNCMVLF CC84DRAFT_1235577 MAQSRVNGLQNGHGTANVNDHERDRGKRPVRIANCSGGQMEPGW QMRKQASWGDVDFITGDWLAENNIAQEAAAMEAGTGEGFKKNAWQALQLSMDVIAKKK IKVVIDGGGLSPENLARRCQELVDKNGYDMKVAFVSGDNLLDEVLACTAYIGARGIVK ALESGADLVMCGRVADASPVIGACWWWYGWKDTEYDKLASAFLVGHLIECSGYITGSN FSGFHRFPLENFVDVGFPVAEIEADGTCIITKHDNTNGMVTIDTVKCQFLYEIQGNIY LNSDVTAILDGVTVEAVGKDRVRFSGIKGRPPPPTTKLAIFYKGGFESQNLSNAAGYA TKKKYQLYETQIRARLTEQGMDPETTFDFIVFQVVGSPLPNPHRMLESTTYMRIYAQA PTPEPLAALKLLLADETMQHFSGLHWSQDLRTADPKSFYVYYPSLVEQDAIKEAAHIL EPGGSNINCGMFVQRAELWDWFRSFMTLHRMKTLIGGDWKDEYRLERVEFPKIWAVHF VIYGILGRGVSSSTLLDNRGKGFTDYIRAKHVDIPEQFVKAGWTWSEEDD CC84DRAFT_1083864 YWGLCASRVIWEALVPIVFSAHSCYGYHQAVTDNVLVMQSFVGA FPLTDTVNTTGATEEHNSTIQGVSVALFNLGSSVGALLCMKFGDLLGRRMTIFVSAII TIMGTILMASWFQLAQLIVARLILGVGSGGYTATIPMSGVQHRGSFINAEGIFIGVEI VIALLIELGLFFVNGYSVSWRFPFALQIVFLVVVVALVFTLPESSS CC84DRAFT_1202378 MKTPSILFAALAAAPTVMAHTVWSVLYVDGESQGDGAGIRMRKD PAKASFPLVDYSSDDMACNVDGTKGVNFVSPVKDGSTLTFEMRSWPDNPSKESLDRGH YGPCAVYLKKVTSAIDDKGAGDGWFKLMDDGYHADTDKWCTDRLIDNKGRLSVELPKG LEGGYYLARPEVVALHNATNGQAQFYTGCAQIFLESTGSLVPESTVAIPGPDYVSAGQ SSVKFNIYVGKNAEYQVPGPAVANFTQNVNLASDAQLQQTEGLVPSSCIAQSANWCGK EVASYSDETGCWASGEDCWTQGKKCWAEAPATGGSVCQLWSDKCTAINDQCKAKNFNG PPNKGKVLTPKRETIDIGLIIKPVGGGNIESGSAAPKSSAAAPKSSAPPAFTSAPALA PSAYEPKSDMTETPNPSSTHASFIETPSFSSTVSLLTFEGGAYGDAPSSAPPAFTSAP ALAPSAYEPKSDAISTPALSSTQASFIETPSFSSTVPLLSFVGGGYGDASPSTSTKKV AKPTTKVPASEYAAAPKPTAAAEAVDENGMVVVTKTNVVVVTETQYVTLTAGGYRHKR HRRY CC84DRAFT_1160822 MSDAAVESAKRAAAQQAVKDHFDPTATNVGIGSGTTIVYVVEAI KQVSTNPAIRFIPTGYQSRQVIVQAGLTPVAFDSLPEDTMFDVAFDGADEVDAELNCI KGGGACLFQEKLVAERAKKFVCVADYRKKQDRLLTVWPTIPIEVAPIAVPTVLKGLRT LGSNNPKLRTTLLEKSGPLKTDQDFFIVDAPFKTLLCESDVKAGKGKGDGSDGTWEVN KLSEAVKALTGVLEVGIFSGLNGPDAEKIRSTDGVRTVTSGQKPVAVYFGMQDGTVEV RTAK CC84DRAFT_1111344 MADLPPPVQGPTAKEKKYDRQLRLWGAAGQIALENSHIVLINSG PGVVGLETLKNLVLPGAGNFTIQDSAIVDEADLGVNFFLEEEHLGGFRAEHTCNLLKE LNPDVQGHFISEPVESWLAQPDALKPYTLIIVTAPIHPGLLTKLAAHADQALVPLFYI HSVGFYSHFSIHLPPAFPIVDTHPSPETTTDLRLLKPWPELLAYAEDKTKGIETMAAD DHGHIPYVAILLHYLEVWKKEHNGEVPQNYKDKTAFRDLVAAATRTDTPEGGEENFEE AVSAVLKSLNPPEPSSAVKEIFKVPECVIIRENSPPFWVIAHAIGLFYTKYGVLPVPG AVPDMKARSADYIQLQNVYKSKARKDLAEVLESVRFLERNANMSTHIEEKDVEAFCKN AAHIKLVRGRPFHIVPAEGDLKFGANAKSLAQKLTFPDSLLPIYIAFLAWDKFIATHT ASNLTNTPKPPGFSDADVDSDTEKVTSTALKLVDSLVKEASYTIDEEEYDGVRAQIAE LAQEFVRAGGGELHNIAALTGGMVSQEVIKVITEQYVPVDNTCVFDGVRSKSSVFRV CC84DRAFT_1255708 MGFKTAVNKIKRTLLCCRKEKKKPLLKIGPTTDVRRMNITEGMP DLTEADAKLIQEKATHDAYRLLSLQSHPPTQPTTPLPTSPTDPFASSSALSPTPTDPF ASAAPSAAASTTHLPSPSSAHSRSRLNPAAPGPEECGRESGKEGEDVGVGKKIWDRTR RLSGLSRRSSTRSTALYTPSRRRDEPAAGVVIELEDSQRYEEEKDNRDTKAGASVTVS GIGRGFEVVGTVGGTPTKEAKGKAAPGRGRVVSSPLIERAGNAGDSGGESSEDEVERK PLVRV CC84DRAFT_1083367 MSVETITTISPVTNKPILTRNGLSDADITSIPETAARAFEAYRR TTLSDRQAIVKKALKLLDERQDALGKELTEQMGRPISYTPKEITTAVARGEYMLKISE EALKATEGEAEKGFKRYIKKVPVGPVLILFPWNYPYLTLVNSLIPALLAGNSVILKPS PQTPTSAEQIKQIFADAGLPEGVLQIFHSGSITQIEAIARSPQIQLVCFTGSVANGLA IQTAASDRVPIRVGLELGGKDPAYVRSDVDIKWAAEEIVDGAVFNSGQSCCSVERVYV DEKIHDEFVSALQDVLKGYKLGDPMEKDTHVGPVVSSRSADSIRAHIKEALKKGAKDA TPDNESFKSPPADGNYVAPTLLINVDHSMAVMTEETFGPVIPVMKVKNDAEAIKLMND SQFGLTASIWTKDTNKGHELVEDVEAGTVFVNRCDYPAPDLAWVGWKDSGKGQTLSRF GFDQFVKLKSYHVKDYPK CC84DRAFT_1235584 MMSTSLHQYEQFPPPMQHQHHYAAQHMGPSPLDTLAHTSQYALH FHQNRHVLPPGKGLVKPHRLPYANGPLAAAPRSQRDAMLHERAGRGAAAAGPCAHCIE FGLTCEYMRERKKRGKASRKDLAAAAAAAAANGTAPPSGESTSGSPQSRGAGHPDDQK ESSPAAELPDGQRPLPDLPPPNLPQPGRSASIATTRDMESAQMFPGVNPVSRTMSMSA IDSIPENQMGESMHHPMQPPRIQTQGLPMHSHSIPEYTTMDDFNRAYQQPHQMMHPII PSNMPEYAQSPYEMMSPQSAQGPSNSFRMPHAESPLPGYITTSPTSGSPGWLSLPSPS ATIYPNAHPSSSQQLRYPVLAPLVPHLTNIMPVSLACDLLELYFQSSSTAFMQPTSPY VLCYVFRKESFLRHNTPRVCSPALLASMLWIGCLTSESPYLASSPSARSQLSERLINL TISLLKPLVHQSQGEDASPTFSSGATMNNFGISTQDPEIALPGEGMWQRSYAASSAGA PGGIDDVCTYANLAVVISASEYKAASLRWWNAAWSLARELKLSKEVPLTPPPEENPQG RSDLDSERVGGPTPGQNASINLTEEQREERRRVWWMLYTVDRHLALCYNRPLSLLDIE CSELQTPVSDDVWQSPALFGNPAQSFSDPTVRRSTGPIYECTGTSTFGFFLPLMSILG EIVEVHHARNHPRFGSKTNWDDHAAEITRQLEVYGHSLRELKTRAIAEANAEVQEPIH PGTPSARSVNSTTSRAQESLMRARIVVAYGTHIMHTLHILLNGKWDPISLLDDNDLWI SSQSFIDATGHAVAAAEALNEILELDPDLSFMPFFFGIYLLQGSFLLLLIADKLQGEA NPNVVKACETIVRAHEACIVTLNTEYQRNFRKVMRSALQQVRGRGMDENAEFAQLRRR EMLSLYRWSGDGTGLAL CC84DRAFT_1172262 MHLERRAVFPQQGEAERTVPTVLEYPPLTLRSAGVGAVLRKDNP GTGGRSPTPGGECSSGDARNGRGMHARAAFSSARGSENGSELQDLRLQMWGEQTCGRA GTLTGPGYLVHIFVYLFVPFGRQFPGLIRYDRRFPLPRKGHVVRNGCILLLWPGLRPV WESVLLFSLKPGLGDFELSPDLEIPSKSYAAGESVEVVLHPARWYDCAIGGTE CC84DRAFT_1193433 MAAVDGAEVAQHDNRKSCWIVLDSKVYDVTKFLSKHPGGAAIIL KNAGTDATEEFKKYHPLDYVKDLSEGSIVGPINPDTLGRLREKKEVNAAPADSANTDR EIPHLTMCVSCPDFERAARAVLPHKSFVYASTSANTGLSLKTNLDDWGRINFRPRVMR NVGDVDSRRSIFGHTSQYPFYISPMGTLGAIHPEAEPEMVRGAVRKGAHMVVSTASTK TSQQIMQAYIDEQTRLNNKSPTQLFYQYYMPVDRKKAIELMHIVKKSGYKGIWITVDT PVLGKRTADRVLQAEEALAVGLDEEGTAEWEAGGENAFAPAMGGRVVSGQLSPHTTWE DLAWIRKEWEGPIVLKGIQTADDAKLAYEYGCDGILLSNHGGRQLHTAPSALMTLLEI RTYCPEIIGKLEIFLDGGLRDGSDVLKALCLGATAVGVGRPFMYALAAYGSQGVERCI DVLAEELTLGMRLLGVTALDQLKPEMVNASRLLNEMWRPESNSISIKSRL CC84DRAFT_452646 MLAQRTWEVSHLPLKFCSFQDFPGNILLNIGNQLSVEPMSGQTS DPASMPIKLTFPNILLFLSIHVPLLMTACFPKDRLAFQKD CC84DRAFT_1255712 MCPFTSLPAGEGPARFSPAAVQDWAPRRKSVSNACERCRRRKIR CDGDTPCATCKRFSLTCLRTQKPREVVASEHQAALESRIHHLEAQLAAHVNAPMHGME SIDENLMASTPTSFDWGQSSTSLSLDTSFASAFTSEPSQMNLGSFSAGGVGPRVPAIA ITECELVPKAAVVSSPVPSFWSGTTRASSPDAQPTSAPSYPPMGFPVSTKSYTPSPGP PHATEWDFMAQRNKLKPEAPQSGSISRATSTSSHSMESEEAGTEDETDVLPLAPAPRL PRPGLFAAHTESPPPGSSRSSFTDRSRALTTTPFPSRFEAETLTSEFIQHLESAEQRG YSVTPGLFSRFCESVYPDPKKRGIALEIPVSTQMARFHVFMAMAIGMKMRIKDSPENT NSLLDTCYDLAMQQASSPPFWQENGGVEATQLLNIFASIRKEPTFAPKPLQPSMSW CC84DRAFT_1160830 MPFAFAMRPLSAALRRWGSRLAYTGPVLCRSMSLSHDGYPRRAK IILRRAEKAEESGGDGQQRASKIEQLLSGDSSVLVNSFPTRCRLITRRPPRRTGTSNS PKSLGTDPSVANASTLQLPKPRQETEPGRDLRICTSHLPPSHQSHWPLPILSFTA CC84DRAFT_1235592 MATPNDAPARAGNSTLPSLPEITVEIPKTPNRTNTEDTLTAITA VDDASPATLAPERSFRHMHSRNPSTTSEATLAPPATSAGASTHTLDSYLDDGALKADP GSEKDFAVLNNKFAFSPGQLNKMLNPKSLAAFISLGGLPGLETGLRSDVDAGLSVDET ELAGSVSFEEVTKQYRKARGIASTLPRPVEVRHVDVTDAGAAATGPHANDAFYDRKRV FSANVLPAKKAKSFLKLVWEAYYKESVLILLTVAAVISLALGLYETFGVDHGPGAPPS VDWIEGCAICVSIVVVVLVGAINDFQKERAFVRLNAKKEARAVKVIRSGKSFTISVYD ILVGDVLHMEPGDLIPADGIFISGHNVKCDESSATGESDQMKKTNAEQVLRLLERGHS DGKDLDPFIISGSKVLEGVGTYLVTSVGVNSSYGKILMAMRQEPEQTPLQIKLDKLAK AIAKLATAASFLLLLILLFRLVATFPGSPLSPAEKASKFMDILIVSVTIIVVAVPEGL PLAITLSLAFATSQMVKMNNLVRILKSCETMGNATTVCSDKTGTLTQNKMTVVTGTFG EDSFDDKNLGESNNRSSQFAQRLTAHQTRLLIESIAINSTAFEGDGGEFGFVGSKTET ALLGFAKTVLGMTSLSQERTSAQMVQMLPFDSGRKCMGAVQRLPNGTYRLLIKGASEI LLRFSTSLALPTGDVPLDSTRRKAISDVIDSYAKQSLRTIGLIYKDFEQWPPQGAENP DDPSVASDLGSLLNGMVYIGVVGIQDPLRPGVPEAVAKCKHAGVIVRMVTGDNVITAK AIATDCGIYTDGVVMEGPEFRKLSDEEMNETLPRLQVLARSSPEDKRILVTKLRELGG IVAVTGDGTNDGPALKAADIGFSMGISGTEVAKEASAIILMDDNFASILTALMWGRAV NDAVQKFLQFQITVNITAMVVAFVTAIQDSEMRSVLTAVQLLWINLFMDSLAALSLST DPPTEEILDRPPTPRSASILSLTMWKMIIGQAIYQIIATFVIHFAGPKFLPYPENEMR TIIFNVFVWLQIFNQYNNRRLDNKLNIFVGIHKNYYFIVMNVIMVGCQVVIIYVGGRA FSIVQLDGNQWAISVVVALLCVPWGVCVRLFPDPWFGAIAKVVGMPFVAIYRPLATLT DRLSRKRKGKVPEDGSSHGESHVGRGKSPVETIRVTSGAEKGVL CC84DRAFT_1137621 MRLASVLLGACGLAFSAAQSDPLEGYAFLYFTGNSIAGENIFLA ASTGNDALNWTELNGGQPILKSSYGTKGLRDPFVIRSAEGDRFFLLATDLSIGSGTSW GDAVRTGSRFLEIWESRDLINWSAQRHVEVSPPTAGNTWAPEAFYDSDLGQYVVYWAS SLYDEADTNHTGSTYHRMLYATTSDFVTFSEPQIWQDAGMSRIDSTVIKDGSTYYRFT KDEGASGTGCADIIEERSDSLRATLGLWTQVTACIGKNAGTKSVEGPTVFKSNPNDVN GEKFYLFVDEYVDRGYIPLETEDLANPAWKVSAEYKLPASPRHGTVIPITAKELATIT ASTTASKRQLQKTKLAKRTSPVLPGLYADPNIAIFGNTYYIYATTDGFAGWGGNVFYV WKSPDLVTWTRSTSPILTLNGTSGNVPWATGNAWAPTIIERNGKYYFYFSGQNPTYNR KTIGAAVADSPEGPFTAQPTAMILNNEAITSGQAIDAAAFQDPTTGKYYLFWGNTGTG QPLYAELADDMVSLKEKTLATTSGLTNFREGVFVNYRRGLFHMTYSIDDTGSENYRVG YATSLNVTGPWTYRGIILQKDVEKGILATGHDSIVQVPGTDDWYIAYHRFKIPDGDGT HRETTIDKVFFDEEGIMVPVVPTLESVGPQRIKQTRY CC84DRAFT_1255714 MNMAPRKGTRRACDPCSVRKVRCDGNQPCARCQTANWDCTYLKT HGKSGPKGPRRTTEAAIKRFQERSRSDLSQRANSDSDTSLDDGSPTTIDFPLSDQLPP IGEPGLGWPDAAYPPPQGYRGPQKISTSTISPYLDTYQARGYAIWPVVDTESLLACLL TNPDDMEAYALATAVCAATITQFQIDAEPGSPVEGYFRVSSAVFDSEAKRAREESDHS EHITVSSLLTAFFLHVYAANIGRMSTSTVYLGEAITKAHMIGLHKPLYYQNMEVERVQ YNVRIYWLLFITERAHSIQNDVPTMLKRSPDIPQLEDLNDGSVTAAFIHLCRLFNILD ATLTADPAGARSALALAQQQLDDDQATRSLENVLQRADISMTQQWMRIFLWQHALSVT NLRSSDQEDGFSFSFPAKVAQNALSFLCTLPKESLEAHGPGMESKLFDIANSLADVMI CVPSLNQDTGFGMGPRDLIHSLSSLLGSFRGGNPAVTSILQDKLTTLGLSISSPQKRL TDLSSSEDEPEDWREGTPRSLTWSDNGGWTSPVTPVSPTFPNIMSM CC84DRAFT_1202387 MGLVGRTKRLVAEDHNIQRARRDGARAARALPRAAGKYLVDKVP VVHWAPQYNPRWLANDALAGITIGVMLIPQALAYAKIATISGEFGLMSSWLPNFLYFF MGTSKDMSTGPTSLLGLLTAEIVRDYTSEGFSAQAIASMVAMCVGIYCLIIGGLKLGF LLEFVSIPVLHGFISAAGIVIMLGQIPSLFGVKVGTGTGTIIHDLFAQIPDFKGPTVG VGLGGIVLLVALQKMGQKWGSKNKAIWFIALARAAIVLVLFTGISYGVNKDIDLKNND PVWELSKVKSKGINAPKMPPSALFSKVFPRAVAPFLAGVIEHLAIAKAFARKNGYVID PAQELVYLGVTNFFNSFFSSMAVGGAMSRTAVNSSTGVKSPAYAIVAGGVVVLSIFEL SPALFWIPKATLAAIIVTAVWSILSPPKIFWHFWKTSFVDFVAAMLAFWLTLFESSEI GIGTAVGFQLVYHILAFAFSRVRRVTALPQHDIMPEFDQMPTDVQVFKPHTSLIFYNA FSMTSQCFDAIQTYSSGANISFEVLRAQRNWSTAGERRAKALRKRAGVTMEPARLHLV VLDMSMVTTIDTTGLTALQDLKADLERYAGKSAELQFANMHDGVRARFERYGWDLYDG VVFRDEKASDAKKGNAVVNSVGEAVRNRRMIEGEPDEVMIVGSEKV CC84DRAFT_1160833 MAKKKKSAQADSPSDNSGTSTPQTSNGSSKKAPPPPEPSTSALI ICRNKHWRYISSFHGPWLQLPPEVLESLAHSNYLSPRPHPIDPAVFYDLVKIRKAVDE ATNLAVRATSGLTSAALSNSLNAGNGMLGNAAALGIGYGGHGGNAKLSRERKHRMREL ATAKLSQAYHLDEIAASVATMQSASTLEDVAQFVLQRNANDVDAKYVHFFHEKIPSRM MAQCTPLDTLNEIIAERPSDGSPLRTRALTRIFKEDYVNSARDLSEALAVARYMNAQH RAGKDQLVLAKSMQDQHGRDWRQEIKIPDEDQPNSLETQLLFHRAGVYFTIACQHVHG ALEGLREAEEAKAARDAQVAAGQIPDEETPEEAQENRRRAEARKQVRTNAKRALRDYT AFLSHFDYTPGISADVAEEFLRRLEGSANGSKETLNKPLNRLLDSPSTTASPISEALV PHRGARGHDRAAWPKLPAPEILRISQLFDAAPPASLPPFPPAGPRDATDSTASAAATA FADSHESITYHPLLTDALHSLLLCHALIQTPPKELQRHAHNAARLARVCDGYPIFLAA RSPARADWIEVLRRAGNWVGLSTSWEKLCRPAPLPGHGKNGSYDPSKSERQETETQKR ERQKHEAILEALADERVVDEESFQRAVRARERRFREDEEAEQRAKNGTGSPTDSSANG SPASPAPAPKRWAQDDGREYPISTERAEAISRWVRDAPPITEGTGKKRRPRPKSKKPN MPNQESTGLEGSVDAMSVQEEEVE CC84DRAFT_1213138 MDDNPDEYFSDLVDWLTVEPVGTGNSSSSGQAQLSLSTLAPQEY VSPYSFQQPHSGTSAPKLTVRSASYTLQPDSTQGRSQDEVRGEVRSDHARHGSFVPRE AQDLARPPSRVQMPPPPVPHGIRRGAPQFEPTSNILQPSIAPHGGIPGAAGHYARAAP HSSFKHTGNPAQHMMTPGTSQYATHGLPNFPEDTAAFLQDWAGAQEARARAGRMPPPL VPASTMRRNEAADALILPQQSSQQPARRGRHSSTSLSSTGRPSSSGSASDQDSGYEAE YLSAGQQYAPPMTPSSASSSSPKRSSINTHVRGRHPSLRSAEKARSGSTGYTLQPSST FSPYATPNPGVSSFNYNPYMAGRLPSIQEESAIVPPSRDNTRRRVTFAPFVPDIPSPY STHQGSSSVNPYGCSQDHQNASHPLPEPPVSNLLFQIYDERSHPGSTSQSQCGGYVQQ RGEPGSSTPRPEQRIVTDYMEYHASVTLFEIMPETTGCQHAQAWLRNVMSDPQLSPAL IPGDVTKPNITARFHHATEGFIPAGTPRSLLVLHNATNPFIATGPTTSRSTTTIGTYG YHWSADDWIHFATFAPDLSTWLADIEGKGYIRKIREWAPDMPAREKRFHKAYWVGANR RALGGLLRRAPPDTTANPDAVEGEEAWPMMTVEDLDGECGHVGEEDRKDAWTVVMGDA EGIGAMNQDHIVCGDPEEPLYEQLLRFERLRKLSE CC84DRAFT_1081456 MKAYMRECFHEQLHKDDKMTVTFQVGDREFGGSGNLDIDFWIET PSRAYQVHERGVSSGDHSFTATEDGKYTYCFNNEHWGANTKEVSFNVHGIVYVPEHEA PQDPLEKETVKSLSELVSQVKDEQSYIVVRERTHRNTAESTNSRVKWWSIFQMALLAG EGVFQVWWIKRFFEVKRLV CC84DRAFT_452773 MADNQLPRIRTSYLLGLSNKPFKDPPKPPPLNSYRGMLRTPGAA IEWAASDGRRGRLMGKGKSRLLSTLDAAAPQNQVNASANMNASQGVPNNPAQSGSGGN EASDQPSSQAASSGEVEYKKGVPSKDFSEEEDRTIMRMKIDDKQWGDIMKHMNGKSKK QCTQRFNEIRPDDFYEKRNAAKGNGRSGKQGNQNDQQQNNKGQDKKEEKTEWGTGHGG WDPMAVLGGVFGDDRKSNASDKGSKQSEKPGDTTWAGTGNDWAALAADGNENNTGGNA GWTNGGDHNNAAVTAPWGNLGGDNTASGAAPSDNNGGGDWNQHASGGKDNNKRGEGKK SKHEKKQEKRGKSNERSNKKESKPDAAVQPWETANDSFGNGGGGATTGFGDSGGWDFD NNEDKKSESKKSKKSSSRSSKASSKNAWGADRDNGGAGTVWDAADGGGGGRQGWTENT DGYTGGGWGDNKADTGGAQASSKDHEKYRSSHRRSSSLESKHRSHRHLSSSHPTEYKV APDSTFSQDELKLIARILQQDCSMVWERVSWRFKDKTGRNLEPNIFEKKITGRLKKER RH CC84DRAFT_1172271 MGGPTGIVSESGGAAYKTLRNNVASSWIRDPGLRRLNIGIGLMF SSAAANGFDGSLMNGLLAIPQFNEDLGSISTSILGLIIAGISLGGLPSFIPASYVSDM IGRRYTVAIGSTIMLVASIIQAATKGPWAILGTRIMLGIGLGFSQTAAPPLTTEIAHP KHRGQITALFQAAWYWGAILSACITLGTLFVPSSWSWRAPCLLQGFFPGLQLLGLLVI PESPRWLVSKGRDDEALNMLARYHANGDVNDELVLFEFSEIREAISHERSVAKTTGFL SFFETRGNRHRLLICLLVGFMIQWAGNGIVSYYLAPILESVGVTSAVSQAAINVGLQV WNALLAAAGAVAAERYGRRPLWMISACGMLVSFIFVTALSAVFAERGIKAAGSAVVAF LFIFFGFYDIAFTPLSVAYIVEILPFRLRSKGLSINLTVVFGAGFFNQYVNPVALGAI QWRFYFVYIGTLTGMIIIIYFLFPETKGRTLEEIAVVFDGEAADPEVHRRVSVGMEVK GDPGHMTEKHREHARQGPQVA CC84DRAFT_1235608 MSSNSNVPNELAQLRTANESFGAEYQRVRSENQTLRAELKVKDD IIKARDATTKEKDVQMQTKNALIKNKDEQIEKLEKMEKTYAKMEGEVEKHRKTVDEKM EELEKVFEQLDGE CC84DRAFT_1255720 MNEFAHYSRLRRQCVGVRGRSRPHDEQVREQLFRILYASSNSAN TSIEIMPFKEYWDHNSSFQLARAYYELQKEYLYPSTDTETANFTTKAHEENDGEASAS SDQRNVSWFLPSY CC84DRAFT_1073852 CPLLRVVEQYGLLVSIVSNLTPEDLFSLAAASKSIYKAIFSGKA SMPNILSKMPCAGRGLHIRRINHVRSPVTLRPRCLGFDICGAMRGTVETHPCVKCQLN TCDECRIHCVFNSTVEPEEEPDELPTYSGFVLLSPHDMGILTPAHLMLPGENPKTLVP YHDKGFLDSPWITTEFVNPESVDEILDFDLARGPLRLANDSNARHPSSIIKAFWHYTE ERKLKMCDDCREVQQVGDFHPQQHKCACTLREHVLGQWTCVECFQKE CC84DRAFT_1160836 MATAAVQHAQSTPSFAHQIINKPTASSASRPQDVDTVLHYFRPN ADGSPPHPTYVDKPETYHREPESHNVTVRDVRGKEDQFSLDKNGFQFYKHVSKEKDFL DDEKIKAEYYPETEQLLKDATGASRIHIFDHTIRRQPPNRETITPDRKLRGPVQRVHI DQSYAAAKSRVPFHLPADAEHLLKHRVQIINVWRPIKPVQRDPLAVAEANSVSDEGLV VTKLIYPNREGETYAVKYDAGHRWYYKSGLGPEEVILIKCFDSKLDGRARRVPHTAFE IPGTEDKEGRESIEVRCLVFHEDDTLE CC84DRAFT_1235612 MATDVLEDRPLLERSPSFTGSSKTLNDSDEESYGSIAHGTTRTI EDDVLPETSTLGRTISWPSAYIIVISRVIGSGIFATPGVIVKSVGSVGLSLSLWVLGA IIAACSLAVTLEYGCMLPRSGGEKVYLEFTYRKPRFLASTLVAVQAVLLGFTASNCII FAQYSLFAFDIEATDFRRKSLAVGLLTAITIVHGCFLRTGIWIQNVLGWIKVGLVIFM VLAGLFVVAFRERNTDGTKSHFPTGDQLWEDTNWDWGIIATSLFKVFYSYAGLGNINN VLNEVKNPIRTLKSVSITSLATACILYLLVNIAYFTVVPLEEIRQSKELIAALFFEKS FGPSWGKTVLPLAVALSGAGNVMVVTFALARLNQEIARQGFLPFSNILASTEPFNAPM GALIVHYIPSLLVIALPPSNEVYSFILEVEGYPGTIFALALSIGLLYLRQKRPDLKRP FRAWKIAPVFRIILSLALLAAPFFPPKEKPTGGIWYATYAVVGVSIILSGVVYWYVWT VLIPKWKGYRLEEETSVLADGTSVTTLIHVPK CC84DRAFT_1255722 MSSGWFSKGSEPARSPDVQIRIADREPNNFYLPSSNKSTLPHAP PAATVDHTHSLNHVQNASLFDVGILRDTLAPSLGLHSGLAIIAWGAANYTGRVEAKDW LWPSGQVVNAWWSAVGRRLYQGLTLSQALHRLSWHERLVLTGVTLWGGRLFYRIARRS IQRGEDDPRYAQVKRDQDFWIKSLFTTFLPEAFFQMLISLPFTAPFRHEGAVLMGYHP IVQMAAVGLFSTGFAMETLADYQLDQYKAEGGTGILKEGVWSIVRHPNYLGDAFVHLS FIVLLYGSDMLAPVELLGPLANYVFLRYFGGDAQKETYQTRRYSESHPAKFAELQKFR EEKNAFWPQTKELTNRWLWTVVGVGAATVAVEEFLRTFH CC84DRAFT_1160837 MSTPKIHLYALTGACSVAPHILLHESGLDFTTTIYKKEELIKNG GYPDELKKLNPKAKVPVATFDNEVVTENPAIFTYISQLAPSKKFFGNTPLETVRVYEW LNYLSGTLHSAAYGMFYRPQRFVDVEDGSLDKHVLAKAKMTIQDIYKFIDQKLEGKEW AVGQNFTAVDAYLYVFYRWGAGPVQLDMEKEYPNYARIAKAVEERPSAQAVLKAEGLN CC84DRAFT_1213148 MSPKAVEIKAKGHGKIGAPQIRAWHFLQRQIPNANEQSPSMPQI RKTIACRIHQYPSSSAFIKKLEGESTRLQSELQEEKTRLREELAIKKGARADAEKREM IAAIEAKEEKSLLRKETDIANQQLAARERLELELSTVKLELWKLKNAHGY CC84DRAFT_1193445 MHRTRCLRPPFSRSLRREGYDDTIQNLKIGKHTRVIFQGFTGKQ ATANAQESIAWGTNVVGGTNPKKAGTEHLGLPVFASVRDAMREVKPDATGIYVAAPQA AAAIEEAIEAEVGLIVAVAEHIPLHDIMRIHSMLQTQSKSRLVGANAPGIISAIGKCR IGFQPLPTFSPGHVGIVAKSGTLSYETVASLTREGLGQSLCIGMGGDVIAGTNHVDAL KVFEHDQDTEAIILVGELGGTSEEEAAEWIRDYRKRVKNPKPIAALVGGFQAAPGRIM GHAGAWTGIGEGTAESKYKALERAGVTMVDHPAKFGGVVKGLLAEHGKRPGGASISPS KQKSKGRGIHTDARKPANVLQSLNTRGSHPASTPQKRGLHINAQQSADLLRSYNIDLS PSPSSSPESNHFVGITVARSARSPAIIAAPTALQAQLPSRVRRFPFDYRIGPSAATIN DAIAYLQLDAAPPKAKAQATEVISNLWKLYREKEAITTTVSLSIPEDRDVIEVYDPYL FFDDAAFKSNGRQADLHALRSSSTLSETDKEAEEAGIVYIPLASPTVPDAESSPEPRN LVGTLVNGAGLAMNTNDVLSLRLSAGHPTPIPISSPYAPTSSANFLDTGGKATSHTIS TSFKLILSDPRVGVVFVNIFGGLTLCDMIAEGIIMAFKDVGIRKPVVVRLRGTNEEKG QQVLAQAGLPISAFDDFEEAVGEVGRLAGEINERS CC84DRAFT_1137652 MVSRRVLPAERNPLVAPENSPSLEILVERRRLGQTQLNIKIPNV SNVKGADKGTFDYAHLRVPLPKDLSGSEIFALKNTASYPESYFLMRRSSDGYISATGM FKAAFPWALLKEEEAERAHHKKFESAGQEEVAGSVWLAPEEALALSEEYGMRRWIDAL LDPTPIEKGNKDKHTTHIQMPPRFDPSAASASLDAPKLRASTRARSTRSASPSKMATP SRKIATPRKPRAKKATEMVQTEEMEIVETVTGAPASALQNAIEDGTAESAPADGEAQH QETVRIEVQETIEHDGDVETKTTNVKVDVPADHPELQEPEDPAELIKQARAMVEEANK LDGDATKGAASKRKAEDLAEDDAAARPQRPAKLARMHSTIEQKHAKEKVTRRALVGVA VMGAITTAVSYFAGFAA CC84DRAFT_1160842 MCFQDHPSPAKTAWTTSWCDAANLAKLSIQRSQLRWHAKHAVHS NSILVSASRPSRRQAQESSSPGRRTVAFAALLPRYAVLRHARINRVPASSARCVGSRR PPINTLGI CC84DRAFT_1160843 MTDHDDLHAEPTEGFKVGEKKTIDEYQQLDQNDESLRKWKESLG LGGGKTLSDPNDPRKCIILSLGLEVEGRPDIIIDLKTPGALEKLKDQPFRIKEGAQFR MKAQFKVQHEILAGLKYLQKVSKGPLSNKMQEMMGSYGPNTEDKPLYEKKFESETAPS GILGRGHYKAVSKFVDDDNQTHLQFEWSFDIKKDW CC84DRAFT_1160845 MGAPPTVIYPLTLPRLLQHMLATQSDTLSTTLIICSSRDAFLQS LVSAVRQQESQDQTRNSLLRLATPTLHNLFIARHVKVVFCASVQTLLAYLTSLKRSAA PSVERAARSEREANPARIVLVNPLSLQAATPSFSAQGLSRTFAAAVETSLRVDAVLVV AECQGAWTQPNPLLENGNDENDKDESSEAGERESTVLDPWEQDVSILNVSAKKFGSGA NDRAWAGRTVKIKRIAARWFRFHRLDDLEP CC84DRAFT_1160846 MHPSNFAHWSLSTARDLTLEAARDAANARGKTSTKMLPSGQLKK LLDSRSERDVLEGLRRVVTMSYRQPPSQTLPFFTHVIKNIASPSLQVKKLVYIYLLQH AEHEPDTALLSINTIQRSLTDQNPQLRALALRVMSGIRVPVISQIVSLGIKRGVGDMS PYVRKAAALAIPKCYQLDPNTEPQLLDYLSTLLGDKQFFVAGAAVAAFLEMCPNRLDL IHPHYRSLVRKLADMDEWGQLATLRLMVKYGRKCFPRRTRKIKKPLDMNSGAKHKGTK GFYEEESDSEESEEPEYEEIAVLDPDLELLLNACKLLLQSRNSAVVIAVARTYLYLGT PTYMSLAIGPLISLLRTAGDIQHVALYNIVQVCLLYPQSFVNHYTHFLVRSTDPPHIW RLKLELLTLIFPHAAPRLQSLVLAELSHFSHSGSLDPGLVKEAVRAIGRCSQTTDPKT SARCLRLLLTHIGSADAHLVAESLEVIRHLIQRDPDNHRTTVVRLAKHLDAATSPQAR ASIIWLVGEFAGLDPDNNIAADVLRILVKGFADEAEPAKLQIVLLAAKVYVHHLNANP PPEPAKPVEQPQPRSSLLDDFHEEGGFRDEPKSEAAPQPAPQEREKPHPIVALWDYIL LLTRYDTSYDIRDRARVYKALLATPTSTQLANLLLLAPKPVPQTPSPSETRKGYVLGS ASLVIGDEGGVGGLRGYEDVPAWVKEGQEPDPALRDEVRSTSTPSYDAGGVKTLSAAE KLDAAAGAAPSAAGWDGMGTSGSAWAGGKDNGVHGASAPKKEEKSLDQWLEESGEEET DSEEEETDEEEESSEYETESEEGSDEGDRLVK CC84DRAFT_1213155 MRLRTGGVERSVLRQDVVSHHFCVAHGTEHDCHPYGMFISRAEF NEGLVQALPSARPPGEVSAQDEVLAARVQVLADEGKLFSHGHLHLGNICVEGDANVTG LIDWGGAGLSIHQCDYLEEAALVQAILGRCVEEGVLPGRERRLWFVARV CC84DRAFT_1137665 MRSLLNSLAVLTGLLTSVAVAVHPVEVQGQDLIDTVTKKRFMIV GVDYQPGGQAGYKPQEGSDPLTNKSVCLRDAIMLQKLGANTIRVYNVDPTLNHDDCAS IFNSAGIYMIIDVNGPQSGESINRAEPWTSYHKDYLKRIFGVVENFKNYPNTLAFFSA NEVMNDMNTGKVNPQYIRAVQRDLKAYIKAHADRTIPVGYSAADVRDILQDTWAYMQC DNNGDGSESDIFGLNSYSWCGGDATFASAGYDTLVDMFQNSSVPVFFSEYGCNEVMPR VFDEVASLYSDKMAALSGGLVYEYSQEESNFGIAVINDNGTVSLRSDYDALQKQFNKL DVTKFTATGSKITPPKCDKKLITNDAFDSNFTIPAVCPGCQTLIKNGIDKPTNGKLVK VTDTKGPNKVYGSAGGEVSGLTLKLLSNDGVNGPSGETSSPAGQSSASSSAEGSGDKT GAASKLAGGWTLLAAGAVAALTVL CC84DRAFT_1137666 MSNDEIESPPSDTLHDAVTLTEPRRIPVAVNPVALVVTECITVT SAMRKHARWAHSSVAAILGGSSNKTPAIQKRDRAGQGIIGGTGEQEEAVPSRWGLRGK KGKSMQDNPLMSAFARLRNELKGRKDIRTFDTPSMLHPFLQVIRSSSTSAPITSLALI AITKFLSYNIISRDSPRLPEAMQQLSSAITHCRFEASDSPADEIVLLRILKLMESMVS GQAGEVLGDESICEMMETGLSMCCQSRLSELLRRSAEISMVSMCHVIFKRLKTLEMES PEELQKLDEELDSADKTDGLKMDPTTNGESEGAPTKVEEPQQPSNSEKGEGDTDSNAP NPDRSTLDLPGTIVAEQAPVDIKPYSLPSIRELFRVLVDLLDPHDRLHTDTMRVMALR IVDVALEVAGPSIANHPSLANLAKDTLCRHLFQLVRAENMAILNESLRVAGTLLATCR NVLKLQQELYLSYLVACLFPRMDIPIEPGIEPSLYEGVPQAPSLVKPAPQQNPGSGRA TPVPVKDRQKLGLEGGARKPDAREAMIENLGALVRIPSFMAELYVNYDCETDRNDVCM DIIGLLSRNAFPDSATWSTVNVPPLCLDALLGFVQSIADRLDDEPVTEGFPSAETLRE QRSRKNIIIRGATKFNERPKGGIAFLASQGIIENPDDPNSIVQILQGTSRVDKKVLGE FISKRGNEAILQAFIAQIDFTSLRVDEALRRLLNTFRLPGESALIERIVTEFSAQYHQ QVGAGDIANTDAIYILCYAIIMLNVDQHSPNLKGNKRMAFEDFARNLRGVNDGKDFDP EYLKSIFESIKTREIILPEEHETRHAYDHAWKELLLKTQSTSDLVICDTNIFDADMFA ATWKPIVATLSYVFMSATDDAVFSRVVTGFDQCAQIAAKYQLTDALDRIISCLAYIST LAPDVPPSTSLNTEVQVEKKSVMVSETAVRFGRDGRAQLATVVLFQVIKGSEASIRDG WNHLIHIMVNLFVNSLIPPYFLSFQKTLALPPIPLQSPAQIIDRVERPADTGIFSALS SYVSSFANDEPPEPSDQEIEYTLCTVDTVKECHFEDILANISQLPLESLRSLLMSLLE QIPEDGSPRVIVVKPELPSAVSPRTNGNKAKLNRPVYDPSLVFVLELATVLALRDDET VQELAKDVADALSTVIKDAAKLHPVLIARCVYYLLSILKASNDHDFIRAPVLLHTFSK FDEELLKQCASPLLKGLADCLKGPNGLRVELASSPDFWAILNKLQRVPEAAGDVFQLV EDLTTSSLPGVTADNYEAAIALLNEFATTAQVGARQEQLYDQAVKRGKAPKPKKPESD EIVVRGSTAMTIVFQMTNRVPNFIEQSHLETTEAWTAYWSPILKTLTHQCLNPCREIR QQAFGALQRTLLSNELASPDHQEWTAIFSEVLFPLITQLLKPEVYQSDPLGMSETRVR AATLLSKVFLHYLTLLSETDDLLDLWLKIITIMDRLINSGQGDNLEEAVSENLKNMLL VMSSGGYLVPPDEKPEKEELWNETWKRINRFLPNFFAELFPEEAKKPKGERKAKDAVA KGKEIEKAPAEGTEDEEKAGKEEAGA CC84DRAFT_1183996 MLPLHAKSKMHRHAFSNGQAAYPSTGGSGTFSIQPHKFQPRSQP ALRRRRQLIQRLLLFGSILLTGFFFFFPDLRPDLGSSPLSYLASSDESKLETVRYYDL SNVQGTARGWEREERILLCAPLRDAAPHLPMFFGHLKNLTYPHNLIDLAFLVGDSKDN TLELLNSKLEELQADPEAKNQFGEISILEKDFGQKVNQDVESRHGFAAQAGRRKSMAQ ARNWLLSAALRPTHSWVYWRDVDVETAPFTILEDLMRHNKDVIVPNVWRPLPDWLGGE QPYDLNSWQESETALALADTLDEDAVIVEGYAEYATWRPHLAYLRDPYGDPDMEMEID GVGGVSILAKAKVFRQGVHFPAFSFEKHAETEGFGKMAKRMKFSVVGLPHYTIWHLYE PSVDDIRHMEEMEKERKAKEAEEAAKKQKEDKIKEQFDNKGSDWEKEKAAVQDLVQKA KNEEKEEAKKKEEKKKAKEAAKEAAKEELKEEAKAEKAKEAAKKDVEKREEIHEAWGA DERGKAAPKQ CC84DRAFT_1137671 MAANTDRRRINAPSGGTSAPVFAKPVKEPGYLQLLRPNRNRGPN ELRKTFLQTGVVPSASGSAYLEVPTTTTTSHSSLIPPTSSLKIAASIQGPKPLPRSAP FSPTLVLTTTVKFAPFATRHRRGYIRDSAERDLGVHLETALRGVIIGERWPKSGLEVV ITILEGDEDTWWGDSKAEGGSGSGWGLLNVLAGCITVASAAIMDAGIDCVDMVAGGVA AMTRDGQKILDPCPAEHQDIVAACAVGFLASRDEITELWLKGEVGAEPDELIESATHA AMGSVSVLREVLLENIETKTKVASEGSKRRLEDLEVRD CC84DRAFT_1255734 MTAPAEPLAAEAEHPNAPQIRRQVEYYFSDENLPTDLHMLQCCG GRDNLPVSIGRICGFKKMRGFKPKSIVPAALRKSAFLVVSDDGKQVSRKVPLRGPCAL DPDYYKDDEIAYDPRARVLAVQPVPLLQQKKAELPPGMTKGMMKPTGFEETYVEPPIT PAEAKAEEAMYDPDKHFVERIEIAIQRFKEKRKMHEVYSNIFNKWMRFGGVESAPRMF GGLSQKDMAGMDAEEIARARAVHQVPWDREDPKQWVVDFTGVGEAFLSSFFPAHYGFN PQQIKTACQVLRSFYNYLIYHSVCPEYREDLDGARKLCDTAEKELSKVYNAGLSLPGA FNTAASTIFGGSKSGTYTGNMEWATKVREDGVDLGEVGVMDEEARITFRTAVAIMGTD EHQALLDTRAVNVSKDELFGLEIVAINYSDQLTRKMYARQNGFMAPKLYLESLGTLIC RSFHVDDFNEYDLPKDKYPTGRLPETEDGNQYEFWVEDHALEECFVGMKVDARVLTLE DGITILDDVREAMCSFYKWLPNELWINRHPKEVVIRKKDLDEEDVKEGGEGGEERFVE DESVHGG CC84DRAFT_1202401 MSTQEALMQMCRVTHEQYITPDRDPTIDQVEAARGDYIVLLMNA MHNMKDICDRHHENEAHMYSTLMFDKTSPRYVGEKVVESRCHVLFEVILAVAIRDIQK KKKKKKKKKKKKKKKKKKKKKKKKKKKKKTDLRQGKKKLPIFQAAGPARNLETPERSD AYGDHSMPSTPNQEMNRPVTFATATIGTQNGTLNNSVAHFNHGAEFNPAFGANHRITN SQNVKSVQIGAVSGGSLNQSQARYSNESYSKASVYAYTRSPQAASQAYPIDQFQSPRR TPTVAQMEADMNFAQYQQQPPRYTPPPGNDLDGTSVQLRNNPPTEHRLEHPRNPVQRR LPSATAPAKKKAATRYLPEPIPICMPQLQAPATYKDSMAKLLTRLQQLNPLEINALRA RADLTPDCICMHSEPAALAEAATSDDGYSVNREDYHNYYNYLDPTEEDQDSIVRDSNN DEHRATDDGLTFSGSYEEQSTTASSEYCG CC84DRAFT_1160850 METSKTKLGADHPETLTSMNNLAFTWKSLGRTVKAICLMQQCVQ RYEQVLGASHPHYLSSLLVLEQWEGEQAD CC84DRAFT_1193456 MRLLHFDQPERLVLTDFRGKLTPPYAILSHRWSDSEILLEDIAS GAYVKKEEGYRKLRFCATQAAQDNLRYFWIDTCCIDRWNRSDRSKAINSMFRWYKSAT RCYVYLSDVSLSTTTETPERSNWEASFRASAWFTQGWTLQELIAPESVEFFSCEGQRI GDKLSLNQLVHKRTGIPIAALRNCPLDQFSTTERRRWVEGHVTTEEEDIVYCLLGVLN IFMPTNYGEGKERALKRLQAKVDKASNAPSIVPFSQNDRFVGRGPQLAELEAKLFSNK QATSITAIVGPGRTGKSQLALEMDASDNDSLYQSYASVAQKLSIPRWDDDQPDMKRMM QQCVAELSARQCLLIFDNVEDVVLRSYGSSTAEAGDLADYLPQSTLCSIVFTTTNSDT AKTLAPNNIIALRELTPDTALRMLQNHLATPLSNTGQQEAEILLSELLYLPLAVVQAA ACINASGMPVQEYRAQLGEHKKAAFEHSSSLGSKLYGHGIDDPVAATLFVSMSHVYAL ATNYLFFAACVERKDIPLDIFEGASLSVREYAVKVLKNYALVTRRPTDSALDLHQLVH RALREDLRRHGRLQQWTQRTITQLLQVFPDMTEGMKRRRSYSCR CC84DRAFT_453462 MLGTLNQLLGTYDRRNFQSRMRQLPQLRNWSTRWLCSKDARCIS MRGTLSCSCNPSRHIFSSKFGTNSGRYAAKVNSSESIVRLKTYQQYTIYRRSVLRPKL HTGAHGIVRTAECASPRQRSTRAKQPNKQNQQSGHPRPAQKPVGNKLRAARLRCASRT GRRISDVLRHRDYG CC84DRAFT_453357 MVRHFGIVAALAAGACAITPKQVLQAPRRGAPVPNPSGKVALFS YSQYSFEEQSTTRAWQLLNLETGEITDSGLNSSEVSEAVWIPGTETGVLYINGTNEEI PGGVTLWIADIAKPSERKIVASLEAPFSGLKVANTSSGDLRFLVNSLAYPNGTAYNEE LAPKPRHTGRLYNDIYPRHWDHWLTKQRYAVFSGTLLKASGYGLEDHKVRNLLQDIPF NVTKPESPVQPFGGSGDYDISPDGSIYALLTKAPHLNKANFTASYIYVGAFEGSAAPQ ALNGPGSEAYEAGHQGASGAPTFSPNSKKLAYYQQDGEYYESDRVKLYVVDINQGGYN TGNGSTVSTSGWKGLAPDWDRSAGSIAWAPDSKSVYTTAEDYARTRAFLIPLDSDADF KPKNLTSVTSVTGLAVLPDSSLLIAANAIWTSADFYRLSTDGTQKTLFSALEIDEDLA GLGPHTLEEFFYNGSLPNFDQQLHALVVKPSNFTEGDKYPLAYIIHGGPQGSNANAWS TRWNFQIWADQGYVVVAPNPTGSTGFGQELTDSIAGEWGSYPYEDVVLGWEYVRDNLS SFIDTKNGIVAGASYGGFMTNWIQGHDLGREFKAIVTHDGISNTESAFTTEELWFMRH DYLGPIWAENTTYSKFNPQDHVANFSTPQFVVHNTLDYRLPESDGLSLFNILQTKGIP SRFLNFPDENHHVLDPENSLFWNTEIFNWINHWSKGHELDGNAIGQ CC84DRAFT_453402 MSVPLPTWPAVSTWRGPQGGQIRARSPHESAQVIYNAKPDWEGC IWYPGVRCTARLGSTLFVGPRTFLLVAITRALPLSCSLSRTRRASILFTVLGPGPTRQ RRGAWFYVRKTILLAYQVNGNDTIQYLSRVRTATFVAPHSHRVLRQYRHQQTSQSFPA GRWVSSIRERLSKFERTRNPGFCFALTGGYSVRFRHFQKHRNTHG CC84DRAFT_453359 MALSAAQNQVDLAMSHEPKVATPIFEANEKEALARHSVSDNSLA HAHGHVEFEADLEGEEPTEEELSTLRRVSGKIPWIAFTVAFVELCERFGYYGCSVVYT NFIQHPLPEGHPTGKDPSSEGQPGALGMGQRASTGIVLFNQFWSYMTPIVGAIIADEY LGRFNTIFIAIAFSIVGHILLIISALPTVLASGKAIAPFILGVIILGFGTGAFKANIS PLIAEQYRQSKPRVVKDEKTGERVISDPNITLSRIFLYFYMFINIGSLTGQISMVYVE KYIGFWASYLLPTIMFCLCPLVLFACRNMYHKAPPTGSLVLRSWKLLSLAQKGKWSIN PVTTRKNLKSENFWEDVKPSKLGDRKPSWMQFDDAWVDQVARGLRACSCFTWTPLYWV AYNQMNNNLVSQASTMTLNGVPNDVITNLNPISLVVFIPIVDNFLYPFLRQKGIKFTP IKRMAFGFMLASLAMVAATVIQYYIYNSTSCGKYLNGCKDAEDNKIRSPLNVWIQSVP YVFIGFSEIFTSITGLEYAFTKAPKNMRSVVTSYWHFMSAFSNALGQALVALSEDPLL VWNYLTAALLAFVGGILFWLHQRPTDKLEDALNMLPDSNYVGRDRRHSAEEVERGHAP AVATESKI CC84DRAFT_1255739 MHSLIALAPMLGGLTAAAPFVARAENSGCNTLSVDNGGAVPGDG SMGAYFHAADLQAAAQDAPTPDGYLKAFPNEFGSEHNPEAYLTYFANSTYDTHACAAL CNADPQCTTFNIFARDPPVTNIRCALFAACDLGS CC84DRAFT_453363 MLSNRRAIRPLRFLVLLISLCFCFWVSGFPRTYDDDELPLSQRR PTPGVLEPEQIIVSVKTTTPNAWSELPPLLVLTDSAYHDSLLLMGDLRLNVGPFTVED VLDRYTDSFVQDNPDMERYRATLEFADSSVSFAELTERDPRKEKEVLAKLDKYKMLRW VERTWLLRPERPWYVLTEPNVHLVRPNLLSWLGTYDPDGYHFFATPSAPEAAHTIVLS RGVMKAIMVDRPDLIPYYDNNIRGHKNAFEVLATVLLSTLNVTATMVWPEISHYSPAT APYGPGLWCDHVITMSNMPTDLMNELWRFEHERRNWPNEDALCFADLWFRFMQPENLD PRDDWDNLSSGTGYEQWNILFDRKHEHHSEKSSGRAKPGEASWEACRDSCSENDFCVQ WSYSSVPMPNDNENGDTRCHLSRSMKFGQHVAPQLVDRFGEKATLAWKSGWEKERFQS WARQQRCKEQQN CC84DRAFT_453364 MRARHPQTLYLDTLEPHVSALNGLRRNSQTREAIEDALAALLGM VYIWRARVRRPTDAGRRRQAQAPARPSIPSLALQRLRPPAYGSHCIVTCSFTPLLAVY LAAFVPCNHKATCSIGFSGGTIARTGSLEVGAANIVNKSRAQLRIRSPP CC84DRAFT_1255741 MTGVVKSNRCGTCRDRKIKCDEVQPVCGPCHKGKRICKDPISKV KFARPKGISPHVARESESLIETLPRISIVRSIFTTEGSSFQTLRIGRRRRALRGVAKP DAYATLPLLRPPKLSSVESLQLAMLQSFKLDQPGLRLSVMAGFMEDVPRMLGQSTAFD DSISCLVNCHGLTLRGQRPAGDIAQGHLYATALLSLQTALRDSVESYSDLTLGAVTIL GYVEVFGGGSRIPQSVQHAGGACKLIEVRGPSRPHSNFTKVLYMAQRGQSVVVSIMRN ESCFFSEPEWKRISYDNLYDRESDFFIDELLREFTALPALLVNVRAFYYSPDEIVSYG IYLEALRLRESLVLVTDFVNQKLRNGHDIAEGPPTLGDTFLTKTYQFSSRELAHVCTF SWGVSIIINTIIARFLPANTTASVVRGLQEQCLFARQRIFMSCKYSQQFRPFGTQYLA APLIIAYRGATVEEKTWIMHQLWQIGELMTEVEVVWGSVSLEYASKLFYGESIVLPSG RGDSEDRNGSDFANCSYMMKVRKQWEQFNVANRVLYWEGKNA CC84DRAFT_1160854 MPFLDVAILPLRAVQAVFSIIVLGLTAYIIDAYRGPGSYDSWTP DSVDFMLFTSIWTLLAVAYLTLAPSRFPAAAHKFAIIGVEAVTMIFWFAAWVAVASWW GNVWHGAGHHPVWSSGVAAIIFSAFIWLAFVITTVVAALHVRRTSRNDTAPPPQMQGV CC84DRAFT_1255743 MRLSSSILLFAAVPTVLGDKHYFFSGFFSGSLIAGVEFDDATNN MTLVNNITIQSSTGSKWIAIDERKQNLYVSATGQYQSYSITANRSLASTRNITLPPSC QNANFIAAARTPPYTVFGAPYSTGCAAHAVSVDSTGTLQSILANITYNGTSGVHGLAI SPGADFVYSADDMGNAVWTHSFSDDNVTSTAQTLQYLPAPSGSDPRHLAVHPAGSWAY VVYEAANEVAVYARDEATGLLRDTNATYALLPEGFTNTSSYWADEVMFSVPPSASSSS SPKYLIASTRSRTSTSPGYVSAFALSSTGAITEQLFLLPTTASGGGANAVAPASFSEE YFAITDSAANFIEVWKIDNGGKTAAAVAQLGGFSGGPANVVWVN CC84DRAFT_1111430 MTWAAICSQMSGNAFVSYYLSPILTSVGLKNDLQQTLINATSQM LSWFSALYFATLPAKVGRRTLFLASLACMWIIVICITAGSATVAKHNSNKAAGYSVVV FLYLFSPAYNLGFNGNLGLYIPEILPFGLRTRGLAFFYFVQLCFMILSTFAVPVGLEN ISWHLYIIFVVWVMVEFVGVWFLFPETKGPSLEEIALIFD CC84DRAFT_1202409 MTNGAQVWGPLTVATRLATQLIPDAPRTFRTSPEQLPDQAADDQ DQLRKTRLFWAIYALDSYVNITTCHNGELLDRSIERLLPNRALAWETPGGPLYSRSEN QSMSIDPQYVFSHHLEALDLSREIHRIYVDFSYHKSSGEADTVGDWISRVLSCSADLF DWSARLPRMLQMDAVQETAKVTPRCFSSLVMLHGYWCALVIHLHSMVICPTLPECPRL SEVRSQSHEHCTRAVEHLIGMIGRIRGTTLKQLGWPFAWSLWTALRYLLACQVHSGMQ ILDGWDTLLRCLQAAAKYWQIGMKYWRMLNRAMSELQQSMSSPLLGPPRFLSSVVDLR ISTADLEDRFRVDPVLHQRDDVPEHSNNTDATRFGCEYPDPRAVENNLATEMSIYSTS IFQDPDTWYNMPLYATSAYQQSSQSTFDSTAAYP CC84DRAFT_1137694 MIPFQKIRDVSFSSVHNLKSDVASSAWSAVLLHPLRNDRTPHHG PETTLPAHLVLPANSKHQVDLEVPYHMTAFIARHFKRPEDGGSTITLTYAESYEDRPS LVPYLRHKSHRQDYSKDLFGPRDIYELRGPNIVNAVGYYDTEGTEEVVQPFHFRTFRF IRLQIDVSTSELVLEKISLQETNYPLDVVAAVDTNSDIDVKPLWETSVRTLKNCMHNC YEDCPFYEQLQYAMDTRSSILFTYYVSSDSRPAQQAIIQLRNSFVAHVGLTASRAPSH RLQIIPHFSLYWISMVCDHWLFNGDRSFTMQFLPIIDAILNYFNSRLDPRLNLMTSED RPGIWNYHDWTEEWRPYGIPPSVVKTGISTYTNALYAYTLKNAASLLHDLERSALAVE YCGRADLVTEAILRHCFDGNYFTDSLAEATQCGRYYSQHSQAWAVLCGAAKGEAAAKV LHDSLDDKASTFIPTSVSMSFYMLRALAMAGKDTYNANFRRFWAPWRAQLALGLTTWE EDSVSQRSDCHAWASAPIYEFLAEVAGVRPAEPGWAAICFKPSITLYRDFEATVPIPA QRGRELGRVHVAWAPTADHDVEVRLSIMGCNVPVHVRLSSRPVQIVVGGQDYTFIVHR KDIYGSPRKNSML CC84DRAFT_1081267 LPNVPPASASVLDPMPIGASFEFFMWPSYMRSITPMRCVKHFSD LYRNQMPIRISGTTQDRATYDPNFEGYVNHHVDDTLDAPMDLTYGPKFFDLINEFGAE TILGFNRGRGNKKNTFAAILEARKRASKNIYAIELGNEPDLYLMWQQPIAVAPWNETQ EGESNADWAQSFLKIWPGKLPILFGPSYAVPIPLSPAWPNTNYLINTVYDQTIKNAMK IYSGHLYALSNGTTLDGEMSHVRTSSDVGTFLSQIASVKVAGRDYVLGETNFHGLDEE MDATFGGALVTLDRSLRSTSIGIKRLFYHQGTVNQAFFNWWSDNQVEAPFYGGYVSAL ALAGGDKIVAGDKGTTRYAQYIVYARNKPIKLVLLNTDYYSGNGTRSSTTFNLSGLIG LKKAKALRFAAASSALTTEPGDTTATSHPTIGGQYFLNKDCAIASDRKYEYREVKGGK VSFALAASEALIVYL CC84DRAFT_453520 MVKGESADPIVTRLVEEDKVPWYRKPNLRVMYVYLFLCCMGVEM TSGFDSQLINTLQFSQPFNHYFGNGYKNAKNKWAIEDGLLGFISSCYQLGSILAVPIA PWFNQKFGRRWSIMTGSLIMCVGAILQGFAQHVGMYIIARMLLGVGIVFCIISGSALI GELGHPKERAFLTSLFNASYFVGAIVAAAIAIKTVEIAGDWSWRLPSLLQICPSLLQI GTVFLIPESPRWLVSKDRDDEAFAILTKYHAEGDASSILVQAEMAQIRSTIKLELEHS KQSWMDMLRTAGMRRRVLIACMMGLFTQMSGNTLLSYYSNLLFEMMGYESDYAKTRIN IANQCWSLINGVTIALIVTRFRRRWMFMLSAGSMCLVFISMTISFHSLRVAKDSGTKN GHAAIAALFFYFAYSPCYNIGNNSLTYTYLVELFPYAQRTMGIGVEQIFGKLGGFFST NVNPLALNSIGWKYLAIYCAWIAFEFFTVYMLYPETYNRTLEELAFLFEDKELAEKQA AAVEKQIHEGGELGAVDEKHIATHNERAI CC84DRAFT_1193464 MEKSSKIVIVGAGVFGLSTARQLALEGFENITVLDRQMVPVPDG SSSDISRMVRFDYGDEDYLQLAYEAYKRWQEPKYKGIFSSASFILVGSNATNHGRSWL DKTTAALTKHKLPWSKIQDAAAARRSYPALSGELASPGFFGYSNEQAGWADASKAVIQ LRDECIELGVSFICGRAGTVVGFETGSQQIVKGVKNLSGSCIPGDHFILTAGAWGSGL VEMYNSTLATAQTIAYLRLSEHELEKYKNLPMYANFCTGWFNFPPHAETRMLKFAIHG WGYTRAPETQDHAPIKDNISSPPLASRARPNFVPADGEQRLRRGLREILPELADRPFE KTALCWYTDTPSGDFIIDYHPDYANLFVGGAGSGHAFKFLPILGECMVKGLNKQLPEH LAAKWRFRTEYKDDKDVFLGDGSRGGPARRELDPQEKARL CC84DRAFT_453526 PLSLTSPLQWENIPTNALEKLRYIRSRADTSTAEDEPSLCRQCR KMAHGPGIAELFSPSGFKHSQLSTFAIRTDCMLCQFLWREDLLGSMNQANRIRRLSDL VKPMTGPPAVKEPSKAFVVLIALKQDTSPEIWRYLDVKVISDRGRLLWQPLHSLQVAV PDDDPFADFIYWRPVRWVYGSLNSLPMLRELLNYCCGNHASCPQLSAKPLPTRILRIS GQTPHLSLTLHETSPDAVGEYIALSYCWGGPQQLCLTTQNLKDFKEVALAITQLPQTL SDAALVTNALGIHFLWVDALCIIQDSAEDKELEIEKMCAIYENSVVTIAASTSKSVQD GFLDTNASYNTESASCTIPIPFQSECGEEKWTSVTFSSTRTQHTDIFPINKRGWTFQE AFVPHRLLVFGDIEPFMRCRSSDTVVLSLTALSYYNSRIEPRRVLYGGRPYNSSYMEA NDDRQFRRLWLYIVEQYCLRTFGFEADRPLAIRGVIQSLQSVYGGQCYHGIWSICPIG CLLWRSYPRDAATPARQPEVPTWSWLSLSFTDIDLSLLELLGNDDGTAIVRFTDAPHS TLQITCQVLLEDEVLKADDIVAYWDDYGREWSEAYDPEWEDLFLLVLTTTADQKLLTI EAVRESEGAYRRRGVMEIRGVEKWLAQPYQTVKLL CC84DRAFT_1235649 MRPATIVIAASIGVCNALSQKDYTQHVNLFIGTEGPVAGSAYSA GNVFPGATLPFGAAKVGIDTTRWNISFAANGGYTPDGNVTAITMLHESGTGGAPTYGL IPQMPLTTLEGVNLLDNITYMQPRTSPDEASVGYYKTQLQNGVKAEMSSSMHAGIIKY TYPSEVEGKHILVDLSHFIPSAGKKEQWYSNGELEISDGGSRYSGYGVYREGWGWGGD YRVYFCARFDTTPVEARLFSGKATDPYWPNTTDVRPVFTNATSIRGGTVGYQYADRIG ALFSFPQNSSVVTSKVGISWVSEDKACQFLDEIPHWDLQKVHSAAKDQWNSEVLSKID VHTSNQTQLEMFYTAMYHSHLMPSDRTGENPYWESEEPYYDDFYTLWDTFRTLHPLLT LIEPERQSDIVRAMIDIWRHERFMPEGRSHNHNGRVQGGSNSDNVLADAYVKGLKGIN WADGYAAMKTNAEVQPYNNFDFEDPSGSTKEGRGGLQDWKKYGFVTPDRGRCLSKTVD YALNDFSLSQVARGEAPEDVAVYLNRSAGWQRTWSHNTTSLNFTGFLAPTYGNGSIKA YDPLSCGACDWQSISYEGVPWEYSWTIPFDMETLITLMGGPEMAEKRLDTMFIPGLTQ SNQGGNSAGTTIFNPGNEPSFMTPFLYNYWPGKQHKSARLSHDLVDQYYNNGRSGIPG NDDAGAMSSWLVWNMVGLYPVATQPVYLILAPRFENTTVTLGNSGAVLRIQTTGLGSG PYVQSLKVNGIQWDKSWLSHEDLVRADGSDSLLEFEMGAEPVSWDSGDVAPSPGHVTI P CC84DRAFT_1111444 MESPLAPGAANGSIRSPSPAPPAIDPQIIVDYVEKVLEVNLGAS SADLHAPGSLLSESRIDDTLQRCTRFALESQQVIYLVKDRLDEARIDGLDGTNGATQH FLYTLSSELVDSPTCAAFVVISKRPIPIDPAIPITEQVQITTLPGFAAFNTTSSKDSS PYEFIRSILHNSVQPYFNTFTKGQQSLAGTSLKGDNESRSGIPAAQRKFRELDLTLSN LGDNVEIPQLLLPLHPMIKAAVESAEAEKKTPSPDYLPAAIVTDSAFLNGLQSTVNGW IRSIQTITKTDRKVSTGTATQEINFWISMENRLDDIEAQLRSPGVKLTLDVLKNAKRF QATVSFSADTGLKETHDKVIKYNQLMREFPIGELLSATSLQKVQEAIQQIFGHINKKL RICPYPVERALPLVEAISADLDKVLHDLLRGKELMAMDYPEFQSVMEVADSIWHAWDE NVKEFTKVAREVTRRRNEKFIPIKFTDRHQPTEERIRYVSTFRKNHEQLQRTIVTVLG SQTSLASLSDGAESNQAVIVEEMGDVDAVKEVEKAYDALKDVDVLDVEPEGTRLFEQA EQKYNERTSRVENSIIARLRDRLGTAKTANEMFRVFSKFNPLFVRPKIRGAISEYQTQ LIENVKQDISALHERFKRQYGNSEAHAMAQLRDFPPVSGAVIWARQIETQLENYMGKV EDILGKEWALHAEGQKLQAESSMFKKKLDTRPIFESWIQSVARQKLSISGRLFIVNRN RAAGNILELHVNFNAQVIALFKEVRNLTWRGYQVPHGINNISKEAKRVYPYAVSLMES VRTYTQTIQSVVELTGVALLLNGYLNDVQGLVGKGVPLKWESFVHAYDLHIRQPAFSN GAPGSVRTESKHVQFVREFAASTSLLQTKVATLVTINATVQKALKDLETCPYNADAFR QHLEIVQRSVDQLNLENYANLGSWVAAMNSRIEAILLIRLNRAIAQWIEVFTNVDQEE DRRPISQPATVQNTEEKPSLSRLTLEITMRNQVIYLNPPVEYARASWLEQLQQWLAVI CTLQKIKASRYEMRVEAAENASSNQFSNLASLCTDALMQVYGAVEGKLHDISSYVDEW LQFQSLWDLQSDHVYEILGEDLSRWLQLLQEIRKTRDTFDTSDISRHFGYVTVDYEQV QNKVSAKYDQWQQEILVKFAGRLGQRMVEVFAQIEKARKDLEMQTLEASSTAQAVSFI TVVEQSKRKVLEWEPEIDVFRQGNSTLVRQRYQTPVDWLHMDQIDHEWQMLTDVLERK SKIANDQRDALRGKIEAEDKVVNQKIADIIAEWSEQKPVAGNIRPSEASQTLETFDRK LNQLRTESENISKAKDALNLSKSGETALTAVLEEVQDFKSVWASLATIWESIDTLRET LWNSIQPRKLRQNLDNLLKMTKDMPSRMRQYQAFEYVQGTLKQLLKENTILSDLRSDA VRERHWARIFKNLKPSKRYSAISMTLGDVWDLKLGPSEKIIRDVITQAQGEMALEEFL KQVRETWQGYGLELVNYQNKCRLIRGWDDLFAKCSENLNSLQAMRHSPYYKEFEEDAS AWEDKLNRVHVLFDVWIDVQRQWVYLEGVFTGNADIKHLLPMESSRFQNINSEFLSVM KKVVRQPFVLDVLNISGVQKSLERLAELLNKIQKALGEYLERERVSFPRFYFVGDEDL LEIIGNSNDTLRIAKHLRKMFAGISGLITDEDGVITGFTSKEGEEVMMRKEVNLIKTP RINEWLGALEMSMKTTLAELSFEAYQEFEQLMAPDAMDPVAFEEYLNKYPAQVVVLGT QIAWSHAVEKSLVEEGTSLPQLYEKQVNILKLLATSVLGDLEPIQRRKCEHLITEFVH QRDLIDKLQKVGASSPTHHLWLLTMRYMYEPNDDLLKRMKIKMANAVLDYGYEYLGVA ERLVRTPLTDRCFLTLTQALCQRLGGSPYGPAGTGKTESVKALGVQLGRFTLVFCCDD TFDFQAMGRIFLGICQVGAWGCFDEFNRLEERILSAVSQQIQNIQLGLRTGAEDEKAQ IDLIGRQLRVNLNTGIFITMNPGYAGRSNLPDNLKKLFRSVAMSKPDKELISEVMLYS QGFSQAKELSKQVVPFFDKCSGKLSKQAHYDFGLRALKSVLVSSGGLKRARIAVIDTK EADEAVMEPQIIIQSLRETMAPKLIASDVEIMKAIEGESFPDVEYVPAPLDKLQDAIR KSAEESKFVVSDAWMTKIIQLYQIQNLHHGVMMVGSSGSGKSAAWKTLLAALQTVEGV EGVCHVIDPKVMSKEDLYGVMDSTTREWTDGLFTSILRKIVDNLRGEDTKRHWIVFDG DVDPEWVENLNSVLDDNKLLTLPNGERLNLPPNVRIMFEVETLKYATLATVSRCGMVW FSDDTVEVEMMIKNYTENLKTVPFDDIEDDSVAPGQMSQKTLATQSLIADFLLIKLTQ DKFIEKALSMAKKFKHIMEYTEIRALNTLFSLLNKACRNVLEYNVQHQDFPLEDEKME VYISKKLLLALVWALVGDCPLSERKQFGDLVAGLTDVELPSLNESTSLIDFDVKPDKS DWDMWQNQVPTMEINTHSVTQNDVVIPTLDTVRHEDVLYSWLAEHKPLLLCGPPGSGK TMTLFSALRKLPNMETVGLNFSSATTPDLLVKTFEQHCEYKKTLNGVQLSPTQVGRWL IIFCDEINLPAPDKYGTQRAISFLRQLVEQNGFWRTSDKTWVTLDRIQFVGACNPPTD AGRTPMGLRFLRHAPLIMVDYPGELSLLQIYGTFNSAVLKIIPTLRGYSEALTKAMVQ LYSESQKRFTPDIQPHYIYSPRELTRWVKGIYEALRPLETLSVEGLVRIWAHEALRLF QDRLIAEDERKWTEECVHRVAMEHFPTIDEDKALRGPILYSNWLSKNYVPVERDQLRD FVKARLKTFCEEEVDVPLILFNDVLEHVLRIDRVFRQPQGHLILIGVSGSGKTTLSRF VAWMNGLKVYQIKVHGKYSGEDFDEDLRNVLRRCGCKGEKICFIMDEANVLDSGFLER MNTLLANAEVPGLFEGDEYASLMTAIKEGAQRQGTILDSQEELYKWFTGQIVKNLHVV FTMNPPEEGLSSKAATSPALFNRCVLNWMGDWSDQALYQVGSELTQSVDLDRANYNAP DSIPVAYRGLSLPPSHRESVVNAMVYVHHSLHGFNNKLQKQQNKKTYLTPRHFLDFVA QYVKLYNEKREELEEQQRHLNVGLEKLRETVDQVQELRISLAQKKTQLEKKDAEANDK LQRMVADQRVAEQKKSSSIEVSAKLAQEDIEIQRRQEEVNNDLAAAEPAVLEAQKAVQ NIKRQHLTEVRSMGNPPMGVKLALEAVCTLLGNKVSDWKSIVAIVRKDDFIANIVTFD NERQMTAPLRKKMMNEYLSKEDFTFEKVNRASKACGPLVKWVEAQVHYSDILDRVGPL RAEVEQLEEAAQVTKDEAKETQALIQKLEDSIAQYKTEYAALISETQAIKSEMKTVES KVDRSLKLLDSLSSERTRWEASSRSFDSQIDTIVGDVLVAAAFIAYGGYYDQQYRKGM MEDWLHHLSLSGITFKTPNPITEYLSTPDERLTWQHNSLPVDDLCTENAIVLKRFNRY PLIIDPSGRTQEFLENENKDRRLTVTSFLDDSFTKQLESSLRFGNPILIQDAEHLDPI LNHVLNKEYQKTGGRVLIQLGKQEIDFSPSFKVYLSTRDPSANFAPDICSRTTFVNFT VTQSSLQTQTLNEVLKSERPDVDERRTNLIKMQGEFAVHLRQLEKRLLQALNESQGNI LDDDRVIETLETLKKEAAEISVKVSETAGVMTEVENITKEYAVVARSCSAIFAVLEQL HHLNHFYQFSLQYFTQIFGTVLRNVKNSSLTSHADRINRIVTDLFIDTYRRTSLSLLQ KDRVTLAMLLVQAAPYKMDKTLIDIILDEDLEGVDLSSQPERMNEVMARAGKVSVFKS ELGKVDDVTWETFLAEELGERHVPPAWPADVNNYDQQLRALVLVKLFRVDRFVPAVER FVTDVFGKGLLDASGDLGDIVKQVNATTPIALSSSPGFDASYKVDNLVEREHVTCSNI AMGSNEGATTADKAIANAATTGDWVLVKNVHLATEWLQSLEKKLEALKPNPDFRLFLS MESSPKIPVNLLRASRVLSYEQPAGIRANMKDSLASLSERATKHPVEKARIYLLLCFF HAVVQERLRYAPTLGWKGFWEFNDSDYECCSFIVDTWVDNVAQGRSNVAPNKIPWDMI RTLVTEMYGGKIDDEADFRTLASLVQQCLTPAAFEDNYKIVPDTELSQGLELPSATGW KDFMGWVNDLPEREPPTYLGLPANAEKLLLVGQAKSMVANLKRVVDMLDEGEQIMAEA EAEGAAET CC84DRAFT_1111447 MNRPSASRSRSRAALNRSSSFAPSLRRRPTSQSLPPDPEDDPAA TQEATATHAIVEEIAKIKRYEDFTTIDWVQDAAREQLRRKARRKQRDSYSVRLGGRGT RGWRRRLYETYDACQAWIVVTLVGAAIGLIAASLNIITEWLADIKLGHCETAFYLNEN FCCWGAESGCPEWKRWSGFGPVNYVLYVLFAALFSLTAATLVKSFAPYAAGSGISEIK CIIAGFVMKGFLGAWTLLIKSIGLPLAIASGLSVGKEGPSVHYAVCTGNVISRFFDKY RRNAAKTREILSASAAAGVAVAFGSPIGGVLFSLEEMSNYFPLKTLWRSYFCALVATA VLAAMNPFRTGQLVMFQVKYDRTWHFFEILFYLIIGAFGGLYGAFVMKWNLRVQVFRK KYLGNWQITEAVVLAVLTAIICYPNMFLRIDMTESMEILFQECEGDHDYDELCESDNR WRMMLSLGIATVLRTLLVIISFGCKVPAGIFVPSMAIGASFGRMVGIFVQFLHESFPD SAFFSACQPDVPCITPGTYAFLGAGAALSGIMHITVSTVVIMFEITGALTYILPTMVV VGVTKAVSERFGHGGIADRMIYLNGYPFLDNKEEHTFGVPVSQVMASHVVSLPATGLE LRALERITNENQYQGYPIVEDVQSKTLVGYIGRTELRYAIDRAKKDQMAPGHARCTFI TPNTSGTRTPPSAVPSASFDTMSATSGQLQVDLSKFIDPTPLSVHPRLPLETVMELFK KMGPRVILIEYRGRLTGLVTVKDCLKYQFKVEAHENPQVARSLDERQEKLWAVLTTAA GWIDGVFGKFRSRVGGGHGVRLSSPIMARHREADGDGLRNSNDDAEVELEDRGRGSVR CC84DRAFT_1160862 MKLTLASLLPVVAVAGRLSQIPFQETPEVEAFQVYQSEYSEHHS LRIKQQQNDSLCDAHSKQYTGWLDVGSKHLFFWYFESQSSPSEDPLVLWLTGGPGGSS MIGMLQENGPCLIDEGGNGTHYNQYGWSKNANLLFIDSPAGVGFSYVDEGIPLPGDSF TTAADLHHFLQIFVGDVFPDLAERDFHITGESYGGHYCPALAAQVVSQNILYPKRQQV NLRSIFVGNAYVSPVDTAFGYWETLCTTNPGVEEPVFNKTRCDIMASNLPRCLDLARL CYAHPDPAICAAGSTVCWDGVIKYYDGESGEGGDRNRFDISTPCKSGDDLCYLEAQWI EEYLNRPEVFEALHVPKAVANYSIYNMNVEYAFELTGDQGISLQPQVLYLLDNGIDVL FYQGNYDLACNTAGNIRWAESMPWKGQPEFVAQPRKTWKHGGKEVGWFKEVKVETAGG RETTFAVTTVDAAGHMVPYDKPEEGLALVNRWISKRSFA CC84DRAFT_453557 MHWSRMKCLTTTLLLIFTLPLLAQAKWSIPPSYALYASPNGHYF QTLEGKPFFWQSDTAWLFINRLNYTEATSYLSDRAAKGFNIIQACGAHCNGPDQADRA GNLAFIDSDVRRPNEAYWAYVDSILELAWEEYGIRIVMHPAWGGYVHNDRGVPGFLNA STARVLGEFVGKRYPYVPKVLFGDTNPWWKNKTAVSTDYAYGGALSARLPGDYAVIDF SSVYTALAEGIVKGEEEVVRNRSYGEGGGERRYVPMISMHPTNQWFAGGPLALASSFF GESEWLTFDLSQSGHSDHPPNPPIPWWSCRRGWEPAELMWSVGESTPGKRRPAIENEP HYEWRFNNGKSGKASGMYWNASDVRIGTWQTVGIDSAAVMVDGEC CC84DRAFT_1071654 MPYNNTPIAPSKEVSGQVSLPLARVQKIINADPERLHTSKNAAF AIALATELFIQHFATTTHNVVKAETQKKPRRNVQYRDVASAVAKTDNLEFLVDVVPKT RVWKEVREKR CC84DRAFT_1072897 GDLFDAPDDVVLVHACNTQGSWGAGIAKEFRRRYPMAFSLYQEH CLANHHPKSDPVPTGSCLLIPPSEERPGSRRHWVACLFTSEKYGRSKDSQARILANTP LAFRHLLHLLRRQAREIGPAIQEIRMCQINSGLFAVEWENTRMLLEAV CC84DRAFT_1193470 MPALANTTRAIARSWTQHSLPVARAGCVAMQSRDASTSTFESPF KGQGSTKIPSFGHYKKTGGDENGPKLMSYFMVGTMGALASLGAKATVQDFLVNMSASA DVLAQAKVEIDLAAIPEGKNVIIKWRGKPVFIRHRTEGEIKEAEDTKWESLRDPQKDA DRVKKPEWLIMLGVCTHLGCVPIGEAGDFGGWFCPCHGSHYDISGRIRKGPAPLNLEI PEYDFPEDGKVVIG CC84DRAFT_1193471 MAKRKSQKDPEDLPDAPEGKGKRRNDDSDSDEDMDTLDVDFEWF DPNPAVDFHGLKTLLRQLLDVDNQLFNLSELADLILSQPLLGSTVKCDGTESDPFSFL TVLNLETHKDKQVIADLTAYLTRHSTSPSLAPLNTLLTAPSTAQIGLILTERFINMPH EIVAPSYKMLQEEIQWALDEKEPYAFTHYLVLSKAYIEVESNLPAAEQPPAKKKKAAK ADNRETFYFHPEDEVLHKHALGFGSFEFESPVDEGASDSKRAFQEMGVKPMGHLILIE ADKFAGAVGAVESFLGGGQ CC84DRAFT_1193472 MTTDASDPIPPHKTFDTILVLDFGSQYSHLITRRLRELNVYSEM LPCTQKIADLGWTPKGVILSGGPYSVYWDDAPHVDPAVFELNVPILGICYGLQEIAWT FDNKNVLAGEKREYGHAYLKVERHGDGETGGHVDRLFADWEDNTEVWMSHGDKLGHLP TDFITVATTSNAPFAGIAHTSKKYYGIQFHPEVTHTPKGKVLLKNFAVDICEAQTNWT MSKFVDQEIERIRKLVGPKGQVIGAVSGGVDSTVAAKLMKEAIGDRFHAVLVDNGVLR LNEAKTVKETLTAGLGINLTVIDASERFLSRLAGVKDDPEKKRKIIGNTFIEIFQEEA KKIAAAAQQSSNAGEIEWLLQGTLYPDVIESISFKGPSATIKTHHNVGGLPKDMNLKL IEPLRELFKDEVRELGMNLGIPEDLVWRHPFPGPGIAIRILGEVTPEQVRIAREADHI FIEEIKAAGLYRNISQAFAALLPVKAVGVMGDKRVHDQVIALRAVETTDFMTADWYPF DGAFLKKVSRRIVNEVNGVCRVVYDITSKPPGTIEME CC84DRAFT_1160867 MYQVDVPREMDDYTTLPPTAAKDKLKPFNIHIEEHKVQDLKALV RLSPVVQSTYENAAERKEEGHDFGVTREWLIDAKKIWEEEFDWRTHESHLNTFPQYTA LITDSDNLPYTIHFCALFSHRTDAIPLARLSGWPCTFAESLPLLSLLREKYTPGTLPY HIILPSQPGWPFSSAPPTERDWTYADSARVLDKLMREVLGFREYAVSGGDIGAGIGRY MAVRHEACKVFHTNHNHMPRPEDVADTPLLLTQDEKEGVARGEDFMAKGTAYGRMAGT RPGTLGAVLAASPLALLAWVGEKYAAWADPRTPVPLGHVLEAVSMYWFTGASATTLYP YREDYLTGVTKKGYLHGQEELYVDKPMGYSFFPKELAPCPRSWAESTGRLMWFRRHEV GGHFPSLERGEALLGDLEDWLGEVWK CC84DRAFT_1193474 MSGQSNVGNSGVYEAGDQRNYKDSEIKQAQEEKRFHEGKEHSHL AQDSKDERSIANKLEREKRREDEPDNNLTEEDRASQLDPTLPAKLHGNEPSKGAKIDA ELQAEEEEELRRKGKA CC84DRAFT_1184022 MSSSMAQRLKGKTIVITGASSGIGKSVALEFARTQPEDLKLVIT ARRIDTLKEVAKEIEGFAKGVKVHPVKLDVSKPDEIQGFVGQLPDEFKEVDVLVNNAG LVKGVAQAPNIAAEDIAVMFDTNVTGLINMTQAILPIFKARSDGGRGDIINIGSIAGR EPYQGGSIYCATKAAVRSFTESLRKELIATRIRVIEIDPGQVETEFSVVRFYGDKDKA KKVYEGVEPLTGDDIAEVVVFAAGRRENVVLADTLVYPNHQAAATVMHRKTA CC84DRAFT_1172305 MMKYIYSNLIPPSSYSTQGLCADIPLRCHRNANMDEHGTIRLRN DWQTHIGSLPSSTHGGRGPMYNFTSVTIPECLPERLEGVSYAMEFAFLHDDLVDGDGA GGGLALYEDIMRDIGAESRGEVHGGSATGEARILRSIMEEMSSLDAFRTKELLQYWKT GVSLPRDRTHFDTFEEYLDFRLVDSGALTSLLTGLMTFGMALTIPPEEKEECMALTRR AWHAAFLTNDVQSWEKECAEYEKQVALSEGVETPHMVNSVWVLMQQESLNVEEAIERV LEKVKGFVAEYAEIVTGIQGRMDLSEDSQCFVEAAQYMVSGNLVWGMESPRYHADRGL DRVQKANRERAVLRTANQLPTPPDEALHSSPDRAA CC84DRAFT_1235664 MATNTDTVVLFVVGTLAATFLLYSSGLVIFRLCFSPLSQFPGPK LAAATAWYETLVDITSNNFHEILMDMHKQYGPIIRCSPWEISINDAEYYTKLYVPAGI RHTNSMVQRFGFGLESESLYSAVIPSSVLNHKDTIATTSDHDLHQLRRRPLEKYLSRQ GIMQAEAVIHEKTRLLDERLSAMRGTGSVVRLDQAYSAYLGDITIELTVGESSRMLEE ADFAPEWYYVFPSQRCCKRMAADTHRLLKMIPMGLIRSAYPRIAAFKMWDVLGRDKVD NIKSDLAEEVVPQKFSFFHTLIRSDLPAFEKHASRLSAEAVGVFGGGTINPTSALAFM TFHILNDAQIHRRLQESLTEIMNEFPQKSPTWIELEQVPYLAACVKEGLRMSCQFRRS ARVAPHTELHYKEWVIPKNTAVAMAIYNMHYDSDVFPDPFAFKPGRWLGDIDPRMNRH FAPWGKGSRDCPGKNLASAEMYVCLGTLFRPGGPFSAQVALKDCDETDFALLRESEFG VFPYSSRGLGVSFD CC84DRAFT_1193476 MGLLSFPTIYFLCSFVLYSSAFSIPSLPKFAAAKYLPHSQKPLR ETLDDWIDREERISLDRLLANVKPGGVNVDSNEGVVDGTVIASPSKEAPDYWYQWVRD AAITTNTLVDLYAESPSSKQSSSLETILTAYAHLQANLQRTSNPSGTLDDLQGLGEPK FHVNGSAFTDSWGRPQRDGPALRAITLMRYLRAYNASHPASWTSASSESKSFYDLLYS AELPARSVIKADLEYISHFWNHTSFDLWEEVDGMHFFTAMVQLRALKEGQHVARSFGD EGAAQWYGEQAGYLERFVRRFWNKDKGRLVASLWSRRSGLDCAVLLGSIHGQPSEGEH GEPPFPPWADEMLVSLLGLVRDMGKRFPINVQATRGIGGLGALLEGVGIGRYPEDVYD GYSTSIGHPWFLCTSSAAEILYRTASHLSISGSLTTSPLNVDFYAALLSSSSSPEISV NTTYTADDEPFKTVVERLRHLGDEFLEVVKTHVDDEGSMSEQFDRETGFLRGARDLTW SYGAFLQAVKARKEAL CC84DRAFT_1160872 MVRNHTQELLSGPRLRNACDRCHTQKLRCLKSQQDAACIRCSKF GSSCVYSARATRRFPKKNPENVSSNSTLTAVVSPKIKDRTFEPNRSDSTILAHKWLDP SVLLQDTPLGIPSCDLLGAGRFSGPSFIPNQHVDGTTKKLSNALVPSAPLDLTYLNNK LVEHHANLSSALHVSPPSAFAPKVIAIDQTFTLTRRFIDIIKACSVRSAGQATVLLFL SCYHRLVDIYQSLFEKMELCTHNPHAIIPKGITLNMPATQVGSYITNDLWRTVEAVEA PMTTYSTHFMLLLLLCTNMCEELRDAIANQCDQSLGGDAAWDHNSVSEQDFIHFGAPM IELSGDKDHFDQSVENALWNRWAELSTQIQTTKRAAMAFAITCL CC84DRAFT_1213189 MDPGHVQLSASNDGHLFVPTGHLEYQGTVYNIEPGLCVDNGGTW IADQFPRGCDIPMETITYTDLSTAVVDSKAARDAPSELAAPGNTEHAHFVENLGILAL ILWALCLLMWVRDFFKSVKSKRRAQADWKILVRTSGMDVVREDVNDKGERVDGNRDKL MEEEHAVCRDSQSQLENV CC84DRAFT_453686 MDSFERLMVCAILLMAASTTAAAPHSRHHALLYAAKQAHARDER VVMTDPETGRKFMPGETSRARTVYTVVALFCAVVLALLFGLRAKSLARNIKKRNNLTS AILSFLFLFAICFIFATAVVESGQSLASSNLCYSAIIICLVFYTTNKLAIYIFLLERA RIVRAPFMRRHRDWLWISGMIIICAGFGTVAIIGFVFPVSELSRLDGRCRIGLPRKVA FPLMCFDIGLNFLLTGLFIWLLRPVLSLHRVNLIPGLLTKTSHREENASSEVSLRYSR PHMHNLNHIIKNLLWKCLVGSTLVMLPTVGNMVTFYVMRGRELGWICLTICTLDVSWG VLIINWLTIGSAQADRALTTLMSQQIVSEPDNERDGPVPATIMQSRLSGLGKPPPPAK LHDEGQLLAFDRVSSVPKQ CC84DRAFT_1172312 MVRLTASARKKFICSKSVKTPQRSIKYKQPAYPDDEVLHMDHIA PVGQKLLSAAVVITVAKSHILRDTSILSFLDWFSGQSQAPDLRYLEFCGVYQDLLYGR QLDHITLRRPKLEIVTTNADPLPQDDEDTREDATDSEEERRVARKARKTHRKTRDMRR QIRNLGKQRSMSMIMGREIYGFEMGKSFRGDLDYSDDDDDDDDDDVDPRKMKQELKMM EEGFGFDD CC84DRAFT_1084108 MGSSGPPCTRCRKRGLSCTVNRSLQMLLESDTSWKSSIESEIRG LKDAIEKLANQASLPELAHSMHEESLSPNHREGSMRQAPISINPGSRGVILDADSGPE ALPASYLTEALPATITVSAASFHEDIISRGRISPEDAKACLELYRNKLDHFPYQILGG YDERSVSSIRADSPFLLAAICSVSTLHMAPGDFNACYEEFRTMHSSRSFAKDINLDDI RALCIGAFWLSDISWALVGTAVRMATELQLHRSFAQALQGHRDHYLRARLHLLVYACD HHFSIPYGRPPLTREDDAIRNARKFLQCAHTSENDARLVSQVLRWSLCTNVFDTYGTD TERPLTEPAISQLRMFCISLDSLRTEWGERFVVNAHIGNYPSKGVALQCDFAKLYLCS HAFRGIVASRTTHRSQETALSVDNIAHEGIISALSIISTVTSDPEVQSFFDGLPVYFD VMLAFAVVFLFKISRLPGLFRLDVGATKRSVRELIEVLRAITATMHPRHLLVDLTNGI GHLLQRWNTMEESGPSNTDRSQMFPSIHRPDESTSRPTPDTELGWLDGLLDPRFMGEY DILMGQEMDFAF CC84DRAFT_1111481 MAIETSVTLHGRTYTLPTQPTVIICVDGFDPEYLQEGIAAGIVP NLAGFVKNGFHATAHSCMPSFTNPNNVSIITGSPPAVHGIAGNFFLDSETGEEKMVTD DTLLRGDTILQLMAQRGVRVAAVTAKDKLRRILSHGLRGTNAICFSSEYAESCTIEEN GIEEVEAWIGRKAPQQYSGDLSIYVLDAGVKLLEENRADLLYLTLSDYIQHKHAPNSA EANEFFVALDDRIGKMVKRGAVVAVTGDHGMSDKCGDDGKPNILFLEDVLTARWGPKA ARVICPITDPFVRHHGALGSFVRVHVKDWRDVLEMIKLCGTLPQVESVLSGQDAARVY EMPLDREGDFVVVSKKNAVIGGCEEEHDLSNIIDHRLRSHGGLSEQEIPLLLSKAVRD HDLAVKHGLWRNYDVFDLALNW CC84DRAFT_1235673 MRVFKNLSALAVLVCVCDARPTSSVVFEKVESSPAGWVLDKTTK VDKDATSITLKIHLVNQGMDKFHKLAMDIATPGNAQYGNHLDHEQILAMTAPKAESVT LVNDWLKSEISNAKVSVKGDYFTVEGSVNAIEKLLKTKYSTFEVNEETKAKTLRTLEY SLPSALVGHVDMIQPTTYFGVKQFRSTISEHHAIEDEAGAFHTDAVQAVTGCTGTRIT PTCLANLYNFKNAKNETAGLLGVAGFLEEYAIKTDFTTFLNSYAYFSNKAKSFTCTGV NAGTCPTSPAGVEANLDVQYAGSISSSVPMTYYSTAGRGQWVGSGTNTNEPYLEFLNY LLALPAASLPNTLSISYGDDEATVPLSYATNACNLFSQLGARGVSILISSGDSGVGTT CKVNGKTSFTTNFPAACPWVTTVGGTTGNSPENAWSSGGGGFSEIFGRPDYQNATVNN WLSTDTTHTAVNNYFNASGRAYPDISAQATNFVIIASGSAQSVSGTSCSAPTTAGIFQ LLNSARIASGKKGLGFLNPWLYNTASTGFTDIKNGKIGGCSGVISGAGFSAVTGWDPA TGLGTPNYEALLAFATSTA CC84DRAFT_1235675 MAPARVMEITGSVDQGYIPPSDAPHENEWYNQDFDGYRITEQPL HAKRHLRIVCVGAGAAGLQIAYKAERALSDIDLQIYEKNSDVGGTWLENRYPGCTCDI PSHSYQFTWARNPNWSQYYSSSKEIWQYFKDVAVKYDLEKYVQLETTVESAEWNEEEG KWKVAVLGPDGKRTEDCCDILISGSGVLNSWKYPKIPGLDVFKGKLMHSAKWDSNYDL TGKRVAVIGGGSSAVQIVPNIQPTVGKLYAFLRSPVWVTTGFGAKHAGPGGTNFEYSG EQIKKWHADPESYQQYARDVEGELNKRFNLMHMHSKDQKSSRELIADIMNDRIGNEKI SKKLVPDFALGCRRMTPGQGYLESLSKENVEVIHSSAVRLTETGIVDESGIEHEVDVV ICATGFDTSFTPHFKMTGRKNADIKEQFGDFPIGYLGITVQNFPNFFLIIGPNGPASH SSILPILEWYTRYAFKMITKFQTENIKAFEVKAAAVKDLYNHTHELMKRLVWSSACRS WFKNGKTHGPVTAIYPGSRLHFFELLKEVRWEDYDLDYRTGNRFQFLGNGYTFTEMSP DGDPVWYFDDDFTKI CC84DRAFT_1235682 MSSAFETFKPAFTEPPGTNLAGIPIKGLKLPSPWVSYGLPYHIS CAKHIRDDFNASRVYIIASRSLSRDTDEIEKLIRTIGDTSIVGIRKGLTPHTPWSEVL SIADECRKADVDCVVTLGAGSLTDGAKLVVLCLANNISSATQLARYSVESTSIPSEVR QPTVPLITIPTSLSGGEYFSLAGGTEDSTNHKHGFLHSGMGSKLIILDPELCLTTPEY HWLSTGVRSVDHCVEALCCTSATAASDEKAEKGLRLLVPSLLRCKAEPRDVEARHRCQ MAVNYAMDNVRAGIPLGGSHAIGHQLGPLGVPHGVTSCIMLPAVMKYNLKHGSSNPKI GPRQETIKQMLWSETEVASALTSAGLEEGSADLGDLLDAIIRTLGLPRTLRELGVSRD LIPALSERALDDFWAPTNPIPLIEAVQVQEILEAVA CC84DRAFT_1213197 MERQTYPGVDDIERNAAREGSRSPVSSLGEDRSKSTHQYSEEEK FEQDHDHGIDWNPGWRNQWPWVGFVGFMTLVIATAMAIAILGISNHKRVKDWPFERYP IQPNVLLNIANQVQNLGLITMIGQGLAIAWWRKALRGSSLETLHRNHAYSYSFYAIIT SGRKFNIVALAALMTKFAVVDSTLFQKATKTVITQQTAYMNSSVTAWIATEWPQNSGG IPSKNDSVNPIKTVDAAWASVIDAYTGKVANGKVHDLLGAKASFFDCPYRQECSGFIK GVGFAFDCNTTREDIDYGLQHLSEQGGVESTYPLWDISFNTTWATPERPYASVQLNML YADTHRGEQPESCPGFVTRRSCEIRPAVVEYPLTVMTPSEEELAGGNIVTHIKFFNQT GSADFGAPLNGEQIDQLKVLEYRDLNEQLGQVSTVGALTYVLNNLYSSSAKLSFREEW NIEVEGSQAQSVFFADSDDDDANRCYYDIDKNGRDDPAIALLRKLNTLSFVAGLYLNG APTTPEEKRKSANMPSQTVLTSVTGIVEEYTTNFSYVAGALVATLVTVLLVLPVYWGF WELGRKVTLGPLEITEAFGAPIVTPERYKNTHGDFTHILKEVGDRRVQYGQLKGAPAG QMGIAEPQSVVYPDKVVRAGHSRTKDRVFGAGIGAVLGGLIGGLVGGSAKN CC84DRAFT_453749 MAPKVLFVLTSHNKLGSLDKPTGWYLPELAHPYHVLHNKTDITV ASPKGGEAPLDPASVEAAKDDVSVNFLKNNESVWKNTQKLDDFKGKAADFDAIFYVGG HGPMFDLVDSPTSQQLIREFWEAGKIVSAVCHAPAVFYNAKLSDGSLLVAGKEITAFT NAEEEQAGLTDAVPFLPEDALNKASGGKFVKAAEPWGEKLVVSGRLITGQNPASATAV GEAIAKALGV CC84DRAFT_1137752 MSATRMRSRSNAHGHEEVIPGTRRLYDEHGNSLTSDSSLQKTGR GIVLVPQPTDSPNDPLRWGLWRKVWHSLLVLYMVGFTAATSNNAGSGSDGVNEEYGIS YDVFNTGAGVLFIGIGYWALLSSPLVHLYGRRLGYLISLIFNIGGLIWYARIDSVSGV VWSQLFVGAAESVGEATAQLSLLDLWFEHQVGSVLGIYTFATAIGTYLGPLIGAYVAS GLGWRWIGYVGAIICGGSLIVFYFGLEETEFSRDRYIAGRDQVITDAEQPVVSDKNQG DADPEKTVSSPAASFEHRTTVAHKKTYWERIRIITPAPNLRGTGFKQYLNRLWHTLRV FTFPAVWYAGLQWGFQQSCLTFYLTVQEDWWFGPPWNYSSAAVGNMNLPTLIGSLIGC AYGGYGSDAFMQWMIKRNKGVMEAEFRLYLMALCTLIFPAGLWLFGIGSARGWSWPVP YVGLGFIGFGYGCAGDLSLSYLADSFPDMVLEGMVGVAVINNTLAMAFTFIASPWIDS GMENCFIVLGVLGFIVMGLSLPMAIWGKRTRRWSRDRYMTFVEIRDGFSR CC84DRAFT_1111492 MLNMDISSDTKIPLTAKTVRAIARASFQQPFDKLARAVSETKWL DFDVNDPGSIGTDRAVYRSLSRSKHNRLLVVGYYAPGYGDERPTDRVAAHVHTDPAEV RFYRIIEAKDGSRTLEDVNSTSAVDFDPPFCDVGDGQGDHRAGHRVTALIEWFFLDAG LVPELTRPDGDPGKFTRNFRQACMWVGNDGSQPVDVYLRRSSGVNIKEEEKETIPVRP KRSSTTSASFRNSAVDEFRLPPIKRSATDTSPVKRQREENPLARPTKHRRTLSEQVTP LTMHHHLMNSGSNPPSPRTPTLNDAERMNRALGSRIIHLHEQYRTLRSHFEETGSKQA DLKTELKDMKERVIHAESRSEELDREVNSLRTRLQQAEEEAAKLRAEILAGSNGPNSG SSNGVDKRATRRR CC84DRAFT_453829 MARINNPLRALAALAISLAALVTSTITGDPGPGGTAPVRPFRLD QVQLGNGLLQEKRDRIKEFVQAYDERRFLVLFNNQAGRPNPDGVEVPGGWEDGGLLSG HWTGHYLTALSQAYADQGEDIFKTKLNWMVKELALCQDAITARMHDANGTKGINSTSS AADWVPTHPGYVGALPEDTVLRLGPPRWAIYGSDLSKNTWAPWYTQHKIMRGLLDAYY NTNNTQALDVVVKMADWAHLALTIGDKNQPGYTGNLTRSDLNYMWDLYIAGEFGGANE VFPEIYQITGDPRHLETSKAFDNRESLFGAAVDDNDILVVPADRIPGRRRAQRLHANT HVPQFIGYMSVFEHSGEQEYFDAAKNFYGWVHPHRHFAHGGTGGNYPGSNKNSELFQN RDNIANAIAEDGAETCTTYNLLKLARYLFLHEHNATYMDNYERGLFNMIAGSRADESS TESPMLTYFQPLTPGSSREYENTGTCCGGSGMESHTKYQETVYLRSADGSALWVNLFI PSTLEWAEKGFAVKQETSFPRADTAKLTITGDGPLDIKLRVPAWIRRGFQVIVNGIPV SDATASPGTYLTISRTWASGDVVEVKMPFTLRIERAIDRPDIQALLWGPILLQTIGAP PENQTYHQLSLYSHLKLDGDYVRAATVQLSPKGDPVFTFASNVGNLIARPYYIGDTQA ASAYFRRVEPNIVFGSLDTKIANRKRNDGLPRYDVPVANVTSPGNDGPTFLDVVWDSA PFETHDAFVRTVNDVVKSFVAQGVFTQEEGETIVKGATDAKDGLEE CC84DRAFT_1160879 MFAKAAFFNALVSAVSAEILWDGRFNDLTSSADLEKWSFSNPVG PYQYYIHGSGAVSDYVNLDTSFKNPADTGSKQGVKITIDETAKWNGQSMLRTELIPQT TAAINKGKVYYHFSIKTASENAPTATNEHQIAFFESHFTELKYGASGSSNTNLQWHVG GVSKWDVELVADEWHNVAYEIDFDAGSVSFYHSTGSDALTKTAGPFDASTSSNGADWH LGVLRLPGSNDADGAEDWFFSGVYVESGELTTSVVSPGGSSNSGSPAPASSAAPASSA AASASAAPVSSRPATSAAAQTPAASSSVAVAPSASSPAATSAPAASSVAVSSASAQLP TTLATVIATPTSAAPSACAPASSSTALPEEFTIQDFIAWLKVETGAN CC84DRAFT_1213203 MKYLLAFTLPALLPLAESTPHPLRRTTYGPQTSSHIDEVLAGSR PCSSSAVVIGRETWAPGNIGVFWGARFRDELVDAFDGDVDVQGIHREDYPANLVDYHI GGSDTGADSCARMVDEYVEKCPGARVFVTGYSQGSLVAYKCVNRVSAAARAQIKALVV FGSEERLMDDVQPVPKGIILKNYCVENTTAPDVVCTETLTSGIELPNSVGDVVAQLKE TVASLKNVVTNEDQLKEVATIPALLIRDLPATLPWIAKDVAQGKIRRWMILPPHLLYG FNGMTTDAAAWMAGVA CC84DRAFT_1213204 MATVSTNFWSSLDTETPELPAELPTPANTVTRTAYASKMKMRQM ALESETAFDATTIDASTMNEDEATGIGTKPEPARAGESNTAEAAEDAQKAAEHIAEKK AKKAAKKLRQKLKKGVIALDAMRQHKGEVTNGVKDARGEASGARDGDAAASANGSVGD AAQSIASQVNAARPVQVQSGMDHEEEDFFLGALSALHLPDPNELAKLDNASTTSDGQD DDNGLPTKTAASTSVQIDAPADSTTMSRKNTIANKRKKKSKKKAREIKAQTELQAKLR KERFEAWEVGLAAVSFVCFLTWGFYANLVHF CC84DRAFT_1255770 MPRLALISLVLACSTSINAAVLNTRQSDSNGTSSVTITQKDANP AARKKEVAYRHDNFLYNVSQIGNAAAFPMGKIGEERVSLAWDQWQDDRNIITADIQKD VAQIKQAIIANNGTLRSLDDYTTVLYKDQWLNASPLKPALGSLTNYTLDSFFGGERLV RPYSLYKATHEDAKLIDISDDEAKKIAGATVAALLEAGRLFAVDHSYQADKSTYVPSQ FNDKYGAPASALFFLDEKSDLLPLGIRTNVGANLTYTPLDSDNDWLLAKIMFNVADQF HNQIYHLTATHNVGEALHEAAMRTMSDKHPIMAVLDRLNYQAYSARPVGEALCFNPMG HWDENFHISQIGCRNFVTKNWPTYGAFEPNYLQTDFQARGLVDQSGKSPFKHFPFWDD ASEIVRIQREFFTSFVDTYYSSDADVAADHEVCAWFKEVRRGPTGPEVEAQGLIPVQS FPVDKTKKVLVDILTHNAWLQVAHHSLNAGDPVRSSLTLPFHPGGLYKPVPEAKGVDS IVAFLPNATASVTYIGFLASFNRPRYRTMDPPRTLAHAYSGPDFLARFAEKEIKEAAD KYLEAMSALGAKNEARKIEEDGMCTEQGIPFCWTALNPSYIPWFFSV CC84DRAFT_1235709 MSRSVLHPLQSQPSSTEVGAINISSPLDVQHVLRWNIEPPPRVE ETLCSLFLRQAQSQPNAPAVCSWDGNLTYAQLDDLSARLADLIRRQGVRREQVVALCF DKSRVAVVAMLAVLRANAAFVNLGIALPRQRQAAIISASNATLLIVDASNSDRLSEHD TIPSLLVDYEVITALPGPTTPLPEVVPSDAAAITFTSGSTGLPKGIVVEHGSIATTCE AMANRLDLGPTSRVLQFASYTFDASVGDIFYALARGACVCSPSERERVDDLAAVARKL EVNWAFLTPSVLSLMEPRDVPTLRRLLVGGEKPDPKHISLWAESVSLHLVMGPAECAI YCAASVEIQPGEDTSTFGRAAGCRLWVADQYDHTRLAPIGCPGELIVEGFSVARGYLN DDERTRLAFLNAEDVTWLPRQHASRLYKSGDIVRFNDEDGTYSFVARKDTQVKLHGQR VELSEIELQLKSIIPDVDSALVILNTSAEQAHRYPLISFLVFTGSSPAVARTGTATLS LTTYGTDLLRKAKDQLAAILPTYMIPTLFIPLFHIPFTANGKRDTARLRNISQELSYE QLRAFSLTEESEGDSRPLSRREEQLRELWAQVLHVSSRELGASSDFLREGGDSLAAMH LVSAAIKTGLHLQTTLKMFIR CC84DRAFT_1193483 MSDVKYADEKAPNAVADAPFTDSDEEGVTFDALVAKDHGHDIQL RTMSWQKAAWLLAGDQVCLAIMAQSWSLSVLGWVPGIITMLLAGILFYFTSMTMWRFI MKHPQIMDICDFGYYAFGKQRWAYHFTAFMLLANNIMLIGFHVLTGAKVINTLSDHSL CTVTFSVIVTLMGIVMSLPRTLRHVSFMSMFSAACMGIAILLFLVFAGIEDAPGYGYL GVYPKAGLVKTYAFPPSGTTFVAAMNAVLNITFLWVPQILFPTFIAEMEKPQDFPKSL AVLSCLSAFLFIVPPAVGFRYLGQYATAPAFGSLGVVVYKKASFAFVIVPTLVIGVIY ANVSAKYVYKHLMRGSHHAHSNTLIGWSVWIAVMAAIWWVGFIFAEVIPSMGDFLSLL GAAFDSFFGFIYFAVAYWQMNKRDLFGGFGRTVKTVFHAVVMVVGLFLLGPGLYAACD AIVADYSGSTRPAFSCADLSI CC84DRAFT_1137773 MSRRPAMRLLSTRATVLLSQSAPLLRTCTRPHLAVGSRAPWGHP QGPLTLQRSLKCSKDRIPTPSCSSQTTISASMTTSQFWASTATWKRAAVNTLRCLVGC TAGDFSAMWYLQLSHPTLGMGTIMAISMASGITSSMLLETTLLRYGYDRLPWMAAART AAGMSMISMITMELAENVVDYYLTGGVVQLDSAAFWGAALLSVSAGFIAPLPYNYVRL RKYGRACH CC84DRAFT_1193484 MERKELAISHHENNDNSHKSQSSSVDDAHLYGFAADESTIRKGY FRSPRFLGTMLATGLGLSVAVGGFGLAAPNLALINNEIGPDPNISWVSLVYTLTLAIG LLLVGRLSDLFGRRWFFIGAAGLSLIGCVVSATAQNVQALIAGTAIIGLAASGQQSFA TITGELVPMKHRFAANAVMYVFCIPFSALAPALSKAFVLYSAAGWRWCYYLMIILSFI SGLLFFIFYFPPTFEDKFKNKSRGQQIRDFDYIGTVLFIGGFVIFLLGLSWGGSVYPW KSAHVISTMVVGGLVLIAFALWECFAPLKEPLLPMHLFKNVAWVVACVLLGIGAMIYY AMAIVWPSMVAVLYTDDGGASMYAGWLSCMPGMINGGQIVGGFLAVPIGKTRIQVIVV LILGGSLLAAIAYAGPDDLWGAGVLMVLSSFFIGWNESVCLSNAGIELLNQQEIGTAI GAAGSIRSAISSLGSAVYVSVLSNRLLETIPAKVPAAVVQAGLPESSVAGFMAGLTSG SFTDVSGLTPDIISAGMAAYKSANAQAYRTVFLATIAFTGLAVILSFFAPNVDDKMTG QIAVTLHREDDDGKAEKVDGV CC84DRAFT_1137777 MYTVAVAIVTSTAYFVWLIWIHPLSHFPGPKRAIASNLYWAKQF TSGHSHQSVRSLHDKYGDVVRWGPNELSFACVDAWKDIYDRRKDGKVLIKDPVFYRKD ETLRAEHIVNAEDPERHAEIRKMMSYAFSAKALLQQEDLILQYASELREAIREKGADG PINLVNFFNWTTFDILGELAFGEPFGSLKNRKTDSWIAIILDHMQSMAWDAAIWKFPL LHYFQSWLTPKAVREGGARHALESKKKILRREREGPKSDRIDFVSYILKKRDELLITD WQLAAHSNALIIAGSETSATVLSGLFYYLCKHPDVYAKLKDELRSHFSNANDITAKAA GELPYLTACISETFRIYPPIPIAMPRVTPEGGCTIAGHFVPAGTVVGVHAWSITHNPK YFRDPDSFRPERWIEKIDNLEASKPFLTGPRMCMGINMAWIEVRIIAAQLVYMFDFEL DDNNFDWAREQLCYVLWDKPELFVKAKVFSR CC84DRAFT_1160882 MLAGSPDFPDPETVTSRIAPAEGAENDLPYGQAVWTALPRHVPS TCALDSAILNLINIRRSHEATGGNIQEFQKAIFPSVQSLLNPPGDAVKAPLANSIVRD IVSALAVRTIPEQVAILYIMGVSIRWQISPTQYNYDAMPEWLRPTPSQIFNRHAAWLD TLAWPSARERLCRADKWEGKDVLVRRICEKTFSVNWPYEAADIFLHDKTEPSLNPVFE QHIRNGKNWTLGREIKDILI CC84DRAFT_1255775 MHSYSAIVLALSAAAAAAPLAARSNVCGVAPSASSTSKAPLETF TNAQTAEQCYADCAANASCKSFLFGLVDGTIKCELFDVPAAQIPKQDSSNLVAFDKAC TSIPDVKPTTANPQGLAEGSTNTQASNTNEKANAQQKTDTTATQKAATKITQNTVAKV SQKAAPKASQKAAPKASQIAAPKASQIAAPKASQKAEAKTTKETEQKKTSTSTSAKTC GAAPAGSGNVEPFNTPANINSLEACIAACKKNTSCKSVEFGKLTAKGANVCRFFSVAA AQIPKATAGQSFQVSDIACA CC84DRAFT_1160885 MILRPTPTIARSLQLQLPRVPQRGFLGASATRSNQNRIFDNVRT PNDLHTLTLLSAAENRPLITMWSASWCSTCQAIKPLVKGMIEDERVGQEEGGLGFVEV ELDSTLIGDLGIKYMITSMPTLLAFSRQEAQFDTRLTNPQEMKNKERLREWLLNEARR GGRAGGGGGGLFGSIFSKSG CC84DRAFT_1137781 MSSPTPSDSRQGSAQNSSSSISAFVSTLVPCAALAAVYIGFFLI LRRKQQRLYQTRTIHEKLSNYERTPTSSKSGMFSWMSDFRATKDDYILNHQSLDGYLF IRFLKMLVFMSFVGCLITWPVLFPVNATGGGGQSDLDILSFSNVAQPARFFAHAFIAW AFFGFVLYLIWREVSYLIKLRQAYLLSAWNSSRISSRTVLFTNVPKEYLTHQRLHRMF HRVSQVWLVSDFTDLQEKVDDVNKTAIKLEGGEMKLIKKAVKAAVKSKKGGSVEDQGR QPKLTSWNEFLQSKDRPTHRLKLLIGKKVDTIDYGKDHLREVLPEVQASQRSHIAGKE KLLNAVFIEFETVAAAQTASVIAIHDKPATFIARQTGILPGEVIWKNLKMNSWDRSLR RGLATAFIFAMILFWSFPVALVGIISNVNYLTANVPFLRWINDIPQAILGVVTGLLPS VMLALLMSLVPIICRIVARLAGAISLSEVELQTQSWYFAFQVIQVFLITTFTSGATAV ASQIVSDPTQAVSLLAENLPKASNFYISYFVLFGVAQTASYLINIGGLIGVFVLSKFA GTPRKKYEKWMALTAPSWGSEYPLWTNMGVIAISYAIIAPLVLGFSTVGLGLIYLAYK YNMLYVYTTDIDTKGACYARAMQQLMVGIYLAELCLLGLFAINIGSSAIAVGPVVLQV ILIIATIVFHIAMRRKLAPLVYTLPLTLLEEAEARRKHSGATHDIAAAAPRPQKRSLF QRLFKPQSQSAAELSASLNPWFREPVPPYDVQDARKAYLHPAVVAEPPVIWLARDSLG VSGKEVADLKEKLAEHGVVATDEGAIVNQKGKVEWVGQNARQAPLWEGRVMY CC84DRAFT_453970 MPAPWVLFDSSAAYGGDCTTGADTDIAGVGVVLSFVLASIMTTT ASILAMILDQAFDAKGQFTIRAPVRFIRDRILDTEWKKNYAWRPFLDPLIIGLGDQQL ITGYAVLLSGWIKVFKNAFEVQGAHFVLVLYICALSSSSHLAALITLRKYFRRYRLIA KIRLTLVIVFACFLLASMLAAISMPELIPLTPQGALEKQSRAQRLAFLVPMFFIIVGF STALVCIMWTPQRQTASPRGTNIWKHDGRGAHPRLETRSRSMTVPANFGIGLFYILFL NPLVAFIIQLILALLSTILVLSQKFSVPEEPGKWCGLQDQGENEWGFGQTLSVVMLLL PAMSAAQAYLEGRQHIQEGGKL CC84DRAFT_1111522 MSSAPTPVSLLEFPLVTVFQTSLVQRRRPQAPFPHCIASIKSRR NVDQAQPEYDPAKPNGGNSLDEDVNAQYAGLEFHYVYLMVCAFLVWLIIPGIGFLYSG LARRRSSLALLFQSFAVAAVVCFQWMFWGYSLAYSRTANAFIGDLANFGLKNVVAAPS PGSVLLPEIVFCLYQMLFCICTVVLVTGGSFERGRILPSLIFSFCWATIVYCPIACWT WNANGWLYNLPSLDFAGGGPVHIASGWAALAYAFVLGKRVHKGEKLHGKPHNTTLVFI GTVFIWFGWFGFNGGSALNASVRSMMAAFNTNTAACFGIIGWTSVEYIRTKGHFSLVG ACSGAIAGLVGITPAAGFVSVWIAGLIGFLTGAICSSAKNIDKLLRIDEGMDVFKLHG IGGMCGSFFTGIFAQKWVSALDGASIYEGALDGVGVQVGRQLAEICAISAYSFSVTCI LLFTLKYIPGMHLRVTEEAEMIGLDLDQFLDEQIGDWSILEQHSLQGVTQPSSAQGSS EAVAAKSG CC84DRAFT_455123 MTSEVQSRRRRPYKKSVRGCRNCKLRRVKCDETKPHCHNCSTYG VVCNYVSSNTPDLHVSAVRLHGRSANGCGHRSDLQARHYEVTPLPSIVQTTLKPIVFC SDGRYEFRMDGRCFAGLERFGAKAIPPYSWEVTKIWREDVPRFAFSNPYLMHTLLAVA AAHERLHEMAEDAQRTLNETYHTARAISLFNQKLSSRLTPADYDPLWATAALLGLLSS SAIDTLPENSWPLTTPSPSDLEWFILTDGKKAVWALTNPLRPSSVFSSVAQEYAAWDV PIPASGVGSIDARLASLCGITESSSAENNPYYAGAHMLSILQALNSNAEPSTHESLEE LHIKTTGTEDVAYVSQHQVQHISLLKQRDKVSLVLLALWYERCEGVCWWLDRRARTEG LAIRLFLKQNYPDDKAISELLPILDSRDRFDVTGRRENATVGLQKRFMETLLAWEDLR ITYRSSNFFLGL CC84DRAFT_453991 MHVFLTGGTGFIGRALIPELLSAGHTITALCRSSTSAALLTSIG CTPHPGTLSDLPALAAGAASADAVIHLAFIHDFSDFAASAETDRRAIRALADATADTG KPLVVTSGTLLLEPGRAGHEDDRYDPSTAPFAARGESEELAKQLGGIVVRLAPVVHGE GDAQFLPRLIAAAREHGVSSFIGEGRNRWPAVHVRDAAVAYRLAIEKRVPPRSTLHVV AEGGVEVRDIAGVIGDKLGLRAESKTMKEAQGVFGWFAGVLGVDGPVESAVTRELLGW EPKEKGMLEDLRGGAYFK CC84DRAFT_455266 MEFLPSLQHGIDDLKPSLFELLSEQQLASLLPPSLRYLLAVSTH RYPRYLLPVLNSFDEAYALVMLLVERHFLRTYGGSFTENFYGLKRAKVLRVKGGEVPR AELGASESVRDAVKLGEGDIWRNLAVLVGLPWLKRKLDEGYDVHAAHANILGAGYNRE RDGLRPGATIKERLLFYYKWFLRNVYPSVNAAYYFSMIVFNMAYLFDGTKYSSPFLWL IGTRIRRLGEADHKAIALAALPHKVGPARPGEGGSIFSPRNMARSVKPRLLSSLRILL PTSIFALKFLEWWYASDFARQLSQKAAENIELPPPILPSLPPSTKPETAKQASTDEKQ RPSSSPSEKSKRIDPPISSSSLLPIFTVPMPSDSHLCPICATPIVTPTASPTGFVYCY KCIHQWVEGSHERQVAFMEGAAGFKTETGEEGDEGWEREEGSREGRWESGKERDAVTG RKILGGTEALRRVVV CC84DRAFT_1160889 MILSASSARCRELEHPDIANFSISVFILVGILVSYLPQHAKIII RRSSRGLSPLFVLLGTVSGTASIANILTLPESTRDMACCSEIGRFPCAAALLGIAQIG VQWTCFFFIMLLFLIFFPRNAEDTESQDTNLPTWKEAIIVLAVSLAFFVFAFLGSIIF VYAAPSHIRGWANFLGLLATTLAAIQYIPQIITTWRLQETGSLSVPMMLIQTPGSFVF AASLAVRLGPGGWSAWGLFIFTGLLQGVLLGMSLWFIWRDRQAAKSGSSPAVDANGES DEQTPLIGNGIAGG CC84DRAFT_453995 MAPPRDHDNGEFAPISDITSQLEHLSSSPHIAEEAIARDYEEDD SPSEDETESDTDGSSTVRQFSMINSYQRPSYMNPGARGTVFSSSVPSQNYVSDHQNWK KHSYLSKKERDEVLEQERSLLRDNDLLPPKHPRRGSESGGYGAIARRLSLPGLRKTKS ATTDNRQDQEGPNERNPLLGDPNLPYAGLDTPRTIAQRFDEAVASGRLKTTWQRETKV LLKSSAPLILTFLLQYSLPVASIFTVGHIGKIELGAVSLASMTASITGYAVYQGLATS LDTLCAQAYGSGRPHLVGLQLQRMLYFLWVITIPIAIIWACGEQILSHIVPDKETARL AGLYLRVLIAGAPGYAAFEGGKRYLQAQGLFSANMYILLFCAPLNALMNYIFVWRLEW GFVGAPIAVAITENIMPLMLFCYVRFVDGYQCWGGFDRRALKNWGPMIRLAIPGLIMV LAEFLAFEILTLSSSWLGPTTLAAQSVLGSVTGITFQIPFPMSVAASTRIANLIGATL HEHAKMAARVAIFFSVVVGIFNLLFLSLLRNYIPRLFTPDDDVIDLVASLLPLCAAFQ LFDSMQANCNGILRGIGKQEIGGYIALFSYYIIGMPISFGLAFGAGWGLWGLWTGPAI ALGFVAAIEGFYIYKTSWESAVAAAEERNAMDEQRA CC84DRAFT_1137802 MSQRAVPLTVIKGAGHEYIPIPEGESAIVADFHSVRTETKDNPS YLTSGFYRIQPGPTRNIPSYTYEETKYVLSGQIDVLDEATGVTHHLVPGDFAFFHVGT KCQFSSKSGGLAFFAVTRPIITQHPGLQGREEKIASKL CC84DRAFT_1085002 MQPEPLHASQNTLSPPLTPEHPAITSQHGPQDRDQQLFPLSHHH GESGEPVSAGTADEHASRESSRDRDPDATRLSRASPPPRNRITEYENALVQSPKKRPH GPVFEVIKSARKPDDKSCPLAKLPNEVLTHALSHLSPHDLAAVSLVSKRFNGLVTTPH AWREAFNRYFPGPTALVDEDVRLALEEAGDSFRSEKRAFTRLTALSSWRSEYILRTRL LRSLARGKPVQIEPVTAARSGQPQNNAPVNMYNAFTYTTINHLHATFGTGGLNKRVPR LIHGADDVGMCTSSDPTITKVDPWGQSDPNFYAQFSERFPGVAEWGLGSGEVVGRPNV MDVSQQYGMVYGQGCAEGSCYYRATDEQRGRFIAEPTDFSMPEAGIPKLGVDGGAICS VWIAKSNAIPTLTDGLIGVLMGSAAGVISACSLGSDGLRGARVQRGDLVARWVLSPGV PIVAIAVDDNYSHQRQAQNRIWAVALNALGELFYLTKFPTRSQAPKGRLNEYYPEYLA WLTGRSVHWNIVEPSRRTARPDPYKDVEMDGSYSPRSSWDGMCLSKDQVLAETREIHK FISKTPKEFRKTCLGWDMRRRLEVDFAGDDGNFAGEAMVVVECGLEEGDVTKLTRFTR WKARETTKESTTSTRFASQQASKSASLFGGSGATTPDFVQQQRLPHRLRSQSYASDNS SPERSNLVEEWRTSDLTFGGLKVLQIATTAIDCSTFATMTLSEDPALGFSAASTTSSP YASPMSVASQSASPSDIPGQRARFVAAGTKTGEVLLWDLRAPVSRSAEYPNSLHPVRI IYTQSPEISCLALTALHVVIGGYDGLVQAWDPLASDPKPVRTLHSRHATRARRRIQQA QASIQGIGVNMYAAGAIVLDPDPTVLRGAVSVGNQIRYWSYSSSAADQYKSSKRRLRR GERGSNNVGERVVGATRLNLKNYIDNEKHELERETVERRKQADRLAGRFGVDLLGSEE EALAYATMLSQEAAQADEAKRRESESSYAISSDTATPEPSIAQSSSPTMAIDPELDAD IAEAIRLSLQDSPASGIYGSDSPALDEEWDIPVKYAKSKKNGSPKPAGRAGGASGSSK DAEMDDLEFALQLSLAEEASRKEVEANEDAFPALSPRLSSGKGKGKGKAPW CC84DRAFT_1044967 PPRTVIDLSLPPRERYKSLARSQADKLRSLASLLDELLLDYGVP WRLIKPLHMLSQVFLRQVRSSEETEELKGIAEVTGLPMYLLVAFNVLLDLLMGCTSGG VLSQEDSQPRSSAKMLHFRTLDWTMDPLREVVVQLDFIRSKSSQPDTVLASSITYIGF VGVLTGVRPGLSLSLNFRAVHDATSTKDQVRFYLHHLMVLLGRRPSIASILRHHLLAD YRSMGVGQSALELVIDNIAKRHTTAAYLIFSDGHSTITMEKDYSTALTDRSDTFIVRT NHDLNDHGVEPKPIPVVTEDVDIVRRAVHTLDEILDESRERLGCITKQYETKMKMNRA SQRRSARALRQNSAQVDKEANLLPTRTEIIQWLCTWTTTNESTHYSVVMDPKAGKVVW CRVYPRP CC84DRAFT_1160892 MGDKMEGVVPSGGAVDPKYGVPIETIYVNNLEERINIDYLKKAL DVIFKHYGTILEIVAKSSLKRKGQAFIVFDSEKSALDAVEEMDGFELFGKTMKVARAK THSDETVKRKAPEVFDEHKRKRLTQKDFKRAQEDAKAQANPAAAAEKPRASKPGAAAL IPDEYVRPNKTLFVQNIPYETEEADLTAIFERFEGFKEVRYVKMRAVAFVEFENEQFS ITAKEQTADARVGKDQKPLKVSYQRT CC84DRAFT_1160894 MSGSGAREAVFPTRQSLGLMKTKLKGAQTGHDLLKRKSEALTKR FREITRRIDEAKRKMGRVMQIAAFSLAEVTYAVGGDIGYQVQESAKQARFRVRTKQEN VSGVFLPQFESYTSDSANDFALTGLGKGGQQVQRSRETYARAVETLVELASLQTAFVI LDEVIKVVNRRVNAIEHVIIPRTENTIKYINSELDELDREEFYRLKKVSGKKQRDAAE ADAEREASKKDAEAEEKGNAASEAETHDLLGDQENEDVIF CC84DRAFT_1111550 MPGELLRTVTASLSRPPKRKMERSSDLFLINDQDVAYEQDIQRN PGSVKPWLDYYGFKKSRGSLLEQAFVLERACTTLPRSYKLWKLYLELRTKHLEKKNPA KYAPHYVKVNALFERALVLLNKMPRIWEMYLNFLMQQPLVTTTRRTFDRALRALPLTQ HNRIWALYRPFATSASGETAVKIWRRYMQIHPEDAEDFIELLKDMRKYTEAVKKYMEI LNNPKFKSKEAKGPFQFWTEMIDLLIDHAKDIETGDDSGIDVEKIIRSGISKFPDQRG ILWVGLARYWMNKNEYEKARDVFEEGITTVMTVRDFSVVFDTYIEAEETLIGIKLQEA AARSDKEKVDQDADADLDIRMVRFESLMQRRPFLLNDVMLRQNPHNVIEWEKRVALWG DNSKEVVQTYTDAIAAINPKKAVGKFHELWTAYAKFYEAGGDIQNARVIMEKAVKVPF KSVAELAEMWCEWVEMELRHENFDRAVEIIAKATQAPKRSTVDYFDESLTPQQRVHKS WKLWSVYLDLVESVSTLEETKKVYERVFELRIATPQTVVNYANLLEENSYFEDSFKVY ERGLDLFSYPVAFEIWNLYLTKAVDRKIGMERLRDLFEQAVEGCPPKFAKVLYLMYGA LEEDRGLARHAMRIYQRATEAVADEDRMEMFNFYITKSASNFGLTSTRPIYERAISAL PDGEAKEMCLKFAEMERRLGEIDRARAIYGHASQFCDPRTSPEFWKKWESFEVQHGNE DTYKEMLRIKRSVQAQYNTDVSFIASQAVARGQQNGNGEHVNGDAAEGEDAMAAFERQ AKAPSGFVAASTGPQGGNIKSDAAAPAANPDAIDLDDDL CC84DRAFT_1255787 MASNSMSLLDGVGYDSSAGINQTDKNPTAAQHEEKDNTSSEDAT KKDTSSGDPADPQAQQHPTQDRRLSREWDASKVPPSQFQRPQGSIFATPSTRDGHVGG ADRDQKYWDKMKEKGWLPGSDKNKLEQEIPISRPADRPIEDESSVKEKVPWWSYIWDH EPGRTEEERRFISKLDAYLITLLSLGYFIKNLDQTNIATAFVSGMKEDLEMNGNEVNL MDTAWTVGYVLGQIPSQIIITKVRPSIWVPSCELVWTLLTFCLAAAKTSKQVIAIRFF VGLAESIFYPAAHTILGSWYKPSELGKRACIFHASSAAAGMFSGYLQAAVYKGLNGAR GFAGWQWLFIMDGIISAPICIAGFFLLPDLPENTRAFYLNDSDRTLARQRMLSAGRAP RTRLGRSAFKRIFGRWHVYLLTILYIIFINTGPGGSVNPFSLWLKSEHYSVENINIIP TAAAAIQLVLTVVFAIISDAVRRRAPIMTLSTLFGFFSALCLAIWHIPSGLKWAAFFL QRASVPYGPLSMAWANEICGKDAEERAVVIGIMNSLGYAFNAWVPLVTYPAGEAPRFK RGFRWSAGAFVMQGVITGCVAWMWERERRPEGGRKRVDVEGVERGAMLAEE CC84DRAFT_1235785 METVKPSSSGCTIPSQYSFDASVCWSATSADFLRGDVSAAVHIS TMTGSVCSGDDASSETQILQGRTAIICHLTQQQSFNTWTYWSLTSLLSTYTNLELDPD SSTKTVITTYTQTYEGVNVPSMREKCFPGPRDCGYKYKGAGCPDGHTPLSTAVYSSTS TLICCPL CC84DRAFT_1137817 MATNTMTTHHEPIVNDAESERITNNKPHLSHTTTNISLSPEQFE RLYLAPKVPHASHNAAKYANAVPLGFLGFVISTFTFSMVLMGWGGASGLQAVVGIFFF TGPVLLLLSTIFLWIQAQFFPMMVCGLFCVFWLSFGVLQLPTLGLAASYGGGDAAVGA VSKEMNAVIALYLLVWGFALGTFWLFTIRINVVFAGIFGFVTIGSWVLSGAYWALSNG HFQKALRLQKAGAALLFIVGLLGWYMLFVIMAAEMRWRVCPPVGDLSHYWDSTDVEIG ALEGEKRE CC84DRAFT_455421 MTVKLPYTLHTRYASIATAWSVILTPPIFLNLGLFYGLWYGKPD LDRTLVLTLPTAILGLFTAVATIDRVWKLVQRSSKYRPLDSSRYALDIFQWGYFAVLI SISALISSALARRDADLDFRIRLLSLPTVVLMALVATLTLISLVLNLGGWRLPCRFGS VPKGNVLRPAVYYIVEDVVAVDGGGGVEYRGAFCARYESSDVFRRMIFEVSVVWMLWF YILAGLFAVLVFRLPVVVVYAVGWAGPFPLVGIMVMWTIFHVRARLADEREGLVEAER APLLG CC84DRAFT_1111555 MGQDQKYYDVDDYLRWKRSQGKNNKIAPRPNLLAATNWLRKFFD ARKTNWAAMGSLAMLCLGARREIPDIHVVYDDKDFQRIRMKLETDPRVRLPKDMNSMF SSKLLISTGPKHNDAGCTENADIEVEMIPPGSHGTPPSDILRNNQAMLSLNLNGKITN IKGLNILYLVNTTVHICTSSDLLWDPKKDLVWLCRKYGKEIQSIRAQLNARQVKENFL GTAFFSALSAEEQRLCYHVLLGKEPPPSMFLTP CC84DRAFT_1160897 MAYSRLFTPRNLAFFSMFSLGGGYLTMKSRALAVKQRERGDYSV TVDRSGGGI CC84DRAFT_1160898 MPLPKRQAIPKAAKGPSDSRFAAFETDPKFRLPSKKHAKTKLDP RFSRLRTDSDFYNKASVDKYGRPVSHDAGKKDLERMFELGSDEGSGSELDAPEDKKRD KHVMRELARVQQKGFDPIRDGGLESSSDESDSDDDEEADVEDQTVLAGQGNEVPMGDV TARLAAVNLDWDNIRATDIMAVASSFVPADGRILNVTIYPSEFGLERMQREEIEGPPK EIFSAAVKDREQNIETVDHLEGSDSEDEEQKKNDALNEADAEDFDSKALRTYQLDRLR YYYALISCSSPHVAKAIYDNLDGREYLSSANFFDLRFVPEEVEFDAEPHEECEKLPNG YKPNEFVTDALSHSKVKLTWDADDTTRKEVQKRAFSRKEIDENELQAYLGTDSSSSED EAEVDKAGKAASLRAALGLDATSKSSQPKSKSSSKKDRDMKKPNAEMEVTFTSGFAAD GAKGSVFQNEPEETTLEKYVRKQKERKAKRKERGQAIREGRDPDAKPVSEGDAPAGAD EEDPWNDPFFGSDPEAEKALEIKSNKEKRAKKREEREKQEKADAEERANLELLMIDEK DSKVQHFDMNEVMKAEKAKKKGKKNKKAAKEVPADNFEMNTDDPRFAKLFESHDFAID PTNPRFKETSGMKKLLEEGRKKRKLDREEDEPEVKKPKKEATGDPDDVKKLAARLKAK AKR CC84DRAFT_1202454 MQLHSAIYSSVLTTLVRFAFANFDVYTDHYRDWSASAPPKLGFR VFGRTPDDACKYVNDKSTVFWPARADASGDKFGIRCEGAGCQYESANPSAITAFEMHF ANTPAYHWTLYADNHGFKPPKSFPNGFWMLTAELNTRAPGYCFPYPGDQYDCESMQPA GPSGTEFVVGSRGRRLFRCVTYNGDVKSDAINGLKLQDVDMYYPYPDDKMKSASEGGV QLDESQGMIGQ CC84DRAFT_1160900 MGADRCNNTLSWDGVLCQHCRHLFDTNVVRWKHEQGSDTCRREL PEDLSFPFIAPRLWWHTVPSLRICASHSCKLCEIVLYSIGDEKLEQLESWEATIPVQI WADDGFSNRFWVLGPEQDPRPEAEACDAEPNEKYRWIMTVLKVQNISDHEPLHSHELL SLSTDSEETFAQIDAWLHACKSHKACRDNSALLGQDIRTMPTKLLELSHGDEHPSVRL IDTSRTGVGDIQYATLSHCWGGNLTAKLLKSLVDDYRLNIPWESLPLNFQHAIAVALK LSIRHIWIDALCIVQDDNEDWNHEAARMGGVYANSFLNISAHDSKDGAGGLFRMREPR GLQSFFVPHQNDTTSRCGSIFYNDRWRDSVYVGALSNRGWCVQERFLAPRLLHFTQEE VHWECLELLAAESSPTCFSITHEEPWNATNVQKSLLQRGASAEQKTYYTYQLWYQLMF AYSRGALTFTSDRPVAIAGLARIFCRLLNLADRDYLCGLWRPQLEHDLMWESGQDWIT APATLRVPGLPSWSWLSLCTDVRMNHNHLGDGQGTLEVVQAFTMPCNDPFGSVSSGEV TLRAPLCQITITETERPSRGSFKERNLFSLHVGGHLLREEMHFSFSPDDTTDTGLREI SDAPVYLMLGRANALGEPMIIDESSVPTYEEAADCKHAPLKGSGYECLVLKENGPVGY FRRVGYIRFEPLHFQFISNLSVEQARMLRREAEGILHEQFVHGKIPSDICGPPNDAYM YTLTLV CC84DRAFT_1160901 MPFGPFALQWRRPSPNPPSSTPVPDGITRSWIHTPSGPLELLTA LPSKSTSSSNEAKPPLFFAHGGFGCAEQWLNYMQYFAAAGHPCYAISYRGHGKSWYPW FWRMYFTTRGTMAEDLTAGVKYVEALEATRRGAPGTQVVLIAHSAGGALSQYALSRKM FQVQGFCMFAAVPGFGSWGCYSFWALTAPLHFTYRGYHSRYLLANVEQVKDAFFTAET PLRHVESFAKLLSPYESMLWPMQALNAFVTGPDVLSSITGWVRRGFVPSTSPSDKPVS EPSQRLFILAAQRDVLCTPTILEDAARRYRRAFRDMSLDNRLVFAQEQQDEEVDGVRF RVVDGVAHHMQNHEEWGKGADEVQKWLEQL CC84DRAFT_1255794 MLARQAGALSRASHNSLRASIISRSVPRATNLQIRASFSNGGPA TFRRRPQLAANLQKARLNQHRSPILRSVSTKPLPSPQSRLVRFAWRSAAALGLFVAMS GALVVALFVYDASTYKDEAETYDLPVSELALTPRRGGPKNLPIVEYFVDDDEPDMKEN KHKPRLVVLGTGWGSVAVLKQLNPSDYHVTVISPSNHFLFTPMLPSATVGTLELRSLI EPVRKIVKSVHGHFLKCMAEDVEFSEKLVEVSAIGDDGKKQHFYVPYDKLVVGVGSVT NPHGVKGLEHCHFLKDISDARLIRNRVVQNLENACLPTTSDEERRRLLSFVVCGGGPT GVEFAAELFDMLNEDLCKYFPRILRNEISVHVIQSRSHILNTYEAALSEYAEKRFAHD AVDVQTNSRVKEVFPDKIIYSQRDEDGKIITKEIPMGFCLWSTGVAQTDFCKKLASKL EGQNNKHALETDPHLRLVGAPLGDVYALGDCATVQNNVSDNIISFLRTTAWEKGKDPE KLQISYSDWRTIGKRVRQRFPQAADHLRRLDKLFAEYDKDSSGTLDFGELRELLLQID SKLTSLPATAQRAHQQGQYLGRKLNKIAQAAPGMQLNEMDYGDLDEAVYKAFEYKHLG SLAYIGNAAIFDINGYGMSGGLLAVYLWRGVYFAQSVSFRTRFLLAMDWTKRAIFGRD LMNF CC84DRAFT_1255795 MASRGNGSPSRGRSTSPRPLRPQEDMDYDSDASLMDNLPDTRHL QAFGRKVTATAGSLIGAEGVSQHYQNALGELHKELRRPMLQRSVFSFAQTTPRELVRS RISVPEIQHRALTFLPDELLANIPEDNNSYSLFQGFQASLPEEKGSIGQKHGKHNSKG QRLIGAAVEEDENANLPPSMRKLKNQKHSMGHKLEMMGVRKHMCAAEIHEIDNKIANL NTMRKIVLDRLAGIEMEEAEIEHELLDVDNRIEDLQEELDDAAALAPKSPNTPTIGAS AEEAAEAGDDFMSESIYQKIPSPKSKRKRVTRRISMPILHEHLEPGSKIKELPAHQDI ITALDFDAPWGTLVTASLDDTVRVWDLNAGRCIGMLEGHLSSVRCLQVEDNIVATGSM DASIRLWDLSKAEYAPQDNRINKDGEDEEDEEDDGLGFGNAEDAPPPPPATIMQDVPL FSLQSHVDEVTALHFRGDTLVSGSADKTLRQWDLVKGRCVQTLDVLWAAAQANATTTA SSGQWRQTGRVTDASADFVGAVQVFDAALACGTADGMVRLWDLRSGQVHRSLVGHTGP VTALQFDDVHLITGSADRSIRIWDLRTGSIHDAYAYDHPVTSMMFDARRIVTAAGEDV VKVYDKTDGRHWNCGPGVGADEDASSLSVIEHVRIKEGYMVEGRRNGTVGVWSC CC84DRAFT_1193502 MEGVIDSWDAKQALDLANIRFQLIRLEDTIIFHLIERVQFPLNK TIYVPGGVAIPDSDLSLFDWMLREQERLQSLVRRYQSPDEYPFFPDALQEPILKPLNY PKILHDNDVNVNDKLKQSYIEHILPAACRQSDRGDRGESQENYGSAATCDVMVIQALS RRIHFGKFVAEAKFVKDPERFVKLIKAGDTKGIDEAITVAAVEEKVLERLRLKAATYG TEPDSSSSPAKVNADAVKAMYKDWVIPLTKEVEVDYLMQRLKGTKWE CC84DRAFT_1202460 METLRDLATNPAHTKWIYPLLLVADAALCGLIIEFVPYTEIDWK AYMEQIAIYNKGERDYKLIEGGTGPLVYPGAHVLIYRVLYWLTDKGTNIQLAQYIFAL VYLLTLAVVLQCYRNAKVPPYVFPLLILSKRLHSIFVLRCFNDCFAVLGLFAAIYCYQ TKNWHLGSFFYTTGLNVKMSLLLPLPAMGIIFLQALGSTEAITQAMIVVQVTVAYGYP FRKRAPSYFARAFELTRQFLYKWTVNWRFVPESTFLSRPFALGLLAVHVGLLYWFART IWMKPSRRGPRAFLRMIRDEPRDQDQIARRITPNFIMTTMLSAVAIGMLCARSLHYQF YAYLAWTTPFLLWKAGFHPVVQYVLWGAQEWAWNVYPSTPLSSATVVGVLATTIVGVW WGTRSELEAVEANGKPVEHAHAE CC84DRAFT_1084166 MPRPKRQLHATVEETLTPPDTLTSTQVIARITTGAGNNLYNAEL PDRKPVLVELEPKFRSTIWIKRGSYVVVDTQTLADRDNKIDGEIINVVRDEKAWRKMG YWPKEFAKKSSYGEDSDEESTVGKLPPSDSDEE CC84DRAFT_1255799 MFPLRHYSVILLATGPCTAKSLWSSLPATNYANVIREAYLLGNG KLGAMPFGSPGNEKIILNVDSLWSGGPFASTSYVGGNPSEEKYSYLAGIRDWIFQNTT GNVSQLLGSGDNYGSYQVMGNLSISYNTSIQQGSYRRELDLTTGLHATRFTDVKGVSF TSTVFCSFPDQICLYQLNANATLPQLSIELENKLLNDTLVQSSCVDGYAKLSGVTQVG PPEGMKFESVARIVGSSNLTRCEGREVIVPSTPGLRSLSIVVSAGTNYDQTKGNVANA FSFQGDDPVEYVQDVTAAAASLSPSALQKRHVNDYQQLSNSFVLELPDPNGSARIETA TLLDGYNSAAGDPFVEGLLFDYSRHLLISSSRENSLPANLQGRWSPDLTAAWSGDYHA NINLQMNYWAAEQTGLGEVQDGLWRYMQDTWVPRGTETAKLLYGAPGWVVHDEMNIFG HTGMKEEAQWANYPASAAWMMQHVTDHFSYSQNVTWLQQTGYPLLKGVTQFWLSQLQE DRFWNDGSLVVNPCNSPEHGPTTFGCAHYQQLLHQLFANMLILGPLAEEPDSAFISNI STTLTRLDKGFHKTSWDSVAEWKLPDPEAAEVYDVQNDTHRHLSHLWGWYPGLTLASR SGSFLGGYTNTSIQEAIETSLYSRGVGNGPDANAGWAKVWRSACWARLNNTERAYFEL KYAIEQNFAGNALSMYSGTNPPFQIDANFGLAGAVLSMLVVDVEEVGMNGGGERTVVL GPAIPESWGGGSVKGLRLRGGGEVDFGWDESGLVKKVEGRAEGVEFVDRDGRVL CC84DRAFT_1111577 MIAIQSASAKKCTPNLLPARLNHNGPVNDTAHYWKPEVDDKGTS HAYLRGRHLHGTPLALPSTHTGAVLQITDKNLPQMRTQPADDEDDEGEQETVEVKVAE QIGEFEEVVVWGHGGQVEEGQDMFIRGMKEWMGFAEAMHGEDEDEGAEGEKKAS CC84DRAFT_455591 MERCRIVHPTQPGRTPEHTSTMAETIDRNMDDKMEFSTSKEVTV APTTKTVAVVADRLFRFGRLRPTVDITSVKLIAPSAMQSTTGLCFECEEEFEVVLLFG QG CC84DRAFT_1137844 MAVLGALTSPVATIWNGVASHAWEPLVSLCKSGTLAQLKGIKIG SLAVYEDGVDKPATFFGHKSPEHPFASLAVHDEKFWVRLALFADMGFAESYMLGEVTS PDLTQFFELFILNRNYLSNGSTFTSAVSTGLAGLVRGSNNLKNARLNISAHYDISNEM FAAFLSPDMTYSSPIWFPKSNPAHATETLENAQIRKLDRFIDNTHIESSDHVLEIGTG WGSFAMRAVQRTGCRVTSLTLSIEQKELAEQRIREAGMSDRITVLLCDYRSLEVPETG AFDKVVSIEMLEAVGKEYLGTYFRCIDKLLKKEGGIACFQCITMPEARYDAYAKSDDF IRRYIFPGGHLPTVTQLVNSITNGSAGSLVVDSVENIGPHYAKALRLWREAFLGNWEG RIKPQLLLEKQAEGMDAEGAEVFRRKWDYYFRYSEAGFATKTLGDVIITVGREGALQM MEDVPL CC84DRAFT_1160909 MIETIYIVRHAFRSNWDVNPQTGVYTANTRTPTGIPTDPALAAH GVAQAAELAEYLCAIEPPVDRIYSSPFYRCLQTLKPTTERLFKEGSGGRKIRIDRGVG EFFGRADFEHPAPPSIEILNKHFRDLDQDYVSVHIPPANGEWLSELHQRVTRALTKIV TDLDHDPEQPKTLLICTHAATMIAAGRALTGKIPADLNEDDFQCYTASLSKYVRRGFE LEKGVAGNWDCVLNSETGYLSGGAERGWKFNGEESFVGFPEDAQREGESPKL CC84DRAFT_1137849 MAIWKRQTSGHNGAFDPTYRFSTSWILPPGVLFFARALLSLYAF ATLFYIFGWNGAHGRNIDSRRSFSFFTILTYWGLAFYFAFAAAHTGSYWLTGRPFLNR WFAPLRWAHSAFYSTITVYPFIVTAVFWALLAPKNGFASTKDLWSNTSQHALNSFYAL FEIVIPRTEPLPFLHMIVIVFILALYLSLAYLTHALQGWYTYDFLNLDKHSSGVVAGY IVGILVGSLIIFLIVRYLILLRMWLTEKKLGKTGKFSNRDHRSMRDEEVGKHEEMHSM GVK CC84DRAFT_455647 MTDPKKAQNLARIRDNQRRSRARRKEYLHELEARLRDYEQVGIE ASAEIQSAARKVLDENRRLRALLHDRGIPDSDIVAAMGGLNDRSYDQISSSASLSATL EKKITCNTTAFLTSPVSPYPSDARNGPHTSTVAPLALPMPRSAALSSNDSPSPHSIIS DMGTPPPSFHGTPYMHTLTPDPGAKPGDLPLYMPYDPSIQHPWPQLHQHTHEHTHEEQ YVANPTSYYNATSCIDAAKIIRTMRTGTGPELETDLSCRPGQHCYVGNSMVFNNLDRY GSPHGV CC84DRAFT_1213243 MPPKKKATGQQPSPKKQPVKQNDDAAEEVAAPPPDGEYNPEPPE IVAELNQALDKKHVRWTRPVTNWFPWRKSSEWATILFQRPWEELAKEKQHSFDRPHLP SLLYQEETGNNKSYSNDPQGLRTLYRNIQDRREHYLDGIKREEASMIGMANEGPDSPG RGKLGCEA CC84DRAFT_1111592 MASAALHGFFDIQQSSSTPSGSTPLVTSPPATHQKTTSTVKGAP FSIELDDLAFGQRYNGPSSPTTAPQTPKEFPGSQTPKTPNELEHDGAEGSGAVPLMQT WREPSMNKWRILCCCLIYFGNGINDSVVGALIPYMEEYYHIGYAIMSMVFVGNAAGFI SAAFFCNTTLGKLGRAKTLILSEVILLAAYVILVATPPYAAVVVSFFLLGYGAATSLA LNNVFCANLHPPSAILGAAHGSYGLGGIVAPIIGTALASRGILWSRFYFLCVGLRLCC MLFAGWAFWSYKEDQPQTLLSSLQRTATQQAASESQESKSKNLKLALKNRVTIFGALF VFAYQGAEVSISGWVISFLINYRDGDPARVGYVTSGFWGGITLGRFVLTHLAPRIGEK AFVTGLTIGTLCLQLLVWLVPNVIGDAVAVSLLGLLLGPVYPCAQTIFSRLMPRHVQT TAIGFIGGAGSSGGAVAPFTTGILAQAAGTWVLHPVCIGLYGAMIVFWWSLPRMSKRK E CC84DRAFT_1137859 MSIGGSDLDRAITQLRACRPIPENQVRELCYKARELLIEEGNVV GVDAPVTICGDIHGQFHDLMELFRVGGDVPDTNYLFMGDFVDRGFYSLESFLLLLCLK VRYPDRITLIRGNHESRQITTVYGFYDECLRKYGSANVWRYCCEVFDYLALGALVTGA ATTLDPTDGPIADNVNCELPDSEMDIEIETLNANGEIMFRYARNGDSQSSAASQANSN PASSSPIGPTPSRSGAAGTGATSSANGSTRNDTGAILCVHGGLSPLIDSIDKIRLLDR KQEVPHEGAMCDLLWSDPDEIDGWGLSPRGAGFLFGADIVKCFNYKNDLSLIARAHQL VMEGFKEMFDSTIVTVWSAPNYCYRCGNVAAILELGEDGSNAGYQRRSNGDRGGGGGG WILGSNAGPNGTNDENRRLSSVLGESMQKPRDGPGRRYRVFEAAPQDSRGMPAKKPVA DYFL CC84DRAFT_1160914 MSLFTTTLAVSFLVVATPHLLPCPVDPRALADSADPDALSGQPK RRRRRILKEETCNDIMGEERRKMKDVEEWAIPKRECPVPKPGGLIGQVLGLKKTEEEE EQISVTTQVQRARRKPTSADGEGS CC84DRAFT_455701 MEIAPASTSHKQVHALDSREESDESAPNNGFGNFQCRPKTDIIL TTSPSSTSTSSHHNACMQDTGRSPSLACPPLDQGNPPHVAAGSILSPFQELSHLHFSS SSITSTGVVPIPRAEKRYACSSTGCSASFVERRKLDGHAKSTHSRVYCKECPKTYAHR KNLLEHVQARHRGIWHTCNFESCTYATEKKANLVRHRNSLHKGL CC84DRAFT_1235812 MWSQKPQARGSRLNTVPAVARCSFENASCADFGTCTCSLNFTLG STGHDMDETQDLAREDSASASGRKLAEIECESAIEDLNGSEPTWEDPGDYHISRLFET DQKEEPSPDDNSGHEPVHRRCGNYQSLSKSAKRRVRRGVKAEEMRSRHDDPPAIRLSE EKVFDWFHVGEVQQGMSLGRIHRWRKRRLSRKANPFVNCVGEFHHFDCRHIFKVLCKN CAQGWRAGHSCFAPCISTMHKSDHLRFKQPCPHCLKLPAEKYLARKKLIKGTSDPCRD LVDYLCCYLSADGTRNHALHDEGDDMHGKREVYLITDIQAFT CC84DRAFT_1111602 MVSWAITGATRGIGLGFVKHLSMDSKNQVFALIRSLGTARPLME LAAQRKNIHVIITDISDPTKLDEAAAEVSKVTDGSLDVLILNAGSAGPDTAVLPPSAF HGKKEALDLEIGESIKNNLLSNIYTINSFLHLIRNGAEKKIVFISSQSGNLDFTRMTG FSTLLGYSVSKAGMNMAMTKFASELAPEGIKTLSLSPGWVNTDAAKAVTGDPEIRKFM LNMFHKVDPKVEGPAPIEEAVSNMLRVIGKLNEADSGKFLTHYGDEEQWF CC84DRAFT_1255809 MGVKGCWTCRERKVRCDVQRPTCGNCSKARRVCQGYGMQLSWPR DGDGKRAIVARDVDAAARGQNRNLYPPRARFVNAGAWDVELAMRLELENKNEDWITVA IGDYDRRYNVSFLRSMSLQSPRPTYLPPVVMGKEESHLLEFFTESTSLMLGPTDAETL ANFILRIALSNSESSDTRNAVLQAVLAIASLQLHGNGSADAFRYKRRVVSNIAGETTD SLGERALLRNLVATMLLYHYEMSMPGSSSEGTWVTFFCAVKRIINTSPAMYKLIRREY KVFLDWIYYHEALSEFTVRHWKAPYDGCGFVPMVRSAGVMDGFRPGSKVDESIGCPTE VLELVVYACRQAIVAPRPEMAYTAAELDRATVLERHISMEVGDFGPIPAPTATPRNRR TIVADLHRVACLIYVNRAVHRVSERDFRHRRLVREGILLLSELQTCQSAWPLFVIGCE AMDEKQRAAILDVCERSRGDTRRRSSHVDSIQRLVAAVWNQRDLDEEGQIDHMTILDA VIGGDAVMPLFA CC84DRAFT_1160919 MAPGPQLQRRYSVTGLTPPEDVPQVSKQQHSPKLQALDTSVQSP SCGFCDPGVDAAKAGVQHIEDVEAVTTQGGDRGRKISHHAVRRMASKRSATQLPSPNT PTTHQLPSKIAKHALEVPEMHMDIDMVNTMELDEGVFTDPIWDDVGSMRSQDYGRLQL DIPPSSPLTVSSGPPEDESIVDPFNLDTPDDTPYDSGVESLALTPILTSCALTPYSTS SSTSSGAPDPKTFLTLPPEIRHEIYLRLPDLIHTQPLIYCLSTFNNAMQHPLASVSRQ VRQEALAIFYSQNTWTIKLEFTLMYEAFRDWIIRLGDGAGHLRLVTIAVRGKLFKPGT SHASIAAGLHTNVAVLPGAPPFALQVEQYCPPDGDACFGIDLSEKFTGGRIWIVRNDG TREAAETALRWLRGNAGVLWEKRREKTLNGQDWVDFVDAFLAFVR CC84DRAFT_1184068 MATTVSSLRVDFEPKDSSLKSANINLTGAPLNLLKLFGLRPTSV PVPPLPEGVRVVTIAEYKQAAACLAEAFAEDEVVRYPVDTPDRAHWTEQEKWDLHVEI LEYVTYAHILKGLVTTVGDFEAVALWMPPGTNMDDYLTMFKSGMWRLNYRLSAEGKRR FYNEFLPLLHDTKHGTLGARDADSWYLVYIGTSLRGRGKGNASKLIRHVTSQADKDGV PVYLESSNEKNPPIYRRFGFETVRTVYLHRAEKNVELDIMVREPVRVEKK CC84DRAFT_1160921 MLQGSRSSAWFSPFYCPSPPLPPHFDAALLVSYASKSHKMSTTE TLTQPAVVTQPITVAHPAITAENVQQLFPEVNTTVIGGSHASATSDNALDGYNEEQIR LMDEVCIVLDENDFPIGSATKKYAHLMENINKGLLHRAFSVFLFDSQNRLLLQQRATE KITFPDMWTNTCCSHPLGIPGETGSNLEESIEGVRRAAQRKLDQELGIKAAQVPIDDF RFLTRIHYVSPSDGKWGEHEIDYILFIKADVDLDINPNEVRDSRYVSQEDLKTMFKDP SLKFTPWFKLICESMLYEWWDHLDSGLEKYLGEQEIRRML CC84DRAFT_1137876 MCPSIEETPTNGAANGHTNGNAAEEFTPIEARPNPVPHHKSSPY QPVGDFLSNISRFKIIESTLREGEQFANAYFDLEAKIKIAKALDEFGVDYIELTSPAA SEQSRLDCEAICKLGLKAKILTHVRCNMEDAKIAVQTGVDGLDVVIGTSSFLREHSHG KDMTYIKNAALEVIQYVKSQGKEIRFSSEDSFRSDLVDLLSLYSAVDKVGVNRVGIAD TVGCASPRQVYDLVRTLRGVVSCDIETHFHNDSGCAIANAYCALEAGATHIDTSVLGI GERNGITPLGGLMARMIVADRDYVMGKYNIKKLKEIEELVAEMVEVNIPFNNYITGFC AFTHKAGIHAKAILNNPSTYEIISPADFGMSRYVHFASRLTGWNAIKSRADQLGYNMT DAQYKEVTAQIKIMADVKKITLDDTDAIISAYHHNIVNGVKKPLLEGLSAEDQAKIDA AEEKLGGVKEKRQLDAEAAADAPPAKKR CC84DRAFT_1160924 MCRKHSGSLLPQNISFPVAHLTPNLASFPTYKTYSSGPTTSRGF CSTCGSPLTFNDDKNRDLIEINIGSFDEEVLIGEKVEEDAWEDEYGRHVPRKGGWGYE LGFPKYHIFAENEVKGVTDGFEGTKWLTDREGGTSFRGKVSGMKRGV CC84DRAFT_1160925 MEQQAAFANVSSFLPSPADLLMAVPRLLSRAGALGEHIDSVFGK IRTGGSIIAEPTANLTNATAATTSGTFVQESIAAATNAGLAFQEDMNFFQALKNVGSF FSYITSKWAIATFSIAIILNRTYFYASSRVPLSFDRLHLRFALYLIPLLLFAYRIQNV LRAIRCQTNPGWTDLQYGPAGRQLDTDFAGDGGYLWRASSAALFWEDVEQSCRAVNML PLAPDATRPAGSLALLWPLFISLGFGQFVETLACALQGRHPVPEVGMTIFEHSLAFAE AEAVVSRPFALDSSRFFKPQTVFTPDSTKLTLARSTISAFANVPPEVLLISLISSVSH FTSNFLAIIGYRARFRLVTTGIWGAAYMATFTWSLIRFVTNGFDSGGHVGILRFPTVC MVGFIPHLVIIAGILCCGVIYLLALGITVLNPPPGQPNATWRERLSTAYGNLHANIHL SAITPLSVSWSEDFYTAVLKVGLTVLTAASEAVFLNEAMRVNVHSSTWLERKRYYEAT TRRRLPGERIHNGAGANAQLTSGYAQERKTKGMDASLPDTDVGSGQGIQAGGVQHRQN RWWPTLRFLQGIVALLLYVQARLVMAIMQKLHITWRPRLLTHLSQMRIHEEAQRRHGV ARSERESWLDDNDIGGGRIRTRRDVPFDVETFTREKWQRNGMLHERDSEERISNHLYD WWKRGGKWGDIDASGDYVPSPADEDDTTSVISFSTTSDANEWSDTEDEGQRTPTQTSY RDSRESTPMHADTLDLSRLSRLLDPQSKEEREEARMLGRHLQSPGVMTRSQYRKVLQS NDVRLLASARHRKAGAAPMSPDEEEQVLEEFMLEKRDAAAKSNSGSWDSGAEGMGSDG PQCVVCQVSPRTVLVWPCGCLSLCDDCRVGLASKNYTACVCCRTNVTAYSRLFVP CC84DRAFT_1202475 MPSPRAQQIINDYEIRPDPIALTQNPDPSKNSSSGVDYEPHPED SIPLEPERERIVQAICNLYSGSASETDMQVYAEEAIYDDPLSFCDTRYKIAGQWYGIP KIMSKSQTIKTQVVSSKENEIIFKLQQEYMPKLAPTGKKVNSLVTLSLDAQGKVKYHK DMWNEKDYSHQGLGKLIKTLNGDYLTKITQPPESLGPKE CC84DRAFT_1213256 MEQPTDGQVLDAARILYSSNPNIGRAKALATLKTENNWDLGNKR FKKLIDASDIAQSIAGAQEDTAGGAQQRPDATKDALPPIPLPKDAHAALVRYMETSRR CFRIYGRGEYDYGVSPNMDQQLLIGICHDRLLKLGAPGPWDEKTKILIAGSSEMYTIW NYWWAAGRKVCLTEEDIGRQLEAEYGVDPRPWLPVLSKAQLLQRKAMFKAKSLELKRA MLKSDPEAQKVIPVDSKGEPVWDEKIHGEYVVQVVKVSKEDGVTEYGTVA CC84DRAFT_1172374 MYKTTLRATFGGEGSWVKKKTKTHGLPEQVQVTEAMLHAPVPSG ASDKPEIRRVYKHPFKTLKAIFGTDAYSEGEVSKTIKWNAFVRAMIRTGFAAEKLQGS TWRFIPHGNTVIERSIQFHEPHPGSDIPYSMARRFGRKLERVYG CC84DRAFT_1160926 MDETAPADKGRRRSKKDGANDDSNKKRRCISSACVPCRKRKSKC DGTTPACSACAAVYNTPCVYDPNSDHRRKGVYKKDIEGLKTRNSTLQTLIQAILNYPE EDVAPLVQQIRTCESLDAVAEAIIAKENGEDVDDEDDVSPLASPVAQTTFESQLSGKM GELRLEDGSTRYIGGTSHLIYMGAGDADTRSPASLVGAEQYDQIEDPLTSWTNVTTDP ELVRHLINMYFCWHYSFFTTLSKHLFMKEFQLGRPPPGSRRKTEYCTPLLVNAMLALG CHFTSWPAARAVRDDSATAGDHFFREAKRLILEDDLHEVPALTTVQALALMSVREAGC GREAKGWVYSGMSFRMACDLGLNIDMSGKSKIEETEEDARRITFWGCFLFDKCWSNYL GRLPQLPRAIVTVPKPEVFPTEDAEIWSAYTDSGVSQAHSQPSRTRAVAIQISKLCEI SSDLMNYFYNPIDMDKAKGKQTELKKLSEIHQRLETWRRELPKELEPKEGGLPSMLVM HMFFQLLYIHLFRPFLKYNPNNSPLPAHVSPRKLCTQAAAMISKLLRLYKRSHGLRQI CNIVVYIAHSACTIHLLNLPEKNAKRDIIHGVKHLEEIAEGWLCARRTLGILTVLAKR WKVELPEEAATVLARTDAKYGPWTDVTTAKPAKRDTEAIEEEQKPTNADSSPALQPYG LSLANTASQFFNRTSNDLSMSTMQNSTSEAPPTDAVPVPMYSRAQRTSLTHPSPSVAT PVSTNATPSTQGASPSQLFGGVEQLIREGQDWWLRDQNQLAFGFDNWNHGVEDMAGWF TAQGGVVGSPTLVNPAVNSGAGAAVTSPEGMNGGPVMTNGNGVANGAANGAGMNGGLP GVPGFGEGLNAYNEQEWYQ CC84DRAFT_1160928 MARHKWALSGTPILNSLDELYSYFKFLGVPYTGSFKLFKRNYAD PKEPESIERLLIRLSQFMIRRDHSNIMMNAPILKLPRASQMTHWCEFNSVERGIYEIV RQRFAKRINLASRENELEKSYNNALVMLLRLRQLTAHILMLQSVVQDLLEQEDIEKIR EIVQMEATSQGTRKARTILAIRKQLDHLEAEAKKQSANKATNRSKKSHRLTGRNDDDD SGERDIEELLDDSQEIVDDNQAAAGRPASSGRSFGKNFDFRPYLNSLTTGENWDKVKK KAVCADCAGRLRGAWLTSCGHMLCTDCYDAAQLEAAEEDHEKAKCKGCGSIFTHANEI QENGDEFVSAGPATRAKRQQHSKEVLRIEQQDIAEDWLTWGGEGVLPSAKTIALKAQL LNWFNENPNVKVIIYTQFLAMIRIIRKMCTEEHWGTEEYHGKMSHEARDKAIQHFAND AGVQVLLASLRCGGLGLNLTMASRVVILDPWWNSASEQQAFCRVFRFGQTETTCLTRF CVKNTVDERLIQMQERKEKEIDSVMKDDAGAKARKMGIRDLMRLFGSVGDDEEGRPFI LADNGDARGGFVADDDHEGYADEL CC84DRAFT_1111629 MHAIRRQAHRVASSPGVGTAYNPFSRVRSRDTTHQRDAEAAPDR SYSEAQMQLGHEQDRALESAQAEKEFGGPHHASTEPSPISGRNSLNGDATATTDFAPS GSAAPKKASADISDKTAVNNGIETQTNGSVVKQRGWVKKIIHGGKKEEDSDDDEGRHE SEKLDMEERRRRSLKKKIPIGQQIRYVVFGAWINVLLVMVPVGFGVYYTHKINDIGVF LINFIAIIPLAAMLSNATEELALRVGETLGGLLNASFGNAVELIVSVQALVKDEITIV KTSLIGSILSNLLLVLGMSFFAGGFNRVEQFFNITVAQTASSILALAIASLIIPTVFH NMIANIGTGEPGDAQSDAKKNSELSHGTAIILLFVYGCYLFFQLKTHATMYNAPSEKG VKRKQSVDGSVARGIATIGAGTAATAGGAANQDRHLQNSKEAADDEEDDFEEPNLTMW GALVTLAISTTLVAFCSEFMVSSIDGFTREAGISTTFVGLILLPIVGNAAEHATAVTV AIKDKMDLSIGVAVGSSMQIALLVLPGIVVIGWIAGKEDMTLYFDTFQIAILFVAVLL VNYLIQDGKSHWLEGVLLMSSYVIISLAAWFYPDLPQGAES CC84DRAFT_455999 MLRATVSSPTMATECNSVLQSLPQMRAPRRPKPRCCCRSPSTSP PGSPRCYPQFRPTIWVTSLTGTQLTDRPTNRINLPRGQAPFAANSSTCNTCLRTRYDD VLQLPYLFRTRPDAIGAFSTSQRLHLTARVQTRSRSAGRTTYRTFSSCCGWCPCHRAS RRKRDSGCDVVCWQI CC84DRAFT_1111631 MSSNHEGTASPAPAAPPARPAKAPEKEPRLDAGNKHHDHYARRL PPWRHAMRNYLLPIVRWETPWLALMQDKLRSPALDSYFAVTANLGTHTFYMIFLPILY WCGYTNIGRAMVHMLAAGIFFTGFIKDMLCLPRPLSPPLARITMSGSAALEYGFPSSH SSNAVSVAFYALYKFHSAREDYNPNVFLALQLLCYWFAISIVFGRLYCGMHGFLDVVV GSVFGGVIAVAQLIIEHSFDDWLFVTSPVPPLIITLVIFVLVRIHPEPADDCPCFDDT VSFVSVVIGINVGAWHYAMTGLAIDDPIPSTNPFRLETVGLPRATLRILLGVVVIFLW RAAMKPTLLNVLPPIFRLLEQLRLNLPRAFFLNASKYTSIPTLRGDDNVIPPASELPN MLKNLAHPRKRSVSVGPQSAADAYETLAYRNRRRRESINSLDGAIQEDAAFAPVEKKP SLRNLRSSSRQREKNTMGANLLPTPAASRVHSYEQMMGTGHVEGIDITPPDSETELAT QSPAEAESEKREIFMQLMKPRVRYDVEVVTKLIIYSGIGYVAVCANPILFEFVGLGTG IRTGSI CC84DRAFT_1137895 MSATTAPSQNYDYLRRKQSTKAPISSVTPARRPIRTPSERNSNG TVSSYATTATRDTNITEPPTYSKKLVVVGDGGCGKTCLLISYSTGNFPEKYVPTVFEN YITHTPHPPTGKMVELALWDTAGQEEYDRLRPLSYPETDIIFVCFAIDCPNSLENVMD KWYPEVLHFCPTTPLMLLGLKSDLRNKRSCIELLKTQGLTPVTPEQGRAVARKMGALY MECSSKEQDGVEEIFDRAVTEAVGDEYKEPEITSHSVAPGAPRADKRFPSGGKKTKKR EKCTIL CC84DRAFT_1070581 APKSYYEQQRELLILEIAQSLNNALHNLNALNRSLEEVIQVGNE FAPVESLWSHFESVMGDQGLEEKETKREGDAE CC84DRAFT_1193524 MAPDMPPVQEPFPIAYVAGRYLLFDPPTVAHARRTHNVIGVLVG TIPNLSQQNVFLGIPLELQPEEARVLVEQGHAYIVDDAAVHREGFLEMTREDRLAYLR DLDEQGAEMSREVVRRANERSEQVLREKGLRPSKKGRDSTPKDVGSSLFDGDESPVPA VKVPEKKLETTYITPTTSYPPLSKPLPSHALGLPEVPKSFPLFRYLHTKGYFMMPGLR FGCHYSVYPGDPLRFHSHFLATGLGWDEEFDLLDIVGGGRLGTGVKKAYLIGGEEPGG KK CC84DRAFT_1184080 MVFSAKVLLTTVSEKFQEKKVALKRVFSSRENLVRALEVNETAY GRTEERSFWKNEDLDLTPPSQWTWGWYDFAAFWWSYGFSVGVWSVGSSMVAMGLNAWQ SIICVFISHMLGAIAIAWHSKIGAKWHFGFPVESRVMWGMYGSFFPIVIRLLVGQIWT AVLMMQGGYFLSIFFRCVFGHHWHNLENTIPKSMGVTVQQLVGFILFAVVTAPFLMLR PHQMRRLYSIKSVVLPPVAISLFAWCIVQGRTASASAGTFKSPIPNPKGAALAWAMLS AINSCMGKTSSIAVNQTDLARYARTPGAPFLSQLISLPIGNTLCATLGIFATSSTQQA WGVTLWNPWDLCSAILDRHWNSGSRTAIAFASIAWMLSIFASCMGVDVFPFGVDVTAL FPRWLNIRRGMYLCYAIGLVIFPWKILQSSTTFLRFLGGYSIFLAPLVGIYITDYFVV RRGNVWVKDLYTAETGGKYYYVWGVNWRNAVAFTTTVVFLVPGFAAQFGHEVGVGWER LYSLGWVMGWDFCKEERKMRFEESYDTQGMFCAGVVEGVGAEGDQEKRPELKGVTEES KVSEL CC84DRAFT_456015 MSTTTVETVPLPALSLTLQNGQKIRYHSDISIEADEIPVIDISD IYSDNLQARKAVAEKVREAAHRIGFFYIINHGIDPEHAENTFQQAKRFFAQPEKKKME VCTDLVTEYFGYFPMAKYNRNGKKEKDLMEAYNWGYSPKYDPEVASGKEPDVDYVKLL WPVELPGFKETLYEHHSQLLTLARRLTKTFALALHLDEDYFAEYIKTPAAGMRITHYP SQEASPSDQLGIGAHTDFECFTFVTQDEHAGLEVLSKSGYWIKAKPIPGSIVVNIADC FMRQTNDFFVSTVHRVVNKAGAERYSCPFFFGFQQDMKLEPVPTCVSDSNPMKYPIVS SGEYLRWRAEQAKKVV CC84DRAFT_1137908 MLSVPNESSSRKYHPPLSPASSHGKFSSAASSREALLTTSSNDI PLRKLIAAASSSKPSRPLHTSTSNLSLQSWPGHPRQPPQNQGRRINDHTIALSVTITL AILVLIGVPLGAILPQKYVVPLPINVLVPFYVYPDHNSWERMFQAAIKHPESNFTIIL SVDHGPSASVWPPGIYLAPIKRLNMLPNVQAIGYVDTEYGSRDGEKVRKDIATYAGWN NTDLGISGIFFDHTPAEDVNDARIYLKNVSATVRHTEGFLEPTIVVHNPGKVPNANMT NYQADVTVVFEGEYKSMPPREETKAKLRDLGGRRQDYAEIIHSVPRTMSRGGIRKIIN GERRYVGWLYVTDRMGDNKYEWYSDRWEEFLDLTF CC84DRAFT_1172383 MADQADSVSARRGPWRPSPSCTLSDPPSSPELERAGQSIRHAEG PQTESARKAARTGEAILNVIRRKQSYYNRKSTLQIDLDRKVATRNVYDSSKGKHPARR GSDTHPADESATKPRTPAKKPVQTCAATSPAPFNGSAVRTETGRMRLDRAGNPRPANR KFLSRDPTGKIVGDASGPDTQLEEVQAWIEEKKHIKFDLLPDVEEYIFEDIDEDVRTE PFHLKNQGRKLVIGQDEQTEVCAIFPADDKKIFPCRFLLRESAKGAYTAIKDITQLRD CIDELYYPWEAFTNSSREDAWMQIQAVVGYVFLLCGEADVVYTTSAKNPGALSTALTH IKEAYAPNDAHPTAATVNRTIQASDDSDNDKSQLEKINEWLEDKNLLGNMLRLVSYPS VYIFQDFFPEPTLKVKGIAKVYDRMLFLGENDEVQSWVVPSREPNKAILGWIINIDKE TGEQTHASWWPALPTLTFPFQSFKEQGDSLSWRKLFYTVGYIFLCFGEAKVAVNMATS FQKHFISVSVANTVGKYYGTLLVSPPTGWPHVRKPGEFNSIGENPAPVSSTGGQVDTS VQPFKKSKQTTFGKYRKALKEIKGRNTKPLDPGFFGAHGVEISRSSKYTAPAGIYSTL DDLSVSLFLGKAHNSNGKRPALEDNEENKENRPTKHELLEAKRTEAIKLRVFQQREVD KMQVELDEKKRKLHLLEAAELEIAGQMGQVMMVMTDDDYDAILHP CC84DRAFT_1111650 MSSIRHFRVPKACSYATASFCRIHQAPNTGNALRFLSTTPALRD AKHFAPETKIFRDVEPLREFRRAALLNKRTVGLVPTMGALHEGHLSLVRHAAAENTDV IVTIYVNPTQFGLNEDLASYPKTWETDLRMLHTLDRELASVEGAGRVTAVFAPSTKTM YPTLPPDSSIPGVGSFIEMRPLGQLLEGASRPVFFRGVATVCMKLFNICQPERVYFGQ KDVQQTVVIKRLVKDFHLPIGVKIVPTSREPDGLALSSRNVYLGARRRTVGIVLSQAL RKAEAQYKAGKRTRGDILWPASDHADQTKMAQDTLEPHKRARFEVDYISLADPETMEE LDEVDPARGAILSGAVKMLPVEEPNESEDLGVGGGQIPVRLIDNIVLDPVS CC84DRAFT_456038 MADDGMLLNFSIPDSGILNKPQFKGGNWKQRQTAKKAAAHWHTK ATERLTGVRAPKAPLPLSVNATDVNRTELGPRPERPHRSPEYDNDRPAKRPRVSGDSH KKVDDDASAADFRPHTDANVVKNPAAKQDTSKGGKQIISSLFSFNPTSTTQTLSTKPA EDAPVEPSNAPLTSELDTFTSLGISPTLAAHLLKKMDLKAPTAIQKAAVTQLIKDDSD AFIQAETGSGKTLAYLLPIVQRLMELSANMKKRKVDDSVSRDSGLFAIILAPTRELSK QIAHVLDNLLRCAHWLVATTVIGGEKKKSEKARLRKGINILVATPGRLADHLEHTKAL DVSNVRWLVLDEGDRLMELGFEDEIQKIVGNLNLRMRAQKDEASRIPGLPAKRTTVLC SATMKLDVERLGEISLKDAVHIRSDPADRTENEGADDEDQAFLAPAQLKQSYAVVAPK LRLVSLISFLKRAFARKGSVMKVIVFISCADSVDFHFDILTSNLEEEKVAAKDDAKED TKKEDDEEANVKKSKKKSVVQSDPTKIAVTHAESPSLSPHTHKVTTYRLHGSLPQSLR TSTLAHFTKNNEPAVLLATDVASRGLDLPNVDLVVEFDPAFAREDHLHRIGRTARAGR DGRACIFLMPGCEEGYVDILKTDRKDGETGIHIGRQDAEEILKKGLVTSGVMKDKTEY MEKATDLQLAVERWALASPARLESARRAYQSHVRAYATHVAAERGYFDIKQLHLGHLA KAFALRERPSGMKVPGLRTGAGRESKKPAKIRGAATGANKSAVASKAALEDTKVDDTE EAAKMRKAVRAREKFMRHAGMADEFNLG CC84DRAFT_1057221 PAAPLLPATLAMESSFELPDSTDWLTTSLPAFEPLEAALRCEVC KEFYNNPVITSCAHTFCSLCIRRCIAIDGKCPACKSACQADKLQPNIAVREIATKFQE ARPKALELARAKEDNDDGETAAPASGRKRKRETADGEDEGRRTTRSRHTRRSTRLGNE SITDDMPVVIPDSDEEKEDEYVPEGMVPCPICKKPMKEEAVFNHIPICPAAQDDTGTR KTRSRKDDAFPNHLQARMKENGPPPTRLSGLHYPMLNDKALRKKLQELGIPTWGTKQQ LMKRHQEWLNIYNSNCDASDEARKPKRELLRELDEWERTQGGRATAKESHIMRKDFDG QNHATAHKNQFDDLIANARKRAKDISRASEEKVESTPAGSSGTDPQPAQADNLEATSD PPHPYENNEAALSSIRQKVEEANRTDSAFPPLSHNTKDANIKAAQDAGMYQEEIPLGF APENPLGSPTRKVPMFRMPEE CC84DRAFT_1213271 MEHAVAAKYADAMEYLELPESVKGRKCRVPRSVWNPTGSDFHHD TVEGSSRRQRARKNLNAYVADEEEEMAREVGLDVCIAHETAGLNEEAAHIPMTAEVER IPTPYITARSTPIGTKPERVPTPMPFALGQYTKRQGNKHINPFSDGERQYSTSPARLE RISSSSPLQLDLFPSPPRSFSVLEGKGKGNAPALPSPEEIDRWRPLSISSIGTATPLH PGIRTPLAARYSPLHNKATRERQLSNIDSVISPYPVPCPGIEDVSSLCFGNFFASRVQ PRSPAYEEGVGNASSTSSEQFYKLPEYTGSSNTDLIRPRRRQQDPVGIKPLDLELSSL DVELRSQGSPVSEVLVGSPPVSEALTGDFELEEVITFQEWNEAQKREDRFERSFLGKA RSLYRKIRGKFGGGDDDEEESGVERRGVLGQLKSKVARMGAVRGKLFRRAKEV CC84DRAFT_1213272 MASESTTTTGIALATTPLETASSHSAENTTASFTVHTTLVTSLV SPTETPLLSGNTATTSTYVAGPIVVSLDDIPPGWIPDVQRTDTIIFHNELIFTLGVLA MGVLAVWLWSLCG CC84DRAFT_1235847 MSTASLPMKHKGLVVSKSVPKLFNTITGDAGLTQASKAQPDDIY VLKDTGSDTVIEDKYEPSVRLMDRKVEDKLAHRPRNGYSQRPLAGPFRTRFQKPMWNV TIGFARHMEEQGHDIEALPLQQRLTIQELLAADRQLEEKEAIEAAEAAAAKLPKARPQ AGEVFPGIIPPKKRPLHWGRKEHFLGMKNHFNSLQFRRALYEDGVDDVEGLKSMSTDA LVRMWMHRRDQLHSTRGSFQDSGTEEAREGVSDVIAGESENPLEATTAEEPAHGPISM AALYSFQEPQVSFEFANAGFPTLPSALTLGNKIPTANTSNPTSLVTGPGLDQVEKPSR HDTVLNANGAPIALLTTDTTAMGQVVRAKHGRGRRKNNEPDPEKVRREAIAARVGRYP LSIPGNNKGEPLSMSLKMSAFTIKQKYNINMTKQLLEDYGILPTDPNDLSPHEKLAGH LVKERESLIKSGAQLQEPWTHDRLQAYVAAQEAKEATGPATLMSETDQPNVQVSLHPS SKKLESMTRSKKVPSATSGMSIAKTKRVRDEDLQNGGIQALSQKRLRNGAKMKKGAQV TKQEPIMPSVYVATEYGAAFQPHPAANELDGSALFSHPYASPASHIHHQLDQANLNHQ FQGFQNDTIRSPQWSSVGQRVHGQESSYQDDSTCNSLHQNEYLSSSQVPTSAYTIPMP GVTNDTTQLSIPGIPIDPDLLDL CC84DRAFT_1193532 MANRKHVRQHTTALFTRFPKNGRIPFKQVASATWISTTALLIGI GFVVAIAFTVDGKPTRGNTWYEYAPTFIALGAVILRGALAALLGIALYQSLWQNIAAP ALDNGESLLGTKKRGGISLKRIESLHLASRLAVGMFSYPQFRAGWIIGVLGLAVTSAV QPVLQSAITVRQERQIVQTGVPIYHPQFNGSLAQSESAVMLAGGATTIPRRSAIAALL GEHSSLRYTDTNVTGSAKFGSVEYLDVDCKIDAIPGNLSNLNGWDVYNFTYRYPDAEK FSDDPQEDLTQFRDVSNSTVLYDGVDIQTTLKSRIEVQAVMFNNTHYLKHLCTVQTAV GTCTTRIEGGTGSMGGLNCIRDRFINVDSDMDKDGSFYGPAGGVMALFSSFLKVFVGK AVLDIRARFNFGQSLFMLGTMVVDIDTKLVMPSDLLSHMQRVLWVTPLLAKSGSPQQA ALNVTMSVSDEHNVIIYEVNKPRVVATVAVLLLTGFGCLVYLSFWSSGACGRLARDSL IHSLTVAGPNGPAIQGACLASLEEILDKAGDEKLKYGTILEATDSLSGHLGLLRHGYK VWLYMLGDNPTHSFHDVCVII CC84DRAFT_1172390 MPGAASELELAVSGGILLEKRPSNGLDPCFAACAPYESKPLSTR SSASLTTSLFSHDDLLVHFICPDEPMMCAACGCWTRDAVSPQLVDTLRTMINDLEKSI SGTIESATAVVKIHRDMLLQLRSQLAVLEKSHSLVMKLEDDHAREMAVVDRRINTMVQ NQGYLKIHDQDETILMLHAPKKVLISEHRVAGAAWAAAHAKEESDSKVLIDRTRALLA HTKEAVELEGTIRVAVENEFQQRHREHIAQIADNLLQDGIRVWVSKSNIFATLMTECL LRVADHQLVIEPTKTCFDEDCLRKLYDKYRLNHTCSDMQKTKFTIDMRDVEELKFVKR RATIPGTCDETSPHWEPPRYHQCVYVRTRVSREYYLADRKNEPQDKAWESLRMDVPDG SPNLLCHKLVRYWHDAKQSRS CC84DRAFT_1213276 MERTPSIEDPYDVFAQLTADPYLSRLSPPPPTPHSARAPSSPPA TPVAQIPQASRVPTPPLAAQPDRNLHPSHQRSEEHISPPAAQPNPNFLFTLQTIDPAH APQNAKRYPKFSSAATAHTPFFSTIRRHVVAILHSRIPLSNEDIANPILDQAFAGPLT IRVWRLVYELVDLEGVAGNRDKENEVEKLKRRLVRELVGCVGWEAGIDEEWEAGRLVE GLWPRG CC84DRAFT_1081733 MDLDSFREHGEHGKSKEQTSPFLQLPNDLFRCVMDYLDRESAWS LKRVCKGMSTSKAIDELLYRYPLQLNDVKDIRLGDWNYRPMGQARWNGLKSSINDKNR HHVQKLALSHWCSIGDFKWIEQNLPSLTSLDLSAIKDFVWTPEETWTWKALSEACPKL FARLEELEVSNWADYTAHSRIEYSYAYNDYRFKQQFRLSRRRDGGSVAKMIFPLCKKL KTLAIRERYSGFHTWNEWEVHQRVCCLIDGIKNYCPPTLTKLRVHDYAPYRSLFSTDA STWSNIKVVEIGLYSWMEDRRDRDVIGPIPYRITQGHHHREEEEAFDDKTYDDCKRNH MDLGNNVVQGAGASFEDLLKSLRNITRKYPSVTIKPIDTLRDITLHPFHLVNVNQRRL HGNAQQHIQQQPDPVANVEIQEALQWLAEKCGWRPILAWDSMMCDVFPANLEPSRSFL PKEEVLSRIKAMISTLRSLDIPIRISIGDRTNSCPSSGLDGNLYFGDYKMFIGEGENK RELLAPTQAGFNLAGIAHMVDELTIQYAGDVPGVHGWLRQTRTPTNAEKVLIDRELLG WRRFWERYARLFTNLKKLTTNVPIGIYNDWGQCEGLRELLGDERWEMLEVEEKGGDFG FHGSYFPFTSLRYSHLRRRTRLKFVQRVFFRQDAKPLHLKPKHPQLEDKEREALEITD ADIETPDGLAEHCFWPAEQEELKGKAVGEKRSASDVDGEDGNTEAEGPATKKAKSD CC84DRAFT_1137923 MTDATLFEDTFSISDVNSGKYDRVSRLRGLSDDNSVDLTLDINH ELFPVERGERLSVVLANTIRLDGVKEDAKAGWRDVQRSGEQTLADMYDYVCYGKVYRV TGEESNGNSKVYLSFGGLLLVMSGPYRKLTGLKIEHLYLLVKRQ CC84DRAFT_1255832 MSLTNLDLINAVDGFPYYQVDPDLYAASISTYYELRVTDHAYAL GYILPSVAEVFRGVAHWDLDDEDRILTLTGGTTAAERSAVVATTLEALRKTGHFKVLD KWRNELYPVYGRNKELLFDVERAASPLFGVVTYGVHLTAYVKRDDGLRIWVPRRAKTK QTYGGMLDNAVAGGIATGEVAFESLVRECAEEASLPEDLVRSEARTVGCITYFYVRDK SAGGETGLMQPEVEYVYDLELPEDIVPKPGDDEVEQFYLWTVDEVKDHLAKGEFKPNC AAVMIDFFIRHGMLTPENEKDFIEIVARLHRKFEFPTL CC84DRAFT_1193535 MQTHMDKSIRLVPMDHTVEEKETWPEYVELIVPQRHVKRVKTAL EEHKLLNRKKGIGRSRPFVKIVKESFNTLPPDLLDSLDLSVRALIEHFSSSYTIYQPM LLLPRNNFTPCQTSTLSSPGFQPFWARIAARMGVTHIAINAPIPLQGATSDAVPTGVD GTPSENTLRSPINLTPIYGFFGPPPSPQTLESPTAADFDAALWVTHTQNGIHQTWAPL YTMFSRGNIREKTRVLRLPSVIASVAEPAGCTSVDLYAGIGYFVFPYRKAGVSKVLCW EMNPWSIRGLQKGAKMNGWRSQVIDRGQAPSEAPSESADLIVFARSNEFALEDLAETK RAMDLPPVRHVNCGFLPSSNLSWKTAVYMIDGQLGGWIHAHENVGVSDIEERKVQVVT EITGHVKARGLRGEVRCEHVEKVKTYAPGVIHVVFDIWISATSSLAAS CC84DRAFT_1160942 MSRALWIPFHALVLLASALNVAAHGGHDGNDGHQIVVAPDADWA TRHMAEEHHISAFDAGTFFTLHDYDSTGLWTTVDIRRTYGLNDASTSHISETKKQMVV QTVLDMFDANKDGEISAAEFYAKDHDGVKLPDFGMGPGHHGDDEYEYEIHHWEKYHSG DNVKEEDLNHPEDIEHFKMHEEMERKQEEWERAERAGIVLKNIPAKFRV CC84DRAFT_1160944 MAFRKRNVALARSPAESPAAEAPSSPAFHPAPAAPTPGTRPSPI DGRPTTSTGTPSLDSILAGHAGLPLGSSILIGESGTTDYAGALLRFYAAEGVVQGHRV HVVGMGEVWGRELPGIAEEKDKRREGKERAEKMKIAWRYEGLGAHGSSRERGSPAPST GDAAGEAAVFCHTFDLARRLTLPVGSAIDYIPIPRTTGSPFAEILKNLQKQIAKSPPG SIHRLVIPSLLSPALYPPGASHPSAVLPFLHGLRALLRKYPTGLTAVITLPLTLYPRS SGVVRWMEILSDGVFELSPFPYSHLESLATSAGTTKDEERPQGMFAAHKLPVFHERGG GGSTEGLGEDLAFTLSRRKFVVAKFSLPPLEGDTEAQEAAVREAAGNAMPRKQDLEF CC84DRAFT_1213283 MQFTLASLSLLGLASAAPTLTARSVSTFPTFNLMYTPNGNAPAP VAELNSGTWYLAASNSRAVLTSDLHSAGLLYKYGTNPHIAQAAVGITITPGGTATVPD GKAIEFINNNGTAPMDIQLNASGLPTLWHNGGRFQACKGGSGEIFLSYVQPGQRFLAS CAAIELRAVCTEHGVGEQLKGQLGKIIDVACVPVSD CC84DRAFT_456079 MREIGMYSVTNAHNATARHAIQRFSVHGSPYARVRAHAYPSLNQ GTEILDVIAGFIRGHDEEAFSLPYCERDHVSMIAVPHERRIGIHFHLFQRHSDSVRCP RPLERRELAIVEDVRLQALSLVSYLTYTKVARPTCTPFATTTISPAHSLISFKTTLFS SSLTYATSAFKCTSTPWAGVDYGDLEGRHGVGVGLVDERGGCARNLAVGGHYVLLS CC84DRAFT_1184095 MAGGGIPTDVPGVAGGYLSGKKLIYPLSLVISLFFLWGFSYGLL DVLNKHFQTVLGVTRLESTGLQVMYFGGGYLCFSPVAAEVLKRKGYKVTILMGLTLYS LGAIFFWPVAHFSTPTNEKAAFGGFLACTFVIACGLATLETSANSYAVVIGHPATASA RLQFCQSWNGVASFTGPLIASKAFFSGENANSLTNVQYVYLAVACAGVAVGVLFFFSK LPEVSEAAGRAGSIVAGDSEELGLPLDQYGNIIEQKPLYKEYNMIFGFVAQFAYVGAQ VTIATFFINYSTEAASYTSEEGARLLSYGLIVFTVGRFIAAGIAYFLESNFVLMVYAA VCIALSAYVSNAHGTSGVACIIVIFFFMAPMYPSIFTIGTANLGRNTRRGAGILVMGV SGGAVFPPIQGAIADAATTKISYLVPMVGFIIVLAYVTTHWIRHGMHILRVKGENVVA TALEGEASSTGVSESEMKGADAMARA CC84DRAFT_456160 MVHVLLLGTCDTKLDELLFLRTAIEEADPNVGVSLADVGRCETQ HEAIKYTHSKLIESYGNGRNVDDLPRGELIKTMASFATALVRDLHQEFDGTCIDGIIS AGGSGGTSLAAAVMRDALPIGFPKLIVSTVASGDTGPIVEETDITLMYSVVDVAGLNG VLRNVLSNAAAAIAGMACSYAGRRQANTTEAKIRVGITMFGVTTPGVDAIRKHLESEH NVEIYVFHATGHGGKAMERLVREGGLDAVLDLTTTEVCDLLTGGVMSAGNERLEAAAK AGIPNIVSVGATDMSNFGPKNTVPERYKSRKLYEHNPMVTLMRTSQEEAREVGRFIAS QLKQHARDPAMIEVWLPKGGISMISTPEGPFADPSADEAMFNAIKNGLEESGIETRAD KRDINDTSYARDIAEALMEKIRKHRSGQ CC84DRAFT_1193541 MAPPNDRKEILDNLRKLISDGTVIVGAGAGIGLSAKFIEQGGGD LIIIYNSGRFRMAGRGSLAGLMPYGNANDVVLEMANEVLPVVKRTPVLAGVCATDPFR DIDRFLKQLKDIGFAGIQNFPTVGLIDGQFRQNLEETGMGYDAEVELVQKARALDLIT TPYVFNVEEAKKMSEAGADIIVAHMGLTTSGSIGATSGKSLEDCVKLIQEIRDEAIKA NPDVIVLCHGGPIAAPEDAEYVISRTKGVHGFYGASSMERLPVEEAITNITKTFKNLK PSA CC84DRAFT_1255842 MSYLSVSGSARLPSASRSAGITHIARTASDSDTSSDESLETQDA GEDGATDLALDFDEEHIDVGSEDVITIGSSSPRNIANDIPDEASEEDSSDTQRRAVLK KAKKAAKKMGKKNRLFVANEKSHHAWTMSTFKNKLGITGMEAFLSHPEFGIDVATEFP LKTKTDTPFYDNENNPISRAEALGTKCHEMHEILIDSHKAAMAEEASRKAEAKRVFEG HRHDLPDAVAPDSHQTMLARINLQAIRDHASTQINAAYNNKLESAAELTEPELGSDKL PLVSATVQRLVYKVVDAQIRGNRLRALHVSESGDRRFQIPVEHGQLRFRLRDELQKTL TLEDDVDVAAIATKLVVQEFPPQL CC84DRAFT_1235858 MDHNIFGTMSSIGHSTDSRYINAREQSGERDQSEAIPALGLPHH HPDLQHASTNTRPANRQAPVAVSTPAKKQKLGKNNENKKNRMMGKKGEDEGFIPNWER MQPYAMSTLRNKVASTKIIRYLEAQGVDISQEFPTIDITYNGKKTTRAEAMAMRAQEL HQANIDRKNAGGQLSATAHDLSREPLRNVSPMPNDQGVISTTGGGDSEVEVLNAVESQ SEVGSQNEAVFQREASSDQNMNVCETDHVKDQNVDEDLKQVGVENLRGSNAYDNRPAG AHNINSEDSEPTIVSYTRAALRTLKEAASKSSSNSPELVYDDSMHPIISFKAQWAIFR LIGRENNKLVFEREAVDRSLHVCHQLLPKKGHNACQADRYKVAGELAQDFYREGGVAN WGMAVAMAKKLIDEEFGPNI CC84DRAFT_456341 MKTTPPAGDGAQHDNSDNNKAPAMSSHRALLTLAAFLALPLGVV YMMSHCSHFSPSTSSLAQYQTGYRHPHGTMHAKHTKQAKHAEQSMLENIAMLMAEASA SPPIPNMQMRFCSGADLRDCASYAYTQGCTKIEEQRVLSIAEPGMFEGRFSACTVYRR GVQDCETKEEDSFKHVKEFVVNWDAQDEDEELASNGVVPLLQKDWISDIGSFKCR CC84DRAFT_1111689 MRSYLLWAAVLPLVRAIEPPRVPHQPLGNGTKRLSFNETTLTPG TLSAQTRSLAWVSTGEDGDYIYTGDDGSFIFENIATGESTTFLSADKVPADYWDYSIS PDASRVLWSVNYTKQYRHSYFADYLVQDVESGEVQPLVPGSSGDIQYAEFNPASSSQI AFVQGNDLYIWENNTISRITEDGGPDWFNAVPDWVYEEEIFGDRYTLWWSPDGKYIAF LTFNETGVETFRIPYYMDSEAVAPSYPRELELRYPKVGAKNPTVGFNVLDVEALKVTN VPITVWPEDDLVLGEVAWLTEDHGKVLYRAYNRVQDQEKLVVVDVPSYSSKLVRERDG SDGWLENYIAISYVGPISSSNATYGNTSTEYYIDLSDESNWNHLYLFPVDGGEKIALT SGEWEVRSILKIDSKRNLIYFTSTEHHSTESHLYSVNYVTGKKTALVDDTEVAFWTAS FSSGGSYYVLRYSGPDVPYQELYSVNSTKAIRTIVDNKALYEKLQQYALPNITYHELP HPSGVKLNAMLRLPPGFDSSKKYPVLLIPYGGPNAQEVHKDFTALNWKAYVASDPELE YITFTVDNRGTGYKGREFRASVTSNLGDLEAQDQIWAAKWLSETFSFVDSNHIGIWGW SYGGYLSSKVVEVGDPIISFAMITAPVSDWRFYDSIYTERYMKTPELNAAGYNVSRVH DSTGFKKIAGGFLIQHGTGDDNVHLQNAAALTDLLMGDQVNPEKMQNTWFTDSDHSIN YHNNGKFLYRQLSKKVFEEKKRVGELKGEHQWSKRSWGMETMRKI CC84DRAFT_1160955 MAPTEQTPLLREQDVPVATTEAEAPQPRGTFARRLGALDGFALL ISIVIGSGVFSSPGPVDANVPSPGAALLVWLLGGVLAWTGALTMAELGTAFPGEGGIQ PYLSYIYGEVFGFMAAWSWIVATMPATLAILSIVFVESIYSSFGVNEPQPPMTHKLLS LLVLVVVTTANSISTQTSTRLSSFFVAIKLLTIFLLIVAGLTVVSMFVGGHKDLGGGD WHEKGWFEPRSTVNPDGSLFDWRGVSAWQSLGFYSTALYGALWAYSGWDKANYVAAEL RNPRKQLPLSINTAIPTIIVCYVAANAVYYVLLPWKEVSATDAAAVTAVTHFLGKGVA YFATVLICLVIVGSALGNSFVAGRMTVAASNKDWIPKFLGTVGHIGTFRVSKSSTEGE QHTDEAMDQGESPINALVLNFVLSAIYILLGNMRILLTLNGLAEYAFFFLTVLGAIIL RFREPEMERPVKPLILIPILFAVISGFVVVRGAVFAPVQAGVLLAIWALGVVLYYVRK NAFSRGLVI CC84DRAFT_456474 MLSKRVAAEAGALGRTALRPVPRTPVARIRVQNARFQSTSAGQN APAGTSPALIGAVTGSLATLSIGYVWYRQSGAKDLLAATKTTKDYVSAGTKKLKEATP EPNEALDWLRDASKSYAVLIPGASGYIDTAFKDLDDIRSKHGDEVDNIVREAYSELQG VAKNGDISILTAKRAWDIIVKHLGRIGDLAGDSAQQIMDNHPQLKEKVGGNIDKLKEY GDKYGPEAKKEADRTWQQIQDVVKTGLSAENVTKIQKIVQEKVDKMKQLGDEAYKKGL EQAKPYLDKNPKVKELVESNADALKSGNVSELYNKIKKAVESGDMGDLESYVKSAASK AKESGFGGLDKYLGQIPGGDQIIPKLTQLQEAAEKHGDEAQKIIKDAVSEISEILKKK SSEAEKLAEKAKEDSKK CC84DRAFT_456500 MAAQVQPHTDPSGAEGIGPGPQLVSTAPGLEVLVGPLLNYRRMS NELGTSTWHGSVLVVTRPGQETPELTLAPVGAVDGSIEKASFTVGANRFSADKLYEDI DKAFWRFLIHLPFQEFESRWQYSINGLTYVDESKTEKPQVFVVPSTSQSMRILFHSCN GFSVGTDVDAWSGPALWNDVLRMHAQKPFHVMLGGGDQIYNDGVRVDGPLKPWTAISN PHKRREFPFGEKMRADCDEYYFNNYVRWYGHAPFSTANGQIPQVNIWDDHDIIDGFGS YTDRFMRCAVFRGIGGVAHKYYLLFQQHMAPPKSTYTTDAPQTTSAGPEGTDVDPEQL KKTFVMTNDVQDPSYIVGQKPGPYVEERSRSIYAQLGARIAFCGIDARTERTRHQINY PETYRMIFDRLNKEFSANKELKHLVLLLGVPIAYPRLQWLENILSSPIIGPIKFLNKR FGFAGGLFNQFDGSVDLLDDLDDHYTAHQHKHERRELIHMLQTFSKEHSVRVTILGGD VHLAAVGRFYSNPKLGVPAEQDFRYMPNVVSSAITNKPPPQAVANLLAKRNKIHHLDH DTDETLLEIFDRDPRPADKANGVVANGHANGETNGNIDVKKKTADTNHATMPSRNYAI ICESNPSATGTSSAAAPQPHAVPSSATNGDAPQQTSAPVDKKANTRKPMHVGEQGAGT EHPAANGLTATGLCGRYGLDVSLRVEISPSDREGHTDGYGFSIPALEVPAAV CC84DRAFT_1184103 MTRASRATSQRGVLTAAPHDRPGATLRKKKPYKIVLEAVTQEKR KLKSSLTYHDAAPPGFGYIPVGHPEITEWCKEQCRQRNLDVHIVSAKPRSKAFFDPEK ISSHVHRIGHHFPIEIIKLACSKFGYQYDERGLRRVRGSPVYGPAYVERGIANYEQRQ ALHGKPVTDTEHKEHIRGAIREIFPKIPEADLTSIVNHAFEEGTNRVGNAKELSLARR VQLAVVAHIRHTYTEYDNILKHKTGTWTEARQQVEHVSLAKLKEWRDETDEASNELEE TFREVIVLDDDEDSSDDESSSAPNTREPSMEIVSSRATARDLQPELIDPMRAYDPYMS RASRRTIVLRPMPTYSPRKPRRCPSLAAQFGRGS CC84DRAFT_456596 MSRQEILYAYRHLLRQGLRAIQFSKPARFTLRDRIRLAFRKGSV SDFDAQRIKNTLEFLQYATKENGLEHKILKNLLFVWWSQQDGGKSKPNQKNMTREELR MKTIAFDAFNHNIDMLNESMDICLPAMTVRDPV CC84DRAFT_1137970 MVYYFSSNTVSPAAFIYVGKDKVENEELIKYGWDEDVWFHVDNL SSAHIYVRLPDGQDWESIDQGLLVDCAQLTKANSIEGNKKDNITVIYTPWSNLKKDGS MAVGQVGFKDQRRVKRIHVEKRENPIVNRLNKTKIEKYPDLAMEKEARQKELRKKDRD AQQARKKEEARIMKERKEQKYQKEHAYDDLFSEENMASASNQDRSEDFLDDFF CC84DRAFT_1160959 MPADAAPPQDVKRKAAREVIDILHEIATLLNTKLDRQQLSYCVS LIENGANPEALANVIRELREEYGTDSVDGGAEEPGAR CC84DRAFT_1137978 MSTESVSVPAAAPTDGLPQLRTYTATTEDDRVDGLRLIADSVAQ QRQIASKMLIFHPLHLAGFALFIAILLQRFWKTNDDLYMLGPTIGGIVMACLVAVRWA TGRYLTYAEETNWDWLGDDRMIVVRWGEEIIGALVLGWADEAAKKKQGRRKRGKAIVR AWTVRLKYRGKGVGEGLLEEAVKVAGERGADGIVFERDHANSKRILPSFYNSFMDQQE AKAEKALEKVADEKGNFRQRRSSPTWGSR CC84DRAFT_456652 MAGNQEQPQSVRFSDVHQEIEPEKALEPITSISGSGEQLNEELS PEAEQELRNLSKTLQQSRCQARRMANFAYEPVSLPPSRAASPSPASRTPSGHSAHRGS TGPRPSPPVSAMHSPPLTPAGTSSQDGKPAVSADRRRDPAMMTPQVSPPQHEPPPTSM DSVHGGQRRPQSLVVPREPREPTIRPTSVVELPSVPGPRKSSASALGPTGDSLPASRD VSPSGSAGGPGSGQHSPGTSTPSSRPFTPQGDKDDPYSRSKRLPPAHDARFVFAPSGR RTPKESSPSSSTTALPRSKGSGSDRDENKRSSFFGAPKHHERQGSGAEDSDSRSVLGK QHHEGSMSNLKRFFKLGGHKHKDKDKEKKQKRPQSPAPSLREKAMKSKKTGMMTPPIT NGSVSVPFADDHGLENKYGKFGKVLGSGAGGSVRLMKRSSDGVTFAVKQFRARHSYES ERDYNKKVTAEFCIGSTLHHGNIIETMDLVNEKGNYYVVMEYAPFDLFAIVMTGKMSR EEVSCCTLQILNGVSYLHGMGLAHRDLKLDNVVVNEHGIMKIIDFGSAAVFRYPFEND IVLASGIVGSDPYLAPEVYDLSKYDPQPTDVWSLAIIFCCMTLRRFPWKAPRVSDNSY KLFISPANDGPKSITAPSQSSSDLNSGTNDEARRSGIQSEPASRAPSGQSSGHHHHHH RKDGGHSDPVTNENRPGSASGQGGQPQQPQIIKGPWRLLRLLPRESRHIIGRMLEVDP KKRATLEEILEDKWVKNSQVCAQEEGGRVLRAENHQHTLEPGSGSGSAPATQQKK CC84DRAFT_1160961 MFRWAQQQLANVAGTQEPEYGPEAIHPVGKNAGDPAYTELKKEH LKWKLVEQTCVETQTYYLQADSGHYCFMQVIYNNIAGLRITTQFNVKIWYPNNEKPVV WTSDPLENYGFDDDKFCFFADGISIELSEDGSYYNIKSARNDKSMVDIKFERTAPGFL GGADGTTNYGTDAKAPWGSMRHAFWPRAKVEGRIITNGEQIDFKGRGMFSMALQGMKP HHAAARWNFVNFQSPSYSAILMEFTTPASYASTLVRVSGIATDGELLLANTNAGDVKH TATKQDSENDWPEPTSASYVWEGKSDDGKEVSAQLEGSLGDRLDRIDVMAEVPGFVKA IVATAAGTKPYIYQYKSKLTIKVKVGDEVKEEEGTLFAEATFIS CC84DRAFT_1172414 MAKPRAGGEVRHVPRHLASGSGTPRFVPGSSSFNPRPGSVYSSR ATQLGLGLGKGKGAAGLGTKGLLKRNKRVQRDTIQGITKGDIRRLARRGGIKRISATV YHDVRDVLKDRLRTLLRSITTIVEGDPSNRKTVVVRDVIFTLQRLGNPIYGFDASFDG KYRKG CC84DRAFT_1082405 MAPGLVETATEVTQAATKNLKATLKPTGNIHNYVPGRTTVKQHD ETYKYEDLRPRFPDITWPALTPVEYHDKGLLGDANFKNLLSSATDVFDYNPKVGTEIH GVDLANLTDLQKNDLARLIATRGVVFFRDQKNFGIDEQRALGKYFGELHSHATTAMPR REGLEDVHVVFTGENSPDLRALFTPTFLWHSDVTYEEQPPSYTSLKVLSGPPRGGGGD TLWSSQYAAYDMLSPYMQKYLESLTAVHSADLQAQGTRELGRTVRREPVTTAHPLVRT NPVTGWKSLFFNPGFVTKIVGVPKTESDAIIALLNEIVATSPEVQVRFQWNEGDVAFW DNRVCNHSASYGFAPHRRHAVRVCCRAERPYLDPESKSQEDDLSEKHGIPKANKDGSG IVNYND CC84DRAFT_1213306 MSAAGACEKLWVVKERVANVVYPRRRAFSVSEAVEGSQAAVTVT GSQWPCAFVVTTRVAVEHQKVQTLGAANRAKDIHQFSEPRTTRLSPPPPHPSPLPRSR HTILLHHAFLQIIMRPIIIPPPKFQNVAIALEVASAAYFSYELSRIYRGTHPVLSRRL AENGIWPPPQLPAVKGGAKVEGDDKKEVDVRWMSINGVPVPASFDALNFWRRG CC84DRAFT_457040 MDDLANLEFLALVASVTNELENHVGIADKTLAEFIIDQHASAKG LDDFDSKLKEIGADFPNSLVKSIDRLILTLHPKYKNKGGANGKAATQDGGDEVDRKTR VFKGLAIPDKEVDFGVESGGEEDGKAQPDALDDTFAMLEGLAGPTPGGGRRDRSARKR SMSPLDDEDRDRSRNKRHRRSRSQSASRSPRRRRDDRHDEFVFQDEFGRDMTVKKPKD KTRSRRKYRDEDLDEFRRPPTPEIDDEPVLHKVYTGKVTGLKPFGAFVNLQGVRGKVD GLVHVSQIQEGARVNDPADLLERFQIVKVKVIKIEGSRLSLSMKEVDQRTGRDLAPAQ RIGTGANMSALGGGAAGFDPVPVVEGGFNGRMNGMRKRMTSPERWEIKQLIASGVIPK SDYPDIDEDYNAHINGEGGFEEEEDVDIELTNDEPKFLQGQTARALELSPIRVVKAPD GSLNRAAMQGDSLARERRDLRQQEAQEKAKKEAEKVDLSSQWNDPMAQQRQFASDLRN TRTNQAPEAVPEWKKIAQGSKNAVSFGKRTDMSIKDQRESLPVYKFRTQLLEAVSQNQ ILIVVGDTGSGKTTQMTQYLAEAGYANELMIGCTQPRRVAAMSVAKRVAEEVGCQLGN EVGYTIRFEDKTGPDTRIKYMTDGILQREILLDPTLGKYSCIMLDEAHERTIATDVLF GLLKKTLKRRPDMKIIVTSATLDADKFSSYFMEAPIFSIPGRTFPVEIMYSREPESDY LDAALVTVMQIHLTEPAGDILLFLTGKEEIDSSCEILEERMKALGPNVPELMILPIYS ALPGETASRIFEPAPAGSRKVVIATNIAETSLTIDGIYYVIDPGFVKQSSYDSKLGMD RLQITPISQAQARQRSGRAGRTGPGKCFRLYTEAAFQNEMLPTTIPEIQRQNLSNTIL MLKAMGINDLLHFDFMDPPPTNTMLSALEELYGLGALDDEGLLTRLGRQMADFPMDPT LSKALIRSVEMQCSDEVLTIVSMISATQNIFHRPREKQQQADQKKAKFNDPSGDHVTL LNVYNGWKASGFSTPWCFENFIQPRNMQRVKDVRQQLLTLMARHKHALTSCGRNTQKV RQALCSGFFRNSARKDPSEGYKTLVEGTPVFLHPSSSLFGKPAEHVIYQSLVETTKEY MHCVSVIEPKWLVEAAPTFFQVAPTDKLSQRKKAERIQPLHNKFAGEDDWRLSAQKKQ GRGGGGTWG CC84DRAFT_1202511 MASADRKDGDANAISSIADERYGSVSVLGKPNGTVKPKYFGTDS DRHEMEMLGKQQVLRRNFGLLTMLGFASTCIASWEGIFTYLNFVLIDGGTPLLFWGFF ATATCMTLVYASLAEMSSMSPTAGGQYHWVSEFSPRKYQKVLSYYSGWLTAVGWQVYL ASVCFLVGTTIQGLITLNNVNYGYERWHGTLLAIAIVVFTISFNTVFASKLPKVEAVA LVVHISGFVAIVITLWATAPRRSAADALLNFTNDGGWSSTGLSAMVGLLAPTAVLVGY DCSVHMSEEIKDASIVLPRAIMGSVILNVSMLFVVIITICFTLGDPEEVLASPTGYAF IQMFYNATNSLAGASVMTFIIIFMLSICAVSEAAACSRQIWSFARDQGLPGHRWLSKV SPHWNIPLPGIIVSLSISALLSLINIGSSVALGAITSLGAVATLISYYLTIGCLVHRR LFGAPLPDRRWSLGRWGLAVNIGALIILTPLIFFLTWPLSTPVTAETMNWSSVMLAGV FIISTTYYVVKGRKEFTGPVVNVTRD CC84DRAFT_1255861 MNGLGVGGSQSPDLVNAANALLYAFMTVTCFLGPWLTNLIGFKY TLAIGSLGYPLYAAGLYVNNRFGDTWFVYVGAVACGITAGFFWSVEGAIATGYPESNK RGRYIATWFTFRNFGNIIGGAISLGLNHKVNHKGKVGYQTYWAFIAIQCLGFFLGLLL SNPEKVVRDDGTRIQAPRGIHWRTEGKQMWKLLRSKPILLLTPLFWYFGWIQAYPGTY LATYFTVRSRALGSFMSAVVGTLATWLGGSLVDIPWHKSRQTRAVATFTLIAACNSAT WIWAVYIQNEYRHTRPVLDWGDQKSFGRGFGVYMFERLSLGMVENYIYWCIGNLSDSP GDQIRYSALLRGIETAGVAVGFGVQAVPTVLIATASINCGLWFFALPFSYYATRQVVD KFNRLDAEKKQPSPIVQTN CC84DRAFT_1202513 MTASIAPHDVQQLQLDAHTFAPLIFESFSLPDTNQRTLGQPHPK GTVTPLALQPSLLDGSQTTLEIAIQTVKDLQVKEGLFTKKLAQHGTLLFRGLPIQSAN DFSKFAHAFGYSPHEIIGIVVDRPLLAPNVAPANEAPKEVLIYNHNESPQVPHAPEYI FFYCHSAPKEGGETPISSSLELLQRAKEEIPEFVSDLAEKGILSKVTYKVEQQYVGGS TLKQAFGKDIVDGDDAATRKRKIEEQIRRYGRGEHTTWEWVDDDQTLILTHHLPALRT HPHTNLPTLFTGLAAYYKNFVDDASPNSRRRNITQQLYGDGTPIPEEYLKKLADITDE IRVLHKWQQGDVLVYDNVISQHGRQPWEGKQEDRVILASLWDGDAVPGQYEGSKGDWA QVVQASA CC84DRAFT_1202514 MKLSHFLACSLASLASASVVALENDTPVGAAISLPRSNSLLARF PFSNNKHRKDNWGNRVEKDRKEITIRASKNDHDDVSADFLWALKRANHGGLVHLKKGK KYVIGKKLDLTFLNDVYVKLDGELKFTNDIEYWQANNFYYAFQKSITFWVWGGKDIKI YGSGVINGNGQAWWDGFSGAEILDPNNKYYRPILFLTDNATNIEVSGLHLKDSPCWTT FFVRTKNVVFDDVYIDAVSTNASTLPKNTDGFDSLNVDGLTVTNTRVNVGDDCFSPKP NTTNIFVKNLWCNGTHGVSMGSIGQYPGVKDYISNAWIENVTLLNGQNGARLKAWAGP NVGYGFIDNITYKDIHIENTDAPVVLDQCYFNVNETTCAAYPSKVNITNVKFLNISGT SSGKNGKVVADLTCSPGATCNGIHLEDINLTSPAGSPSQIVCDNIQGDIGVDCIPASE ADD CC84DRAFT_1213312 MRNANILAAAAVLASTAHAQSQLYGQCGGIDWKGATTCVSGSYC SKINDYYSQCLPGAASSSVVATTAQTTAKSTSTTLSTATKTSAGATQATSAPAATNSA NPIAGKSFYANPYYSSEIVSLAAPSLSAAGSAALAAKATNVAKVGTFYWLDVRAKVST IATFAKDVQAKNAAGANLVLPLVVYDLPERDCAALASNGELSLANNGAALYQGYIDDI AAQINAFPDVQFVLVIEPDSLANLVTNLNVQKCANAATAYKTLTQYAIKTLNLKNVVM YLDAGHAGWLGWTANLTPAAQLFAQLYKDAGSPAAVRGLATNVANYNAWSISTCPSYT QGNAVCDEKKYVNALAPLLQAQGFPAHFITDTGRNGVQPTKQQAWGDWCNVIGTGFGI RPSTSTDDPLLDAYVWVKPGGECDGTSNTTAVRYDAHCGLDDALKPAPEAGSWFQAYF AQLLTNANPAF CC84DRAFT_1255865 MSVQKKARTHSAGLYVGADKHRWWKEAVVYQIYPASFLDTNGDG WGDVPGITQKLDYLKDLGVDVIWVSPIYKSPQADMGYDIADYEDIDPSYGTLADVDNL IKEVKKRDMKLVMDLVVNHTSDQHAWFLDSRSSKKSSKRDWYIWKPAKYDADGNRQPP NNWAMILGEANPAWTWDEGSEEYYLSLFTPEQPDLNWENPEVREAVHNVLRFWLDRGA SGFRMDVINLISKVQEFPDADVVVPGHKYQPGDKYFANGPRLHEWLKDINRQVLSKYD TITVGEMPFVRDEDEIIRVVGAESEELNMIFAFDLVDIDNVPGDFKFTLHPWDAHDLK RIISRLQRLMLERDGWNSLFVENHDQPRSVSRYTDDSDQWREIGAKLLCMMQTTLAGT IYIYQGEEIGMRNVPPSWGPEEYKDIESINFFKKNAEMYPDDADKRAYARKVMQRKAR DNARTPVQWDSTSHAGFTSAKSKPWMRVNDDYTDVNVAAQTSGAKAFTSVHAFWKRGL AYRKQNKDLYVYGDFELFNPDEDPKGAEIMAYRRWSKDRDVLVVLNFSGKEVEWNLVD GMKVKRWVAGNYGEEALEEKAKNASVSLKPWEGLIGELE CC84DRAFT_1213314 MPRSKSKSKNKLARDELEDRFEEEAPWITDRMPSVSSFKFFTDR TTQENVYVKVGEAKVDKTKVGDTKVEHELVPVYVGLGDVTGSRMITFNVRSLYPERII HESKELSRIKTIYNEPFDRLLPLQYEYPSASYANSLQWVTELRALTMFAFVWCGHRAE FFDFNKGEGFRVLVEVLKRRPKATGAAAKRDAGPRAQKSAEAEGPVASVEVDLVPGVI ADANTNTDLALHMDKDKEMDTESGTGAEDNAGMIIPMSATVNVPSSGQDASTLGNHAS KKRKLMNL CC84DRAFT_1255866 MDATNRTSLNTAGASFGLFTDWPLRNAINYGITILLLFTLGFFG RFLGTVKWQLERSWRLAQRNTNKDDNDYVDFREDHGESEPLSPDESIAGSEKRRHRRQ FWVAGEGRNMKQDGIRALLEFARAAIAYILMLAVMTYDVGFFFSIGGSVLAGEFLLGR YSR CC84DRAFT_1235898 MATPIPTSEVLMPLYIYPDPGAWDPLFEAIAFNPTLRFVVIVNP NSGPGSEPWWPNADYLRDVPKLNACSNVRTVGYVSTAYCNRPIQEVYEDIRQYASWAK DKNSRSLGMNGIFFDETPNIFTENARNYLTAITQNVKNMGTLLGDGIVIHNPGTSIDE RLAITGMDIATVAEVAYSDFHTRNFQNWLARSTLHRSNTSYMIHGFSEESVDDFVVHM LRGKARYIFVTERSTDMYHGFGASWKAFIAAMARNRTT CC84DRAFT_1235902 MVRVGTPEQTFRVLPASRHGETIIPIAEGCLKMRNAPSNCGKLR GAYAFQGKDSNGFIVNETKSWQEIGLYEMGIRPEIGFDANALYGLDTVGLQVANSGGP TLKNMVVAGVAEPTVFVGQFGLSPKPSNFSELDSPQPSFMQKLRDEKQIPSVSYGYTA GAYYKTPKVHGSLTLGGYDASRFIPNNITFPFDADDDRPTSLTIQSITAQNTLERTVT LLRDPVYAWIDSTVPHMWLPEDVCERFATSFGLTYDNLTDLYVLNDTMHKQMVDLDPS ITISLGESSDPMKRVNIVLPYGAFDVQASYPYYKEAMNYFPLRRGNNETQYTLGRAVL QEMYIVTDYSRGEFKVHQALFPGTNEKQQITPIYVPGEEPRPAPTSNGTANGTISTPP PSTSTKHALSGGAIGGIVVMLRLSLCPTMKRVRIR CC84DRAFT_1235906 MVALLRLIPALMLAASGIIAAPVTTRTEISEVSPSNKPTAHVKV AASSLWNKQVDSIEVRQDGDFDLSQLDPSMWNGGSVQVKNKSDSLWDSLFNWWNKRSL DTTLRQRSEGAGAGSIEGPLSERSIWARQDDAPLSEEQPEGNGLPGNAYNGSAEVKVK AFSIWNPWTWWG CC84DRAFT_1202518 MRQFISSILSASFLAALSVSEESCWPEWSGLASDFETLFIEDET CSDAARAAIRLAFHDCFPGSCDGSIINANECTERVENTQMVRICGTLGEKADEYGLGT ADVIQFAADAPAIGLASCSGGPVISFYSGRPDSFLPTPLGMMPNATTDARTMVDLFAQ HNFSKTELVALTGAHTIGRQLDGTDMDNTPGEWDHKFYSETSSNSAPQPVAADTFLAQ DGETGEEWRAVGKTQESFKDAFIPAMEKLSLMGSNKDHMTDCSGVVQSYAGRAKGRRR VLLKGKRLE CC84DRAFT_1111752 MAEDDDFGAPIIAELYKPPALLPIAQLKESLLYAIETFPVTIIV GETGSGKTTQIPQYLFKTGWTNDGSQIAVTQPRRIAATSVSARVAEELGCQLGQEVGY SIRFEDVTSERTKIKFLTDGLLLREMLVDPLLKRYSVVMVDEAHERSLSSDILLSLLK KVLRARPDLRVVVSSATLDAEKFLDFFAPDDGETINNKTKEEFGTIVSIEGRTHPVDI FYLSSSTNNYIEKAVETVMNIHTSETDGDILLFVTGREEIDDTIDMIADRIVDLPHGS KSLQPLPLYAGLPTDQQMYVFQKAGANVRKLIVATNIAEASVTIDGIIYVVDTGYVKL RAYDPALGIERLNVVPVSKASATQRAGRAGRTRPGKCYRLYTEAAFEALESATFPEIQ RSNLAPVVLQLMNLGIQNLVRFDYLSNPPSALVVRALDLLFSLGAVDVHGRLTKPLGT RMAELPLEPMLARTLLNAPTFGCLSEMLSISAMMTLQGTAFVTHDGNQKLLEKARRRF AVKEGDHITLYNVFQAFTNPAVGKQSSQWCRENSLNYKALLKAVSVRKQLRNYLDRMG IQENSLASSDAIRVGGMSMAERVQRCLTTGFFAHAARMKSDGSFVTVGGETVLWAHPS SIFFHRKADWVIYHEIQETKGKVYIRDLTTIEMDWLTEYAPEYYKVKQK CC84DRAFT_1193563 MTTFEPSLSASMRASSHDVPSMADQLPSINFGFEDLRSRMNQFT ARFDDFIEKGRRRVLEERNQFRVNVAEIQEDQRMKKRDIEILSLKQTQHSQNLAKESA ETSEMHAAIGTLTMQRDERMTHRDALRAQIAEVQKSISARREAQLKHRRYLDGQSRYN EPELEFWESYLGLRIEGLGKEDSLKFVYTNVCEREWDREAWFELDTSEREYKVGEVRP KVEREEIDRVLERLNETRDLAAFLKGMRELFVEALK CC84DRAFT_1255869 MTDDSPNDLNTGHFGIPAYDLENSRWAFVRASTGAGFRQLDPWT TVVPPAIRFPAPCASRTLRDARQATKSLSRDFPELVPASEHVSQLEPVSAAATASPKA HDATVGQLMSFGTITPLSNRAHLAKRVVALPAGEGGNILQLQLLSKEKRGWGSGAISD SRCYLDCLSWKGGRGFWNQDATAIQQVCFAQPERSGAFLAVRLPQRVVLFRPAYRRRP AAAVRSRLYDLPPSAIDCHPFHSVSTKETGNIPHADVTFNPHYQRQFAIVDQKTSWSV WDIDGTRGSYVVKRVASKIMPAEEEDGNKEQEEGLEPWREDGWARIVWVGDANTVLVC NRKRMELIDLKQPTRSLSIPQVIDWRPTKNSSPSWILDVRRHPLLDEKLFFVLTSTRL YLFAVTSDEPRGNFGDLDAVIVLSWTHFRGTEDITLRLHTQSISDKETVVFIHSRVNT LITVYRLVDQTSIGAPYRTFGPTMLRLDNFDNAQSSKLFIDLNLARVDFKETLGDSRG VGDDYMNREIQFYQLSAVFDDLSVAQTMLCSLGHDSGDVTLEDVKSTSWATAVKSVVV RSKDIVDSDEDDFVEPNGLIRSNEPHLKSEFQQLKRYENEAASSSRDVFDFTDLYEAI GSNNPFSLYQADSLSESIDVVGLVEEIIWRLDREENVEPFTLGTLQEYAEAIVTVQDI EDASTKLQDLLFPKQYASSLEPHMIASALVLGFGDQRAQASSLSSVYDLVLQNWLASL PGAIPARIRQSKERSARRLAGEILMASTRIRHSIHQKSPPAFGTGFNQHVAAERSSPP HSSSQVDLRLQSSQPSDPASLDNPRTSNITLSGPLARLSKYLHMNNVTPPDVPPSIKQ VLSHWQLQADPFTYDWEGTERAFAEELELEQEGVSKKRERARRKKERQVKRQRRENEL FTGRVETGRTESQPQLLRSSPGPAAPAASSQPAPSHSQMFVVQSQVEPGKHGGRSMKK KKVKSRMSGF CC84DRAFT_1235915 MFSALVICLFIACSAIAASTGHEVVCGPSSNCEILNCSGTISHH FKRGLGLNSSDYAARFATHEARGDLIKTYITLGKTKIGWGCDQPLGPIFKEGIKKVCG AHGCAEDTSYTKDVSWVDANLARSKPQKRKLELKAKGEYDSQADLDNYIKAFEATATP KTVGTENREWCDAEVSHWMNGGCKEIGTCPMRMFPSFVKIARFRGGI CC84DRAFT_1172431 MSSNEPADNPILKKFDVQGKNIVITGGCRGLGFTFAHTLAQCGA NIAAIDLNEQPSADFASLPFGGNYKYYRVNVTDYEALKKTIDKIYEEFGSIDGCIPAA GIIRDRPFLEHTEKDWRDTIDVNLTGVFFTLQHCAAKMVEQKTGGSIVCISSTAGHKS LAPQTIAAYTASKFAVRGLAKQVAHEMAKHNIRVNSISPGTMLTDMLKGIMEQDPSRK QLFVDSCAMKRLADPGELAGIMLYLMSEASSYTTGQDFLVDGGLV CC84DRAFT_1235923 MNTESIQVSNDGLEKSETHKGENIGSHATRVTTEGAVIDEVTGE EVEPVVTFKTWIVVMILSCGYGLSFWPIPVMANIGGLIATSFDQPTSYVWYIPAWTIS ITCCFMICGANTDLLGRRWFLVGGNLVSTVGHIVVATAKGPRAVIAGMAIAGFGGGNC QMAAFALPELLPNKWRHIGVVIADFTTVIAVIVGPISGLYGFHEGSWQWNFGVAAIAQ FLSFLGLLFMYFPPAHPYGIPPRQLLREIDYVGMFLFVAGAVPVLVGIVWTTVFPSDD AHVVAALVIGFAFIVAFALWEIFSKTKHPLTPTYVFTSSWGRDFTAPAIALAVVNMFY YSSSIIWPTIVISFYTNGGVNWRYAMALSLPQGTAITFGAILLSVFGSKIKRWHWQLT GSVFVMVLFGSLLALVTPTNKGLMVAMVFLSQTGYGWAIYLSIAVAQMGVEHKDLGLS GGIAGVSRFAAGSIAAAVYTTILSNETTKSIAKLVPAAAIAAGLPVSSIPDLMKVVGT PALAKNFSPEVVAAVGAAVAQATVHGLRLVAFASLAFGCVGIIACLCCKDVDKKMNNK VCTLHHQAGGYDG CC84DRAFT_1193564 MHDSRKPADEYDVVVVGGGFGGVYSLHHMRDLGFATHMYEAGTG LGGIWHWNCYPGARVDTETPVYQLYAPELYKEWQWKERYSGRDEMVKYFNHIADTWKL WKDITFQARVTRAYWDQDKSRWDLRIEHRLDAENTGTIVSTTHCKYVIFCTGFASKHY VPPFAGMDSFKGVMCHTALWSEDIELEGKRVAVIGTGASGVQVVSEVSPIAKQLTLFQ RTPNMALPMGQCIRDEEWNKLSKQQYAEQVEKMKTTYCGFLYDFDPRKTFDVTEEERH ALYEELFNKGGVYFWLGTFTDTLKSKKANDLAYQFWREKTIARLRKPEMIAKLAPEVA PHAFGTKRISLEQNYFEQFNRDSVSLVDLNVDPIETFTENGIKTRSGNEQFFDVIVLA TGFDSVTGGLTQIDIRGTNTCIKDKWEKGVWTHLGMMSAGFPNMFFVYGPQAPTAFAT GPQCAETQGRWIGETLSFMRENGIRSLEPTVEAETVWKEHVNEGAEEGLFKDTKSWYF GDNIPGKARESLNYMGGMPLYRKKCAEAQENGYRNQFVLACEKESRSDDSRRDSMHEK DISR CC84DRAFT_1255871 MVSLHLVAMALLSSSAAREITFPPVSGFANQQVLGHGGEIDVTA GPAFAGLTTYANLPYVHCLAREGQQVDKFDIAILGAPFDTGVTARPGARFGPGGIRQG SRRIDPDAAWDIYTGKNVFYDFGSKIVDCGDAPLTFLDNTIALKQLDTSHRIISGRAS NSSEYPIPRIVTLGGDHTTTLSALRSVASRWGTVSVIHFDSHIDTWDPRVLGGGVSHY AGVNHGTFLHIAHEEGLIRNTSIHAGIRAPVVRPRGDLRNDLRCGFEMVKARDIDRIG VSGVIEKLKKRVAGSKVYVSVDIDVLDPAFAPATGTAEVGGWTTRELLSILDGLAGLD IVGADVVEVAPIYDNPGETTVLAAAEVVQSLLRIMVAQPVKN CC84DRAFT_1213328 MSDSSAPQQKERFAPKQPVNLAPPKDDVITRDYLAKCDGTNEGF PTLVAIKGDVFDVSGKDTYAPGKNYHVFTGKEPNRALGLSSLEPKDCISDYSDLAEDK LQVLNDWHTFFSKRYNIVGRLQPENSASL CC84DRAFT_1160973 MAPRRKRQRISEDGASATTAVADAEEPSHATASPSKATQSKRQL FIRSIAHSVTTEDLTEFFSENYPIKYALVVLDKETKASKGYGFVTFADAEDAQRAKEE FDGAELQGKKIRVELAEARHRDADTAAPAVSAGARAKAEREQAIKENQAPKLIVRNLP WSVKTPEQLQKLFLSYGKVHFTKLPKKPNGELRGFGFVALRGKKNAEKAMEDLNGKEV DGRQIAVDWAVDKEAWQDLQKQLDDEEVRQKDGAKGDGEDDASSAEDSEEDKEDEDDD DNEAASDDMDEDDSNTDYEDVSDGDEEGGIALEDPKPRAPDYTVFIRNLPFTADDDSL FEHFKQFGPIAYARVVMDHETDRPKGTGFVRFYKEEDMINCLKGVPSTKLQRVTTDRR DGTQITIAHSVLENEDADPSGLYTMDGRVLQLTRAVEKNEAVRLTAEGQASRFNRDKD KRRLYLLSEGTLDAKSPLYQKLSPSEVKMRQDSADQRKRQIEKNPALHLSLTRLAVRN IPRTVTSKDLKALARQAVVGFAADVKHGKRQRLSVEELARGGDAMLWAEKARKRMGKG IVKQAKVVFETPAGSKITEESGAGRSRGYGFIEYYTHRSALMGLRWLNGHAVDYKTQE TQPKSKKAVKEDQQDKRKRLIVEFAIENANVVARRSDRETKANQPADKDGEEEADSGR DRKGGKKRKRESGAGGAASGKKGTGKDQGSGVAAAEDDAAKSKDETKQKLRAQIIQKK RMARRTRKQGKA CC84DRAFT_1160975 MAADTADTLQKVDSLVDEGKDKAPAKRRQSSLRADVFNMADLEK EGKKIEIAPETQKLNWKINKSPTTVEDSEVLKKPLTTPLVRKIDLHFPLGLEVTARNL KGVTIKDALDAIYKQFRKKADDEIGDAPYLAGFEWDPEECYTRFIVHQKKEGAPPPSK KKKKGGDE CC84DRAFT_1138029 MSDEVVQKLLKESKAEYRRLGKSGLKVSVPILGAMSFGSKKWQP WVIEEEETYPLLKAAYDKGVTTWDTANVYSNGVSEEIIGKAIKKYNLPREKLVILTKC YGYVGEQPELRAIQYPNELPQIKDYTNQGGLSRAAIFNAVNKSLQRLDTDYIDLLQIH RFDPNTPIEETMEALHDLIRSGKVRYIGASSMWAVQFAQMQFVAEKNGWTKFISMQNH YNLLYREEEREMNRFCNDTGVGLIPWAPLCRGHLARPASAYGETVRSEGEKKAGTSTT GPQVEADRKTIERVEELAKKHGWSMSSVALAWINKRVSSPIIGFSSEKRIDEALEARG KTLTDEEEKYLEELYTPRAIVGHS CC84DRAFT_1160978 MKYIHSEELLEIPEGVKVAIKTRNVVVEGPRGKLTKSLGHLAVS FTHPKKNLIKIELHHGSRKSVATLRTVRTLINNLIIGVTKGFKYKMRYVYAHFPINVN VEKNKETDCFEVEIRNFIGEKIVRRVNMRPGVHVEASKNVKDELQLSGNSIEDVSQSA ADIQQICRVRNKDIRKFLDGLYVSERGNIVEDA CC84DRAFT_1160979 MTQKDKCDVCRHRKVKCDEQRPICGQCKKKNRPCAYEYSHTSRF AKVRTNESGTDPTYLTIHDTRDGRIISMKAGPEPSEGMAKALMIRSIKEAGSGDGVFY TFRTVLKARHRNPSSRGLSYMRKVGVPRPLVSREVYLCAEFSDMLGEKNFDWTSTFLW GGWISSVPGRLGVNPALDDAADCFVSANVAYYSKKGIGDTSASKKYNAAIVSLQKVLD ADDHMRFATETLAAVNLLLLFEEVLDFGQLRWQSHYRGLIQLLLARGPQVKADDMSRS LFYAALNHDFSESIWGGSQSTFDSSEWLNLPPPENPEKECEDFTCISREISRQMIQVP RLTILVRRVCENPFDVDAGIEALALAEHLYCSYSDIITPRIVAALSKRATWVETLDKD LARYCPQSLEFQWYNIFEGLVRCYCVRILVCSLCTTLRSTFPFSRILQTADLEEEEAH CARLIAASAQYAEKQDTPPVGPLIMRMPLQVSYGTWWRRREHDALKDVQVEKRAEAIV MEEWCVRKLNEFIKVLNGDAISKSKLQRMYMAAAGGGPCVCRKT CC84DRAFT_1172440 MVPLGVIRHAIHDRLDVYASARSSAATDAPELNLGGGRTWNLSF VFKQGHSRYTGFPGTALALVIEETLDENGMLLLSIQWFDTKDFAGGATIGFVGFTCTE PPVPGIHRINFGHGWINPAPVHEIGHVPGDNLVADRCHNVEDFNEKFEKLWSEKSDAT WSDLCDNIAYALRLVMYAGIRLEIHGRAKGELINGKLQITVKTTTLKAPGTTAVMCFW QMMWTVSHG CC84DRAFT_1111773 MSRVPSGLWQNLIALQVYGANTNVGKTVFSTLLGAHFVRRAGKR KWSLHYIKPVSTGPMEDADDSYVKRHVDGASVTTLFQFKEPVSPHIAVRGAEFVPTDD HLVKRVYAKIREDAAKFSWEKHMGLTIVETAGGVLSPGPSGVPQADIFRPMRLPVVLV GDHRLGGIASTISAAESLTLRGYDISAVICFDDKGKYENSEYLLKYFGNMDIPAFTLP WIPELGGYTQEQERAVMRKYYEQQSLADGMNKIADSIVQRHEDRLTTMNKLPSRTRKS IWHPFTQHKTVTNTDDILVWDSAYGDYFQAKHTPTSLKGRSLGHTAEKPLLYPAFDGS ASWWTQGLGHGNPRLALAAAYAAGRYGHVMFAGATHEPAVKLAETLLKGMENPRLAKC FYTDDGSTAAEVGIKMAIRAACKRYDWDGSKDNIEILGLKGSYHGDTIGAMDASEPNV YNEKVDWYRGRGYWFDFPTVKMRSGEWMVEPPKGMARELGGPEFFSHLDDVFNFEARG EGQGRRWWVYEKYIRDQLDILVKRQGRKFGALVMEPILLGAGGMLFVDPLFQRKLVEV VRSYQFTEHEVTPPSDPLHWTGVPVLFDEVFTGLNRLGHFTPSTLLEVHPDISIHAKL LTGGLLPLSVTLASKAIFNAFLSPSKSDALLHGHSYTAHPIGCHVANTSLKEMEVLKN GYAWKSFRRKWDWESGHRGGQVAPRWWTIKGGVNANEAGGVKTWSMWGKPLVVWLSYH DAVDHVIAIGSVLAVALKDEGGSGYTSNAAAGLRDALLNDRSHTAVSIHSRVLGNVIY LMASLTTAPKDLEAVGDALKQKLDRVSGITRSPKTNPKDSNDVTWMGEER CC84DRAFT_1111777 MAPSALERKFQSLLEKRHRNSTLRSLTTARNHVDFSSNDFLSLT TNPALKTALLEEIQASERPLGSGGSRLLDGNSTYAEDLEREICEFHNAEAGLLFNSGF DANAGFFACVPQKGDVVVYDELIHASVHDGMRLSRAEKTVPFRHNCVEDLRRVLSAFK SESPLIRDLEAENRHVFVAVEAVYSMDGDVAPLQAIVDTVDEVLGPEAGYVVIDEAHA TGVLGKEGRGLVCELGLERRVFARLHTFGKALGCNGAIILGSNLLRHYLINYARPLIY TTFMSYTSLAAIRASYAFLSQGKTVPLASNLQSLIYTLFTRLKTVDSAAFTFLLSIPQ VRPESPIFSIQLAEPKRLAKYLQDRGMMVRAVVPPTVPEGTSRVRVCLHAGNTEAEIN GLVAVIEEWCNKALDEQDAQNVKVRKQDNNVMARL CC84DRAFT_1138041 MAHRALLRPVRLAPSSGFGRTCRIARPFSTVIDAPISAAAQQVP PAAPSNAGSVFSDAVNATGPRTNWTKEQIAEVYNTSLIELTHGASTVHRRFHDPAAIQ MCTLMNIKTGGCSEDCSYCAQSSRYNTGLKASKMVDVESVLEAARVAKANGSSRFCMG AAWRDMRGRKTNLKNVTAMVKGVRALGMEACVTLGMIDAEQAKELKNAGLTAYNHNVD TSREHYPSVITTRSYDERLATINNVQNAGIHVCTGGILGLGEKPQDHVGLIYTVATLP AHPESFPVNALVPIKGTPLGDRISKGETKTIAFDAILRTIATARLVLPTTIIRLAAGR HTMREEKQMMCFMAGANAVFTGEKMLTTACNGWEEDKAMFERWGLRPMEVEETMGGKE ELAEKAAVQPAGAEAQSSSTQPTTA CC84DRAFT_458183 MLHEILLSLSGHPSALFDPQQGHSHVTSKPIALLSPPEAELLSS LGHLSRLHRQIRDHVAWIASSHPSTVCRAVATAISSHHLERFQQKILDVEASILKQDA STVGAYNIVPLAGIVGEFSQWVRLLEWLWAISNFIAAPETSKRSEQKDTKPASGAEII DRLRAAAQTGYPDIEEAALYLSAIAETSWLRQLSTWLIYGRLPAFGASDFFISDESDE DLSFTVNHKLLPKFVTRHTASSILFVGKSLNQIRSLPANSKSMTGRSFGASEMELVPA HVAQLSEVKAPILSAKLSEAVANIRLSLSRNLLQHLLPQEKIVEILTVLHQFFLLGRG EFAMTLIAESDEKTQSRHKAPMNPKTSRGIQGILLKEGEITQTLARSFTVLSTLGGED DHTDDILDVASEIVHLTVSDSSSHRPGTPGRARDPSNILPRISNVAFNDLLLSVPTSL TMNVKSPLDLFMSKSDMEVYSAINAYLLAFRRSHLHLSQLWRISSIRREYPPPPGYQY SNSPEGKAILKRRRERNNARTRELRKVWATCAAAIFFLSEGEAYLQGEVVRESFKHFL RWVENPGMESRLEPQLSSGSRGSQTPDPPEHGPEQHDPEALSLAHRRFLSSITYSLLL TDHAFTSALRTCFTHVDELVAYVTRLQSIQQNLDLEEDEGFEDYKHNYHQEEKDVKLE LDRSRRRLDSDLKALVERLREIDSERIGTSATGMIGKEATASDKEYEPLKIGGVDRLL MKLDWSGEEEEDEIEDLV CC84DRAFT_1202532 MRYFIIIPSLLLFARNVRAGCYFPDGTLDKNVEYQPCSEDSSNP LSTICCATNRKDGADICAPNGLCQVGTKKGSPPADAAWTKPSCTNQDWSEDGCLHVCG TDKYPFLTPCNRAAGNESQRWCCGMTQDCCNDDSGRLSIEYLPITFDPKNFTDTAPSS SSSASSKPTSSATKASPSSTDQSTSTSAPHSTTPAASSTHSPNSTPTPAPPPSAGLST GAKAGLGIGITLAALAFLGLGILWGRRRSTRKEPAYKLDPAFEGDAAPLEKYAHWDHS MGGTSVGGGSHQGDGAPPATPRRADDEMQIQVHEMPVWESRGELDGKEVGNKGLGKDT YA CC84DRAFT_1138047 MGKWNYGVVLDAGSSGTRVHIYRWLKSDKARKNDADLHKLPEVS TDKKWTKKIHPGISTFGTHPHDVGPDHLDKLFMHALKYVPKDEVANTPLFLLATAGMR ILPDLQRKSVLDEVCAYAKKSTQFQLPDCGLHVQVIPGETEGLYGWIAANYLLGGFDD PAGHDHGKNHHTYGFLDMGGASAQIAFAPNATEAERHANDLTLLRLRTLDGAALEYKV FVTTWLGFGVNQARQRYVMQLLESSTDAEEYPDPCLPADAAIMVSDDGKVIESGSSSK GYKLVGTGVFSECLTKSFPLLEKEKQCLDAPCLINGQHTPAIDFDVNHFVGVSEYYHT THEIFKMAAKDDEEYDFKNYQKAVDNFCSKSWKDIEKGIKNKTWGKVDEQTAQEVCFK SSWLINVLHDGIGVPRVGLEDLNKNVTKVKVGGKHGSKKGFINPFQAVNKINDVEVSW TLGKMVLYAASEVPPNATDALAVGFGANVPGIPSDFQVPGGSYVPVSQAEAEWHGIFS EDAHRIPGFMIFTLIVIMICYFTCCNRERKQSFRQKLGELLSGGRALNRHSGPGSSVQ RRPRRSFFPGKLFSRGNNTQSYERVMEDGEGANDFELGSFISDDEEHSDSSEGSARFG RTSGWATPQFKPDTGTPTFDSPSRADIVAQGSGLGLAPPGVFANAIDRGGLMSRTDSR ERMARSRATSPKRAKFGTTDA CC84DRAFT_1082345 MKPPLRIAILEADTPPDDIVARYGKYGKVFRTLLETAADELGEP GFSKDSLELSAYDVVTEQKYPELDSIDAVLISGSKHNSFDNDPWILKLVEFTEKLLKQ DRVRIIGVCFGHQIIGRAAGVKVGRSEGGWEVSVLPVELTEKGKEIFKQDTLSIHQMH RDVVFEYPEGVEPLGGSPRCLVQGMYRKGRFISVQGHPEFTEAIVSYLVTMRNKQGIF NTEEAKDALDRVGHHHDGVPIAKAFLRFLLED CC84DRAFT_1184139 MQGHRNASERTPLLRPQSSDRPSESAIYEDDIQDAEVAQGALTA PQFPALGKQRSYSSSHWLAPDQEVSPEQPDEPGAFGEDGLLAGLSRTKFRCIIGGVFA GYFIAMFDSTLMASSHPVITSYFNASNSASWLSTAFLLTSTSLQPLFGRLSDTFGRRL LYLSGVAFLAITTGWCALAQSIGSFIAARAFCGIGAAAVLSMGNIIINDLVSIQVRST YQAYINLFYGSGSTLGAAFGGFLCDKLGWRLTFAIQIPFFVAIFINGYLTTPSTLGPN LAQRSGQGFRDAIRGFDIAGSVLLTGSVAFLIAGLNLGGNVFPWDHPFVIISLVVAVV SGAVLLRVEHKAAKPVMPLYLLFSRPRGSLVFNNFLANIGINAIIFNAPLYFQAVKLE TASLSGFRLAGPSLALMICGVSSGFIMTATGRMTWLIVVGSLSMLLATMVGVLATSTQ EDQAVMTSTLILWRQLGTVMGVALSSLVLQNALTAYLNALVTGKHKEDIILTVRKSVR SILDLDPKHQHQVIDAYGRSLRVTFISAIAVFVVVNILVLTIRLPNLKPKKGGDASSD AEGEES CC84DRAFT_1202535 MTADRQCSYVHMLEGDEGHDNDTDAGRARGKQWRSAGPWTAQIS TARDKTRARSSLQHSSPAQAPAFAGCEVSRCALPQRPNRKQASGAGTPSWVSLAAAAA RLRYTSRPDTGPLASNRSVSLALCRCAFPTLPLLLHLLRCGARRGRPCGRGSARDDGT AAQRHLGCPSLLGGVEMRPSVCRSTQALCARGGSARIAAKSLGRPELGQMRN CC84DRAFT_1213342 MADKDVEARNTPSPGPRRQRAPTITIDTSAVNPNDAAMGDSVPL GDLQDNRSSSSQHHETGGDISPSTSKHPTTELRATNSFESRKSGESRPTSPHNISSPA GWGTSSQAFLSVPGRSRGNSFDTENGESTSSGTYIASSQGETVHGGNNTNGVIDDKDA LRPDPGTEADFEVENNPFAYSPGQLGKLYNPKSLAAFYALGGLEGLEKGLQSDRKSGL SVDEVHVQGTISFEEATTQGSTLAPKATPSNVPQRTNTAGEPGKDAFADRKRVYSDNR LPERKPKSILQLAWIAYNDKVLILLTIAAAISLSLGLYQTFGVKHEPGEAKVEWVEGV AIIVAIVIVVVVGAANDWQKERQFVKLNKKKEDRYVKAIRSGKLREISVYDILVGDVV NLEPGDMVPVDGILIQGSGLKCDESSATGESDLLRKTPADDAFRAIENHEPTKKIDPF ILSGAKVSEGVGTFMVTATGIHSSYGKTMMSLREESEVTPLQSKLNTLATYIAKLGGA SALLLFVVLFIKFLVHLRTSNDNAAQKGQNFLNILIVAITVVVVAVPEGLPLAVTLAL AFATTRMLKENNLVRVLRSCETMGNATTICSDKTGTLTQNKMTVVSGTLGTALRFGDR KMQASTSTKPIDDGTKGKHSLETPIENLDDVTPSEFVSNLSKEVKDLLLQSIVQNTTA FEGAEGGADPFIGSKTETALLGFARDHLGMGSLSTERSNANIVQVVPFDSAIKCSGAV AKLADGRYRLYVKGASEILLAKCDTIISEATKEVSERPLSDDNSETLEQIINTYASRS LRTIGLVYRDFESWPPRDARKHDDDASRAVFEDVFKKMTFLAIVGIQDPLRDGVREAV KDCQGAGVYVRMVTGDNVLTAKAIAEDCGILVPGGLVMEGPTFRKLSKRDMDAAIPKL CVLARSSPDDKRKLVKRLKELGETVAVTGDGTNDAPALKTADVGFSMGIAGTEVAKEA SAIILMDDNFASIVKALLWGRAVNDAVKKFLQFQITVNITAVLLTFISAVSSDDETSV LTAVQLLWVNLIMDTFAALALATDPPTRSLLNRKPDPKSAPLITLNMWKMIIGQAIYQ LVVTLILYFAGEKILSYDTPEEKERMPTLVFNTFVWMQIFNSLNNRRLDNRFNVFEGI THNWFFIGILAIMIGGQTMIVFVGGRAFSVVRINSAQWAYSIVLGALSLVVGVIVRLI PDELIARCIPSFFKRKRTPEVVVSDEFQWNQGLLEIREELAFIKKIRGGRLSNLKFKI EHPREIFTRSRSSQSLPGTPNNGSHMENEGSPAPPTPDSRSRRRGRSRSNSAFGPAAV MAGIVAGSVAGWSPIDRPAGDNDSLKFSRERSKSDLEAQEGVEVHPDTKSTDPILAPD PSEYRGPPSQNKETTPNFNVGPFAGDPKLKEDGPKSSD CC84DRAFT_1160990 MCGTDCFLMFLSFLFPPIGGECQAPAAPSCATLFSSLLTVQTVW VKKGICSADSIINLALCCLGVLPGMIHAWYVILNNPEHTYEHVPDHERQDGSRIATYY YVSHGGPAPQGGQMNYGTVGSQPNAGQFPGQQTGTTNAFPQPNKQKNAQTQQGVAGQE VGAGEGSSEQAPPPTYAEVIKGDHKVQKP CC84DRAFT_458574 MSSGFTATGLNYPLLAIPAYYVFSVVPHAYAMSILKSAGYKVNN SNPKASLSPANVQGKVPDAVFSAYQRAENAQNNNLEQLPLFAAAVLASILAERLSPSI KIGGTGSLDPTGLATFIGAWFAVRAAYNVAYIQIADHSKSFIRSVLWMTGSGLAIYQF YKAAQVLG CC84DRAFT_1213345 MSDINDEQREALTAHNDARAQKNVQPLTWDGNLANDAQGYAQVL ADTQDFKHSGVQGQGENLFMSSGDASLADAVRAWLGEEANYHGEKIGEGDFAAWGHYT QCMWHNTTNVAVAKARSGDGSTWIVGRYSPPGNWGGETPY CC84DRAFT_1160993 MAYVAPIHRPSSIRHALKLSFLAHGEDTLVVAKANRLEFYTHSA EGLSLQLSKAIYGKVTMLQKLRPALSPTDHLFVGTDRFMYFTLSWNPDRKQVQTEKTF ESVADNAARESQTGERCHVDPTGRFMTVEVYEGIITVIPLVQRGKKRKQEPDIAHLGE PQACRLSEMFVRSSAFLRPRSADDKAKLALLYEDTHSTVKLKVRELRFEGGDTVDLED AEPYRGEIEVGASHLIPVEAPTHGLIIIGETSIGYYDEETGELDRQPLDEATIFVAWT QIDTQRFLFADDYGRLYLFMLELDEKTKVQSWKIDVIGQTSRASTLVYLDAGHVFVGS HQGDSQVIRITEKSMEVIQTFSNIAPILDFTVMDMGNRSGTGQTNEYSSGQARIVTGS GAYQDGSLRSVRSGVGLEDLGVLGEMEHISNLFSIKSSGAADFVDTLLVTFVNESRVF KFDAQGEVEEVEEFAGLSLDETTLVASNVSQGRVIQVTAGRVRITDLDGGMIVSEWLP PSSQTITAASANDSHVLVSLGGVSVAVLDVNNGLNVIKEKTFGIESQVACVALTPNTG SVCFLGFWKNSQLSVCSLTSLEPEVTVEVSEESVPRSILLAQIFPEQPPTLFAALADG SVVTYSFDVSAKRLSGRNSIILGTREATFRALPRGNGLYNVFATCEHPSLIYSSEGRL VYSAVTAEKATTVCPFDSEAYPNSVAIANSDDLRIALVDTERTTHVQTLKVDETVRRI AYSPSLKAFGLGTIKRLLKGGEEILLSHFKLVDEIQFKELDTWPLKEEELVESVIRCE LPDGSGDLAERFVIGTAYLDDQGAVAERGRILILEVTADRQLKLITELAVKGGCRCLA VCHGKIVAALIKTIVIYDVEYRTESSPELVKLASFRCSTAPIDVTVNGSLIAIADLMK SLVVVQYTRGEAGLSDTLTEVARHFQTTWATAVAEVEENTYVESDAEGNLAILYRDPN GVTDDDKRRLNVSSEMQLGEMVNRIRPIDVATAPDAVVVPKAFMATVEGSIYLLGLIA PTHQNLLMTLQSNLAELVNALGDVPFAKYRAFKNQVRESEEPFRFVDGEFVERFLDVD EAVQKKAVEGLGVGVEEVKTMVEALRRLH CC84DRAFT_1213347 MDDADPVIAEYDVFITPEMEQQLYVLQYLNRRPNQPFVKSEGAV PSEVRVKEQSGFIEVDVALPMKTNFNRQAGVRWGEAMRKTKQFGQKAYGIASGFERAM PRAARPGAAAEGGVQPALDDNANEDEYVANFEDANEKGHVLNTQTYGGQLVKEDGKGP TYMLGTFKKNQLHLTRLNGLVQLRTQFHHLDAVSQLEAAQRRREKESQDGAKPTEPKA FMPTVKKAGGDTAAEITQAFMKSANAEKWNKLIYHYEDADASYAAFEERLFLADTKDA PKLHSSMSDDQYLEAISAPSGGKGAKKKSHKRHDIVDISDESDDDVETKRAKAEAPAD TEVPEHAAP CC84DRAFT_1184145 MRTVSAIASPLRRNAPVAARQASRRCFSSTRNVQANWGFIGLGR MGFAMAKNLRAKIPAEDTLFVQDVNTAASKKFLEENPTGVRIADNVREVAEKAETIIT CLPEPQHVSSVYKQMLEPATLLTEGTTNQPRLFIDTSTIDPTTSGQVADAAHSSGQGV FIDAPMSGGVVGAQAGTLTFMIGAAPDAVERATAVLSLIGRRVLHLGEQGAGLKGKLA NNYLLALNNVATAEAMNMGIQWGLDPKALAGMINISTGKCWPSEVNNPVPGVIEGSPA SRGYEGGFGVALANKDLKLALKAAKDANIKLALGDTAKALYDEVEKVENCKGKDFSVV YRYLGGKE CC84DRAFT_1081814 MEDATHESPRSPTTGAHPIGNSKYLEKNVAEWADILNTQLETAS PLFLAAGPLVDDIVMKLPRRKAADPAAAAMAQSIARLQYPHYDTPVGSLIRYETDLMK LRRLVVEQRKLHISEATKQKELVDWPKRVMKQGAWDPVADSWSLVGAPAIPMPVEIAE KETLIPFFEHLASNGTDANLSTTSACANATEIEEPYYNVKCLEFERGVLYSDRRLDLC KMVVGPTHIADLMASLKTNQFVEHFLLGNNIIGPRGARCISNFMKDSPNQISTWYLAG NCIDAISFGMLVNQWVRSKRVTNIWLKRNPLMPESANDIFRLITETKYLRTLDLDQTQ LGDAGVATLFERLAEHTPGGHTFDSLPLKHLYLNASGIGIKGATAIAKWLAREHCQLD ALYVSNNPLGNDGVIALAQGLKKNKTLSRLTLQSVGMSDDGVIALCAALSKNPTIKTL DFGQSFATPDLGSRYNWITDRSADAICDLGKMCPNLAYLDLHYCALTHNGLNHILEVV EDSPTLEYFFAKTIHPQERTAAAVDAGKNHARLKKLAHETLERNVQRIYGVTYATFMA EHKRWLVNDKDAVRKIDSVYRNRDAGLARRGLKRLDKWWAEGDETLQAVLKEYHDTAP EEVD CC84DRAFT_1172457 MVLYSTHLNRSCHRNIIQRSPPARQPIESRIANGDSLGIELAQE IGPDLLTVGLGGRFVRQRDIDARLKPRVGRPQAICGDDEGTGVLTQETEEDGHKLVAL EVVDVACRPENICLVNQANGFPFRTQPERRAEACLDSLCGDA CC84DRAFT_1184147 MTDASSSNGSGEHSPTAKPTTMEHIEQIHTNERVPGHTNYYEKD GLRTYGDDENHDVEPPMTFSRIMSLIAMAFLWTGSQIPVYLFGGVPPYIYADIGGVDR WIWFVLANLLSLAAVCPFVGSISDIVGRRYVAMIGACFLILGMIICSTAHTMNIFISG MVFSGVGAGINELTALAVTSELAPTSQRGKYVAILVFTIIPFCPSVLWAQLIASHTSW RWIGCWCGVWAFIGLVMTAVFYHPPPRPNSQGLSRRQILSEIDYLGGFLSISGMLLFM MGMQWGGYQYPWSSAHVLAPLILGAILIVAFVVWQGYAKHPMFPKRLRQEPRILGLTL VITFISGANFFSILMFWPTQAFNVYGHDPVGVGIRGIPVGFSILAGACIVLWLLSLLR GHNKELLIVSSILMTAGCGSLAIARVDNLHQLWGLLVLAGLGIGGIVVPASIIYDLIA TVAALTLAIRVIGGSVGYCVYYNVFISKFVPAATHFIGGAMVTKLNITDTATIGEAIV ITGSSLLPALKELPGIKDVPGAYELVVYAGQLAYAEAYKYVYYVSIAFGAVSIVAACF LGDISKYMDDHVAVVMH CC84DRAFT_1193583 MANGSGSGGTSLAPTPTHPHHLSREPSREDVEMAEQLSQLNQVQ DNRTSRITSPSQARQAPTPPPPHAPEIYHSLEDARPMRESEQPEQPSLPTTPASLPQP SLGAGNALISGQVCSNCGTTKTPLWRRSPAGETICNACGLYQKARNQSRPTNLKRNTT GQPILPIHQSPATGQGHDRSASPGGAGVSPRPATYVASDHQAAGTCPGGGRCNGMGGQ QGCGGCPAFNNRVSKTAQFALAQTSGPSTTTGNDRGAPVATNAIPACQNCGTTVTPLW RRDEAGHTICNACGLYYKLHGAHRPVEMKKQEIKRRKRIVPADGNSTQAPSSVTSFSP QPRSMHTPAFEHSVSPDPSTAIESSELPSGIRAPVPVDFTTYQGSGAQLGPPSPRKRS LSATLDADEKPATPAPHRPNPISSILNPAAAHDTNIDPLLSSLSQRSADSPSAPQEDR SARKERLMREIEVMRQEQAKRERELLELGDD CC84DRAFT_1160999 MDFSDIFRMVNFATAVFMVLGGVTKFIHPGGFANIILGVYLVIF GAATGLLEVQIPPQVTRYASFMFSFIGRGVFYVFVGSVAVGDGWWRIIPGTIVGVVGL AYIVLEFIPSIEPPANMRDADAGWGAEQV CC84DRAFT_1213353 MLYLLYPHDAIETNKPAYAVDRLDAVDDIMLQDLRKWGWRVEET TNNDPRWPVARREYRKRMEGEGEGEENKPSLWDYWRKENARRLAQDNDKGRQRKRLTK SPRPGTASSHRSSFTGLVNGKDSEKLAEPQGNPQVGEIHKKEMHLMSGANQERRTIAE IRQQTAQKKEVSDDWNKPDPAKSLGKLDPKAPMIYHYGAGNEASAVANGELELELLHE IQAWVGLGGILKTTQVSVISDLGICVDDKGERVYFPPKWNLPLFPWEEEVSRSSSTKG KDPSAKGSLEYVTADFAAVFEVADSCQEKDEPDQETHSVD CC84DRAFT_1235980 MSNLSLRLPGLATIVTLTLVSMCTHVLFYKCKSDTAEHFIAVLK DRHADKNGRLLKEKHLVERRAIELGSDLNMTESTLRILCVFPSFQKGTRGWMSIAAAR SSA CC84DRAFT_1193586 MVGSLLGYLGFRGSRTAVTPKTKPLPAKVQPVRALPANCKTGDW LHYDIANYDVVIHKNIAGEIKAHRGAQTLDTLDASSSNSMHVRIDRNGFIWINMDPHD KPEVAWEDRFDHIDEQTRFDQFNFDDYEFDHTWNLVGPFNWKILADNFNECYHCATTH PDLTTGLIDLESYDVYCDPKGDHIQHKPNTPEDRKALGQEICSTYCFPNVSFTITKHF LFIQKFMPKSPRESMMYYEVWRNRNSAEEDFLLISDTYKRVMSEDKVLCERSQKNIEA GIFVNGELHPHKEKGPIFFQKRCREVVVEHASRERREGRKIGL CC84DRAFT_1082066 SSRHVDQAILSEERRVVVLRFGAAGAPAVMSMDEHLYKIAGKLK NFATIYLVDNTEVPDFNAMYEIYDECTVMFFWRNKHIQVDFGTGNNNKINFAISSKDE LIDIIETVYRGASKGKGLVVSPKDYSTKWLY CC84DRAFT_1138085 MGVSLIDIQRDLILSTIRNTTRGDWKVLVTDEESRKLIDNVVKE DDILDSNITNIERIEDRRQAQPDTDAVYFLTPKPHIIDCLMADFERRRYKGAFLIWTT LPAGPLRERLERSQMAQQQIRSFKVMHLDFHPRESHLVTFKDPWSFPILFHPECNNLV KHHMEEVAEKIVGVCVALGEYPTIRYYRPRAPTHEASVLCSHLARFAQDKLDMYAQYN PDFPPPSNRPRGALYITDRSMDLFAPLLHEFTYQAMVHDLLKLQEGDKVIYRTVIADE NGEEEKDMEISDKDKIWVEYRHRHMKDTIDKLISDFQKFIADNPHFTNQDAANAGGMN GLNAIKDMMAGLPQFQQTKEAYSLNISMAQECMEIFQKQKLPDLASVEQCLATGLDEE YKKAKNMADQVIRTLDEDAVTSSDRLRLIALYVLYRDGILPADLTKLLLHAQLPLEDG AVVRNLESLGAHTARGLKDKREPTPPIFPRKTIPPVNAEEYALSRYEPVLKDVLEEHV LGRVPQDVFPYTKGSPEDPGMNDVTPASSLRSAKPTWAKSKLSSVEPRQRIIVFMAGG ATYSEARACYEITEKTSRDVFLVTSHMMNPGLYLRQIGDLSQNRRALRLPADQPPPKA PAHLFEKPEPPKPAPPPAGMKPGVPGGRPNIPGGLPSRPGGSGAPPTAGMANMSLNSR PQGQPTPPPAPPGKHGKEEKEKKKKKHHFFSSSKS CC84DRAFT_1161001 MPALPSQRASLCLFCEFAARTRLQPQRLLPRTQLAHRSAGAVKR IKPRYDDAAGNRLRQASATRSDLRGKNAFNPLHRDGRAGKGYPDFFQDVRNQMQTLRA DLAEPRFLQSLHMDRGTFDEEWDTFERAVSRWIKQGAPEIMSFAQGGLGNKKKKALES RLRYLFYAHVYGGRFTKAEQENQKQVADLRYPAEWYPATREIPRTVHLHVGPTNSGKT YHALKRLEEADRGIYLGPLRLLAHEVFTRLNAKGKPCALVTGEEQRVPDGDVKMWSCT VEMAPLNTPLDVAVIDEIQMINHPERGWAWTSAFLGVQAREIHLCGEARTVPIMKELC ALVGDKVEVHEYERLTPLKVANNSLNGSLNRLEKGDCIVAFSVLGLHALRKEVETKTG RKCAIVYGSLPPETRAQQARLFNDPNNDYDFLVASDAIGMGLNLSIKRVIFESTMKNN GSEFVPLKISEVKQIGGRAGRYRTAHQAVAVDTLAKSETAIDPVIGLDDVKGDVVEEN TVAAPPTVGFVTTLDRIDHDYLASAMDQEPEPIESAGLFPPALIVERFANYFPPGTPF SYIMLRLHEISVVHPRFHLCALKDQLAIADVIHTVKNLSIADRIMICAAPTNMRDEGE REFLRALAECIAENKSGALLDLPKLPLHVLDEQPTAERKYLYSLEQLHKMIVSYLWLS YRFPQVFTTRKLANYLKQLTEDAIERTLTQFSWSDVRKKRKERTREAIRDMREQQRAA RAAEEIDRVVLPQEVREVLDDKTSFKDAEPPVDDEGEYHPEELDDLDSAAPAKQQATQ CC84DRAFT_1138089 MAPSQLFELPRPKNFFQKMSLRTGAEIITYLQVINKVSGLYGLL ALLTGAAIDGWQLSMYLYSTVVLVATVYLYTHIRMQSSFESLLLAHLYALDSVVNALY TAFFGFVWFYTLANHPGGSDNSIAPGLGENAGFTSPKYNVSEVNVVAEPVGGIKAGQD AVAVGQGTPGSAPGLSGAVFQTSSIMSLSLIAGFWALRVYFVFVMLAFARQCLRQHIA ANASTAGWYNNDTPQTSQNLAENPFQESKEAGAGWRGKLGRAMLSGAPLYWLGSSAEE DESWMRGVGGKFSKKGASAGEPIGLGERERRRRSGTGPPAPPREMRPPQLADLQEVR CC84DRAFT_1111828 MANQQYPRPDWQRPSLNWQSLNGPWSFLFDDNDIGQAQGWQLCG LPSDVSVDPTLTNTASPTQDSESITAKIIGDTQALIQGNVFKSDGAAKHHKRDIQVPY VWQCPASGIEEKGVHEVFWYERNIRDLRTSEQKEKGDRVNLRFGAVDYEAKVWIDGSL VGGHRGGHVPFSIDVTDAFAKEGQGGEHRITIRVYDSAFDLTQPRGKQYWGAQPESIF YTPSGGIWQSVWLEVVPRVRLGDSSDGTVLRSDDINGGKLKASVRILDRPAGWKYAVS LEVKLGGVRVSSMKKVDLPRESDFVSFEADMRLNEDQTSQLGQDILSTSPLNHNRAWL NNVALWSPEHPTLYTLTISLYDPSGTKIDQVETTTGMRKISWNDSAFRLNDRPYFQAL FLDQGYWPRTFMTPPSADALKTDIQLSKNMGFNGCRKHQKVEDPLFHYWADRLGFLVW GEMANAYSFSSQYITRFDQEWREAVLRDINHPSVVAWTPVNESWAYTDLAHSVEQRNH IRSLYYATKVLDPTRPVNDNCGWEHVKGDLTTFHDYSDGDKLTQTCKTLDGILAPKAD RPVFVAALPVQGDVGARHDPSAPIICTEFGGINIAQGEAGTGDRDWGYTTASDPKDLL KRIETMMRGIVEGGHVCGFVYTQLTDIEQEVNGLYTLERKEKLDAAEVKKIVDAVVKH YDGLQK CC84DRAFT_1213361 MDPDTHSDLVALYALYPASSADLTALAPACDPEFCGPAALPSWP SEDPRSPALAPPRLQIEKAYLAIATTPAWREEGVTLVTLNRYDYGGGSEEEAMDGWQR EALERGTRRKFWT CC84DRAFT_1235999 MIGCIDEVVNAAITQTGGIEDILKAAIAQANKKRQATQDIRREN ATLKADLDNANSKIAWLEDLIQHEEGSKTRVLQEQRSHYLQIGDPDFRRVVREKEMQQ ATDIHEIASLETQFLGNRTYKGHGLNNAATSAIRARSSTTVASAMSPPGLPVPDSPSR RARASTLVNSASRPFDPTVGSPVFNAGSTKPTIDLLAVAHAPRVYGLRNAQGRYESLI ICAKCHKIFAFNSWNPEHYKNCKGPDDAASPVSGTHSMPYL CC84DRAFT_1111831 MPQISPSKFYVQYQGHPIEDLKTFHDITLQQRPDTPKIWLAGDS SLDNKYWVPGSGPGGDPLDVEIPEIYIHTLQRATPKPDVAFWINHVLGNRATCINTAV EASMLRERDKTLLPHDDLIRDHIGKEDVLIVSVGCNDIAMKPTFSTIWRMLALAWLTP RSSIDHGSAWSLGYFAHLFGSKTANYVERLCSRTKPRAVIICMIYFPLEAQYGQRGWG DKALKALGYGRDPRKLQAAIRAMFEMGTKKIKIEGTEVIPCKLYDVLDGSNRADYVER VEPSANGGRKMAGMFKILIDDVLKKVL CC84DRAFT_1172470 MTDSKDLSEGAGSPSAVSKNLTAASQKMTAASTRGPKKPAGQVR KQAVLTRFPIPSSTRNSTVTSSKPSPSIKGRPSTPNKTKRLTSNRNSSLRPGQGDRVF GGRADTTTAPAILGHVGASTAPQKPIHLRSDAEKWKKEYEELLEETKKSQAEQDQAKR DLDKALVELTQQLTATEKGREQIEFDAAALAEEGIAARMDLELCRHENGDLKLEKKRL LAQLDYASGVIEDLQAQTNLAGELQTELNSLRNVRLPSLERDNNILKQELHRVLLDVE TPSSSPNCAPSPFQAFQGNSEGFLGFLKANEIYVKQLEETCGWLEKVYADTAFEVEGL ENHIAALQSTTGHLKNQYTGIAFRDSPFTDELEEVHEEKRILRVMNASTSLESTATIA SITSTALSLAGIVAAVQTQPSSPSDKPKITSSENFPVTKAKSRLMLESSTTFASALAT QSISSAPSPKPRAPLDRDLQITINIEPSAQAKLSLLERLTAITTKGTSFKINGPAEIA KALSRGMEDAQARAKHNETQVLELQQMIWYHISEVERKKIACNLTEHKTLADQLAAME ARLQMQDILLADNGRQLAQLRKERTDG CC84DRAFT_1138098 MDTTIQFAGDPRPRRQDRELDVGGVVPLRVRSRSLGRKSSFEIF KEGAVEAAEDEDAGLRNASDYKRRQAFSFSQVLMLAYQSIGVIYGDIGTSPLYVFSGT FTEAPNRANLLGALSLILWSLTLMVTVKYVLVIIRADNDGEGGTFSTYSLLSRYANIA NRDPREATMIRMERFRTNELSKSQKSFRATIERTKFFRRLLKTIGVFAVSMVMADGVL TPAQSVLGAVQGLNVVKPDIDKSTIVGVTCAILILLFVLQPLGISRLTIVFSPIVMIW LAFNAGFGIYNLAKHDYTILKAFNPYYAFEYLARNRYEGWRSLGGILLCFTGVEALFA DIGAFSRQAVQISWLGYAYPCLLLAYSGQAAFISENPDAYSNPFYNCVPNGWLYPSLV IAILAAIVASQAMITATFQLLAQIMKLSYFPQIKVVHTSTKYHGQLYVPAVNWLLMIG TVIVAAVYNNTTSLGNAYGVCVMFVTFFDTCMVTLVAILVWRINPYFVFLPWLTIASM DGAFLSSALTKVPDGAWFTILLSILIASIFILWRFGKEQQWFAEAEDRFPTTHFVNTF DDGRIQLTEKFGGKTVSSIEGFGIYFDKAGETTPIVFSQFIRKLVTAPEVIVFFHLRP LEVPSVAYEDRYHVSRLAIPNCYRLVVRHGYMDEVITPDLASLIFEKVRNHIVSRALD REGEGRSASGTDTNSPADVTKVNAKTPVSEKETSAPSSSEANARPAATPATSVSSTTS RLTALERAFNHEVLYIIGKEQMKVKPGTNLFRKALLRAFLFIRENTRTKIASLDVDRD RVIEVGFVKDV CC84DRAFT_1138099 MLFTYSLRSFLVLFFFLQFTIAERKCYALDGTQLGDSYGACDTS AKHSGCCAVHGAAGAVDLCLSNGLCMATNEKYMGTIWQVGCTDPTGMDPSCPEMCPDA RDTFANGSSVSAWNVQMCDFGSYCCRAAGDLRSCCNNATAPQIKTNFIGAFQFETSTD GASSTGQILAAAASSTNTFPTASTQADLCAAEKRRTNVIGGTLAGFFCVAIISLLAAI FFLFQKEKKQRKLKEHYEEQFATTSWRAYGSGMPSRTTVVEGDPTKAEKDLEVRYIGR QNT CC84DRAFT_1255906 MLQEYHKYIFTPKAAQGVFEAREHLLDEEGHAVGTADKIGTSKD DCYEVRSIRGKGKGVISKREIKKGDIIMLDSAAIVSSARLPLHITPHEGSKLLETAAR MLTEEDYDAIMALDKPSGTTGLDAILKTNSFSCQFNDGGVGDEYLCLFPKVSRINHAC QPNANAKFIPRTLSMEIRALRDIPPWQEITISYGKVNLKYAERQKLYKENWGFKCSCN LCASGIYKLAESDWRRERFTQLHDQLEALTAEDYNTEQVIQWENEILGISETEGLEVL VTEDMERMAYVYAGLGRHSEALAWGHKAKQNLLQWKLGPKDTSDDLKRIEELLVDLQR V CC84DRAFT_459112 MPRPFWSNLVLSVTFSLVRMPWSLPKHSCKPVDGVSSFSAPGLL TVRCLARYRSGGSWCAQIADVSDMISYVIHAGVA CC84DRAFT_1236020 MSDFSLESPGDGSSETQSSRGGEHMFDCYRGTKLTILGVMIPTD RVTKTAIGLDAINANLKNGPIEDTAEKLQGLVDFFNPTHDLAVVEDDPVFDNRANFLR LRRRSPPKLPPSLHACLPMMPTPKKIVTHWDSMEHQRSNDKKPFEDCIANIEDTVEVA RKIVPRVAANITALSNTAEDHTDMLRRLGAFVHVLRTPHDGTTTATNEDIKTIDKTAS SIRKIAKDDVSDVSRQLQKIEKSVEYVDAGLSTIGKKVAENVADSIKHNIEIAATIQA MHDLADSMSSQLSIIQEQVVDDKTNEEIAAVSTQLERFGSTATSKLTKIEEEVGNVAL HIANVAQNQVSYSSAMDKM CC84DRAFT_459150 MSAINNKGPINNLACRDIRIESQTNSYYPAAERPETPPHPSIVI PFARDADFVKRGTLLDEVSERCAAPGSRTALVGLGGVGKSQLAIEHAYRTFERMPEMW VFWVYASNAARFEQSFRDIADSAKLDGRQEPRTNIFKLVHDWLRGCRHRWLLVLDNVD DARFLLDHPAANPAAASRPLREYLPRCARGSILITTRNREAALKLVERRDTVTVGPMD EPSALALFEKKLEIQCDSREVVELAAALEYMPLAIVQAAAYISESAPRCSVATYLDEY KKSECKQTSLLNYDKDQLRRDWEAKNSIIVTWQISFEYLQKTRQSAADLLSLMSFFDR QGIPEDLLRTRDKQKAINSSRPRLGSGTADNDEDDDASQSSASDPGSVEDTFEADVVT LRNFSFISDEKSGTTFEMHALVQLAMRRWLKANGQLERWMQHFIRNLYVAFPTGNYDN WASCQALFAHAKSAAGHQPEAESSRAEWATVLYRAAWYAWTKGSIFDAEELSLKSLKT RKKVLGREHEDTLRGISIVASVYKLGGRWEEAEKLEVQVVDISKTKLGADHPDTLTSM ANLASTFWNQGRWEEAEKLDVQVVEISKTKLGADHPDTLTSMRNLAFTWKSLGHSGEA IALLQQCAQQH CC84DRAFT_1161007 MSRYGLTTWHDGEKAEWDIVFVHGLRGGRESTWTKDGVVWPKEL LSKDVPKSRIFSFGYDSGVVHSDTAEVTQGSLADDARQLCSLLNDIRQQTNTADRPII VIGHSLGGLVLAQVVHGGNKATEGDSIHSIAQCIKGMIFLGTPFYGSKIAGWGEVVRR IYSLVQKTDQGTLKNLILDSKELKDLRFGFPDVVRKRNQTPAKISVVFFFEKKMTYKV WVVKEEDASYPGVGEILPMQANHRDICKFDDAEDDGYKQVRAKIKQAIEAVNVVDVST GTETYIINNNGTITNLSQGDMRIEQQTNNYG CC84DRAFT_1084921 MPSTTYAEFGHDTEALEVAKAFTDGIRGKTIIVTGANSGGIGYT TLQAFASQSPAHLVLASRTSSKIQETIEKLKTEYPEVDYRPLVLNLSSQKAVRDAAAE ILSWKDVDAIDIIVNSAGLMGLPERQLTPEGIEMHFGTNHIGHFLLTSLLMPKLITAA QRSPRGATRIVNVSSLSPTVAGVRWSDINFDKINKDLPEEERPNEQILSRWGFSDVME KSYIPIEGYNQSKAANVLFSIGLSDRLYQKHGIVSIAVHPGIIPTELGRDFGTEMEQA VSNMSKRGAFKYKSLGAGSSTSLTAALDPKLGEGVGEEKDGKVYGVYMIDCQISEMAY IRACSKKEAERLWELSERLVGEKFT CC84DRAFT_1255911 MEQLTLLNAVRDETLSNDKAILELYERRDHYAACDLSQEHHAEI AHLFEVAVLDMQKAVAAHEPVVKVLKKVLLDLGSLLMAEKGASRVKSKFRASPKVGVA TGEIQQEVEHESSARSSANMSQTQKSAITSRLRDPAVPSAEAKKIEPVSLLSRSLTAS KAVDTAVITTVKHTEGKRDASKHTKALRLEQIQATLKARNPEWSQEKIQKTASDHLVL ILSKQRANAEKTALSQVDGQGSDDARRLPPSRSMSPSDPFSSMRFARPSTNTKRAPRS PPKAPAPHRRKTPLKKKISVHKEGGKNYKSKEFVVDSDESGTKMKGSNTSAPPDVGVV DPSEGLAGFENLDKNGNYVKKPPARFVLVNGKPRIALVVKLKVSPEKLLNTLYGKKVK AERKQLGLNPSTNDSRTRQSRVESLPSTSDTPSTGGGFNASPFAPQPTTKMASDNEDA DWESEPETTTAAMTATAPSPDVEFPSFPPLTAAHLPPFMKGLTIAIENIIQDHAKKPD DTLLKLVEELDGNKPTLPGGNIAGDDRHVVMSGGEYVYAAVRQAYADVTHTEDSPKDS GIDADGDTTMTSTSSNEVDADPVDDVKLYNHYDGITAIPEKAQYNGKGCAWEKTPDDA DPPFRVVSKANVSKLPKRELSRRKAARGDQPGTWKEQGIRELFEAFHAHVKREYWLQA AHIGT CC84DRAFT_1085097 MDSRPPHASHANGVLQSKEEGATGSPNRIAHTLTACCRCRTRKT RCDPGLPRCGPCERTNSHCEYYDPAKGSKIPRNYVVHLQHKVRDLEKQLADLEKDDGE PDPEDVMRGSAAVKVQDTDESKFLGPSSGITITRLVMQLAKQFTDSKSISEIVPDARA KTIKAAFDQEDARPTSKVYPLVSDVAAEDLPNRDLTNLLVELFYCKVHAMYPIFHEPT FTQDVEDVYSGSTDAYQNFCVRMVIAISLQRMDTQYAGLADSYYLAALKYFEQAIKPM NLKTLQCFALIAGYSLLTPTRTAVYYIVGLGVRLCQALGLHEEKTITMGPGGRPANPL EVDMRRRVFWSILTMDYGLSHSLGRPSHFATRREHIDVNFCELVDDPFITKEGIRQAP QPSLKKWIAIHFYKMRLLQLEMRRKLYLKKRPEPKDDQDPWFTEMQKKIEAWRDTSPE MDAGSGLNKVWFVGRYNTMVCLLYRPSPQIPRPSARAAVLCYDACQYNIYMTQKQIQT QSVDITWIFTQAIFMVVNVMLWSLSYSEVRRNHSREEVEGHLVVALESIELASKRWPG VASALGLYQNLIGACMRIYDKDGDIPIAAGSPSDSASVVSSSIVEGINRSRTTSPASA STSSVRTPSESVPPPFGYLPTNQNHNQNIYNFNTPNGTMSSPSSSARPASSHTSPQQA PPQPYIDTHTKLQIDNMPTFNYPPTTQFTPLPTTFAELPHWNPTFTMAPQDSFMNGMQ PAPSPIYNESYAAHQGYPMTDYLYPQWSQEGVGRGHGLNQEQQMQLMQDFEANETGKI EEMIQQSHQLFRPYSQAPY CC84DRAFT_1070973 MVLETPLLRVSRPVAACQRCRAAKIKCDGKLPACTACEKSNRAS ECSSTNDQFARGKERSYVATLEAKVERLEKKIAEAKKARRKSSLMLLDTDLNSPRRSS VETVKADRSSSRAAQRKEASSIDELVSDFGLLAVNATARDFYGFTTEMSYARLILSAS SKEPMPAGLTKKLPPRYAATPLIQQYVNNIFTLLPIFEEATLYACVDAVYHLEGVAKP FDIWVVRMVLAIACLSQSEQRGDTLYSDAVGHVNAALEQAEKVLHPGFISSIQALVLL VIYATMDPHHFDSWTLIGAASRAMVDLGIHQDPSKSTAIGRSKLEIRRRVYWCVYSLD RSTALVQTRAFSFSDDSAHVHLPFHTSPTSPKHSSPQSHVFLQAFDSALDLFKIRQIQ SEWYMDLFQSGREPWQDPYPYIWKMYNRMTEWFQSMSQSTLPALRCFFELELLYSYVY ILSPSSRVPHIQEYAQRLIFEHCIAYATNLLAQLNKTSHTTKPPVTFYDAMRAYMTGR QFVDVLSRNTDAILHPVPPAPPVP CC84DRAFT_459194 MVNLGRSWGCRMCKQRRIKCDENEPACKRCTKSGYICPGYESEK PLKIRFKDETALVVHRSGSNPTHVPSPAGLQENFETQALNFFVMNFATAGRDPVSTRG LWESVVPTINASPANSPVADAVAAVGGILFNVWRLYKRGPQARNPAFMRAIRGLRIRL RDGPIDGPEVLMTILLLQFHENVAAVFGMRAASKMHYHGALALIQSLPIESFSTVASR GLLLNVLSIVVSLAIRECQPVDPGLIPWFTSLPYTMPRTPVMKLNWIGISVANIQHSF QGLLKSHPQCSSERCSHRVDIAMIYAAILDFQKASSEWLNNLPEHWLPQTWTPSPEMP KTHIPMYRETCEVYPSVQVASVFNTYRGFQLIINKILSIMQTHGWLEPHMGPQGVSET IQSVVDSMCYSIPFYLGDRDGTLYITDLTNPRTDIIYPAYYNMKDPPIAKEHILTEEV HRKHATAYGAWHSMYPLSLLLGLFGNHAGYDCDCLQRAIRPGQLIWIGSQLFRTMKMY ALDGGMSVAPDNPEECAKAVRRALRFVYQECFNQSLGFPDDEGSLNIPIGGLDSYLKM PELVWGQKVWDQ CC84DRAFT_459240 MRYALGIHHPYSRRTESIVRRFIRVYYLEFNMQQTFCFVNGTHV DKLTKKRMRRHVMMGKNAGRTIKRKSRIDVPPHQVANMTAVSSVALRQEPVFDRFAYL DNYKPDNIYNNVLSGLSFPVKITPQFAEIVSNFFLFIAHKLYPVALGISIEKSKYTLL SILMSDKAAFYCNIALMQACNELFLGDGEKSPAAIYHLSNSLKYVRERLESEEALSDS TMGMVMSLITQEQCRQQHKAARIHMDGLAQMIKLRGGLESLEGCLPILLKACKTDLMY ALQCEDTPRFHRSHMPQIISTLHSLDLPFNREAARKQVQHPNLDSALLDVLIDVICAT TLFNDLHSTRKVDLYFFQEILVDYCYRLLAIPHLHSPQTLEDAYHVGLKIFMMSLFLQ VGSQRIMNYDNVTERLKMVLESDILDGENELRFWLLMMGGVWVADNEDVEWLVLVKHA QTRKMGLGSWSEAKQIVEMWPWIGRLHDAPGKLFWDRTRR CC84DRAFT_1084325 MATQRIRNYVQTNILHVDRAAQEQEKRILRKIAHDTIDDTFFEE DPSVAEWFRDLVPTVSGVADYFHNLFPSASWIRRYNLHWLLGDAIAGVTVGLVVVPQA MAYASLARLSPAYGLYTTFTGACLYWIFGTSKDIVIGATAVGSLLIGQVVSKIEEERP GVYNAQEIAHAICFLCGLVLLLLGFLRLGWIIEFIPYPPINAFVTAASITIISTQLPT CLGIKGINTREAPYQVYINTLKALPDAQLDAAIGVSSIVLLFTIQNFCSKMEIRQPTK KRMWGMISSLRLTFTVLLYTFISWLVHRTISEGHEKFRIVGHIEKGFSHASVPVMNTE LFGLVAKELPAIIIILIVEHIAIAKNFGRQYGYTVIPSQEILAQGFANLLSPFVGGYV CTGSFGASAVLTKAGVKTPLAGLFSAMVLVLALYALTAVFYFIPNAALAGLIIHATWN LVTPPYKLYKFWQYSPFEFVIWVIGVVLAIFTDLETSIYVGIALSFALLLIRMARTQG TSQGQARVTRMVRHCEKPTTTVERPLPKPSNSPITPEQPMRSVFLPTHSRNAHNPRIK IEPVHAGVFIYRFAESFNYINQAHHVDYLLSHIYAHTRRTVDDDGIPMKDRLWSDAPP PTRKTDDDAAQKPVLRAVVLDFSTVNVIDVTSVQGLIDMRVTLDRYAAPNEVEWHFAG VMNRWTRRALATAGFGRPSVRDAAAPAGWCPAYTMSTSSSNEGEEKRVRDSERMAFVE REASEKSSAELHPDEAGIVTTADTKRQMDAVYGVDRPFFHVDLTDAVDAAVRDAQRCE ELEAARRTEHVDLAFHGEV CC84DRAFT_459319 MSSTDQAPHLDEAHESAREQKLGESPAQEAKETSFLAKTEKEAP NAPTSEEPVKEGESNDAGQAPASAPNAGVVGEPDRERGKEQTEEARPDTEPANVSTGV AEGDAKPQSGAPEEAPSSDKPDEAPRAPTPPPGSEKAAGKAPVRSPSPPPPPPKDDKY LSTSNVPSRTTSPISQPNLSRRTSEDADAAAYAAKHDGAEDAVDDSQSEIQSIMDQFA EGHGGPGEEEIMSPRLEIAQPMFDAPIAHPPRHSSLASNEKPLPIPNDSPSPEQALRS PPLRTSSLYRVGTNTSLNEVSSPSAHAASFQAPAPPPDPEPDLPFDFHRFLEQLRHRT ADPVAKFLRSFLLEFAKKQWMVHEQVKIIGDFLEFISKKMAQCEVWRTVSDAEFDNAR EGMEKLVMNRLYSQTFSPAIPPPEPVNPSRSRRRQEPPGPGRRGQHQEDVERDQVLAQ KVRIYGWVSEEHLDIQPVGDKGKKFLHLAQQELLKIKSYRAPRDKVICILNCCKVIFG YLRTATKADQSADAFVPLLIYTVLHANPDHLVSNLQYILRFRNQDKLGGEAGYYISSL MGAIQFIEGLDKTSLTVTDEEFEKNVEAAVSAIAERHNAETATPDPTENPPHMRPPTT PGHRRMPSPHSLHLSEKSTPSRPEVTTRNSLDAEQAGPRRSTSTRTSKNESDPPEEEN AAVAGLLRTIQRPLSTIGRIFSDNDTTTSSSGPASTPQPGNTPRLTPQPPRGSADHPA NVPPEHFNEKQRRSAEEEQYRQRLSAEDAAARQASAEAEEARRIQRQEHSVVVETLAG MFPQLDRDVIDDVVRMKEGRVGLAVDACLALANP CC84DRAFT_1255918 MCGIFFSLCRARCVSPDTGTARLLSNRGPDSFGTHQVRVHADDD VHYEATFVSTVLSLRGTAVAEQPLIDEASGSALCWNGEAWKYAGQPVTGSDSQLVFQN LLQACTASTSGERGLAQDQTIRTLFSIGGPYAFVFYDARNKYLYYGRDCLGRRSLLKK HSSGDELIISSVCDNSTGEDWVEVEADGIRVVDLSQLPAEGPLPTSHIPHCRQCTHVG QLHFNVPFPRMNHTIPTSGFGVRPTLQTVNQLQASLNSSLTLRVQHVREARDLAQGGH QSLDEARVAILFSGGLDCALLARLAHEFIPLEQGIDLLNVAFENPRVHNKLEPNESPY ELCPDRITGRASHAELIRVCPGRTWRFVEVNVPYSQTLAHRTEVMALMHPHNTEMDLS ISYALYFASRGSGVVRDVQGTTAPYSTPAHVLLSGLGADELFGGYGRHAVAFAREGYE GLLSELSLDFDRIGKRNLGRDDRVISDSGKEVRFPYLDEAFISFVLCLPVTSKCDFAV PQDKDSEYPGTLLEPGKRVLRMLAWHLGMKGVAAEKKRAIQFGSRTAKMETGKTKGTQ VLT CC84DRAFT_459316 MTIKNAVHNVTTCLIVQTIRTFSPHDRIFAILLTMVPKTRSKTA QTGPSSAPAPKKPTRTAKPSSKPSKPDPSKTKPGPTKVTKCPAKKRFRNVPQGAGGTF ALRFPTVIGTTACNTCLGLYVPLTTNKCFVAHFNIEPQPDDGGDREQELDDYEVGAAC YRAVVSITKEFLNDAQWKGCWGPLTKDMRKGLRMVCPHAGLADTTHVGDAVAEGVTEF FGMTQRRRGEGELVPRSVENMLVRYTQGKAPQVIFPSAEELRNEWTARDEAENGIFET AGLFDDGRVKKGGDDRNEPETEGPFKARGTR CC84DRAFT_459387 MSYETAIAAIDAAHAQDPNKITIAGKEVPYELHYAEKCTSYLDK RSPDASEALRLAIRAQHFRRWEVPRNTYPMTRVGYHTWRTYLKKRQADLVMTILDECY GTSAVNRDEHMAFLNRVGSLIKKEGLKEGDEEAGVLEDVACLVFLDDQFDKYAKLWDE GEKGEGGLDEEKVLGILRKTWAKMTEKGHELALQIPMEGRPKELIQKALAG CC84DRAFT_1172488 MESDTYTDPLASLFRPADKPRFASLNWPVWDGAIFDPNMRANRD SDKLAFIAGTVYVRHAVSLRRPKIMLKLLDQATLNHLARWGINGFVIGEERASERIER DRDLLASFRSGVLLAMDELRKAEVPNNVNQDDLKEVIDALESYVHGHFVEMAELGPAV AEAVRAEDALAQTNAMTMAEEFGSDGKYGESEEEGTTARKLQYDVSADETTAPTDRKS PNDSNTSATDYDGDDDDTLKYFEQVEILGDISSSSNDDGGEEDDIDTMSSSFAENERA HGANATHESEVASVRRAKRAKSKKAKKARSQARRDKKKVGTAQTES CC84DRAFT_1161021 MTPSPQIEGCRVPDPVVTRRTLPQPAAISPTLSICKICSRSFAD RKSLWNHDQSIHKKRRYDCDVRGCTYRATRAANLRRHKRTCHEH CC84DRAFT_459473 MPDAGCNPTLSFPMLWSFVLLGLAVADLILSGLPGAGRPIPCVQ TFVLSAVEHCSGNALFVRLRTSLKVLHSLSTFSLSCDTCSCTILEHIPSSSSCGSSSL RSRTLAPESRESRWIVCSTYCVVSGCPFQWLSLHPLYCASWGDLVILSFHEYNLTLNR PCV CC84DRAFT_1082413 MIFQILIATGTLDPPHPHIDFKHHIPVSPLDKKCFDLVRSWAKE CANHKICAKPAQVPLPKRVIEIPADLAQPCRLRAASHVQGHYVILSHCWGKSDIASKL KDSLISTFHNAIPYGELPKNFQDAIEITRCLGFRYLWIDALCISQDNAAEWAEEAPKM SSYYGLSTLMIAATVAEDSSKGILIDRHIKYSPAIGRQKEYCLRDERLSSLQDISNSA LATRGWAAQERTLAPRILHYTTQQMIWECGNGFKFEASSLEYFIQVEDLDKSAIQPFV TNALQAPGRHKTVGGTTEETSIQESKRLAGWSSCVQNYTGRLLTFPSDKLYAISGVAD ILNHNGEMGEYLSGIWSKHFVAGLSWKRDSSTLLTTPPEYRAPSWSWASVDGAVFMED I CC84DRAFT_1072506 ATNIIPATAATLYRPLFTPKFFSNTAAMSKEGVHNLASKADWEE ALEAKDQIIVLDCFATWCGPCKVIAPQVVKYSNEYLDARFYKIDVDEVPDVAQELNIR AMPTFKIFKNKEQVGEVVGANPKALQAAIEAVY CC84DRAFT_459468 MPKIWPFGRKATRLKEGGPAAVAERKRPVAGSMPAARAHEFASR DVLRSSPARRQSTRSNRRRRRSSRSSASLRDLEKDSPTLKALEAQSAALAKEQGLPRN SADDNITALPNQRRLEHSPHLRPISQQHGTIPYNFSSKEPNNNSQSSLPTARERGKLQ RPQSLRKSVHESGLVRRISSKKQKREHEHLREEEIRAMSMPLPQKRPAGKSGDMLRRD SKKVKGSLNRRFERPESNISLPLEDSIHSSMSGNSDHRAFRVSALDMFSPRPTVRCSV GSQYYASGNDSSPLSNKSRSNTNRGRQPGSRDAPQDWKEKRDKRSSRIDDLADELDTG ALREIMDRDQRRREKKRKADDERLRRRLERRAEKQQAAEAAPLTPGTPTHPRKGAQGT IGLGIERDADVPTHMLMEDVQPSTPQSQRRPPPLTPQLEEMSQLPTPLESPMEEPVIS NAQAVRYSRGSVSATHTRGPSNVSQMPELLSERFAQEIPIESIEPAHDPAASGSLHVV DTTDTAATSKMSTDRRRSSEGRRMAVFASFFRRGKRRSQDPGRTTPSEVSFSNTSRES MSRQPLPAHLVAPPPPPPTAPIAIKRPSSVPRRTRSKFREDLPEFTPPDSRVQSPEII HNSAIAARRRSQPPAGLRIESDSSGAARTDSPVSPGVPNGGVMSQSLASVDSEGSWLS GKPIKRSSNKSHLRSSVGSSTALKTQEPFSASYEELGIPDDEYFRRLTPQPDEHRPSL LSRELSRKPSSMVMSPLENPLNAADSDNEEAAPARSRTSQEDEELMHSSIGRHPTVIH HQARVKSREGLLSFYHEEKPSPEDFAGESAEIVEGESPTSENEPVTVQRARSVDLGKH HFRHLSAGSAKLLDIQKRASSSSQSKLTQ CC84DRAFT_1172492 MRPGAHLVAAARVYCTVCETSCCCASVTEPLLRRLMSPHRASGN PRISLYAVLGLSRHKNARGLQLDAGRFEVARAVDWRQEPSSSLNWPAEAVRIVSESGC RCQPHKTGLAREGSETIVNDDPFWAHCVHRVGSAVLALSLSVLLTVWRRPAPQQQRQR SATTSPEVQPIFARRPAEMPSRARMGAELVVDVGAGGVGAMIGTGIDEPRETRKRPGS AGSAPGGHSWRRSPPAGVTGHDRREREQAGSAPPSAA CC84DRAFT_1161026 MSYGGRMSMARNTSYGQRAPPPPSEDVFMTLPDSEIAGCITDIG VPIGLSFTSMDLQKPKPEVIQRVFEWMAEVIMNTTRDVVAPAMRAAAEDLCGPDADKL YSSDTRDLLAFFVTLKRLLRECGIHDFTFADLYKPTHARLVKIFSYMINFIRFRESQT EVIDEHFNKSQRTKLRIEQLYDEKETKEIQLADLERNRIATQRLLQEKEKRYNDLKLR LRELGKEQEAVQEKVARAKEHQHNLKALLQRKYEDKENINREVSKLKPYTEQSPTALE DSLRDLNDRLANDKSQIESLDRRARALQTSSESFAVVAADVTSCTRLLNDVQADLAKE EEELAKAARHSDALTDRSNNVRDIERQERLLQKQLGNVNARMDKIKKKADDEAEAARK RMEELHDTHTQLTKERGEKGRDMEERRVKIEQTEKKMAQLKDSIEAEVHAAHDEYVKM ESHIKLYITEMEQSI CC84DRAFT_460088 MMPGPSWPTSALHNADLLYKLPRELRDKVYVDVLNADCPVGLTE DLLPHIPPILRGNPDLLPEALEMLTKTQTFTWDFDDPRIYPLVWTRECVQPYDVRHLD ITCSEYMEHFGLDGLEEHENMYRDSVPRVLWERLMQFPRLQNLTIYMQKNMEPKALNT LDFGPVLYNLRAKLSKINIKFFLSFDEMLLGLWNGPMESDDDLSADVSPYQPMGFVNM SDLIAPPNAEDVAYVQEYLPEYMNTGRMPHSRRISTGLIDESPASRRALAQHYAVTEP ALLRCLMRDHFEIYRKRQDRVPEEEST CC84DRAFT_1161027 MRSILAHVAAAMPVQFLRRLVRPSVLFRASLAPGPPLRPSIASR LPKSPGFGPLPASRAHKCTLIQVLRIGRTQQRARKLRSPQLAGRPELKGVCLKVGTTK PKKPNSGERKIARVRLSSGKVITAYIPGEGHNVQQHSVVMVRGGRAQDCPGVKYHLVR GALDLGGVGNRITSRSKYGTKKPKAA CC84DRAFT_1236073 MALMSHIISLALFLASTTNALIASSRSCPCYTATTYPSNPACVP LDPQGPCWELPCVTYTTTTIPGYNAECTSTPTVTSLLPCKTACSLDCLTISSTRTLGS GRCLPPSWSQLAPTVTIPQSTSSCYTSTTTLKGHCPEDDLSCPSPDCVYLSTTTVPGG VVAGCETTPTVTENRTCKGRCDGSCGTQWVTETAAVRKRD CC84DRAFT_1138152 MNGTGKSKTGYRKIQLCAEQAVRDGLEYFWVDTCCIDKEDYAEL AHAINSMFRWYRDAARCYVYLSDVSKAPSNSEDRTDPPLWEFEFRKSEWFSRGWTLQE LLAPSMVEFFSCHWEKIGDKASLKRQIREITGIPDSALQGTRLSSFSDYERFSWMERR QTKKAVDRAYALLGIFDVNMMLNYDEGVESAFRRLQDQIDKLKRCLQDLRLTDPRHDK KRIEDTKGGLFKDAYRWILQNPKFGQWRDNQQNRLLWIKGDPGKGKTMLLCGIVDDLE EHMALTTLIAYFFCQATDSRINSATAVLRGLLYLLVDQQPSLVSHIQAKHDRAGRELF EDANAWVALREIFTNILQDPSIQRTHLIVDALDECVVDRDLLLDFIIEQSSASSRVKW IISSRNWPEIEQQLATVGHGVGLSLEVNAESVSTAVDIFIQQKVIHLSQRNKYDDTTK KVVQHHLSSNADGIFLWVALVCQGLKAVPKRHVRKKLSAFPAGLDSLYEQMVQHIVDS DDAELCKEILAVAAITYRPLTLQEIVTLVEQFEDTADDPESVQDIIRRCGSFLTTRES IVYFVHQSAKDFLIEKAANTIFPSGRDSAHYAVFSRSLQILSNSLQRDMYSLGAPGCT IEQVLRPDPDPLEKTRYSTIYWVDHLQSSNSLATTGHLTELQEGGLVDKFLRRKYLYW MEALSLSKSIPKGVASMAALEALIYKQTGTPILLNLVKDARRFIMYHKGAIEAAPLQV YVSALMFSPKNSLVRRLFEKEAPPWISIKPLMGENWSACLQTLEGHSSGIYSVAFSPN SRQLASGSVDRTVKVWDVGSGACLQTLEGHSNSIHSVAFSPNSRWLASASDDMTVKVW DVGTGACLQTLEGHSYSIYSVAFSPDSRWLASASYDKTIKVWDLGSGACLQTLEGHSY SIYSVAFSPDSRWLASASDDMTVKVWDVGSGACLQTLKGHSGGVLSVAFSPNSRWLSS ASDDMTVKVWDVGSGACLQTLEGHRGGVHSVAFSPDSRWLASASDDMTVKVWDVGSGA CLQTLEGHSGWVYSVAFSPNSRWLASASYDKTIKIWDVGSGACLQTLDIGCSLQTLSF NASSSGLLTNIGVINLDLLPSSNTTTNPEPKSPMYQATTLSPDKIWITHDSHNIIWLP SDYRPCCSAVLGNMIGIGVGTGRVWMCNVEINNH CC84DRAFT_460178 MAHNVLLIGGHGKIAQLLTPLLLARSWNVTSMIRSADQTAAIEK LGQGQPGKLSVLVSSVEDVQTESDAQKILDQVKPDWVVWSAGAGGRGGPSRTKAVDQD AAVAFTKASIHAPSIKKFLTVSYIASRRNRAPWWTDADWEAAQKVNNEILPHYYKAKV AADEVLTVLAKERFDAEAKKGVPENERFAGISLRPGTLTDKPAGGVTVGRIPSRGDTS RATVAETVVAVLDTEGAKGYIDVLDGDEDTVGAIKRLVKEGIDNVEGEDFEDQKKRAS QL CC84DRAFT_1172501 MGEELRTQFGATCHVPASSWSPQLGRKNTTPITTVLEALRTLDV VGQNAEAKSIGATVNVPLFDLLSDMRYTELPFAMQDVHAVCKACSQEEFEANCKADTQ ESRENQYSDNSSRFFVAQLGIQKLRIRHSCESKAGG CC84DRAFT_1111897 MSAPSPLAQGRPPAGNSPHHIEGPSIPSREPSVTRGRALTASSR ILSPRSQTPVLTPSSNSSPDRSLERKASLPYAHNRQTSIVHGIQHSRNTSFVNSPATS PLSPQVIAAQGPSLDGTAMSQETIAEAFAAKGAPAPAANGHGHAPTGSVATDASVSHR RPERAQSARSRKGGHHHHRSQSRHQAQHELKTVGEYALHHLFNSFIPQADLKISQCMS DHGQPEARVERICGPGVDPNFDQLIWALGHIAKNKPKSLIDTIMIWRKGKSEDATQLR IKLANARQAVSLPQSVLPRRNTEPLQLGDPHAAAAAATSPEYMLALQQTVAQAEQRST VSTYILCRVLIQIINQTTLDSLTLDMAERLLSLFYNQLNTVDPEQAEESSVHKANWVI YSQLLGELSELIFHSVQEKFVADLKGIDSQYTQYSIKNQSSREVEAKGALLVRGMRYL RVKTTPVDLWDRTCEFMFSLAKLFANAHGQTIKYAYCQVLRDLLLRIASETMPQFSTP RWKSVIDILKSRASLLLSKPKHWQEAFPLMCAILCVSPADTFAAQWLPLALSTQPRLK ERATRAVALKGICQLVWSYLYRAAPDPANVAMRKLEDIIRMVYQPGKRSYLSTEPAIA EPFIQLTRIIGFKFQDVCFRAIIFPLLNAETFTSGRDLRVENLDPDRMVIGIRSFLAI MTDLENASEIQHPPFPSPFAPETNASTTTNHPQPIVSPHPLQHPAMRSLLPTKEERLS RPVNFSGFPEIAKEYYVRFCKILGDITIICDNAFGGQAVLDEKFSLQTPKTPMSEAFS FARREDQTPTDPRQGFYDLLHVAVQALPRCLSPHIPFSSLVNLLCTGTAHVHGNIATS SAQSLKSIARQSFAQQVTIAFARFIFTFDDRYSTMSDGGMLGPGHIERTLKLYVELLE IWIEEIKEKTRKAALDTPDDGMGNRGIHLDLSSVWAHVDEVESHGLFFLCSPSRRVRA YAVTVLRLITEFDTALGGSNTRIIRVMEGSPQKVMDISDEKLSLAERTRLQRGMRKSN VQSTLVELCSSDVPYDSTLWFKVFPNLVRISFEVCPFAVTLTRDIVCARLSQMHRTLI SITEGPKASPYASFDSAMNKAANRMPSTAPEIFVEQWKLYLIFAFTTLTNLGVNNQSV ASASAQHSRKSSKSSQKSSNKVYTASELFARVLPFLASDSSAVRDATVVGLGSININL YRTLLESLHGQAAACAEEAKTRLGSHTRTISSPRRNRRTDHLRTELTHVYKLTSQFLK LPEAYNDDWILNNLVNYTKDLRIFLSDAEVQNEWGFQKLRTHYCGLVEVLFEGINKTP DPLQWMPFQARKAAFTLMEDWCGYSANQPQIRQREENMRRSMLDREADMSSKGIATAA MEIEKRDLRTAALSAMATLCGGPISITTDSKVVLQFDVRRMLSWIDGIFETPSDRTHA IGRRALTNLILHNREHPYLLERAIEMCYISKSSKALESYFEVVTQVLTQREDYTLPYW KVLSAGLYTLGHENNELRMKSARLLRTLEAREQKNSKLQDLDISISDKTIAVYKLAQF EVSRRLAHQHAELAFMVFSQFSYYFKELQPDHQRNMVAAMLPWIQSVELQLNPDGGPT ASSYMLLVNLFEITVRCSSALHNEIQALWQALAAGSGGNVQLILNFIINLCLDKREQN FVDYSKQIVVHLAGTGAKVVEALLLQINPRSMVHEKREPSPPPPDAINLPYLADLGAL LPTSNKQSGFSLGQVCLILLVDLMVSPLQLGSEHLPLLLQVVLVLWDHYTPVVQDQAR EMLVHLIHELVISKIEDDNTVGIDKSAIEEFIENVRQHDSKIVWNYDDKNGKDSEDSG NKVPDSMTHVANEVMRFFSFTNPGLREAWGKVALNWATSCPVRHLACRSFQLFRCILS TLDQAMLSDMLARLSNTISDDESDIQTFSMEILTTLRAIIEALQPEDLLKYPQLFWTT CACLDTIHEGEFMESMLMLDKFLDKVDLSNPDVLVFLKKSRPPKWEGDFEGLFQLVFK GVRSSLCLDRSLRLLERLVILPSSELVGDESRLTFAVLANLPRFLRAFEEPGKDPAVR DSAEVLAQVALEHQVDDLASSLSAFARKISRQGDQFLRQVLDAIVEYYFPQLEFSSLV FLLGLLSNKLDWFKINTMQVLTLLIKKIEMRKPEIAHRGPDVISPLLRLLQTDLCGYA LQVLDSVIDVTGGPQPPNLERAHIRMSMAGSNTSRAFKKQFGTTQSLYGIPEETGWSI PVPAHHSHLTRTNVHAVFYTCSNLDAETAADVATPKIEFRQDEFPFSPVSDYRTATMT SEDTRGESHIGELVMKLDSLDDFFEDDDENEILTDLPDSSIFGTNRYGNGLYPHSSQD VRENLYDQQTAPILHKSLTRNGSVSSFQFGSSDVKLSPARDPGVMNPGAFSSFTSGQH HLPPAPPGRPGLHSRSVTSPSAPNQTQRLSPALSSSAFDDHGEPFSDDDLAVGRSISA SASTEKLSIETVIGGLKQDTRSKIRSGMRRLTGSSSDNREKERMREAVKQALQKSPQV PKVPDIYLVQNPKSADP CC84DRAFT_460240 MAQLDTLDIVVLAVLLLGTVAYFTKGTYWAVYGDPYGNSLATGN GAAKAGKSRNILEKMEESDKNCVVFYGSQTGTAEDYASRIAKEGHSRFGLNCMVADLE DYDYDNLDQFPEDKLAVFVLATYGEGEPTDNAVEFYEFIGGDDVSFSEGASAEEKPLS KMKYVAFGLGNNTYEHYNSMVRDVDKYLTKLGATRLGAAGEGDDGAGTMEEDFLAWKE PMWTAVCEALNLEEREAVYEPVFEIKERTDLSAEDDTVYLGEPNRNHLEGSQKGPYNA NNPFIAPIIESHELFNAADRNCLHMEIGIEGSNLSYTTGDHIAIWPTNAGKEVNRLLN ILGLKDKRDTVIAVKGLDSTAKVPFPSPTTYEAAVRYHMEIGAAVSRQFASQLAQFAP NEDIKNEMAKLGSEKDYFHKQVTDRHLNLAQLLEITSKGEPWTKIPFSLMIEGLLKIQ PRYYSISSSSLVQKNKISITAVVESSHKPGAPHVLKGVTTNYLLALKQKQHGDPNPDP NGLEYCITGPRNKYDGIHVPVHVRHSNFRLPSDPSKPIIMVGPGTGVAPFRGFIQERA AQAKAGQPVGKTVLFFGCRKKAEDFVYEKEWEEYKQALGDNFIMHTAFSRDGPKKVYV QHKLEENGEEVNKLLEQKAYFYVCGDAANMAREVNTLLGKVIAKYRNVDESRGEEIVK AMRASNQYQEDVWS CC84DRAFT_1085102 MSGNSRNNYSTATAAGYKGQSMMGHGHYNQANQGHGTANYLHRG HPMSAHGGDNGIAALTSGMAAMNVHGSYGGSMASKAGGAVLANNASEYLQGQGHLWVP NMNPMYGVMAGAPQQAGMGHSPGMYNNAGTFVPQTTYQYNQGMVESPMGNGWASRVSS SDMPTLMTPRRDSISSNENDIPGTPYTSTGLYRYGTSTAIIDRSPNGMYTSSATPSPS QLAQYGVQLVNPKQASVATISPQLAQLLQKEPPIPRAIPAPSSPLKPLDRSLENKTGE TNVYIRGLLPETTDEMLHAWGKRFGDIQSSKSIIDLKTNLCKGFGFIKYHNFEDAENC IRGFHYLGYEVSFARESFYSKLKKFADETNTNLYVSNIPKNMSEHELSAIFAPHKVCS TRILRDAAGHGRGVGFARFDTRDICEEVIKTFNNTPVAKPGGEEHLIQIRYSDTHEQK MLKQQTAAGRVFRAAEYEVGVAQARALSTGPDRYLNMSPDSQGAANEFEIFLQQHNPT SYGPANRYRQPWAPAVPSSLGMSRPAMHQMAHVPVFKSEDGGTERGTDVKTNPATPIK QEGPSSPTCHNGDE CC84DRAFT_1213396 MQIHAVYLGGAPYVTTSHVLAADFRAWGHRVDTYTHDHHNVLAL LTIQRAEGFEQTAREAELMDLWCAEWIGRWGFEARVGAMLSEREDLGTGASGSAMWDL GGALGMGRDSFTEEGRAIKVGRGRSWGMGSVWAWFGGGVGRSNAREEVHVDGDVLPPY TKGEAPPAYEQ CC84DRAFT_1111904 MKLNVKNIRYVMPEDWRVLTAAEQGSRNHELVPTPLIVQLSGLR SGNAVHKSISNLAKIGLVAKVKNAKYDGYRLTYGGLDYLALHTHTKSSTIYSVGNQIG VGKESDIFVCANETGMQQVLKIHRLGRISFRTVKANRDYLRNRSSASWMYMSRLAAMK EFEFMKALRREGFPVPEPLGQNRHTVVMSLVDAFPLRQISTIEDPSELYSELLSLIVR LAEVGLIHGDFNEFNILIEEKPEADGKVALIPTIIDFPQMVSVSHANAEYYFDRDVDC IKRYFDRRYGFTSDEPGPFFKESTKNIRKRLDVEVEASGFSKKMAKELEAYMKEHGID GDAGGVERDEEDEDDGPGSEQDESLEVEPESEASLEQQTPAEDVAVDSTATPAQIAAQ MTILDIRDNDPLPDLSEIQAMKAKQAPTGMSTKAAKTKAGWAL CC84DRAFT_1111906 MAADDEKKPTAADKGKGKAANGDAEQKEVQKDKDGKPIDDKKGA PAVEELSEEDQQLKSDLEMLVERILGPDADLYNPALEKIKEFIKTATSSMTAVPKPLK FLRPHYESLEKAYDSWPEGDNKKSFADMLSVLGMTYSDEDRLDCLKYRLQAPSSDLGS WGHEYMRHLALEIGREYQKRLNDDKSTDDITDLVLSLVPFFLKHNAEADAVDILSELE MIDQIEQYLDADTYSRVCLYMVSTVPLLTYPDNDKFLRTAYQVYRKYKQYTQAVVLAI RLNDRELIEETFNSTEDKATRRQMAFLIARQRIWFDVSEDEDSELQECLSNTRLSDYF KALGKELNILDPKTPDDIYKTHLESSRTAGLTNTDSARHNLASAFVNAFVNAGYGNDK LMLNSDSKNSWVWKTKDEGMLSTAASLGMLMLWDVEMGLDKIDPYTNVDEDLVKAGAM LATGIFNSGVRNDSDPAMALLGDEENLSHKNVNVRVASIMGLGLAYAGSNKEELLEIL LPIVTDTSLDMQLSAMAALALGLIFVGSAHGEVTDALMNTLLDEDRSKQLKDKWTRFM ALGLALLFFGQQEEVDVILETLKALDHPMSKPTSVLAEVCAWAGTGNVLKVQQLLHIC NEHIEEENEEKKGDELLQSYAVIGLSLVAMGEDVGQDMIIRTFGHLMHYGEANIRKAV PLAMALISPSNPQMKVYDTLSRYSHDNDNDVAINAIFAMGLVGAGTNNARLAQLLRQL ASYYHRDPNALFMVRIAQGLLHAGKGTLSMSPFHTDRSVLSRVAAAGLLTVLVSMIDA KQFIVGDAHYLLYFLATAISPRFLITLDEELKPLTVNVRVGQAVDIVGQAGRPKAITG WQTQSTPVLLSHGERAELEDEKYLSLSNVLEGVVILRKNPEWED CC84DRAFT_1161036 MSKRGPSSPPIAPPQDVTFFPQAPKRSNTTRSRRFFGLSRSSTT ASTSSRRAASGPESLGLNRASTTSGTSNQFPDHEMLPVVQACPALRTRTVVSQSADQG QVCSECKKWIEHMRNMILGYDKRRGIRGNAAFKEFLDDRRECRAIAEDLGFGESSRTL GDGMRRDSGDELYGMRPHS CC84DRAFT_460472 MSRNRLQSGLDRDVYQVVRRYVDSSNESPLKIRPNAIYEHIKNS NSSLRRRPKKQLEDSIDRALLTIQEDESGEDEMDEIEGDFGAGDVKPKEESKSIDFMN KQIVNGWANSGTATPKENEEKTKKREGQKGGDRESKRLKRVSAAEEKKIDIAPPKGMS LSDIGGVDNVKTQLKEHLVMPLLGPEAYNSRNIPIPRGILLHGPPGCGKTVISRAFAA TLGVPFIEILGPSVVSGMSGESEKQIREHFERAKEVAPCLIFIDEIDVIAPKRDSAQS QMEKRIVAQLLISMDSLAMESNDGKPVIVLAASNRPDSLDPALRRGGRFDTEINMGVP NEPTRELILRALTRRTVLSDDVSFSSLAKRTAGFVGADLKDLVSKAGTWSMDQYREAL EKQAMEAESEMDVDGSANKLSTTDLSIIRLINRVRDKTMTEPPGFEHTSISMKAFDAV LPAIVPSSKREGFATVPDTTWRDVGALAGVRDELQMAIVEPIQNPQRYKAVGISAPTG VLLWGPPGCGKTLLAKAVAAESKANFISVKGPELLNKYVGESERALRQVFMRARSSVP CVIFFDELDALVPKRSSELHEASARVVNTLLTELDGLSTREGIYLIAATNRPEMIDEA MLRPGRLETLLYVELPKPEERVDILKALIAQRGGVINPDLAEVARREECNDFSGADLE SLLRKAGQAALRRGASAVEQQDVVAAAQNIKPSVGSLERYEQLRKRFETKLW CC84DRAFT_1184185 MGNSNSSRKISAQDKAILDMKNQRDKLHQYQKRITVLTDREKEI AKECLSKGDTTRAKLALRRKKYQESLLAKTDAQLAQLEQLTSDVEFALIQKDVLYGLQ QGTAVLKEIHKEMGGIENVEKLLGESEEARAYQEEISELLANKMSNQDEDEVEDELEQ LEREVNGIQNDVPVLPDAPVAQPELTPEQKAKAQRERRAREREQQAAEETRQPVAA CC84DRAFT_460467 MSHHHDHHHGHDEEHAEAHDHAHDHDDDVVPALQNLLYQQIDFS RLRTLNEDESDQGRSICQKTWAQRLDPQPELKSFADEQILMIVPFTGQVRLHSILIRT SSTPASPKTLKVFINDDSLDFSTASDKPPTQTLEISQTSEIQEIPVKRALFNTTRALA LFFEDNWSDGEEDETRISYLGFKGDFMKLNKEPVNFMVELAANPSDHKTIAGVKEGLG RTIQ CC84DRAFT_1161041 MALNHYLHKKVSVLTLDGRTMVGTLESCDGSMNLVLSEAVERII RPREEDVPSEEVPLGLYIIRGDSVAIVGRVEEELDASIDWTQVRGEVLGTTKHV CC84DRAFT_1161042 MASETPALPAIRPEVSALFQSIEEAFTITSLGDSRWYLLTLAAL VGGTEPLLADQLYLYIISKPDCQQSDQRQKVVRTLREALVKLVSIIGVCKPLEAILAI AKVERPEDRDYSFSREGWANNSENHARGMDWLRKIYTHNTDKTLALFDAHKDFRWIST EITYGLYLSDRQVVDDIDTEVVVLVGIMIQNLPLETAWHIRGSRRIGISLEDVKTMMD CVRKLGEFMGTKLDRIPSADDIEKEV CC84DRAFT_460557 MSHIVGKHCKTMLADRTKYLARYADDVNSADANANHAYFVRIMI KIQELLRDHVSVQVVAQAPAAKNSSTVTLGTLRNLYDALEVEVTNEEVDYPDDVSEGS VASSTESTYVPDTFDLEGDLLASWNHFVSTYKPLFEYPDKRLSDTEHLDQPFFEHLEE RLSNAEYLDYW CC84DRAFT_1172516 MTGLIGKLAQGVSVGIGLAGEKYHDRKDRKAALAEQERGESSRN TDDDANENPPEVNGKSLEDEETANEERMWALDEAAGSPPSYEVITSNSDQAIAELAHT VAEAKNHQTQIHNGEVSRLPNPVIIPQRRPGSKARGWTRAYAPDLEPLGIDQDTFMKF LESWDKSAQGSPWFKAVSLTAGIVGMAMPGPIVMGVTTAVSIAAEVGHEIQGRARSNT FLDQMNKDVFMPAGLYAMVIICKQDASVTGGIQLGMETVNFENAKNVSKWGLPGDSPA SKSAKFTRPLRIASGKANVDEMPLEIAPLIYPGLDDMVKRPELKRDESFKERMMRNKD FVADYFDRRAQANFSGNNPDAALTKAHGEAPEFKNRFADPNNACNNGHLVSLVTGGKV VVEGRGLLGMRGAGGRGGGRQRGGLLGSALAAVESLQEGGVGGRSRSNGGSGGLRSAR EGLLPGRERGSSTAYDYDDDYVHGRRGPSRLDQLRQIRGSQFREVGEDGKLLPKAKQP AKQPRGPIEYAMKGVKKALKPDVMYLTIVNLPTQEEMEMAREALGMDKKSWQEIIEEI RRR CC84DRAFT_1161044 MATTIRKALIPSFGDASHIEIVDAQIEPPAANHVQIKTIYAGMG GADFLMREGTYPQQRSAPLTPGYNLIGRVHKNGPGSSKFQVGDMVACLTKYDADAELC NCPEKYLVPVPEGIDLKQAVTLVLDWATAYGMVYRTAQVSKGQKVFIHGLSGSVGYAL LTLCKLEGAEVYGTAHPSKLDQLRKDGVTPFSYKDKNWIQTMIGMGGVEAAFDALGYE SWDESWQIINPKGGRLVGYGGNQNTLNGESGNRGQLPSIAKLLARGANPFCPKHTSFF YIDRDQKTYGPELQKCFDLLVSGKIQVPIKKVWTLEQIPEAHRERNNLPGIGSVVVKI NDDLEA CC84DRAFT_1082192 MIKRKKVDRRIFPMLCIVFGLSLIDRTNISAAYVAGLGRDLHLD VGSRYNLALLIFCVSYALFDLPSNIIIRRVGARRWLSFLICAWGCIVLGIGFVDDWRF LIVLRTLLGVFEAGILPGAVYIISSWYRQFESAKRMSICIGAAQLFLAFGPVIAYVLS LIRVGDRKFSHGWRWIFLVEGMITIVAGLLSPIFLVDFPEKAGFLTDRQKYIATSRVS LEQEGAHAEHVSFKDSLKILWDWKIGVYCVQHFVVASSIYSLNFFLPIILRDNLGFSY ALSQLLCTPPYVFGNILPFVVAYWSDKHKLRWPMLVSQSVSVIIGLLIAMYTKPPGVR YFGIFLAAFGTQANVPATLVYGQNQTAKVQKRAVVAAAMVSAGGLGGICGSLIFRRQD APWYFPGMWATIALQMLYSIVTICMSMHFTRMNRLADEGKKTSLEGVQGFRYAP CC84DRAFT_1255944 MGIGQSRIKGFSVWGNGAAMARALSQNGVKLVGCDPSLEAAQFS ASRLREEGGACGVMTANVPSSADVRSVVEAAMQQYGCIEILVNNVGMTWPGNPARIPE EP CC84DRAFT_1111930 MGAHDGNGPSAQRVEPGSVNVPLGSYPATSTSSNVDAAKVAHEI ISSLGHAVSSKDSAAIAKLFLDDKSYWRDHLALTWELRTLKGSDKIAKFVASSSTPLT KINVDTSEPHRQPKFGPIDGVGEVNGIATYFSFETQIGRGRGVLNLAEEDGTWKIFTI CTVLAELKGYEEPTGKRRTKGVQHGGDPNRKNWRERREAESNLEGIDPKVLIIGAGQG GLAASARLKMLNIPSLMVDMNERVGDNWRKRYHQLVLHDPVWYDHLPYIPFPPHWPVF TPKDKLADWFESYVKHLELNVWTSTKLTSSSWDEGKKQWTVTIERKLPNGSTETRTFY PNHIIQATGHSGKKNFPKLPGMETFKGSRLCHSSEFSGARPNSKGTKAVVVGCCNSGH DIAQDFYEKGYDVTIVQRSTTCVISSESITDIGLKGLYDEDGPPTEDADLALWSMPSE IMKTLQVKVTKLQNENDRELLDGLRAAGFGIDMGDMDSGLLIKYYQRGGGYYIDVGAS QLIIDGKIKVKQGQELAKILPDGIEFADGSKLEADEIVFATGYQNMRTEARSIFGEAV ADRVGDVWGLNAEGEFRTMWQRSGHPGFWFMGGNLAITRYYSRLLALQIKAIEEGILE NEGQSERARL CC84DRAFT_1161047 MGNKRALVGYGIDVDAVANHINTTNGGPPNLTNVSRGVFGATRG VERLLELYEKYNIKATWYTPGHTIETFPDSIAKIRDSGHEIALHGYTHEFCSDLTREQ LEAIFVKTIKIAKDFCGKPPLGFTSPAWKNHPDQIELMGKLGLKYDHSFMHDDFQPYY VSTGKEEMVTTDYSKHPDEWMVPMKHAEKSDIVEIPANWTLDDWPPFQWDGARPNAHG YVDPYSVERTWQDMFTWCYEHYDTFIFPISIHPQVSGRPHILMMHERFIKWVNTHEGV EWCTAAQMAEEFRSGRLSGTGK CC84DRAFT_1111938 MSEPQRILIVGGGQGIGWEVTKSILRLSPQARIAVFGIHLEEEV QNLPTTANGRVPVVSEGDITSENDRQLLVKECLEEMGGIDTLVFTAGVITPIERIEKL NMEDVKRTFDVNVFGCMAMCQLCLPYLRQSRIKNPTNVAYGKAIILSSGCDKEISYHG WMPYCTTKAALTRFIEMLAHEEPLLTVQGVYPKLTRTKMPEDIIAGKYKGVMADHEIE KFRVWNEIGDEMVEPPEWCGEAVGKLALGLYPGGKSGESLDYDIHVPVGLRRK CC84DRAFT_460593 MSFQFITNDIGPKERRLIRSHVMHGKNAGRPRPDRRKKRDAATQ QLASQHDAAHKDNRMVSQLRIQDLHRSGLFDRLICNDLAFAEIPRQSTKSIEHLRQWI SILDRRLYPPEFCSKLDFMDYVWLQYVFYDEGYMHGVLAIKSSFRDYLEMQAEASPDA LEHLSKAYKLTRRRLAGPEAISDKAIAGVTILAIYQLVHGNVDIGLLHFDGLYHMVRL RGGLAKLMEHNRALAQKPWRIDLEFALQSGLPMRFAGSETPISANKGMNPRVISPTYT KLCGFGMDIELVCLLSDTTAFTNSLNTIDELSRLDPLDFSEQAFQLIHRLIDFAPLQG ERPSHPLDDLLQLTLLAMMTTALPNYTSDKLRYALLAKQLKRAILRYVATTDTDGELL LWAIFIGRVSILHCDQDDWIAPIFSRVSQQAQIHEWPQIRRILAQYCWIHTLHNTVGI RIWGTLSKMKAT CC84DRAFT_1184194 MSIPQILGEGLFAKLPVLVNEDTCAGKTYIITGGNHGLGLETAR HLVRSFAARVILTVRNMKAGENAKADIERATGRTGVIELWDLDLASYDSIKAFASKLC SDTGRIDAFIANAGVQMDRWESAQGMELSMQVNVISTMLLAVLILPKLMESANSYRNE PKLVFVGSALGFVAKEDLAKCGQANVFAALNEPTRANMDQRYALTKLVEHYAIRELAN LCPVERTCVTINVTAPGLCSTGLGHDTSTKTRAMVSVLRAAFARTAEQGSRTILHGVV AERDSHGKMLSGCKIKEYWVPKWMSDTGGKQMQKQIWSELAKIMEIQQPGCVSKIS CC84DRAFT_1071083 LNSSLNEIRLLHLSPGGDEDEFYCSLSIVSLDDRPQYEALSYVW GEDSDDTLPISLGGKTVQIRRNLHLALRGIRDPDCERILWIDALCINQGDVEERYTQV AIMGDIYSKAYCVLAYIG CC84DRAFT_1111939 MSEKAVDEKPPKLGSGSGHESPTTARELDFDDDDETPAATGEQT AAPPPPSKSPKPGVRFSDDATEIPPAKPPRPVSPRQTATTTLIEAFPDTDPTTVRGIL MASDWNVDKAFDVLLKLSDPNYKDEEAPPPKPPRPTKKPMTQLEADEQYARRLAEQYN SEGHGSRSRGEPRQPRQQQRPDSREEEDQGLFNKEDLDEIKQTLEQGFKGAQSTFNNW IQNVKKKLDGPEGFDQYGNETYRRDQRQNFGPSQSDQLRGVRRSAEARRSADHNRYDN DNRMLDDDFEALELRDDESHPNQRSNRPLANPDLFKPTPVSPPQTGPVDEVDALYRNP SPNGQQTGVTGNKSGGKKWQPLTSVAPHPESDEHDPFSLGDSDDEEAKTKDIKAEDTE RLKKAAEAKKGDEPAPAGLTPAGRSGSVGQKDAAAEALLKDAK CC84DRAFT_460696 MVEEQNIYAQHDNKGMTCDPRCRPKVHYKTVDEQSHLCSTTVGK SSSSYTPSNYDLQMPILKHTANIQHVPTRALAFQASPKQARPTSTEQHWISPDHYFRP IPHVPEGVDPSPFPNLLLQNLAGEQISRPDTRTPSASNLHLGFDDRKYNHGFEIGSRT HRGLLAYGQVRSSAPHESPPGQPQSRWSQARNYRVKVYEPPYLDPHTDDSIAHVETNA ELWVEQLMLSMTNIKDVKDPANSHHCRLFSSESIDPLLIEACSREIFTALMDRCKNGF RGPPAFNKALNANHRLEPDRTATCEERIRNVVQVLSWNKRACKDVLYEDWKIKLLVNH PLSYDKEKDSQKGSNDQRRKRQLAAQEKMEKTEEELRAYREAHREGTGDSTSSAQYND GYFAWDKTGPQWLLHEADRSLRPEEFSSTTCKRPYEETGGDDIKRQRV CC84DRAFT_1081627 MGVPDKFPAAVLVERQTNPLPTTQVGDACLDYSITANMSTISAN SSYRSAFMQKAPVGTIITSRMLNAAQAKLPALTANVALNQQCGNLTELALTEAANNFT KGIVAQFSTEGLPVGIKAGPEVLVVVGCICALFSVVWVFAG CC84DRAFT_1081766 MDSSFAAIYAHPPDPNDLKPIYNKAGTIYGATLPFHVLTWIAVA FRVYMRYRVVRAPGWDDLLITISGVFNLVALVAFYGCFHFGLGKHLYYVDQNDFVTLF KFLYIQHAAYYTCAGVVKLSLLCQYLRLFQKGIVRQICIVLLGLTSLWTFFWFFQGWF PCFPVSGFWDRARVPPAKCWGTGFGNIEDSLAAFVAFAASNMMLDTIIFFLPMTLYFK PSTTGPRQVLALLMLFLLGSVVLLMSVLRLWTAVRHNRFDATSLDFTWWYPLTMILAS LEIDFAIICASIPIFWPLIASALPQIFVTKEVRVTHHQRLPDSTNADYELDRTYSIKS SGGDSQENLRELHEQPKTDYNDPFVVEHVTGKLENNAQIVSEKQKKRRK CC84DRAFT_1084605 MPFQLSSWHAQWLGRLRSALRLLVIVLSGAVLVFLGHTLEIFRG NRYIDLRKNELPMTWPARTNLWPTLILFTVALGSFIASVTIVALSFKRSFRRPTRSAG VYRVVGGCCGVLLWIVAIAEFSLLDRASKASLGRYSCGNSHILSNGRYQYRAVCEEQG VAFYIAIGAAAAELATLLTLAVTTPTSPDQYIPGPKDDHEKKRSMSISGSTKRFP CC84DRAFT_1172528 MYTPKSLVAIVAALALGATAAPTTLTRRQNACFVVGSTTLPAET ADSANALASTVTCGTGTTIGNVPDVTTNGVSFSDIDFSKSSSTPLQFALDKFATADPL ASTDLATFQTNLDLYTATEAGIRSEGGNLAIKEPKFFLAFQVARIKTAQGVAIADPGQ TVEHLLGKVQKNAGSADKGLLSQVNALATKLS CC84DRAFT_1138215 MRTLTFLGALVAAISSLMYMLDSHLEKFYVFDPTELHTLSKSAI AAHGNDTRAVVDYIVEHLAKTHPNHVNVEEEWVFNNAGGCMGAMTIIHASITEYLIVF GTAIGTEGHSGRHTADDYFTILKGEQLAWKAGTFEPEIYPQGAQHLMKRGEVKQYAMK EACFALEYARGWIPPMLFFGYADTFTSTLDFYTLWRTTVITGREMLTNLAKMKL CC84DRAFT_1161061 MAPRRSRANSPEFPILEANALYAGDIKGDGNCLFNALSDQLYGS QDMHEVLRTYTIEHMKQHSGFYRQYMAVNNVRRNPKRKTTAALSTTIEPSAYTEEELQ RQFELHVEKMGQPGEWADNMEVSAFASAFHVHVRLWQADFTILISPSFDYQYEEPGAV DDRQTLHIAYHTWEHYSSVRNNAGPHTGVPDVTVVPLQTSLRKRPSPSVSGSDEDEIP RARKRRSPLPLFDSDSTPEGTESSSDESNGPVLSQTHLDLPDSAQAAKPQKLTIKLRC LRTTDSVEPGEPVEPLTAIPPPTITPPSPLPPPQTPPPAASETPSEPALTTPSATTTT ATAA CC84DRAFT_1111962 MTPIQDATPKPPKYPADLDTSIVLPKQRASPASSLASLPFRTSN PSLHKLFESTALTSTSRPSSGTATPTPSAGPGSILSPGSRQNGTGTPGSLRPGVSDEH RTLIQQAYAPHVVVVADKDTEDLIRGKGLDGGLLQLLRPFGESLSGKVTIRDSVGASK SYEDFGVRFVGMDQVLGRPPALEATPMRPRPVGGDVAQVEELVERHLQYSEFSAQGSV PDYLNQGEPAAQESGRSPFYTLYMRRLLSGLPMVPHETFAHPVVGVIATSSRSATPVE ELRDLYNRQHQGDLRVPQWVDGLFLRYYVFIHEEETGDIAKSNTTFDAMKRHFGLNCH LLRLKGQQCISSDDDAVRLPRCEWLSASEELSEIQKQENADEFTDPTPYIPDSDVTAI KTFVRELVAQSMVPHMERNIHQWNEQILARRRGLSGRFMSLSKRWTTFGSSRSSSTST ITSSNSNYDSVGGFYRPDAPEALMRRLADYCFMLRDTKLALSTYEILCTDYNNDKAWQ HYAGAAEMAALSALLSPAALTAKIRTEKIDEWIRSATYSYTDRQRSATPFYALRTLAL SLELLRLRGTSATDDAARWGSRILEMNLVGPIGAALVTERISACFSIRPGLGVLKLGS RRRKAAFWAVLAAEEWRRQNKALQAEKCLEAALQLYGARTETFQGGPVRLPFDEMQHF VDELRQQILGLRLANRGLGEDDEVGETEQSLLVEEATETLDASPRAHRKSLVGAVAPN IDTGPLSPTLERMPEKDDLFEGAV CC84DRAFT_1111963 MSLMSRAFRVCSSRGIPYYTTPHRLSPSPQRLFLRPIAQSCLAS SRPLRSFFRMPAERLPSPYPQEEPVAKRRKTGPSGPRVTRSYSGMSSRPEADPTTLEL TDEETKLRNLLLAVAAYIDQTPAAESAGVSVPEGVAQEKTVLRWTGGWVRDKLLGVRS HDVDVAINNMTGEHFGLKMLEYLKVPGNLEKHGFGADESSKIISGLHTIKANPEASKN LETATIKVMGIDLDLVNLRKETYDEVSRNPQMEFGTAEEDAMRRDATVNAMFYNLNTQ QVEDFTGRGFDDMAAKIIRTPLEPYQTFKDDPLRVLRLIRFASRLDYTLEPETAKAMA NKDIQEALKIKIKRERVGIEMEKMLRGPDPGMALRLIDEAGLHDTIFTDPTRKLEFQP DVQHYRKGYKFMTEWINEREGSKYPVIPQTLLSTRDAESDEEYLAWVCAAVLSWADAP KLPPPKKNRQPLYAASLVAQEGLKAPNRVCDVLTASLNNYEAVSQLVGECQKRLPEED PSSPAQREKIGMALRNWGRTWRSQVLFALLHEIVHGERSTEDVLRQYANFLALVTDLD LLDVINLKPLVKGTDLAKALDVKPGPWMKDALDVVLAWQLRNPSVTDPANAIEEVKKT SKSELPSRLMFHFLTLTIRPLFSQTKTDVIGDAPAPWKMRENKSFLDLLHWCIKTVDE KDLRECFRLIRPPIFRMLEDGNLEWKAKACQVITQLAKKAPENIKEECRNLFSEDVFG CFNYLPTLTPAPEAAGLLEHVYPALISFVPVAEERIAAQERQAVASRGEARTNSFVLS EKDIRFLDKVVRQGVIAVLQHAPTPTTYPELTTLVLRNLICLIVAEEIEWVKHANDIL PMLHDILRDKISPTHPDLPQAAAKCLSICIAKGWPRMDTKRLQEVYLSTATAWVNCSE YDTNLEGLEGLRHDLKITTLYLDNVSNRDGIDIDTASWWTGEKERARKENASWKDMLE SCST CC84DRAFT_1138226 MRTSLPSIILLLAASSIFAAQAQNTTNTTSTPETCGGDIDWLNT GNSSANSTGQVEFRWTDNSDSERPTSDLWYLSVTVNDTLRRGDVRTSASNGGIELRGY VSVPDDVKNASLCLYQFSSANVTLDDSIDDGLDSCGGIVSEECKDFIKDNFLHEIQPS GCPLYRKAGTEGADTFRKACPNLADSAAVYYKDVSNTTCATTDIPSVNIPEGYHSLRS ASMSSPFSDYNYRPNMTEAYDLLVRQTVPYVLHSRFEDPDSNSMKVLTRFICVAPNRT VEGSRAVPDGKAPWEESKGVGLAAGRMAVVMTSIIGAVLMI CC84DRAFT_461169 MYSNDPSTGNHSLFAPSMGRQVSSALPSSAFPPLPGTKFKLNPR APPFIPRALVHPPTAVSPTKSDMSLASTVVSPALLPDWRPFAPRVPVTDPYGAGIFAH PGPAAPAVLAQASRFPMGGGPWNIPHPYGWAQPFFATAPQHLAYGGTINDGFSGARKG KKKGSKGKGKRPAW CC84DRAFT_1184202 MPSTAVTSTPWIVLAVASGACAAFNGVFAKLTTTELTASWSGSI AHAFGLSSSNKLVEYAIRGLFFGLNILFNAVMWGLFTRALTLASSTVRVSVINTSANF MITAILGLAIFSESLPGLWWLGAAMLIAGSVIIGRREEGVKETEGAVGSDPLLSGEGD AFRDEDDSDRDDVELDSVRDGDKSSDDEDGVLK CC84DRAFT_1193635 MSLSYGAGGATAALGVVGLYMLFQGEGEAFNVGQFIESLSPYTW ASIGIGLCIGLSVVGSAWGIFTTGVSILGGGVKAPRIRTKNLISIIFCEVVAIYGVIM AIIFSSKLQGVSDYEELMSPRNYYTGFALFWAGLTVGMCNLICGVSVGINGSSAALAD AADPSLFVKILVIEIFSSILGLFGLIIGLLVQANAPEGVTRSASAASHVPLSGLSRKS KLKAAILVVSETASQDPSTDKCIPILQDVFAHLGNDQWEVASTEIVPDSILHIQKSIR TWTDCEEPANLIVTSGGTGFATKDVTPEAVTPLIDRHAPGLVHGMLASSLAVTPFALM ARPVAGVRKGTLILTLPGSPKGAKENLEAVLKLLPHACVQAAGAASRPLHVGGIKKLE KDAGVSSATSAAGAASGHHHHHHHHSHGHGGHAGPKAHTNPKDLPQSNDPSAGPTRRY RSSPYPMLAVDEALELIAEHTPSPIIEKASVDMSLGGSVLAEDVKATESVPAFRASIV DGYAIRTPSSGKFAKGVYPVALVSHAQAGEVQELKEGQIARITTGAPLPPGADAVIMV EDTALASQTEDGKEEKEVEILTDEIRSGENVREVGSDVKEGDIIMKKGEGITVVGGEF GLLASVGTTEVSVYKRPVVGVLSTGDEIIQHDRPGPLRLGEVRDTNRPTLLTAVRSNN FEAVDLGVVSDKPGALEETLRSALARVDVIITSGGVSMGELDLLKPTIERSLGGTIHF GRVNMKPGKPTTFATIPTKHSSPTASRTSKTIFSLPGNPASAVVTFHLFVLPSLHKQA GIVPAGLPKVKVALDSDVRMDRGRPEYHRAVVAVARNGTLVASSTGGQRSSRIGSFKG ANALLCMPAGEGSVKKGEQVDALLMGKIGVVE CC84DRAFT_1161068 MATEASKYYELYRRSSVGGALTDTLDTLITERRIEPQLAMRILA NFDKAVADVLADKVKARLTFKGHLDTYRFCDDVWTFIIKDINFKLDNNAQVHADRVKI VSCNTKRPGEA CC84DRAFT_1161069 MVAPINIASLTACRHCPPASSKLLTLDGAAIDVALWIVGGLYGT PRCAEGCSHNWMCSGCDSIRKDTHGTAVPLRARRNVALQMLT CC84DRAFT_1111979 MDTGGDDDDDVKLLRASTSNLADLGKLILRLVRKRKNTSNDARS QVRLIDLGRIQALIEPFQEDPQLLDTHLKSFIPPLVAAYLDSLQQGRRPDPKKGYVPL THAICQILNLFCKVRGEKVIKGFLNNEPRYLEPVIDEFEAGADSPPGEDEPLAQGIVP WTERYVLFLWLSHLMLAPFPLASMSAMQSSHEVANALDIQLPTEVPGIALRVLTMCVK AFTSASKERGAAAHLLVRLCVRPDMQKLGLLETFVQWSLKFFNSATEQSTNIHQCIGI LSFLSGLVTSATNEEIGPFLPAIYKVSQNIIAQPDLAFVKTSAVARKLIIKTLRNIVV HSLQATEAVNELDTTTVVEEVIELLLDSVADGDTPVRYAASKALSIITMKLDGEMAEE VVQAILGSLNENVYWQGSTRNLSGVDPLRWHGLTLTLSQLLYRRALSPAQLPDILNAL LLALAFEQRSTTGGSIGTNVRDAACFGIWALSRRYTTAELSAVETSSVRASEHRRGIS ILQVLAIELLVVACLDPAGNIRRGSSAALQELIGRHPNTVYEGISLVQVVDFHAVGLR QRAMCKVSIEASRLHQAYWEALFDNVLGWRGIGSLDSASRLFAARAVGQLTVGKTASV IHSMSDRISRELAVLKPRQVEERQGLVSALAALVDENRMRDDSTEANETRDAADLTHL WDLLERELKLDDNAFMSPAMRPDFTASSICNLLGALSCMRYSAHDHEQRQVPVEELTR LLNLSLSRHADSVMEAIPRTTSGVLKLLQRLSPSDADSLVAGWLTRLENEASYNGLRW SGYAIALGAAYPWLASGRTGPSPMQRQIAEILPFRCTSAVSIEARTVALSALSILIKN CASITPLSELPSAIRDRVANALHVALNDYTVTERGDVGALVRLEALNTTGTAWNSGLL RGSTHEEDLHADVLRLSLEKLDKVRSRAAQVLEKGSFELFNAVAAGVADGVSSYAYFA NALTVLKPSSSPAIIEAVCLGYMTSAGMGSESVVQNSRAALLDAIDVWPTTPTGDDDT FNLFQVINCLLGLLKQNLETERVLLPLLEIFAFLFDMQVMHRLLETSFNFRALLSYTQ KAHFRSTHMQKLQLALDVYRGLGTIATTRADTITKLNSMLLHPFPKIRIAAAETLWLV VGEESLKRQDWSLPSKSLKPIVSNIKSALAASS CC84DRAFT_1138243 MAEARYREYLVDRVLAEGQPITYRLLSRALQVHVNIAKQMLYDF HAEQTKKQPKSVHATYLLTGKERSSEHSNGDIAHNGDDTAMRSSPFMSSLPEPENTPE SPVPTTSVVLVREEELEKTKSGFEEITSIHIYSLEPGPIENLNLLAVCNQEIARSHAS GDPLERWRDYGSIQNPYIKRRTTKYAPAMAKPTTKATVKATAAVSAPNEGSKQGPAVA ARKESTPEESKSGRSTPQPGPTASLKRSDSKTMTKKDASVGNLFKSFAKAKPKAKEAE KPIEEDAMQGMSEDEGDADDEPAVKFDEEKAAAARKAREEREQKLQEMMEADGTSVLD MPDAPTEETDSQDAPIDKETPNQPAESEATVTVQGGRRRGKRRVMKKKKVKDEDGYLV TKEEAVWESFSEDEPEPKKAKPTPKPTVAKGKKNGGKGQGSIASFFKKA CC84DRAFT_1161075 MWHVRGIHLTFSDGWVSPIRGSTTGDITEQGVIEWDGPVAKINR ANNWDGYGGDAVGRIWIDAGGKSLNVGSDTGSYGGEPVELHTGVLLGAHGRSGDFLDT LEWMLMSADIIDSEVTQFKFPPETANLEEWNEKQKGMENISLDDLYYSNDSPAGSSNQ TFEFSKGVEKTVSKTVTDQDSHAFGVSIGFKISAEIDVPLLAKVTAETSTSYSYTYTK THSESISTSDKMPITYKQSGTLAPGQAMHCTALTSSGTFSSDFTAVTRITLGDGKTFD IEQPHHYESVGWTNAQSSCKLVPRDQIPSGALMGETMPLKKRSIAGRLWM CC84DRAFT_1111989 MSSTAPDASALPKAPWKSLFSQHLSKMESPEFVLGTVAAAPQGS TVPYVPRVRYCIFRGFWTELPENRHNDAERNPKAFESDCPTFTTDVRMEKVGQLFGTG AGHAEKVEQTQGSGGGGPVEAVYWVKETGTQWRIKGKAYVVGNDIEENEGSSGVRTVK SEVGKRMRVVDQDKEKDWSWSRELTASFGNQPPGIKGSFKAPPPGRPTTEAHDEKNLE LGAKVDDLHDEVARRNFRLVIIVPESVEQTDLSDPAKARRYLYTFDESAQANAGWTTQ ELWP CC84DRAFT_1236122 MHARLMRDYRSPWGQVQIMYRRMRRRRAQGCEEQWAPDCGSFAS HVAPALAWAWPLRRSALLTGTALLRQHVRRARALCGPPALTSVRPCCQVRPFQRASPS PPHQCSAEPVRCVQRQQHRSPAAPVDEGGERVQTGCVLARRCYLLPFRAPAPLVAALA VQGTCCTDKDKEALPPECNPPPTPLRC CC84DRAFT_1161078 MGDTEKSFTFLHDNIPHWLDDVAGIEEKIVAMQSELSKIPVSRS LPFKKRSGSVESIRDLNAIREEPDASATGAHKSEPAARKRKEPSLLSGQQSGPSKLRS RTMIVVNYDGHIQTSFEQLVRAIGTGRNMLRKGKMAAKMDAMAAMAGSSSDDDDDDES NAVMAKIGYRHRGLSSMRARGMMRVTQNSSNTPVERFDTADKALEEAQALCERAAHQS LREGDCRKELGGVRRYFNEVVELAKTEVAQCTAQKLVADEKEQQQVLRPGPKPERQSS MPLPDVEKELMPTIIPPAISTNNTSKVIDIEIDDEEDSDDGSFVMPPVRLTSRV CC84DRAFT_461388 MVRSTVLSSALGLLSLVSVGAAAPLDTRVDAANTVKVMPFGASI VEITCWRAYLWKKLQDSGITNIDFVGSHGTPAAGCTLNGQSVNFDKDNEGHSGAKVTD YANNNSLPPWLSAAKPDMIIMHVGTNDASANISTAKVFSAYDKLIGQMRAANPRTTLI ASKLIPIDPNLFGQSVADKVKDYNYAMEGWVKRTTTAKSPIVLVDLNTGFDYKTMTRE GEHPNEKGDVFMAEKLFPAVKDQLVELSVQKMMGEQR CC84DRAFT_1213436 MPPALSDYESDRDNATPTSSKRGSSPSRTRKSGGLLNGLDAGNI ITSDEVIISTVKDNTPTSSYLTRGSTRGARSNDLAYDQSYHPVDEYIRPYQAAKRRAE HGLEDTNSVHSVESDTDTDAEDQPQPKKRKLNTIIIRGTRRSSRRTNHNVLYNMKIHP QDSQLEQLVGDTVDEAAEEDAEMISIGSSEAAEEEDEQVVGDTTGKSPLSLFKYTFHL IDVSPLLLYRSVVASISNVHADEASSLTISSPLHSTPLSTVSTTNKVQQSLSNELHTN RSATPRVESTYSALHEGPFHTQFKNKSASPAPLGCDHDDKSPNIIDQYLHPAMFDGPC DQVDVTHPHDFSAKMHDQVGNIDSDSVLGRDIDPAISE CC84DRAFT_1255971 MSALPRSIGSRLLPAAIRPSAPRAIAPKYRAFASNDASNEVASK TSSSEAPNAPLTESAQGRQESAADSMRHKPDYNVAIDYRTSNFSPIPKRVMDGSEPGE VVAAAVLSGAPIDLQARTVRIYRPAKAATQSGDWHSHHWLMDWDVLPKGHRWENPLMG WQSSADFMNGHRIQFKSKEDAINFANKQGYEYFVQEPNERKFVPKAYANNFTHSPKKL KIIRSK CC84DRAFT_1161080 MSYITKRALSTLIPPKVASPNAIGSSPNALRMQKVVSFYEKLPR GAAPEIQAKGLLGRYQKRYFGKNASAMPLVHVIGALIALGYAQNYYFHLRHHKNNVHH CC84DRAFT_461430 MAEQITRDVVKEAQSVGGPAPIDDTASTTNNFAGNGEAPSGPNP RDSSLSDELTTTNATNPPKTVNAARPSESADGAIDNFSAATPDRQATPDTPSNEKRES LVNGDAGESSATESQNALGEGSVGSDTDISRPGSVDPAKQPGGHLRTNSSVKKPAAFK SVSVTKNFLAKSAVAAPVARPGDKGPSSSSVAASTVQTARPRLVAKSGGSNTPRTLGK LNGAGSGPDASKVWNKNQPIPPAPPKQFTDEELKQQYGIHLATRLQADDGGKEAKWAD IDDDEDDWAPETVQWMDGTKSTVAAENQPPPPDDTKSAPKIEASLAVAKPAPASALAP AAPPAPAPVPSSSSQRAVLTGGTKTILKPGASAQASAAKPKGQLEKPTLVAKPSSAAP VKSPWAQLPPVEKVSPIQINPPAQQPQPRYSRDSYGYDDMPPSHAMAKEIAPDDFNRA WRDERGHRELFNSHSGRYEPVSEMRRGSVRDNSYRQQPSVLQRPSQDGPAEPSAAFQT SRTSADGPGWGRRRNSSNVSGGSGRRMSFDRRGPDLPPVPMNTQRRDSQSINGFDVGA SGAPRHALLNKTGPTDQPPLIEPANLTQITSPNVAHVQPASPLESAASHDAGTPGAPS QIQNLVEVQERLLHSNIEAIRLRKQKEKEQEEKEEAERKERLRKRLEALGFTDDAKSK AKEPSPSRAPQKSPQKGKAVPASVQSPPKPPVPTSEGEVAQYGMMKVHQAQPVRKPVS TEPASKPGAPKSSPSPMKAQAEVQPLPSLAQSMSPANELSRESDSSKPRPDHAQAQAQ QARPAGATDDKVGQPPQGPWSSKLPPQRPVGWGSSGSSVWGPPQARDRALGNGTFGQQ VAAPPQSSAAPIGLPSSLKSIPPQSQAAPVPPFTQQNMYPQAVPALTQGSGVSKPGPI APPPADKGWGNFQAHIRQDDLDIRVKAERERERVGTDFRPELRETYKDQKGNTETTVH PKVGGIDSKASQPATTPESKHEALQAHEAPISQGASHQPATQGGRSSRFFPKPPPASK QESPPPPETESHPAFSGDISHPVVRMPKPSPRVRLPPTAVGTAAHTEAPVSMPPRSRL GIGARPLALTQEWQARFNSLLDKTPAAGPGSAPITRPSPSVQAPLNSKPGSLAIAPSS KAPLEVRETSASATVSLPVSTNRRSFIKDGSSDVTTREGAEDLLEDREFGSLPTVKVP KTPHLAANEPPVGFPPSVRQHSKYGGKFYPTSKPTLQEDKPERHDNTIDVNIRIGNIR ELVTKSMSIKRKGTRGYSQQKPRRSPANGAGNASSVGQNSRTRKPASYQGQGTSSNGS SQSPASNAWSNNRSSPAHTNPWTTKRQTPSAPVH CC84DRAFT_461431 MSFFLLADQHTFFSTVPLLFAALQSVRCLRRSVLDLQCFLYIGL LQSLAVKVGLRFGCTKVTQFDSVWCNSCSQDQRAYLWLTTVAQRSASFCIANNS CC84DRAFT_1255974 MPMPSLLNKLLHPAAASGRKQNPYTDPHSPNHPSTSQPAPPSTT AGQVFSTAQPQAWRTQAPRPMSPRDPHDYLAEARIASGKDPVTGHASPQNGGQTSAGG NPERRAKSVYQETSEGRSKTPGTKYDLYVKEMKARKAGRWRAPDGGFYAPERRLGEFY QPERRGGQG CC84DRAFT_1193646 MRLLHLDALGRLILTDFRGKPIPPHAILSHRWSDSEILIEDISN GNYKEKEEGYRKLKFCAEQAAQDGLQWDNNERSKAINSMFTWYRNAARCYVFLSDVSL SAVTETATCSDWEVSFRAKLVAPVSVEFFSREGQRIGDKASLDRLLHDITDIPLAALR NCPLDQFSTSERRRWVENRKTSEEEDIVYCLLGVLGVSMLTAYGEGEEGALRRMQAEV EGAGSAPSIIPFSRNQSFVGRELQLGELEAKLFSNKQTTTTLAIIGPCGIGKSQLALE AAHKTKQNSRSCSVFWVDASDIDSLYRSYTSVAQKLSIPGYNDDQADIKQVAKRCVAA IGARQCLLIYDNVEGTTLRHSGSSTTQAADLADFLPHSKLCSVIFTMTESSTAEALAP QNVIALHELMPDAALRMLQNRLKTPLSNAEQQEAMHLLEELSYLPLAIAQAAAHMNVS SMTVQQYQAQLDQHKDAALKYSDDSSEGEQRESGRRKVIAATLSLSMSQVRHSNAVAA DYLFFAACVDRKDISLDLLEAASPQTREDAVKVLDKYALVTRRPAESALDIHQLVHQA LRKHLKAQGRIRYWTRRTITQLHRIFPNDDHSNRSKWRRLLPHAQYALSCSQVGDGGR ERLDLARKCAMSLHSDGRYKEAEELFVQVVQITKGVLDDEHPNRLASMGNLASTYRSH GRWREAEELELQVTQKMQRALGGEHPHTLLSMGNLACTYAHQGRWHEAAELEVQVMQT RKNNVLGDEHPHTLISMGNLASTYAHQGRWREAEELEVQVMQTMQRVLGGEHPHTLIS MDHLASTHVQQGQWDKAEKLFLQVIQTRKHVLGDEHPDTLVSMSNLALAYMHQGRWNE AAVLEVQVMQTRKNVLGEEHPHTLASIGNLASTYKHQGRWREAEELIAQVMQTRKRVL GDEHPDTLTSMNNLAATYSSQGRWRKAEGLQVKVMQMRKRVLGGEHPSTLTSINNLAS TYCNQKQWAQAEALFVQVLQTKRRILGNEHPLTLLGMGNLAATYSKQGRWREAAELEL QVMQTRKRVLGDEHPDTLTSMNDLAYTLKSQARQEEAIALMERCFQSRQQILGKHHPD TQLSHDALSSWQAE CC84DRAFT_461470 MPRSPCAAFACLAALAPSLSLAASLSLSPSPSRSGAQVSDNLDP SFAGFGIEPSNLFSFTGWNEPNEFSIQLLQNLADYSGAPPHIRVGGNTQDYMIYDADY EDFGWKKNPRSTAQGVIAADSMIIGPNYLAALDRFPKDTFITYGLNLAYKGEDYLDKI VNHSRAVVENLKNTRLYSFEIGNEPDLYLENGMRAAPWDGQTFTTQFMERADTVYQKV LKPKGMAPTFFEPPATASTIGTTFEIAMLNSDGILDRMNGEYYVGWWNQHDYFYFIGV TPTPITLNDLMDMDQTNTQFAYWEKQIKIALNTGVPYVLREMSSIGPIGMHKVSDTFG ASLWTLNFFLYMATLNVSSVQMHMTDNSNASAWQPINVYGKDPFVRPQYYAHAAVAQI IGNGNGTTQIGALDVGGAGGDYKGRIRAYSAYANSALQAVILINSKQVNASESNKASF TFNVQMGASNANKKIYISYLTADGADSLTGTTWNGMTFSDEDGQASVVDESIYQYSLD GNGAFSINVRDSEAVVANLDWQLGSNKVIKVDGSTTSGSRRKNAAAPSVTGAGPAIWA AVLVSFLTLATSLGAF CC84DRAFT_1213444 MQRDTIRKIDKPDIDLSSPLICHRDSPALGEQRIPATSKMLVSK RAASREYQRLGSWTSARGLASALIDSELLSPGCDSPVQEDGVLFKTVLFPEDDTPVYI PAANPDVFLAERIAHFLCKAFSIGADGLYKDFVVVCSSGQPAAPVAFWGIIVAGGVLR AASHSLTPEELARQMKQGKMETMLTAVQDPVTAMPRGSSAATDSISPVMPNMEPRIVD YCDIKPGQPGKTMVRGPFVTNGWDGHPEATQSTFRNGSFLTGDMAIETRGKLSTLSIG RRN CC84DRAFT_1081959 MGINGPLVYGAVVNVATLIIYFIYFCIAVWLAIRQGLGHNFPWI CMIILSLCRLTQVALDLAATIIYPETKLTNTTLETGVAILTEISLTPLFMSTASMLNM TSGPKGRRMQWVLLLLYIPLIISLILIVAGGIDPSSRDSPTFAPTAATKAGIVLYCVC FAILVWATTIIAARLYLASSLEVKILTTVVLSLPFFLVDVVYMMCFAFERTAPVMRFN VISGDVTIQLCM CC84DRAFT_461621 MANTTALLFFSLAAFAACSPSTCTFIPSTNATTTNYPGSSFIKT SAYPYNISVLSEVPIATICTSPTGNRTAELACARIYIDAIDEQLAFLYARRLGYAAVA GASKFATNTSLNDPSRNDVVAAGMAAKVLKYGATEAAGAVMGGEGCMIYAALKYEVET IQEECNNKFTVDVERVCK CC84DRAFT_1213447 MAQQTVAVVGTGACGLSMLKTLREDGFKVTAFERRKQVGGLWAY TKDATMTTALPSTRALISKYTCGMSDFPMPDHYPPHLTQAQFQEFMESYAKHFDLLKD IVFDAALKRVSRNEDDTKWRVELLVMGEIRVEEFDKVALCHGYQTYPQMPKFEDEKKF EGILMHAQQFRPEDVGKYKGKNVVMVGMSSTASDVINNILPHASKMYVSHRRGMYIFP TWRDNKPADLLLTWRRRQTGFFLQRNFPSVAQWLGDKALDYLVHKSWGYLDPEWRILP SPSVSLSLPGASDYIIPLLKTGKITSLHGIKRFLGPSSIEFTDGTILEDIDAVICATG YAADLTVAPFLETSRPPDYAGPELPRLWHNIFPPKYADSMALLCHSAYGKNNGFSFND VQSMAVSNIFRGSHPLPSLPTRESDIDAHHAWLATRWAADKTEGSFDRSMVRNWEFQG FLHEAAGTGMESLGWGVKGWKFWIRDPKMSWLMNHGVETAHAFRYFETGKRKTWDGAR EAIIKVNEAVNGRFPVKREEDLPEYRKEMLRIGRDVKR CC84DRAFT_1213448 MKLILSTSNIMSGGPSVIRRHTFEKSNTELTSSLRANFTAHRSS PPTPTTNGDTAATRPQPPFKSWTTQQENTLWIPTHTPSPLSEPRESYDITVKLFYLPN VPADTRCVQTREAIDLVLKELGTSSIDLLIVSFPGISFDADDDEESDLDDPPSAPNSL ADDGDADGAPEDMDTIVTTWHTLEKLHEEGLVSKLGISEFGCSRLEKFLPRTTVKPSV DQINVRDCCVVPKPLILYAKQQKIELLTHNDCTNVLPRGTLRDILGSGEKGMGVLARE KDEGERLQGEVQPQWVVKYTAVVKDRGVVESKGYFAVAELRE CC84DRAFT_1193652 MAIKYLILLSRQGKVRLAKWFTTLAPKDKAKIVKDVSQLVLARR TRMCNFLEYKDTKVVYRRYASLFFIAGCDSADNELLALEIVHRYVEQMDKYYGNVCEL DIIFNFQKAYFILDELLIAGELQESSKKNVLRCIGQQDSLEDMEYLDLCALHEVNLAL NFDTPDSAVIGGCDVWTIKAAGSDKKLYKRIERTLEERHQELLAAVAHLPQRSAAQFS DELNIARDTPFGSFNEAANRHTFAYLIATLNATHIDYDFANTLNPDEFRRETRKSFVH RVDTTMYYLRPQVYSQGLPAGALTPLGSPIWSPRSWQLVDSEMDMANCEYYAWEPSDD PFADDGAIWSHHCFLYNKERKRVCYFYLRGISSLSNSPSVPMSLITRFKQAQHETSSN AGSRKRAEYWLGARAKKGLEEYGDSDELDNMVIDHPGDIVDADEEDWEAGRETSMGAE YWSSDGDSDVESLKEREMSRETSRKPSNVRAWSEGVMEKMEL CC84DRAFT_1161092 MPHALPRMLRRPILSLAARTEIISTLVHCPASTAGLPTLPRRHS TNPIFTSLWGDVLQRNHKLCTQIVSESTCTCLTHRSI CC84DRAFT_1236179 QSFYTHAPSGQAPHLVAARPAHARCGTLAGAACAPSGWTPPRRQ LQRQQASTLTTERLVMARRSSVRGSPAITRAASKFRLAGVHLSSECSLQRRRPPPGRR PGALVVLAQAVLASRTKNCHGEPWLLPGHRFERPHSLGPAASDVQRKCYCTSNHLRSS WHHAASRERSLAAAQPWLSRVAAAALVVMRISQTVTRAAHDPDEATACRTTTAPPLLR RPRAASTSPALAPLGLLICIPSAVRDQWTAEPAMQRYRDRSHAGVHDPYPDREAADKR LIATQKQDAIDEMRRRWRKSMLICLRDPNPSELSVLSAMPFGHPRLTSAPARHVGAKS CRHLVEASVSRRDFERP CC84DRAFT_462424 MQRSALALGGVCLLRSRGRQLREPWLDEVVVEVLQRRARRNRDE TGALEVQGAGGITTAYMVGSGPSLQLGEGAQEQGRWSESQYTHGKIKE CC84DRAFT_462302 MAQIALPRQQVTQTQQSAQIGSPLYQRRRPSQAGPIELLPNPDF SFPMRDPETTSSAPTPTANARPMSLQAYPTGRRGSAHARGKSINALPDFSFNPAGTSA PPVTATSPQLSPMALPTTPVTPATPSRPIGGHRRGGSEFIGGDGRSGGASLLSTSPTK GDDILPPPPNNTLRPGPPAGRRGHAHRRSGAVSSHDLSSIMNPPAHPRAGSAPVTPSE GPQFAFGHSVNKSMSQPSLRDAGSLQDADETPRPPSRARVGFSDKVEYIRPLSTISSE TETSMSTFRGHSATNSLSSVISSGTSSPQTFRNGRPTLSIVEDKSRPSTAGAILGGRG NSGDDAANHTPPSSAISPPAMTIPTTTSLPAKRRSFFKSEPRRSDPPVPTLSSVSDVA LNVPVESPLPSPMVDEEENGEKSTRTKSRKSNKAPRKVKSWASSIIPKKTKHSKKVKD RASTPPPQSTGEDDSDASEDPDFEANFDVDNTVTIVSPTEDAITVPKAQTDYASWEPR GLKRVDSDTMNPVIDLDAALGPFNGTNARTQRGFAAHRRAMHSSGGVLQSHRRTESAP ALVPFELRSTAAATSSTMADVFEEDEPEDDTPVSSGKSTHSEPPINEEQEDAEEPKIQ VVETDDYHNGSSMNWNFNDGLGLQRNDRSRREESSEPCSPRAVPAFVPDVEEATRSTP ADAVEIVEDFEEPRTSSLTHSSDSTVTAQVSGDDTKETHNVMNLSLPLGQQNLMTPDT FASSFSSPDFRSSQISLDTPRLGTAASSMTDYQAMPSPRFGEPGPELRVSVDDVPSLT SSRSTMTSAMQSAFPLPSPRQPGDRSASLCSAPSDVESRRRKRSSIASLSRLMNTGSF GERSKLSIETRPQSEYGLNRLDATQKESKKKHKRLSKLMQFWKTKESKGSSRT CC84DRAFT_1112027 MADEDKEKAAKVAAAKKKYEALKKKNAKKAGGAAKKKDDKPEAA TAAETQEEKPEETAAATDAPEAPETTSAFPGPVDVEDDEPTELPSTTPSHNRKPSIAV ESRQRSASFYRAAGGPTSPTATTPGGGVSSDIYREQAQRIEELEKENKRLAAEVEQGE TRWKKGEEELEELREGRGEAALAAEKAKEADKLKSEVESLTRQLSHLQTQSSKSTKRA PTPNQSASIDDLNAQVASKSETIESLELEISNLNKQAADQTSKQSELQARISTLETSL QKAEQEASSTKTELSDLKANLEKAGEQAAKEGSDRDSAQTRIAQLEAELGAANRKASD SISRAELLERKIETLTQLHRDNDARNQTRLQDHKKIEREAAELRTRVTGLSNENARLR EAEQRRRKADLGNIEDSSVQELVDEERDRLLAKVRELEEENFELRRGVWRDKRAALQP GIDDHAGDFDDVDLSGSTPTARRASGRQTSSLQDVIQSGISAFTGASRTRGEAPKTRQ ESVGSLDDFEFDEDAFRVAQEEEGKRRLERVREIKRGLGQYKGWRADFVDVRVGMGGV FDI CC84DRAFT_1213453 MVINVPTASLEGKVALVTGAGRGIGRGCAIELGKRGASVVVNYV SSQGPAEEVVKIIEGFNNGAKAIAIQADVSKVSEINRLFEDAKKAFKKIDIVMSNSGT ESWDKTEEITEEKYDHVFNLNTRAQFFVGQTAYKHIEDNGRIILMSSIAAGLLGVKDH ALYNASKMAVIGFIKAFATDFGKRGITVNGVAPGGIKSDMFTQNAWHYIPGGSPDWPA EKIEDLMANHCPLRRCAVPEDVARVVAFLASDDGGWVNGQVITISGGSSQ CC84DRAFT_1161095 MHILITNDDGPPSDQSSPYVHSLVSTLQSHGHTVSVVLPHTQRS WIGKAHLVGHSIKPTYFRPLPLRSENGRLLNDGTTHTRPLPDASTEEEWILVDSTPAS CVQIGLFHYFKSRGPIDLVVSGPNYGRNSTAVFSLSSGTIGGAMEAAVCGYKSIALSY AFFDRNHDAAIISGASELSARLIQHLFDNWNPDTHLYSVNVPLVENVGEKKILYTNML QNAWKSGSCFQPIEVPSESDESASDIEAQIRKQEEKLGRKELLGGDSGGDSGRETPVG GHIRYTHKHFKWAPRFKDVYESVEQSAPGNDGWAVAQGYTSVTPLRANFMHTPGDFTG EIALDNVGKGNVPAEVSAENKKA CC84DRAFT_1138296 MSSQIIRSVVLLLSALAVPISAVDVISRDVVIVGGGAAGSHAAV WLRDHNKTVAVVERSSRLGGHTAVYHDPSTGTPINIGVQAWMEYLNTTSFPHRMGVDT NGSMQFENLTPHYVDFSTGLPVSYTPPSPAAEKAALADFLSLCEKYADLMLPGFFHFP SAGSNIPEDLTMDFGAFVQKYDLQAALPKLWTSTVMGVGDFLRVPTLYVMQASGLVMA RAMLGQSRAIVPSSGNLHELYDRVADLIGEDVLYDSIVVGATRNDSGVEVWVKHRDGN ETQIVAKRLLVAFEPSPVALAPFDLDDEEADVLFKLRFSSVHAGLVQHPSLGGLQQWT NTVPGAAGGDFSAYPLPAQVGRIAHQPGGGEDLFAFTAVGTEEDGAESIQGLIAQAVE SMVKAGTVSAKNGSTTFPYFANHGLMHSRVSGEELKKGFITRQNALQGRRATWYTGAA FSAGFSTVLWAYNEVLLPRAIEGL CC84DRAFT_462550 MAIWGRDDRCSLTHAQYSVHKPSPPATDIRPRPPALARTSATGT RTLTAFAAGACAKGTRT CC84DRAFT_462572 MVLPTVQLAAGLLLLVYLLSFVLFAFIRVVTGVSIQRLGVSGLR RIAFAPKDGLRIEIRGLGLTLHRPTFAQPTWLSVVLSELTVTVDLKTLGDKPRKKSAW AKWANGSAEKRRDEKKTPDIAVDGEDTEDTTDEEGQRSRTWERLTNVKEHIKRLHRKI KWIKMVDLVATTTTLVIADVGSLQVGSFTMAVDTRRKTVDRSRLFNHHKPKQNNEHRP AEWLFTVRSILFTPEGRESVEILDQCTLNVHGMLYKELDGLRDASIALKLGRLSIPYD EVKLCAERARKCRAAAPIRHARSNTSLGDAFRELGQPGSPEEEIVRTVADSREFASSI LRGIQEFQFAISLFSFTRRIQTGSDSDPPVYLNASMKEVSIDLLRLDPRSPAHLMYFS PNDIAHQALLAAISISVGIDSGQGHPERLLYVPMATMTLNTTLPSKTIQFTKDKNVAE RNTNILFANLVITSPSIDLDPKHLPILLAMTRTYETRRKPPKLRDRKRSLVRRLLPKA SIKLSIHEPVIRVTLPPTEPSRKDSDEFDLLISSSSSVSLDMESSHAADAELHYGLSS TFRINSQRLYYQTASSEKHNLLLTDHVELKVQLSASPDVLVVVNGNLQTFSVFIVRSE ISEGLRQIVAQVQKDVRRRRGGPRKKGLNFLRRLPSWLSHVQLQGSDFNIEIAGIDPE VSKHARGIGLHLESWTAEYRQSRGDDSEARPVRRRAASRTINRDEYLLRPTTPSSPKS PRKPAGDPTDGRRLAVHWQGFEGFVLEADEQWEADPFLGVPRMEVAWSTSTDKQGPVF HIHSFCQSLFFHYSLYRHFAVGVATMVLKHSFNVAFTSQEEPVPVSPGASRHLAIPSM DIDDLDPETGLKREILTLDIKANFVQFKGDMPADPPLMVHVYGLEAGRHRWTNPFFRS RLVRLYAECPHVKRVWSRIVSVKSLRMDYRKSKRRYGNTITEDKSFDLVADAIRIAVP HQLVMHRIFDNIVNVTKTIQQLHFRFQTGSNRYVLNKEPEGPKHVPKISLRSRAVLFE IEDGSFEWKLGVVYRYGLMEQKQRLAREQAFELKVKKLHQEEERRGNSRQRARSAHTH RGRSKVRKKEPVLRSKSEDLSDPESPDSVRRRGSDHPMRYDKDGYTGLSSKARTSIEE ARERLNRLNAQTWRKRIDHALTCQTRSMNDIRSIFWGLDEVPDDAYSKETIMAIPHRP ALGSLIVSDLDLTIDKPSFPLSEYPRFLHRVGKGMPVDMQYSLLIPMHVQLNMGEAKI QLRDYPLPLLHFPALHPLQSSRLPSVSLKTDFVIAEEFRDIESTRVCNVVVVPEESTP SGAKTGGFEVDIRRTVAPVKTYSDMKIDINSSHPTRITWGTSYQPAIQDMMQVIESFT KPAIDPSERVGFWDKIRLTFHSRISVSWKGDGDVHLILKGSRDPYMVTGHGAGFVMCW QNDVQLTLAEDEDPRNFMVVKSGSYVLAIPDLGHYARQEAELEQPTTHFDGSSSVSSH KQLAIFKKTIMKLNGNVRWVAGLVFERNLDGGGRSFKFNPHYDIVLKHPKYAHPKDGK EYDAFRGFRSHHIHMSIAIAAPHDREWSVGNLEPSKTYNSVHLTPRFFTHFYNWWSMF SGAMSLPIRQGSLWPGIEKSSKKFGRHLATIKYNLLLSPLYMSHVYKHKDAEDYGRGD VAATGLKARLDSFMLDLHQRREEFRTIVQAPKAQEDSKQNQTTGMRINQVQLDLIRTD VRAVSARIGGTGEDDVENANDETIADYNQENARADLSKFTIPDNDWGWVDMDDFVELG WVLPSEHNPETQILPLAFAPRFTYFRQTDHADNISGDPHRTSPFGKEPTHHCVMSARN DPRRVQCYLIEQRIQRVKEQTSHNERAIGDQELKIIREPENSEELRHQLTTLQNHSEF LRRKMNFLQAMHQSLIERLKSADKHAVPNGEAEGEDEYYEAREDYGAGDEGGTKTSEG AQHADFISDFNNRFIIHNVHLKWNNSLRNIILRYIHQVSQRRGFVYYMSRRAVKFILD IVEEQDKLRKSSSTPGAEHRPMEPQEDEEDTIESQIQQLLSDGKKFIEADNAEQEQNK SSAPNNAGDDVSLDYLAQNAYIVRLIAPQIQLQSEKNTKAAVLVTAKGMQLKVIQIMD KDRVMDDVSGLVQRRFTASMDSLQIFVTNSKIFGSGDIHMYSGSTYGAPAGTAWPPWV PFEVMFDFKTHPYGFQRVVERTSASMRLDKYNPLRLKYNDDVSGGDSASRRKSMESRI DHIWVDFPHIRALCNSRQYYAMYIIVIDLLLYNEPLEKTRNERLEKIMLASDFSNLSG APDLVIGLQERIRQLEEIKSVFQINEKFLDKKGWSDRIEVEKDLAVFEDELFFVMKAI TTAQRRYDDRAQAKELTGTLRWYITASEIVWHLLQEGQTSLAEFQIKNALFDRTDNND GSNLNSVEIEHIVGLNLMPNAIYPKMISPYLEASRPKTSDGQDSLETKMLRVHWVMLE AIAGIPVVDHFEINLFPLKVALEWQIGKKLFEYIFPGIKDKSGENGNGTFSPLLVKHI ALDDDDDDDIGNLSSTDTPTMQLPTNGEDVEGLKLRLTPTLHLPTHQPKTEQRSSKTR PNLHHLRLFRETQSRSATEMRRTLHPSSAMSTPGNSSTNVSRPGTAVSRTNSSFSVST AESDRTTRRFALHRSGTGDKKAGTQKERNDDLTQMMSRASNYMTLAYARIPSLILCLS YKGKGNRNFEDIHDLVFKLPTLEYRNKTCSNLDLVLQLKRDVIRALISHAGAIVGNKF SHHRPSKHTQSRLRQIANSSSIMSTSPDLSGTDSASIRDHSPGESDSNASGELPGRRS FASGRAPSTFSALSEHSESTSMRSSRSNTRPATENGSILGSSWHDTQGLGIEVEDGRT FADELSRVDQTEPGHAGVIGSLSRHVTQLTPFGSHRMKDRERERLGSRGGDGSSVKTA EDEDDAASHKKKSKLGKLLGRSS CC84DRAFT_1161098 MAQNGTFHPGTLHLYTPSLTAFEHRPPPLNPNCSVSSSSTPQNT ILFIGGLGDSPLTVRYPTLLSRTVPPHWTIAEVTLTSSAAGWGTSTLSRDAAELGECV AYFKKMRPSGKIVLMGHSTGCQDVMEYLVGLSSSSRPAIEGAILQAAVSDREGWDTIV SDDASLKESLEKTVAMAGAWVARGDGDAILPKKGNKVLEMFDSPCTAYRAHSLLAKGG DDDYFSTDLSDDTLKGTFGKIPARTKAMFLWGSRDPYIPGHVDQEGTLKRWARIVREG GGNVDEANGGVVRGATHNLNDDAEDIVQDLVGRVVRFIKEL CC84DRAFT_1161099 MSHGYQQYGGNPYEGASQAESGYGQSNPYGGQGGQVAPGGYGAS NPYGGTGQDLNAPPLQHEDSQYSQVSQYSSQPPQQTYSHAATPLSQQDFLARIDGTKS RINQLSANITEIANIHQRMLSSPDNRSSAQLESIVSETQIRNTSIKDEIKFLEKDASR EPQNSFKRTQVEKLKRTFKSQLEDFQKEEADYSKRYRDAIARQYRIVNPEATESEVQE AANADWGDEGIFQQALKTNRSGQANSVLGAVRARHNDIQRIEKTLGELALLFQQLNEQ VVYQEEQVTRVEEQTENVTKDTTHANQQLDQGIKSARRARKLKWWTLGIVVLIIAILA AVLGGYFGTRAKTNNP CC84DRAFT_1161100 MKTSAITVAILAAGAAATPAAPLAPRASSLPTVSIKGNAFFAGG KRFYIRGVDYQPGGSSANEDPIANAETCKRDVAKFKELGLNTIRVYTVDNSKNHDECM QALSDAGIYLALDVNNPNYSINRAKPAKSYNKVYLQSVFATIDAFQKYDNTLMFFSGN EVINDDKTTECAPYVKATDRDMRSYINARGYRKIPVGYSAADVSENRMQMAAYMNCGP DGARSDFFAFNDYSWCDPNTFEKTDWGMKAKNFSDYSIPLFLSEYGCKTNTRTFNAVS ALYDTSKMTPVYSGGLVYEYSEEADNAGFGLVKITSSTEVEDKDDFTALKKALSNTPA PTGDGGYKTDGKISECPQKSSTWDVDLKDDELPATPDGVSDYMKNGAGKAPGLTGGSQ DAGSDKVDTAPAASGAVTSGASETGGASKPTDSKGAAAGPLAPEFSFAPIACGLVVLV SSLFGGSLLL CC84DRAFT_1138310 MPRDPLIGLVGKPSSGKSTTLNSLTDATSKVGNFPFTTIDPQRA IGYLQIECACKRVGLESKCKPNYGSCVDGRRSVPIELLDVAGLVPGAHMGKGLGNRFL DDLRHADALVHVVDVSGTTDAEGKATRGYDPSQDIVWLRSEIVRWIQGNLMEKWGSIK RRHVAVKATAVETLQNQFSGYGSTSAVVARCLDKLALKEPLQDWSDATVEAVVNAFTD EKFPTVIALNKIDHPDADKNIAKIAKMQDPQSIVLCSAISEVFLRKLAKQGFVRYTEG SEFVDTREDLIEAGDPDGGGLKELDEKLRTRIENLKDMVLYRFGSTGVVQVLSRAADL LGLVPVFPVRNISSFSSGSASSTAVFRDCVLVKKGSTVGDVYRKVMGDAPMAYVEREG GVRVAEDDLVKVGKNDILSFKVGRA CC84DRAFT_463684 MGPNYFSCRYHCTRFTLKGLHEERGTGAARRVISALLTAGVFRT MAFLLLSFVCRHTQELDVWASTFTLPFYFDTTNFHTLNFPACP CC84DRAFT_1184234 MSSRPDRNMPSSPLSPRMDSLNIPSQARRRPPLAHMRQSSGTAA NLRLPSLPRFHPANFASQGSSAAGTPTTNPNSPQPPLSPRAHQRHYSEVQRQMYSYQQ QLMVNAARQARANPKPSSPKLAPLDSPGPVTPMELEGADGYLTARMSSNDAAAHVEKL IRDEAARRGDISPGRTTSVGGR CC84DRAFT_1213461 MAEVPIVLDGGTGFLKAGYAGQNFPDHQYPSIVGRPILRSEERD GDIVVKDIMCGDEAAAARSMLQITYPMENGIVKRWDDMQHLWDYTFFEKMKIDPTGRK ILLTEPPMNPLKNREQMCEVMFERYDFGGVYVAIQAVLALYAQGLSSGVVVDSGDGVT HIVPVYESTVLNHLTRRLDVAGRDVTRNLIALLLRRGYALNRTADFETVRQIKEKLCY VSYDLELDQRLSEDTTVLVESYTLPDGRVIRVGSERFEAPECLFQPHLVDVEQPGIAE FLFNTIQSADVDVRSSLYKAIVLSGGSSMYPGLPSRLEKELKQLWLTKVLGGNPERLN KFKVRIEDPPRRRHMVFLGGAVLANIMADKENMWISKQEWQEQGTRALEKLGAR CC84DRAFT_1161107 MAAYAHELKPYGITHGLTNWSAAYAVGLLLARRTLKKLELDEAF EGVEEADGEFSLTEAAEVDGEERRPFKVFLDVGLVRTSTGARVFGALKGASDGGLYVP HSENRFPGYDIESKELDAETLRKYIFGGHVAEYMETLADDDEERYKSQFSGYIDDDME ADGLEELYQDAHKQIREDPWKKEEGDAPKKSKDEWKKESLKYRTAKLSKAEKEKRVQE KIKTLTA CC84DRAFT_1213463 MEDVHGVDVSWLHHSSTRGERRAAAPLFSVSRIPKVVVADRVRS PDHHHRQYAPSSPGLPKDAKPRTSSHGGLPSNGTHSERKTPESATSAVQDVPAPSPAP SNPTPAPATPTPVQKIPTKRPTLLGRSGSEKQQPGSVTPPETKTSLRKNSWISSISSK FSSQNSPAQTTQVQAQGSSANTNAAEGVAATANGALNGIQAAASQTHSAYEPYVPQQP KGSFISNALRRLSSGSQVGGSLGKAVPNGGVCPRKVMNIDPNRTRCLVPELNQSKLRR VAFCVDVEIAGGPRYKDEADSEERKQKRKDKKIKERGEGEALKHPETAAQEKDKERDV EAVLSQEVAGAEQGPNPEGTGTTDEKSEPSRKKEKKKRSEAERKERKEKKRRKAEENG SVPLEITRDDDEVSADGASEGSSKPSPKHQDRPTTDPLRIYRRCCQLRETPILKRISD QLSNPATTALSAPGTVTCLDLTGSRLQLADVVTLSDWLAVVPVKKLFLDDADLNDEKI RVILAGLLAAKVPEAGRRRKSTPGETKNGSENIEERSGVVKKLVLKNNPKITAEGWRH IALFIYMCKSLMSLDVSMIPFPSSSPQPVPQIPNGAVGASAEKKAASKDIAEIMLKAI SERLGGSKLEELLLSECGLSSSSIRKVVDGAIVSGVQRLGLAGNNIDEQGLEHIIHYI KSGVCQGLDLGGNTLRDSISRLTECFTKDCPLWALSVADTDLTPESLRILFPALGNLP NFIFLDLSHNRDLFSNSLSLCLLRKYMPQFKKLKRIHLTDVSLSQADVIGIAEIIPEC PVIAHVDIQGNPKISALAAASTEEEQEEAAAVYASLTLAAKVSQTIVFLGVDVPGPES NEVVKALAKQVIAYCLRNMQNIEGVPELQNASRDAVLPDVLQHLVGHADDTSVAPADD DAAPNDDYIVGGTGVAKALSYCLRQKESDLRRTSLPASGTTTPKSSQATVSEESHRAR IMSKNLLENARNIRQRLQVALAREAKAGNDIPYRRLIFLDQTLQGMIKRFEDEYPETR IQSSDAASTASSIPSSSPPLSTVPTLSTSATDNADNVFHDSDEDEPKALRSRHNSDVS LASRAQTLEEGRLHRLGHRIRTEFINPSRPSSSHTEQANLSGSMNDLGLPPHVMALRN QFASYSGEQIREMTEGAGWEKAFNDIVENAEELKRMEKESPEEFAKFRDTQIAALKNA SPDVDFSDRWTAIGKENENAIED CC84DRAFT_1213464 MEYGRASKLEDAKATSGPGRELVDKQTVVVEEYGAVDASTFPKL SISPGSPFPDDIKLMREHLHRHQALPRLQTQPIEPQGATFLNCAGSGSSVSPTSDSGW SVLTPTEAEAVMCQKTNDLPNEKDLVDDAAEGGNAVEKETTKEGTEYDDD CC84DRAFT_1202642 MNAESATDNVWADAGHAPPGNIASQTKSSHVPVEPPRVPVKPTV HTKNVTDDEQPVEKKSNLSTKIYKGCKTITVKAWRAHHALLVAFGFVCWLVLTLLTVH SAATGVRGILMPSYRTTMHRLNNIKSEQSLLLSKLEDLQDIHLQKRSFETHLECLIRA TNIAQDHYDDLPFQSYPLVAEYTTQWAQSECDKILFSPVPIPRSGWHWFWKALVDQAG AAFFRCKDMVDGLMKAKKQRLQKSSSNAQQLTLSLPSGFRLGCNEAESLCHLLFLPLQ SLSTSGSPSTEEMNLIVLGRRLLRIIDVCKRVRKLIAVVWWLVPWVNLMVLALQLIIY G CC84DRAFT_1256000 MRTSRIARDTSKILAATTRGPSLRRTRAATNTLNAVEAGGVAKV GTRESESAVHDEAPHSDSDLSSVPDDALSESSDAIVGQKRKRREPVTVKQEVKEVSIT AITSPKNESKSKKARRVPAKKITGKDGIVKVEPPPSWEEIYSLTREMRNENVAPVDTM GCESLADRTASPRDQRFQTLISLMLSSQTKDTVTAVAIKGMQERMPGGFNLESVIALE PQALNAFINKVGFHNLKTKYIKNAAEILRDKFNSDIPDTIQGLTSLPGVGPKMAYLCL SAAWGRDEGIGVDVHVHRITNLWGWHKTTKPEETRAALESWLPKGKWHDINNLLVGFG QTICLPVGKKCGECKLADRGLCPSAVVGKKTRVKKESLLVKTEENDASATLSETTVVT HKTVKAEEIKGSVVDIEDIGRHAR CC84DRAFT_1193670 MPKPTDIPTFAATQLTLLDAELQAELAETNALLSSHTPTALSRA GLAILNLNVSSIRTGLGGKTVVELGLDPAVVGKGEKPDIPEHGIRVGDIIAVQDQPAG SAKKSEKRDLAKKGVEGVVLHVKRENIEIILDKEDADVPSGGKLWLVKLANDITYKRM NQTMGNLKKLSDQDYTPFMRVLFGQGSPSPVPSDLNDPSNPLHKLQWNDPSLNDSQQD AIRFALASREIALIHGPPGTGKTHTIIELILQLLKQKLRILVCGPSNISVDNIVERLA PHKVSMIRLGHPARLLPSVLNHSLDVLTRTSEAAALVQDIRKEMDDKQASIRKTRNAK ERRQIYTDLKELRKEFRERERGCVDNLIRGSKVVLATLHGAGGFHLKGQEFDVVIVDE ASQALEAQCWVPLMWVKASKLVLAGDHLQLPPTIKSLNSKEAKAAKKTIKKDDTDRVL VSNGDARIDAVKPAASNMTLETTLFDRLLALHGPSIKRMLTTQYRMHENIMQFPSAEL YDSKLIAAAAVKHRLLKDLPYKVEDTDDTREPILFLDTQGGDFPEKTEDEEIRKGGKG MSLGDSKSNEGEAALVKLHVRHLTEAGVRAEDIAVVTPYNAQVALLSGMLKEAYPGIE LGSVDGFQGREKEAVIVSLVRSNADGEVGFLGEKRRLNVAMTRPKRHLCVIGDSETVS RGSKFLKAWMDFLEENADLRYPNISDSYVDEPGAG CC84DRAFT_1184240 MSVDGNPLRRSSWKAEAIRRGDLKISGPIPITEDVPLSDEEVKD FEKHGTLSPKLPQDIPVAHPTQHFPGPPLAPPPAAPVLQGELYPQTPIAPEDESEKQE KFRSSPPQQLSVPMQTTPERHRRSATEPMPISPIPPTPETPTRAATRKKRKSGLRNVF RKMFGRKSREELDEDEGQLPQRSQTQRQSQRASPTPMNPLGQHLPFPMNVNAPQEVSP PHDYLRFEMPTPNLSRRRATLPNVHGISETQSLNGPEKRLDTWEERLSGEYIPSPEIG VALSSPPGERQSTQSVQEKRRSRSAGNLREMTKGRPSVERRRSAEIRYWRSSYASGSV YSTNTPRPRTAQTVETVRSIEAQGELPELSEMVTKAVSVHAPTVVTQHDHDHDTSQVP LPVEAFNFGNFRSDFSDDDDSEQPAEGPAAPSAHPERRLSIEDRVKHLEENMRSLDAS VRRMSGRSNRQTIILDNAPKGRRSRNRSSSASSQRQGSHHSSKGSHNTLHLRQYEDEK PAPGSPAHPPLSAVNELPVSNAAQEGVADIHAQLAALQSSLQHERYARKALEAQVSTL QRDLADLHNLVHKFVSAASPSYPTPSPDAIIASNEASTPRASELRGRERAISESSTDD GDDMVSPDDWATPKESTHTLLVVDEEGSTRTNAHER CC84DRAFT_1202646 MVVMAFLWSSYLQSLQLSHGSSASPVHPRSNSVYTDPDYGTSMQ GRLAYGLVSFFCLFSLAFVLGIRAKRFEKKKLKFTWLLVHVQTLIALGLVISSSILVV TFGTTTQEQCFSAIMVCVVFYITAKMCLYMFLLERAHVVRAPFIRKRTDDWVWVGGTT LICVTFGAISIWGLITPHAELSLDDGQCRIGLAKVPAYLLLIFDALINAALTVLFVVL LRPVLEFRERTSTCFDDGNPSPSVNRFRQALRQLRSLGFKEDEGTDNFSTSIKIVLWK NVVGSGITFMASAANLIVFFSEKGSQLASVCLVSCMADVTCGALVVHWLTIGSNEEIH VPPRSTRSNHTPSLSSSSTIAAISKPEDIVKPSKPES CC84DRAFT_465809 MSKLRIAMFNTDTPVSTVRPKWNTYGKMFEDLLLAAGSRFTPDL VIETEEYDIQGFQYPSSLADIDVILITGSAAASYDADEWIRRLNDYVLDVYNNHHHVK MFGSCFGHQLICQSLLREYGVHVQKDPNGYELGVKEIRLNGKFRKTLGNGSVFSRKMP DSLRVQMIHGDHVVLPAAESLPDSWIVFGATQHCAVQGMYVPGRVLTLQGHFEFNRFV NTELIHVFGEKGNWPQQMIQDGLEDVDADDDAEFISEIVLQFMLEQRGESAAHRKVGG LLTPPFA CC84DRAFT_1112080 MSTVFSSLPVVDIHNLKASTLDTADHQALSRKLYDALSTTGFAY IKNAPLSFGQDEIFELSRDFFALPLSQKMKLAKKSFRQSHTNTYRGYFPTQPHLTPDN LKEGFEIGNPAADHNKATPAKAQKINLSESNVWPDAQLFAKQERLEQIYNELQRLAAN LLSLLAAPLGKDPNFFSSWIDDSLSTLRLLHYPPVAQTVSPGTKHAEQAVRLSCTPHT DSGILTLLHQDATGGLEVLNAAGEWIPAPYIPGSIVVNIGDLMARVSGSRFKATMHRV RAPLPNPDAADARFGRFSIPFFFEPGEVCSVSIEGEEDSIVYGDYLRRKMATFVEFQE DSGYDTGTTEE CC84DRAFT_1161114 MSDYSPTRKGDGPQIPEDYEQRGSQPLIGTQSPGVARIEALSKH ITTGNRIAIFIGVFFIAYAYGLDGTLRYAYQPTATSGFGNHSLLSTINVVRAVVAAAA QPTAAKIADVFGRVELIVFSVFFYVLGTIIEASCTNVQGFAAGAFLYQVGYTSLLLLV EVIIADTTSLRSRLFFSYIPATPFIINTWVAGDVGGATLAATTWRWGIGMWCIIFPVA SLPLILSLLWLGRKAKKSGALDDYKTPYQIYGPRKIAIALFWQLDVIGILLLITVFGL ILVPFTLAGGESTTWGQAHIIAPLVIGILCIPVWIMWERRAPHPMLPFHLFKDRAVWG ALGIALFLNFAWTCQGDYLYSVLLVGFNETPKSALRITSLYSFASVITGTLLGLVVYK VRQLKPFILFGTCLFMVAFGLLIHYRGGVSGSAHNGLIGAQVLLGIAGGFFPYPAQAS IQAATKHEHVAVITGIYLACYNIGSALGNTVSGAIWTQVVPSELSSRIANQTEAVMWY ASPLDLLATHPPGDPDRDAAIDVYQHVQRLLCVTGICLSAILIFFACVIRNPRLTDEQ SLSNAEEDIKHEAPRGSKWAFWKR CC84DRAFT_1236227 MAPRPSTPGVNPFAPSGCVPPTQTVALALAIVKATPPGIPARGQ SAVTVLQWILTNLDYVLKLRAHLKLRRQMFTESEQNRYLDLVSYWQQRCETAEHECKE LNKKIASLERSVHCLGNLTVRPSNEGEQLSPSPSKKKGPTSAAAKRPRNHRLQAANPP APDEILEDDLQLLEKLGNHGTTVAQHYWTAQKLLRRPGANVDSICGSVVGIASALGQI FPIIAKNHDRLAVRTVDQPHLRHIGQSKSELSCLMSACARAWTLVIVALDRLEEDSPG SRRSGLVIFECVKLVSAALDAIRLSARHAAWKKGSSSAQENIHESIGARSIAHLLATF IGHLEKDSGIHHKLFDGIAYVLLERVGDQLYYCTFERERGATIEERITPKSKSTDPHE VARQATDAAAIRLEVKALVIILERVMGVAPLHSNEQVAKKSKTALARTVSLKNISSTR IKLSSLAQERLQRTLIQCTFGHQVDDDFKDILTKPVSMGRAPPAPKVTDADVPEWYQA QVWRLVGWEILEKDGEWLG CC84DRAFT_1138345 MAPVKPSVRQFSACFRCVRGDATFNGAPRRFFSSSTAAREELQT SSTPPPPPPPASTPGDSAKDAAAPKETPEYMKQWGELDPNAVELKRDERRLIRREGVQ PIGSRRRRAIIARDSAAKAEQIPFEQLPYQCFQEARKVLLADREEKLKDIKTQIMRIE NLKAQDPAVSGGEAKKATRLRSMQNHLNDLIVLADINDPMVKKKFEDGEGDMNKPIYR YLADQKWRKWKRLVLEQRVEQFNLVPDLLPALDPIADVDLAFGRKNIPIGDFVDSAIS ENPPRLNVQTFERGQKLVTVVVVDADVPLPEKDKLTYRCHFIASNIPIAPDQTSIPLS RIQQQSRKTENVEEQQVALEWSPPWAHKGAPYHRLAIFVLEQQDAKALNISRIKAEKR DGFILRSFVDRNKLKPIGVTLFRTKWDESMEGVMMRNGLEKEVNVEFKRKKIEPLPYK RRTERMR CC84DRAFT_1112087 MASPRIASPMRGVSYQLSRLSLRQDAVPKSLSASFARSITTSTV SSITTSADGFVPVKPFEEQTVLATIHKFPSLEPLRLQSYPANYLNLPTRRDILHRAVV YEGDMTRLGTAHKKNRYEVRGSAKKIRPQKGSGRARLGDKNSPMLRGGGLSHGPRHRD FSTELPKKVYDLAWRTALSYRWRKGELIIVDNAIELESPSQRLLNHIFEVHERERGKG RSLLVTSEDRPFLEEALDKMDRGRQALTWDLVDVKDLLELQRIIIERKALWNIFKEHR SDLQGLRVPGDLQREPEDPASHDFMPGWTQFHALMTAPHAEREAVRAEAYESTAYERW TRAEALPESDPEKLQLTVSAFELLAEAKDLARKQLPAMETLSAIRKEKNAIVAKLEED GDPEGALSQAQVDAAEANLAIKERKVNGLELKAQAAEHRRDAYRFRGKKGRAEEMEKR AGNLRTEMALAEDSLLYAKIHLAKSTAETLSEQGDVVEAEKYEAEAEELQARLNEIRR QAEEESVDEKSEYTEEDIRTAEIEAKKAAEKQ CC84DRAFT_1138349 MGTALKTWELENSIKLVDPTKDALYTYSQSEQKAVNDAKPWRND PHHFTSVRISAVALLKMVMHARSGGSLEVMGLMLGKIEAHTFVVTDAFRLPVEGTETR VNAQDEANEYMVEFLTRSREGGGQPENAVGWYHSHPGYGCWLSGIDVNTQKTQQSFQD PFVAVVIDPDRTVSAGKVEIGAFRTFPDNYIQEKEKSAGGKSGGGGTDADGFQTIPLG KIEDFGAHANHYYPLEVSHYKSSLDAKLLDSLWNKYWVGTLSSSPLISNREYGTKQIS DLARKVQQETASVKRKGAFGHGPDAKGQLSNLGLAGGKIAREEEMGLLSAKVKEKVFG VGVGAEEGPGGNEVEMKS CC84DRAFT_1161118 MTTPASGSSKAPPRDPLEQYLRSLELRDRREKKHEKYINIITDY ADKAAAKENERRLAERDAPATTTPAATTPDTKARSNWRYGKSSPGPSVASPADPAHIT QLRADLASSNKIRSEQQIELKAVEEERNMLRTTTTTQARRIEELEKKTKILERRVKDT TEELKGQRQLAEQAQDEMMVMQMEVNAKDKDLKKAEADVQMLTSRWMQEKGEDARKMN EAVEKAQKKR CC84DRAFT_1193677 MSRYHLSSTSRALYRVFVAPNLAPRLQIPLRCAPTFSLNIPLLP ITAVRTKTNKPAVRQALSDLYTFDNAIQADTINLIDKHGTFLKNVNFQDAMRKFNRVT HHLLLISEGQVNEYGEPDPEHPPTCKIISKIDLRMQYNRRIEVARKLEKGPSTKNLEL NWAIAGGDLKHRLNKLEGFLIEGKKVEVLFKPKKRGKKATEAEAKGVLKAVTDLVDTC KGAGEVKREGDVGGFFTIVFQGTDLRKTNTGKKALPDNQANSEEDTNAEKETKFNKNQ RTAQMDHAKGGTDAFGAHGF CC84DRAFT_1138358 MAPQIDAPKTVEWQGKRVPVWSMRTVDYGLLLSQDPAEVDNVLK ACLEDGYFYLDLNNIDGRRMLGDQQETLKLMHRFFESPIEQKNEFGLISSHLGYEPQG SRTGAFGAGTKDGYEMLKVSRDEIQRGSPKVPSPIKNSGDLGILENSIGSCNTITKVI LSALSTGMGLVGASRYENHHRNDKPSTTTLSMMHYLPSEITGPKEVGHQKHTDISSLT LLFSEQWGLQIRPPGTCGAREMGFVEPKKGCAFVHVGDSLRFASGMKMQSCIHRVVPF DPKEHRYSIAYFLRAEDDTMFMDSEGRYVTAGQWHDQKFKAFTDPWMYQLQAPKTMIL GGMTEDGEDDPLQQEIEPASEPSPVGAKAQLASVSVHA CC84DRAFT_1202655 MYEEALPIAGRPEKHHVQTTFNYWDDPGDGSKPTPIVIGGGRIS NRRPHLPHDFVVTDISGDEDKYTLDGHGFQYCRHKSLEKDFVDEHAIQTLYYDECRQL LKEVTGASRVYIFNHKVRRGPTQWHHLGFSNLANRGPVTRTHVDQSYDGAELRLRWEF PEKADELVKRRYQIINVWRPIATILKDPIATADSTSVPDDDLVAADMTEDGFQGEQWV VRHNPAHRWYYKNQLTPDEVLLIKCFDSNTSVARRSLHSAFEDAAHRDKEPRQSIELV LGSASSTFLLVSLRQPDIDKLRGSDHPTDIIAMYHPR CC84DRAFT_1138362 MANKPPRNGVQATSPKYLSEIDNYVESLQDTLWPLNKFIHDHPE LAFNEHKAHDALTNFMRLQKGWVVTPHAFGIETAWAAIFNTGRDGPAISFNVEMDALP GIGHACGHNLIATASVAAALATAHILSTQNLPGSIHLIGTPGEENPSPKGGKVLLLEA GAYDHLDITLISHPCLPNNSALVRTTAFARLEVSYFGKASHAANSPWLGINALDALII AYNAVGLLRQQLRPDDIVGMHITNGGAAPNIIHAYAAGALVLRAPSSARLLVLQQKIE ACLRAGAEATGARVEVKVTPGYADHIPNRVLAASYTQYFNALPNIPDPPIPSLDTYTY VKASTDQGNLSYVLPSINASFSIPPGPEGGANHSRDFEVASGTREAFGRAVRVGKALA GTAVEVCCVEGMLRRVRDEWKRDVERAGDGVSGGMGW CC84DRAFT_1193681 MAASIKDRIAALNIEQVHAPSPSSRPTYTYEQAATAKKKPPPPP PPSQRPPAAQRHQTVNNPPVYDAPTSARQFGNQPAPVQPEAPKKSPALPPRPPPRNNT PKQPPSLPPRQPSQAGSLRRSGSRDSVLTTSSSISHLSLDSTKTTTSNGTSNGARMYT VRAPAYDPTTLPPLPARKVEDPKANSSIKRAMRSNTDVTSPKALPPQLPSRPSLPARP ASKAHNSENDQPEKARRLPPPNLRPALELGFNNTAKPPSLPSSRPTPRQSNGTTPPPV PLASRPNLDAILASKPKPGAAPASCLICRDFSGPDQHAAQFPRTSLPSSDVTWLATQL TAPFPSPTDKARAIFVWLHHNVDYDCHSFFSGTISGTTPEKTISSGLAVCSGYAGLFA ALAMKAGLEAVVVGGHGKGFGHSPLNHGDPLPPFNAGHAWNAVRIDNGQWKLVDACWG AGSLGCGNQYNRHFTASEFTRSNEDFGYTHYPENRDYLFRNDGRDYTWEDYLMDDMGE RLQIYGDPEGDHGVNRRTFQPAMKHIKVQDPHDPVIRFQFAVSCPHWDHERNGKGKPY VMTLNVGGRDGRKTQHIPFNTDGKSWWVDVNRIDLGAPGQKITVCAITSFDNKDGRGL TVQQYKAKQGRVASGWGSVCCWELVTCGTPTRNVELRDAPSLSRPAAILFRPTPAYSE HVSQSLVIRTPTTMATALIGISVTVTLQNPPNTVVEGLVANVNAQTSTLTLQNVFFPA SGHRLSSYHVEGHAIADIRVNHAAPAQPRAQQHNPPPVQHQHSYPQYPQHVPAPALPP APPAVRPAPPPFVDPAILSMGKRTSAAPTPAQPPPGPPQEAPATPMKPMSAVSAASLP QNTSPFVGASKKRSVRKPSAATLEGPFSSLDIADAEEQDSDDKTVKSTLRRTSINKTR TGKPMDEPVAQPGARNEENGKRTRRGGKSRKKEVAAQEKRNGNDISSPDAKRKTKDNG WRNTPMLQDPEPQNRTPGVIGGSVGMQAASASNRKTRRQKAMDATNGWATEDATDVQD LPEFDFAANLSKFDKRTVFDQIRNEDTTADEDRLVSFNRLARPGTHGGKNLHPTENVL DGRKLKSASGSESDEEISDFGSGRNSRRTMSRASIKRIPTRQGSGVQGDFDTQSHVGA PTLMTRAGNRSLINRPIQYASSSHATGSPKPGRITTPPDSPMLDSSLKSCLRLASSNR KCHTITPGGMLAVEEIAEVDYGLTEEIMAENAGRGIAEVALSAINPGGRRLARDNPNA RPVVVVLAGNHRGGARAIAAARQLNARGPRVMVALLGFERNADWDRDVRKQVDLFKKF GGSVRAWSDTEDALKRLQAPPELIIDALLGRNREFDALGDEDRRTVLAIVGWANKSRA ACLAVESPSGVGGSTGEVAILEGEPLEVRAKYIVCLGAPRSGLLKALQNGAGRDPRWA IWVVDIGVNRPWRNAGVGGGKGIKFGEEWAVQVEFVEG CC84DRAFT_1184251 MAAPAASSDWRLPTNQLNAPPPGLRVGPQHKCTPATLEHIMNSH GGDTAMMDIYPSTETGSAHDSLSPTKSPQPKQISFELLLLPQSPQHKARLPMRVNIYP HDTTDSIITTVKNFYGLYERKGVVFEDRHGNTLIARYENFAHNMVVYVRVTAEDPDME EFQTVSHHSMSPRRPRLDEAFQMLPPSLARHMRQSESPQPGRGRRSASTMSASKRARA AAKSRGPSSHGSFADNGDANGYSDSENGDASVTSRRSRKEQVASAEISVDNIVEGGRR KRAKFDSSELPLFVPPQVPMTASLSSASPQRRISGNNAGSPYAMSNQQTFAFSYPLQS PQSYGQGDATYNHGLATPYSASSGQVPGYRSRTRGTTQGGPYRQSGAPGGILPTPDPT LGSASVISDEDVARQLMRLGDASNFSTHGRTSTSTLDDALSGKAEIASSSEESDDGSD EEPELPPLPFNVGRTNGLIGEPLIRGYESADSSGEDYDDNRDDSFKGESDEIMPDGHH DHLQPHVAKSRGSVSSKTDLSAKPRALSKSKGKVAKAPMSPTSLPSQSRKTSSASTNG QHPIGIDEEDLSSKPRCQRCRKSKKGCDRQRPCGRCKDAGIGIEGCVSEDEGNGRKGR YGRHMGVPVKKPMDPPSLHDHVHAPIATNNFLIDSSTNDKSKKRKR CC84DRAFT_1213485 MDAKPQRPLRSLRIRDENAQPQLPAGKTIHQRNKSTPALSTLVN VAAMKAQGAKRTVFADVSNVTRAVAKDDIQVGKSKQLVIKDTAIVSAKELAKPNALLR PAQRPLNTKTNGTAASEPAAPPVTKPVIEPTQTRKALLKKATTVFRETHADPAPEVAT TKPAAELLHDNVRVEQAPVQPVTKETTRRQHDSVPVEHQRLVEASTTETRHAHAHVEI QERFEYVDALEERALVMEKERNDELAKLHVPNEEEYWDEDDEEYFEADGYTTARSIRS RGDNTTGGVTLVLAPRVTTKSKRELEAARLFVEANKTQEDVEDEQWDTSMVAEYGDEI FEYMHSLEERMKPNAQYMDLQAEIQWSMRSVLMDWLVQVHNRFTLLPETLFLSVNYVD RFLSAKVVSLGKLQLVGATALFLAAKYEEINCPSVQEIVYMVDGAYTIDEVLKAERFM LSMLQFELGWPGPMSFLRRVSKADDYDVETRTLAKYFLEITIMDERFVGCTPSFLAAG AHCLARFMLKKGDWSQAHVHYSGYTLQQLRSLLSVMLECCEAPQKHHAAVYDKYTDKR YKRASIFVETELNKGFQLPFVSRDSLVGHSQNWRRK CC84DRAFT_465977 MHSQMHAGQNGHTGNPRTSIPVGIGMPLSSGSENHAVPTSGNLQ LTGPPKLVHMSHTEQRKTGQKIIEVGAMAVLNMASANTNGKVENIDPRLLLMGYKGRA GEKIGAPSPEAVEERDEPREKMLRELVKMEKMLKEGEGGEKDERGLKGCEVIREVLGK MGEKGGGREGGESHGDAHEANIKIEGSEAVSSDEGSPLTDEEFMREFRDFSSP CC84DRAFT_1161125 MRAVSLLTGTLCLLRATSAEVAPEVTVVEEGYNYIAKLPCAGCP FLFQDTSEGLNEPWSERVDDNALLLNISLPYDSAFLAINNAPLYSGNRILPLVYANQV VQDFSADQLSTALDAGQLEASHESNLGGGFFGLSYRHSLRHVETSQTLEALLFQFDIV ELHSDLTNPALRFNLDDPAQKMLEVLLIQRPVLSAGDPSPSFEILSAKLVPRMSLSYE RTMHFLTWDTHGEKGTTSHAVSYGTSSLIGFLSSSFWALLGFVMAVIVVSIVVLLMCI FGWEFWKDDYEKAQHGKRRKSSVKSVRADVETGASIGKMKGRFKSAEELGLGLASRGQ IVGMGKSD CC84DRAFT_1161126 MPSNLTGGIVSTAVGSDDVPFDAHIELLCAHSPHFDEALDDRFE VVGALRLSLEEDGCLRVCP CC84DRAFT_1161127 MSQNDTSAAWPQADQALSTEILDLIQQATHFRQIKKGANEATKT LNRGISELIVLAADTSPLAILLHLPLLCEDKNVPYVYVPSKMALGRACGVSRSVIACS ITTNEASDLMQQIRSLKDKVERLQI CC84DRAFT_1161128 MSSDSPTDLCPVYAPFFGAMGCASAIIFTCFGAAYGTAKAGVGV SAMGVLRPDLIVKNIIPVVMAGIIGIYGLVVSVLISNDLKQGSSLFTNFIQLGAGLSV GLAGMAAGFAIGIVGDAGVRGTAQQPRLFVGMILILIFAEVLGLYGLIVALLMNSRGA DSHC CC84DRAFT_1256023 MKFFLSESLLLATTVLGTAVPRAEKKVDYTGFKVLRLSLHKATN NIEEQIEKVAAHILNPGKSTLDVVVSPDSVAAVKALVPESIVINEDLGASLAEEGELV SPDFSVSAVPSETWFTAYHPYADHLTFLSDLQGGFPSQSEIFTAGTSVQGRALTGIHI WGSGGKGSKPAVLIHGTVHAREWITTMTTEYFAWQLLTKYASDATVKSLVDKYDYYII PAVNPDGFVYSQTNDRLWRKNRQTVSSSTCVGRDINRNWPFKWEVTGGASTSPCSETY KGQAAGDAPENKGLVAQVNSLRAGTGIRLYLDIHSYGQYILWPYGYDCSLVVHNSAQH RSIATSAAAAIKAVSGTSYTIGPSCSTLYATTGSSVDYIDATGNATYSYTWELRDTGT YGFQLPASQIQPTVRETWAGLVSTLQNAG CC84DRAFT_1161131 MVLNFGIIGTNWITHSYVECAQATQKWNLAAVYSRKEESAKEFA SKYEGQKIELYTDLNKFYADPNLKAVYIASPNILHYEQAKTALEAGKNVILEKPSCST SEELDELFALSKSKGLFLIEAWRHIQEANFKILKESIPKIGRVLGASITFCQFSSRYD AVLRGEVPNIFNLEMGGGALVDMGCYTVAAAVWLFGAPKDAHYYPVIVSTGADGGGIL TLQYEDFTVHLSSSKMHTSDAPSEIYGEKASLIVPSITDIEKVTLSDPRTKKREELGT KKEDLNLKEEAAEQARIIAEEDWKAAGELEAHSRAVLKVLEKVRRENGLLFPGGK CC84DRAFT_1213492 MKFTATATTLAAFSLLRLASANFDLYAVLDTTVVPDENPEPAID EWRVFEAEPDCDDAKNAANWNDSDDVSVLQKNVSGDKQGVVFEGDKDPQDPGKATRVE MNFHDTDPVYHFTIYKDRNYDMIGLDGNTYGNCIPFPGDDFQCNYPLPLGVQTLSGAR YFRCLTDITAQQLNEANNKKRGVKVAVKF CC84DRAFT_1202666 MGAIKTAGEAVARIAHLASDVVVSVQPTLATPSEFSDHLRKLET NKAQSIVAKQQPEIVAVQENADPLLSCFTPLRAGKLTSVATSSSILIKSIPHLYKLAQ YPVVIHVSVQPSSYPDYSDITSIRQCGFTFLHSETLQQTQDIALTAHALATKSGKGVI HFFASGSTAFANKEIQEEDQELVRRILDVSSAADYQNTKSEETGLYADDGRSATISFA RSQGPSASLAPPTSTQNSQSLPVSERNSTRADSSSGSSQRESSTASGVSASSATTVES LASKPVSSEDIYRFASQIWADLKEATGRSYDAFSYTGPPNAEAAIFLFGADVAVFATE IDTVDASVDYANTGVISFRMYRPWLGASLAPLLPQSIKRIAVLEQVRRQTTRWGPLLL DVLMSLKSSQNSPLVVGYQLGYINKETVQQAMRGIFQNLMSEKPVQNLQIGNLEGPTE NKTKAEQPSLENAYVKILDQVFGEKLHIANQLGSQHAGVSNEISSSPEYGFGSLIARK EHRQRFIEEVREASKSNDFITKAPLEWLAKWALDAEDSTKANALAQEVISRLSNDGSP AATQLLSTKKLFFRESPWLIGSDAWAYDLGNSGVHHVLASGENVNMLIIDSTPYSERA AADANRRKKDIGLYAMNFGNAYVASVAAYGSYTQVLEAMIEADKFDGPSVVLAYLPYE KETDSPLTVLQETKKAVDIGYWPLYRWDPRGDEKGEPNFQLDSERIKKELEEFLARDN YLSQVMKRHPEFSANLSGSYGTEVRQLQKRKAKDAYSKLLEGLQGDPMTVLFASDNGN AENLAKRLARRGKARGLKTICMAMDDYPIEDLSGEQNVVFLSSTAGQGEFPQNGRTFW ETVKDSTDLDLATVNFAVFALGDSHYWPRKEDKIYYNKPGKDLHARLVTLGGKPMTEC GLGDDQDPDAYQTGYSEWEPKLWQALGVDNVEGLPDEPPPITNEDIKAASNFLRGTIE EGLQDTSTAAISAADQQLTKFHGTYMQDDRDLRDERKAQGLEPAYSFMIRCRLAGGVA TPKQWLQMDEISDKLGNETMKLTTRQTFQFHGVVKTKLKPAMQAINKALMTTIAACGD VNRNVMCSSLPEHSAFHAQVHKISQKISDHLLPSTTAYHEIWLEDDETKQKRKVAGEA VQDHEPLYGPVYLPRKFKITIAIPPHNDTDVYAHDVGLIAIKGKDGNLEGFNILAGGG MGVTHNNKKTYPRTGSMLGYVSTDQAHIVCEKIMLVQRDNGDRKNRKHARLKYTIDDM GVDVFRNAVEELWGQKFADAKSFKFDSNIDTFGWQKDENGLNHFTFFIENGRIEDTPD FPMKSGLVEIAKLDKGEFRLTGNQHLILSNIKDEDLDNVKAVMKKNKLDNTNFSGLRL SSSACVAFPTCGLAMAESERYLPELISKLESTLEEAGLRQDSIVMRMTGCPNGCARPW LAEVAFVGKAYGAYNMYLGGGYHGQRLNKLYRSSIKEDEILDIMKPLIKQWAVEREQG EHFGDFVIRKGYIQATTHGTNFHDNTGEEESDEE CC84DRAFT_1161134 MTAGDSSARDVEVPASPLVHDAPLPFPDVCARIHARIQAFLDEQ PASDRLKSVQEQTRISLRVIEEALDKYSLSELSLAYNGGKDCLVLLILYLCALHRKGL CPADPNRNPHTAIKCVYIQAPHPFHEVEDFVEVSVKTYSLQLLEYAKPMKAAFADYLH DTPSVKAIFVGTRRTDPHGEHLRHFDPTDSGWPAFMRIHPVIDWHYVDIWTFIRHLNI PYCKLYDRGYTSLGGTDDTHPNPALAAAKPPLNGTAPSTPNGTPKPQFRPAYELVDDY EERLGRDR CC84DRAFT_1213495 MSLDRFLVLPDELLLIWHDHRVPLLLSAVSLAVLVHLAIYFRSR RHKISASPAPSSPIEKKEIELRPEWLPENTTIVTPAVNVDNRAEDSVVSVPVVKAKKG PKTVKGKKVPKKRAPAAANFNHKTDKIQPLVFFQSLSGTTERYATQLTKVFTEWTAGC DQSISFLEPQIHDLSYIEFDDFFLSPPKNDSSVKYFYIILLPTYNIDTVLDNFIENLQ ETHNDFRIDTAPLSGLLGYSVFGLGDKEGWPTEEEGFCSQAREVDKWMARLTARKRAY PLGIGDVKSDVDTRLGEWRVGVQEALVELAEGRGLGEGVPGSGDPAESDEEDEDVAED SESEGVSPKPRKAARVDDVEDLGGMVKSDSADPIPVDFTTYKSRVSKPVSAPKEMVPV SSPTHAALTKQGYSIIGSHSGVKICRWTKSALRGRGSCYKYSFYGIQSHLCMEATPSL SCSNKCVFCWRHGTNPVGTTWRWITDAPELIYDGITTAHYKKIKMMKGVPGVRAERFA EAMRIRHCALSLVGEPIFYPHINELLAIMHKNRISTFLVCNAQHPAQLASLIPVTQLY VSIDASNKDSLRKIDRPLHRDFWERFCACLDILKQRRFEQRTVFRLTLVKGFNMAEEA RGYADLVERALPGFVEVKGVTYCGTSAAGSAGLTMQNVPFYEEVAAFVTLLAEELAKR NLSYGIAAEHAHSCCVLLADSTRFQKDGKWATRIDFERFYELNEGLVEGTRTDADGKV VGWRPEDYVGQETPEWALWGNGGFDPRDVRVWRKGKGPKEQAGKDAKVVEM CC84DRAFT_466316 MHRPTFPPTTSNLLQNKSPDRIKYLVNGDHEHHLANTVRLYDND STITRFIRWIKKGNQTIPSDRVPLAIDYDIFSTAESGGTIESKYGRYKEVLGWGSSST VKMAYKPNPHHGPKGYTYAVKAFRQRANESHNAYHKRASAEFCIATTLQHKNIVHTID LLSDDHGRMCQVMEFCAAGDLCALLISAGKLDETEADCLFKQLVRGVAFMHETGVAHR DLKPENILLTTTGCLKISDFGMAECVQYAWETTGHRSKGLQGSKPYMAPEIYITEHFD ARAIDVWATGIMYVAMRTARLMWTKADDTDSMFKKFKLSCGSGEGYRPVEQFEGAHRR QLIYSILQTDPQMRIKSSEILDSQWLSDVVICKAGQRGY CC84DRAFT_466366 MLALFILTVHRSRLSQPSEDQPPPLPKPIRFKQQPVYISKTSAY PMPVDITDKTERAKSIKSVRNTRYDSPQPRGRRPASSASTVGMLTPKRSFPYYTISGS SLPRPAASFGFRSVSRATYASRTALESEPAQNLVSDAGVVASYITFPADALVDNKMPA HINVEGVDDGTNEDAEATSHATPPSTDGHDDTANVAMPEGHPKIISPDDIEKAGDILN ATESSQHHPTTVSPDDIENTDDDAPIHPAEESTEPAQTREPICPDLDRWIRTLTSSPG PQPMPTLPPSPPNAYKSIPDGLNTIVPGVPVSKPDVIGSIATINDIPVGSKTRRIAER ELEEARARTEAATAERQQVALTKGKGRMRRLFGRVWGKVRRRKDERQRAAQGTEGA CC84DRAFT_1161138 MTTTPVTSPFSRKETSAHPVVHRQPRNRVFKDLPLSDLVRTLSV LSVAALPAPLLSAIIGFVRRHSSAIVSSRILSWPIRRTFYKAFCVGESKSEIAANIAT LRSRGINGVVLSFAREAKLDGNETNSTSAKEDAGLKSWVASNIETIAQVSSSDYIAVK FTGAGAKAVQAMQNFKYTDSSKIDGLKALKEGMFEVCEFAKQRGVKVMVDAESSLHQP AIDYLTLEAMAKYNTDGSALVFNTYQMYLKASLDKLKSHLNIASSKGYTMGIKLVRGA YIYVEPDRAGIIQDTKPDTDNAYDSAVDLLLRGTHTTAAQPWTAEVMLATHNTQSVDT AMSLFRSRPTGKQPVQKLVFAQLMGMADEISMKLASEIKSMDGAVNAGDVSVYKYSVW GSLEDCLLYMLRRAEENQDAAARSRITAGLMLSEIGNRMTFWR CC84DRAFT_1084241 MPFLGQHPNILLTICVKQRIRTERENAQNANKQLQSTSGPYRPT TWALGGVPKKNVDIPVTAVFLFLYIIGAATHMTIFQYNKRRGHKFLFNGMIFGFCMAR ITTCTLRIASISLPTNIRLAIAAQIFVAAGILLIFIINLIWSQRILRAHHPLGWHPLV RWVFNALYIVIIFTLAIVITAVVQSFYTLRPRTRTIDRALQLYGQTLFAVVAFLPIPI VALALALSRVGKREVETFGKGRHRTKIAVLMAGATLCCLGAAYRAGASWMSPVALSQP EPARFYRGWFYFMNFGLEILIVYMYAVMRVDLRFHIPNGAKGPGSYRVARKSEGDVVD VESLRAQMTRNSVESEKEEVDEV CC84DRAFT_1172603 MPALAVEPVPDDSAGLPDDSMPEPESGGRIPARLNTAKANNVSQ SVSALSANEPLDIYARKGSHAEQVNVHTPKASSAVKRKTKVIYTAKKKQMKQPLGILN ARQKHPLVFVRASTEDGLPLPGDADVVSVGLMEELDPIQDTQLGRPPAQLNTVRVGSH VSPLNVSKQSRFVPTAKNGPQQSKRSRLPVDRIFTFSDAQAPKRRHGRLRLPSSSSLC DGFEGYELLIPAPKVHSRGRQKERLVGTPKAGANADESRGGLELASGYFPVSCPIEPK LSKSSGTMLTEHGSQLELVHPSVETDLRNFRHDSLPLHLSVEATVRSQLAGITAPLRE EDEFHSSSFDEGLNTYLSRWGLEESSRSSDSGESDLLNSSSDSDGEETDYFGEAMYRM DNRDEGDFWSQYPGLD CC84DRAFT_1213499 MSPSPMPRWHAGPQDIDVPRIDETDDLGELVRKLSFYIVEAITL PHSFEELRTAASARTLTPLILYLTESCHHRAIVHALLALKAHFAALEESSDEPGVNEA RGYACEYVAWQFLTNLTERDTIDFLLHEIQPSASTRDRDSDIGREQENGIAPVTERSA LLDDGRTSSYFGTDGVHGGMASSGQIDEFTAQFENLSALEIAAVSDSKKFLRQRPVQK IIDGLWRGDIVFWDTLGVNSVKEPKLYNRRRADPFCRLRVPRYLKIYETLYFAMFLIL YYIVLTHRSSSHVTSAEALLYVWIAGFAYDEFGEFHDAGQVSFYTAGLWGAWDLSIVL IGIVFCALRIVGLSTKGEASRGTLDLAYDVLGLEALFLVPRIFALLSLNPYFGTLIPC LKEMTKDFVKFLSLVVILYLGFLTTFVLLARGTYTPSEMSWILIKVFFGSSYLGFDVA QQISPYFGPPVMLIFVALTNILLITSLVSLLSNSLSKVLDHARDEYLFIYSVYVLEAS SSNRLTYYLPPLNLIPLLLRPLRLVLPSERLRSARIVILKATHLPFVGAIWTYEQLFS SQGRNSGALSMTGPEAARIRKGFFRSSIQPAQSLAAGFRASSGGSNGSSGRAPEFCPP RARDGPTDPDQQLKILVSKLSMQVEELTSIVSQLQEQREATVAT CC84DRAFT_1213500 MLQSPAYPSPAQSDTEPARYPVDGLGLYQYSQAFPTSADRLMFS SSRPSQDWNSTSSMPSAPIVNPWTSGAYDHPVCPDNRSSMLWPEYHSSHRSSTSSHRE MSVFSGDGSEHSFPAIKMESSAPWAADNEFSATVAPERLTNATPYEGAYGSPMSPYES DSTSGPSYKAEFTGQLSPDSRRPRSSQSDSKISPARRKHSRRTKVPDAEAKFRCEICG CGFVRAYNKKTHMLRHDPNRQRDHRCTYDDCDNKFERKTDLQRHINSVHLHEKPHQCE LCGKRFARKDTLNRHDVQGGCPRRNEIDVPTLIARSRSMQSSPALPQSYLPSPQPEMY TGFHPAEQGLFGSRHQQGADGGVFEARYSRFSNADFGHSPHGL CC84DRAFT_1172606 MAASLPKSTESKLTSAYEAVALAAHAGMIAVGFRLIGLGEDDRI DASADAENPQPLPTAWNAHNTYAFRYAHSQSALEYIIKVNRLGGKAVVFGLGVGDDKT ATFDVAVKDYISEGSLPVSVSNDTLVEDLAKRLQDVFISQGRLSDFGTLLKLSIIQKL APSLDKEGYEETRTQDERDAGRVREQPRQGEPSQPRRPPEDPEPARPYPIVDPLAQPR QPGRPLPEPMPGFEDEYEINRPPRGGLRDDRQPRGYGHDDLYPQGLGPHDPLRPHFGG GLPRPGGMGGGMHPTFDDPMFGGQGGGQGGYDPMVPPGSRYDPVGPGGAPRDNRGGPR FPGGGGFGGLGGAGGRPPNPFGGYGDGDFI CC84DRAFT_1172607 MHFTTALLSILAILVSAKWYDRDAKDSIMQELGANLSPHAEMIL ESDDMLANKTKPWQAWAAPQFRAVEYHDIVETERGFWTRGMQTVTGAGECVGLTAIAL GGGHGSLLGY CC84DRAFT_1213502 MQPKKAVKKVWSARSKQERVDDAIMNTNDSSIVSKRSVCKLYMP HEPDFYEPFTTKFIRRNPLINRGYWLRMHAIEQVIRRFLEEDNGRSKVVVNLGCGYDP LPFQFWHRHPALSQSATFVDVDYPQLIARKRDRMLGNELLRNALFETNLCPSQAPIYV RSSRYLALGCDLRDLETLDRLLRAEVDATNASFLFVAEVSVTYMPTPDADSVIRWAST LEDARFCLLEQFLPQGSEHPFAQTMLRHFVKLHSPIHAVQQYPLLDQQVSRFADAGWS HVEIARNLWDLWSDDGFTPPDLRRELDTVEPFDEWEEYALFAGHYFLLVASTSNKGIP LETDRAVPSAGPVDVPLKVHLTLTHHAQSQIELRRFGAAVALGGDSVAFHGGQGVQTR LASIDMLARDVQGTRFQPCESTPPQARICHTVTPLNDTCALLVGGRASPSHALADCWL ITQGNWTQVGDLPSARFRHSCVKLNLPSQESDGPAVEAVLIFGGRNSDGTVLDECLLW TRENGWNAIPVDGPRPSARFGAALSAMGEAQSWGVVCGGMAPSGVVLQDLWEWHITAA PYPQLKFVDRTSHILCNTGVSVFGRLGASLLPLGEHLLLIGGVSKQGILKLTQDVLVI SRDCTGGDTTYTVEVPALDLPQPTWPLLVGTSAAAASGNEIILAGGGAVCFSMGSFWN TGHFSITLQGEKVRPWTVVVSQLPEPSSAGDPQRSSQADGKQSAKKKGVRPALPRSID IARIRIDSSEDFAKVLAASKPVIIEGLDIGPCKDLWTIDYLKEKLGTEREVVVHECAS DRMTFKDKNFSYVKKPLGDFLDGIAAGVQTYLRAVSSAQPNKLPTKLEDDFPSIAGDF KIPEAFEVIKTTLHSSPLRISGPVALWLHYDVLANVLCQVRGSKTLHLFPPADAKYLS YPPGSSSSNIDILTSKDTRLARTHPHTAHLRPGDVLFIPPMWSHTAVPEDGVSVAVNV FFRNLDTGYAAGKDVYGNRDLQAYENGRRDVERILKAFKTVPDDMAKFYLDRLAMELQ ERADAVGNSAQGGE CC84DRAFT_1213503 MTSMNFVTFNQDHTQLGVGTTKGYRIYATDSFYKTSESREGDVS SLEMLFSTSLVALTLSPRILRVHNIKAGSAPCENGTLIDTYQRHSTICEMTFRTAILA IRLNRKRLVVVLETELYIYDIGNLELIKTEPTSPNPNAICALSPSSENNYIAFPTPSP APPAPFHPPSHAPPKPEHTAPDKGEVLIYDATKLEPVNVIQAHNSPLSCIAMNSEGTL LATASEKGTIIRVFSVPGGEKLYQFRRGSIPSRIYSMSFNSASTLLCVSSASETVHIF KLGVPHKNRSSSTSSGSGRPASSRGRSSSRASEDSSEIYSRDDGSTAEASEPDRKPLN PTFASMLRRTSQTVGKSVFATVGGYLPSAVSEMWEPSRDFAWVKIPRNSNSSSTGPVK SVVAMRNDGPQIMVVTSDGTYYIFNIDLEKGGEGTLFKRYNIIEPNELTGGSQELAD CC84DRAFT_466825 MAAVVARAPPMPGRSSTPPPHLTLNTTSRGTPQAIPNKHIPVCP PGPVPDRGLVTPPASPPTKDSVIETTSITFPPTKYGCEYSEDPPIFTINAQHFAEAVD HMATQPLPNTEHVFPWLHGLHAENQVQLAFFTNRRKSVRKVPRCLRSLTIVKTGGNLA ASKLKGAIAPDELLAPGDTRFIECDPKDGFSVRNFQIQACKLATVSDIIVYGDTRTSP SDTIALAKRLSKAQRHYEARNGFPRCSFNTFMLSDPFNHVQEQYPHLVAIDSKGGMTG NVVDFFYWERYEMCAMSKSSEISGNVFLGPTPDPALDPSCVDQVYDVLIEASDIARVP DMPTLRKARAMLEKKDRRGPLQMDFPSSGSIMPPSWSHAEVDGLMDTCRWIYEMANPS ELRRSKRKKSETDEAIELDDIASPKKILIHCTDGYTETSLLGIAYFMYAEGVPAHEAW VRLHRDKGRNFFAYPTDVSLLTQIQARILQDSPRFQDSLFHIQEPRWLPRIDGSLPSR ILPYMYLGNLGHANNPELLREMGITRILSVGEALTWPDDVKESIDWPTKNLMMIDRVQ DNGVDPLWDEFDRCLKFIEAGKQDGGATLVHCRVGVSRSATICIAEVMNELGLSFPRA YCFVRARRLNVIIQPHLRFTYELLKWEEYQRQRRNEPLRRELEWATIAREIALMNKPY SR CC84DRAFT_466824 MSASLPVAKCTICKVAIHVRDTLPPSCRQSECVCFANTMSPVGP DAKQSPSMLLRRLHAHLSRATYIITRGWATAEVFTDMRSADANLIVRSPPKLKRIAYV CVVRFASPARAVPLAFGRGSQLCMLSETWTKASHTFEAEVLAKEIASGIGKMMCRRPF VCGAGGEDRRGSVWISMVLWVVEGGERAALAGWSARAVGFCSTSRSAMLAGCSSAWAP ATGRTHPRRQHSASTDVARQLGRHGRVLRGAGGAGEDPLASLQAQAVLRSKRSGNNR CC84DRAFT_1065971 MPPKRRSAGATAKSSGQSTLAFHGASNKVTKPGARATNAKKNLL TESAKKDEKPEAVDVKVKMEEEAPTTAEAAIIKQTEQAQEPAQSTPEEEEARLVKPKQ LQAYWKNQNAGSAPRYHQDDLSLEEKILRKFDMTGQFGPCVGIARLKRWKRAQRLGLN PPIEVLAVLLQEQDNKDKITVQRSVIDEILNSRSEIE CC84DRAFT_466840 MTSTTPPDVAARVEAYIPRFKLTRLLNQDQAGRRISLLGTVDGQ DALLIAERAAFATDHAHLSVFPTTLTNIKNLGANDIYAWFLANSAPPPTNPDPTIPIP PDYKLNLIYPCTPKHIKKYSAQPLRIVTETPAIYTSYIRPWINRQREKGTLNWVFNII EGRTEQEDVIYRETGEKDGFLLLPDLNWDRKTVGGLHLLGLVERRDIWSVRDLKKGDV AWLRYVREKLLDATVGLYDGVERDQVKLYVHYQPTYHHFHIHIVHVQLEAGATQSVGK ALGLDNIISTLENLAGDEDAGMQDVSLTYHLGEASELWEKVFGPLKEGRVPDVGAF CC84DRAFT_1161152 MVVGSVLVTGGTGYIGSFTALALLEADYKVVIVDNLYNSSEEVI NRIELISGKRPEFYNVDICDEAALDKVFTEHPDIDNVIHFAALKAVGESGEIPLEYYR VNVGGSITLLQSMKKHNVTNIVFSSSATVYGDATRFPNMIPIPEHCPIGPTNTYGRTK STVEGMITDHIEAERNKAKKAGTDGGKYNAALLRYFNPSGAHPSGIMGENPLGVPYNL LPLLAQVAIGKREKLLVFGDDYKSKDGTAIRDYIHVLDLARGHEVALKYLRENQPGVK AWNLGSGRGSTVFEMVKAFSHVVGRDLPYEVAPRRQGDVLDLTANPTLANKELKWKTE LTLEDACADLWKWTENNPEGYGQAPPKEFVEALKNKA CC84DRAFT_1084873 MATATLDESLLAFLKTHAPNNASSETDAVKASQALFPSVTYTDA EKAELSQWLTSAAHVATDGEDAAKAAERLSSLNTHLASRTTVLGGKPSVADIAVYQKL APVVSNWSAEERTGEQGYHHIVRHVDFVQNSPLFGLKLDNKVNIDLDSVIFKIKPVDA KAEKERKKKEKEAAAANAAASGGATPTTLTGDKAAGDKKSKKENVVDKAQAAGEAVAS TVAGKAGGAPDGAPTQKKEKKPKQPKPQKAPPAEKPLSPCLIDLRVGHILKAERHPNA DSLYVSTIACGDPAGTDNTSEYEGQVVRTVCSGLNGLIPLEEMQNRKIVTVCNLKPVT MRGIKSCAMVLAASPRVTEGEDSHKGPVELVNPPADSKAGDRVFFEGWEGEPEAVLNP KKKVWETIQPGFTTTDSLEVGFNVEDVPQLSGEGADKKTGVAKLKTAAGLCTVTTLKG ATVR CC84DRAFT_466882 MALEPLSRQGKKSFIPLENNPEVMSALVHKLGLSKKLDFHDVFS IDEPELLSFVPRPAHALLLIFPVNETYEKFRIEEDKDRPEYEGSGPEEEVVWYKQTIS NACGLMGLLHGVSNGTAREHIDPDSNLAQLLREAIPLKPKERADLLYESDALEAAHQE AGARGDTAAPAAEADVDLHYVCFVKSKNNNLWEMDGRRKGPLNRGQLAADEDVLSDKA LDLGVRSFLKREAAAGGGDLRFSLIVLAESFD CC84DRAFT_1084288 MLFTAGSLFTKAISSPLPNVAHYYGPSYSKLYDDQKNYNVRVAS AACAATSMVAAFTVFYWFCRMEKRFRHRLIMLLIFGDLMKALWLFLQAVVSLARGTII TESAFCQSSGFFVQFGTEESDRIADFAVLCIALHSALQVFRPSNTVHSDGLYPHRHYV YAGSLLIPAMMAALAFINPRWGYMSQGPYCSLPLRPFWYRLALQWIPRYLIAIIILGL AIAIYAHVGFEFRALSNSVKETKPSISTTTPIFSVGSPEDGSANGPEMSQYQVSHFGR DSSVVSMVGQSRRASGVASVDSHTNTASDTAHGSSIPGSPHTVDHWYMLPQLQTYDTA NTANAPSVEKGNKPPRNISGDNSDGNTSPFSDAPSQHLQRQLAQKRARIHRQLRLMFI YPIVYVLVWLVPFINHCLTYQDHFAAHPLYWLSMINVICVTSMGAIDCLIFSLRERPW RHIPSSDGSFLGSLVWWRRSPPSNGALVSSRARPSDVLYHVNTEANPDTPHVRTEQGW RDSMMRAGRNVGTHSRTSGSSSDRAKAQAVMARSRLELEKDDRRVARVMDRGEDASRT PRARRGTALTGLETIESPEEETRVELGAEENDGSGDAEGC CC84DRAFT_1184275 MSTARLFHCTELQWADTCRSGVLKAVYHGSHGHKAGFVQETAGA LKKKSITQLGAGVHQSVAGTTFYHFLEWIRTERLTTLPHKGSRWDRVLIRALFFAEQL HNLNIAIKGYALDSDAAASLGYDHARLLLELGSENSAALDRAFAVFYKLSLSFSTIHQ RVELLAPTATTDVREQLSLMYTDLLSLVVDVAITFYKAVKGMSTSSVSIDIFETFGDT IDSFHMRRKQIVESLWNSQIEGQGLEVGERIDIAMITRWLAPQDRVLATLSRDHTIVA ETQAEFTCLWFQKPLTRFINGKENFLHITGPPGSGKSTLAGSITDRLQRPFNKKPYDT AYISINASIPCEATSLALVKTLLFQLLKLRVGNVAMYYSLAWAYSESINAANGLEYEE LLWKALADILKQPIEGGNDLVIVLDGIDELKGTQKATHTLEKLLEIITQGVRTRLVVL STSAVSTSGHGAHYEITHGDIHDDIHAVILRALVHNHHFHGKNPPEQETVLDHLVHEA KGSFLWATIACEFLNLSKTNESFNKTLETLKSLSGDVQALVLKLFATLQLTNDAKVLL SWLLITERPLTVEEVHSLFSVDIQRATVSQTAVDVHSTIHSLRPFITTQEHIVRFKHS LIQFTLRDLAHQNKIQIPVKDSNTGVLLRILAYVKATLREKRAPVVGGLEPHHADRLF HQHHFLEYIVRYWVDHFRQTPFYSPDLTEFKPSPELQHVFPDTTTLTLLEQICWEFQL PALDAIDRHVLVARIRKGVFTENHPTVLQTYLTCASLYTTLSRPNDARWYLFISTKIS CTILSEVHPVTIECAVRFLKITSTLTTTTRTEIMTQREELLIVLITAYERQYGRSSNL VIQTREALAQLYISIKEEERAQEIYRIIQEITIEHYGKHSHEAKDLHGRLSVVLGKGK GDRELETYKDSFFTDEDDEDKTIDVFDTHQVIIWLRRAEEYFARADVILAEKTYVELW QQISHRCRTVQSVEWHEKHLDVTTAYSQFLISQKRTSESSSILITVWQQYETHQLAYS ESIVTRLTIVAKTLKSQGHYTIALSIFKFASSFYKSVRKEESSHSTEISREISSTSTE LIQQSLSSTTTITQTTGAVSDSVFQSIFQSLISSKTLDVSTITLAKKLTVQYVEQRNW SAAVTVVKTTLDRTWHSFFSGSVHEVTLTTTFLKESIELIETLAEVFRQQRRIDKVED IYIRFFRAVLSSPTTDKSIFEKAKTLLVTFYDKRGYPDNAISVFQDVLVVYRNVFGVA HELTIQTLYILGSRCKAHPRNHPYWIDYYQQIVNSLNKDSQHCHHDAMDAIIIVATSY WEDRRYAEAVTVFGVLWKTFVLKTKEYKQFTESSFVQTLYERYFQCLEETGVSWSILY KTTKEYRETSLAIFGAESIIVAEATLTLARVTQRSEEHFSEAISWYEQASKYKSISTS VTEIKQQLSSLYVRQLKSTSSSTIKSETFERAITIHEERFSEVTKKYGYAHESSLTEL RELVQLYSRQKKTEFAVRQLTTAVTSIVTHESSSQRLIESASYIAASFQAIQQEKYCT QLIRQLHVQVCAHDIRHVSKWSFDLTKAGRRALVFVASLEYNLRRDLTITFSEILADL TAEFIYFEEFRQALAKGSVRNLLVVAAPLRTFLIRHHLSDSAVFVEDEVLRIFMKRDA ADLDTLSKESPRLFIVSILEYLGSRRSSNFNKAVILASNEHVASLTKSKRFAEAYDVA NLAFLFASNHDGYNGIHSISHGFKLASLLVGREGLKSPDANLRKKMLELSNRIVKKIL EITKTLKINLAQVKVEELSHLTALLGEQTDYATLEWLLTTLWNTRDAQRSWPADVLVR LGRRLVCARYLAGHTVKAIRLCEDIAYNMRRAHGPRAPVTIETYELLAQLYTSAGLTY QSKAGTEKTGALAAEHFKKALIVHEDILRLLVQDDDADDDSDDDDTAAALLAEHHSSV NGSVDGRFASSSLLDQSTASISNKPALALRHLHLLKLAFQRVGGWPKPRAEYERLNAS LFREFGGEEAWKGARGVETWSAKGFGVGKAESGEGVFGELATLSGASPLSFVLSTPSA QLPFHTTLPKWLRRDAPRASALPRAPSRLNLLLRRLSSPKTPHNAAQVARAPCPKSRR ASQLHTAQAVASVPRKSWQCRKPDSPTPLSRNEAPPSQGPQRSAARAAVPQDAHRQEE ASGVPHRRWTTRAKTLRAKTSRRTRKMSATKPPNLTASKPACCADQHPQTRPQPGEIA PRLPAQLSRAAMLRPALSQPAHSVRSQPACRLLALSMRYPPRARHVLLSEMQQQPELP LAFQSPGRARIQLLLASLPELLP CC84DRAFT_1082008 MASLPKTMKGVWIEKTGGTEVLQYKEDIPVPEVKDGQVLVKNEF IGINYIDTYFRSGVYPLPHTPYILGREGAGTIAALGSNVPDDLQLGTRVAYMGQCAYA EYTPVDAKFTVAIPDSVDAKIAAAALLQALTAVTLIREAHPVQKGDWVLVTAAAGGVG LWLCQLLKAVGARTIAAASSPEKRELAKKNGAEVVVDYHEEDREKFTKEVIEITGGEG VAAVFDSVGKATFDSSLAAVKRKGSMVSFGNASGPVTGFALGRLSAKNVKLLRPTLFN YVYTRDELKQYAAEVWKFIEKDGLNVAIHDVYPLKDVVRATEDIQSRKTTGKLLLRP CC84DRAFT_1213514 MPTEEENIQYIYLVLTHAGPPTINWPPVSTALSLSPGATSKRWS RLRIALENGKPAGKGAYELLWLCVKHSSREKALDWKAIASACGTTPGAASKRYSRMKA AWDRADATAPPSSAAAPATPKKTAKADQDAATPTPKRKRAPAAKPEKAKRDGEDEARP ESDDDDADDASPSPMKRARAPTRKIKSEPDAGADADEDAALPTPVSSADQRPPRDQNR VQIRNQNKGHQHDIVFQGFPSAPHHTFEAQVGFKGFRDLGAGQGEGGAEEWHESSEYL DGDGVGAAGGTDRVSGWLDEI CC84DRAFT_1213515 MSEEKGKGISLRKNKKRSDKSKKGGPPVISAPRQISAPMPAGLG ATTLSSAQNSGRPSNESSRSGPRLPDAPRPRERPPRPGQDKTADLVKRRYSQKITQLP SDFGSGMPLPDLPQMPAQFRDAPPSRDGRPPGSSDGRSFKPDRRQLADDNLKPDQYVS QQLADASEDDIRRFQDELRKLKNRNSTDLQHSVYQNRTQFMKISKEAEKLKSEMRTLK QLMSELTATLEQTTPNANADGDSLSARKAANRSSVANLEALWNTQLHQLWKRIEGSQK YLPAIPGRHVIWQSGKWVELNSATWKARRRVHLILLNDHLLVAAEKKQRSEVSQSNRE KKPAQEWVAQRCWPLQEVQMADLASKSRAGSKSGGANAINIRVGNDSFTYAVYPGEDA DKVQLLSTYRKAVDDLRKSQEVETQERGRAQDSYNYFATRDANILKKADLMETLTENV VNNRASMLVDIDGKQHNIRAVETELDQLDMDIALQRFENAVMKVEKLKALAKNIRGNT MAQSIVTFKVNERATKLANVLIKQLVENNNWITSVKRHVTWIVRLGFEDRAREAYLEA RGNLMKTRQCSFEGNLPEYIFQISYIYFTIIKNTVDIYQKCFPQVLMSACVKWAKEHV DAFNVLLERQLSSVERESKVWQDCMDQALAHAGMLTEVGLDFTELVGRGAGEVESRPV GLGVTA CC84DRAFT_1184277 MSSSSSLKPATIVAISVGTIVTGLAAYAVYFDHKRRTDPEFRKQ LKKESKRQARAAKEEAEAHGKEQKKAIREAVASANEEGFPKDPEEVEAYFMQEVAQGE GMVQSGADPVEAALCFYRALKVYPNPRELINIYDKTVPKPVLDILAEMIAVDTSIPVG SKAPSEAGSVGGIE CC84DRAFT_1161159 MAQSGYSNPLKKFKLVFLGEQSVGKTSLITRFMYDSFDNTYQAT IGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDITNKKTFEN TRKWVDDVRGERGNDVIIVLVGNKTDLNDKREVTTQQGEEEAKKNNLMFIETSAKVGH NVKTLFKRIAQALPGMEGEGQQGQSQMIDVNINPQPTTDANGCAC CC84DRAFT_1256050 MASAESTAAPAQYKQPSRKGKKAWRKNVDVTQVSTGLDEVRDQI IQGGIIAEKPSDELFTVDTTGDAEVQKSVTRRYKPLKADEILNKRSAIPSVSSKKRLA DFTEEKRRKKSKVSQKDYDRLRSIAYGGDQVQKDIIETGGAAVYDPWAVQEVKKDPKF DFLDEKKPKREPNTLKQAPVSLAKSGKAIPAVRKPEGGKSYNPNFNDWQALVTREGDK AVEAEKERLKEAQEEAERMERAMAESDEESDGNESAWESEWEGFSDDENKVKQKRPER KTPAERNKFKRKKAAEGRAKHEARMKAKEQQLQRIKELQKSVEQKEKAREALRNMTLV ETNEAPSEDDEGEEVLRKKRFGKHYVPEAPLEVVLPDELKDSLRLLKPEGNLLKDRFR SMMVRGRIEARKQIPYAKKKKVTVTEKWSYKDWKL CC84DRAFT_1202688 MTDPVEKISDVEKISDVEKMKRCTIIDFGEQDRIELSPARAPNT MLRLNGLIPSSNNAYHSISIANGAFRPWTRHPQNIIPDHDNDADEDEDSPDVTRHEGT LYRYRVMKLPDIDPRDDRTSQEVMVQEDHWVEQMLLAVHNEGIQDNSTRKAACVTTGA GGYVGRIGSSATAACCSLPSSTAALMVFGGISRIGPATKITNSHRTINLANDMLTTSF SASKSLNNYDLDGFEDESRGTIEISSDADNNESDDDNVNEDKYSENEEDIISKIVNDH IEDFVADGFNQQDFSHIIAHETGRDTTNNRRSDTTQWPLARNTQEYSHGTSQRNDGRQ HEQDMKEAANLLDYELREVQRNVSQGSNVNFHLEAIHNALVPPRNRVRSAQGFNRTDS RPSEATQVPLHDKIQERPHDTAPDHEAPASWADV CC84DRAFT_467196 MAARLICTLWRFISHDTGLHSQNAVLSTLCCQPDLPFPRFPRFV LTHCSSPFNTDMSPISYPVSWLLHNSNFPAQSAH CC84DRAFT_467164 MIEPAATPTARLTSLTGRVMQGVEPLWGSAVVRDPTGDLRHFGR SSSRPCPRNRAWSHDNTRETIDMCASAVQLHQRNYKFISAAPYHGYDVMHAGRRSDER PGGTASLKWKEHALKSAANIQVEPGRYVRNECVDLRRKRSLKTWRTFGIAYVAMWKHT TASYLPSACTEAPTIAKRSQLPMSCQPPRCPTCWPLP CC84DRAFT_1138455 MGGIQHKDNASGVPTYWGKSGKQLQLLVAIVATTDFLLFGYDQG VMSGIISARPFTEAFPEVKDDSTYEGFVTAIYAVGCFLGACFIFVAGDRLGRRNAIFL GASVMIIGVIIQITCVPPNSGATAQFIIGRCITGIGNGINTSTVPTYQAECSKAKNRG RVICFEGGCVAIGTLIAYWLDYGCTYGPDELTWRFPIAFQLVFAIIVLVIMIKMPESP RWLLSHNRQDEARTILAGLSDLPRDDPDVEVQMNVILDAIKAAGMGKTKFADLWTGGK TQHRRRTILGASSQMMQQLSGCNAVIYYFPILCQSALGTSHNMALLLGGINMVVYAIF ASTSWYFVEKFGRRKLYLIGTLGQMVAMIITFACLIPPSDTGAANGAAFGLFLYIAFF GATWLPLPWLYPAEINPLKTRAKANATSTIQNWLWNFFIVMITPVLVSQIGWGTYLFF GALNAIFIPIIYFFYPETAGRSLEEIDLIFAKGYTEKISYVKAAQDLPALDEHAIARY AREHGYLEGDEESTPEGSFKEKPVTNENLTMPRNAQA CC84DRAFT_1138460 MAPRSYYDDQGRALPFDQVMQLEKIDDGTFRSVTKAYSPTGGEN GTYGGHVYAQAVWAAAQTVEDGFLIHNVTGWFTLGGHPSEHFVYTVNKVRDGYNYCTR SVTVTQAAEKGIMFTCTCSFKREETSPVDYQAICDIRERFREVLEGKEDEPMGHEAAP SQDSAFFRETYLPQHPDHFNPIAGLHLRKVDMRKYNEPRAPIDRRQLTFYTLRGSLPL PTAPYPPSLQGAFRLAREANLHACAHLYASDRNSLFIIPNHLGRAREFTRMASLSHTV IFHVGIRDLIMPPEPRIPHPNAVPTLFEDGPLPLCNLEGNSGGDRDGRKWFVQESWLT RAAGGRGLHTSRLWDYESGTHLATTIQDGLIRFKPETKL CC84DRAFT_1256054 MDDRRASANSNAPSMNNIRNALIPRTPKEKISPNLKKALDVLNL KDLDALEHHFLGMIPFLKPWSQYRDSFLLIQPGSAPRSSETNKVRGMDILWFYTNFSD GELRYDPRADDASSLFTTDETQYGNFDTTHWSVEEHEKMLYAWLLQEFRVGKARNPFG FAYFELQNVCTAWRTVFVPIVKAVRDSYDPKGRRHYGRLANFIELRSPSRVAFPESNV SVPQSANPHRMVSVTRERGIAVSAQRLIGVASADTPSKTCPATKKSKIFFDQELRDIY PKYGQVVEKPKTNLSEFLANQKARIKRKNRDIQESTGALFGRSRNGRESPMFGCKGID DARVIDPAVDAKRRSLILSDRPSLEVLPSPKSSIKPSQKNKGVVPVGMYRFMPSPTED KSPLHGITRPIELPDDETGAEADGETTQDIISYLEAEGRIPGESDHPCGLPQPAYARP DPERKPSDGVYSSIRNSNPFLEDTARFWKTPGHRASIEVLFPMSGYPSAIPKPLFNIP KRQDAHTQRDHDFIPESPSPVKASHDSKASRMPSYAGTGYDREIKPPFVENKLNSTLE HNAYEPSSRETSFALSGILEMVHPLERQDRIAGAHQYHTVAENPETIRMLEGRDSDDP QHVHWPGMTPGITPEPVPWPGHTPTVTSPAPTEGPHASRFQGLDSPPPVPPKHPARTA SVRSSAGSDLPTPPSFPTLIGPHIISKENIRGHLSNISRDLSDETLRQVKQRDVTPRG TPRLTPFNKNMFPRRDAGGHLDGKSGEPESERGEV CC84DRAFT_1161165 MLLSGVLFGLFLGLASGEDGSAAWLRYAPLPHDSSHSSLPSSII TLNSTRGSPVYTAQQELRRGIQGIFGKTLNNGTSSNQHGAIIVGTAQAYQKSYGEFDD AKELEEDGYFLSSESNQVLIIGQNERGALYGSFDYLSRLAQGKLGAVSYVSNPHASIR WTNEWDNMDGSIERGYAGPSIFFENGFVTDNVTRVAEYARLLASIRINGMIVNNVNAN ATLLSPRNINGLGRLADAMRPYGVQLGISLNFASPQTFGGLSTFDPLDPAVDAWWANI TNQIYARVPDMAGYLVKANSEGQPGPLTYNRTLAEGANMFARAAKPHGGIVMFRAFVY DNHINESNWKADRANAAVEFFQDLDGKFDDNVVVQIKYGPIDFQVREPVSPLFSTLRN TSTAIELQVTQEYLGQQTHLVYLAPLWKEILEFDMKADNRSSKVKDIISGERFRRPLG GSAGVVNVGTNTTWLGSHLSMSNLYAYGLLAWDPALGAEELLQDWIRLTFGHDKKVIE TVTEMSMKSWPAYENYTGNLGIQTLTDILYTHFGPNPATMDNNGWGQWTRADKFSIGM DRTVKNGTGNAGQYPSEVAKVYESIETTPDNLLLWFHHVNYTHRLKSGKTVIQHFYDS HYEGAATAQDLVEQWNSLEGRIDVERFEHVLFRQKYQAGHALVWRDSINQFYYNLSEI KDEAGRVGNHPYRIEAEKMTLDGYRSYSVNPFHTASGAKAIVTTSNTTEGTATTKVTF ESGRYDIAVNYYDLIGGKAQYELHINNKTIGSWKGDLEDKLGHAPSVYLDGHSATRIT FRNITVGKGDVLKISGRPDGVEPAPIDYVSFLPPGVVD CC84DRAFT_468425 MPGHSTVAARCAAIGSGIAQIITDTTSIARGLRNARHNINAVCA DLLAIKVALDIARDDFSSATPHLPALLLEAASGFLDCCSAATEAWHKPIVRLSASQDR TGPWQTFKAAHLTGLKNNLEAVRCALDLLLDLVDSFTLPNNTSYEITSPNRQSFFDDT DPEVWQEFLERIDSERDHIDNITQRRLPTLSAALDKVRACVTFQLEECLSPQASPERP QLQTGRIVAPVPFRLSAAQRTGGESLPPLQSPLSVSSGIGAWIANVVNQAVQDPRAPP PSLALTDPRDSVAETFSDDVAPSVGTFLTENSATTGTQTASSAKPRSPKLENLERIDS PQLAMKTPKMPKAKKKNGICTIASTVISSEERSIIHHRLTNDKIAVAKDTRRCLSRDQ RAGLDWILKNISKKTTSAELEQILWEGADPNAADLQFGTVLIRAAHTFTTPILRLLVE YGADMTQTSHSAYYSAIHASVLGSQLPNLQWLVEAGIYFDTPNQQGETPLHLAVRTPG GYPIAKWLLEMGADVNREAMDGTTPFQMALGPSRVDSRERSMIIELLLAQGAEGEMNK DTTQNRGKGLSILGLI CC84DRAFT_1256057 MDACYGRNVCGYSWADDDDDEFDMEHFKATAANFEYYSNSASGS DEAASAGDKGDGDDSSPATHAKTSDGEDCDYSDCDIPPRPSTPEPSFRGDDDDDPGLP AHPLDWSMAPGDDVATTEARAEAHACPYKKLPAPPEFYLQVPFEYDRPPGLPPNTLHD LEYSAPWKPAYEALMFEDAHSYAGAYRNNKVQRGVDCRKMMLLRGSPLRQEVKYEEET DDAWRQELELEGTFQEEIQLEEAYQEDFDLEEDISLYEAATFERWEMEQAEEKKAKTF EVYKDEIEAKMGVQGDIVGGARDESNVAIAVATSCPIIFTMADELAHEAQKAGDLGSA SANDSRESKKHDSVHEEHDTSLFLNNENPSRSTTPESLAIASTDEEIDVVKDSPFFLP LDKEPCPENGDEDNMRDALSRTAMEEEQKDEIDAFFTSAVRSAWSLSTANDTAHESSS PHKLVVVLPIAHVKGLRGPVVEESAITKIIRKTKTSPAA CC84DRAFT_468417 MVDPKTAVLAFVVLYVLPYQLCMLVYRLCFSPLSKLPGPWFTRI STIPEVNALKEQRRTKWVNTLFEQNPGAVAVRTGPKAVSFNHPDAVKAIYGHGKGQEE FGKSSWYDAFSTTGESLFSTRSKKRHAMKRRMVAHGFSAQSLQLFEPYVDLTIEKFMK RMDEFAQTGDPFDIYFWFELFTMDLMGELALGNNFGVLEAGKPARYSKLVELSQRFGN LSGILPFGKYSKRVLSWVPLPYVQQLWKARVEYLEYARHALEKRFKNDRAQVAQSGKQ RQDIMQRFIEAKDPETGRRMDFDELRAETSSLMVAGASTGSVTMNWMIYYLCKNPQIK TRLIHELDTMFPNEQDCGKPLPYTQVNTIRLLEHAEIECLRLHPPIGYAMPRDTPPGG AVICNHFIPGGVAVGVPAATIGRNAAVYPDPDSFQPDRWADATAEMKTCFLGFGFGSR QCIGRNVANQFAVKMIASLLVRYEVEMEDPGLVLGTKEFTILKPDREYKVVLKRRVAK G CC84DRAFT_1256059 MESNGTKLEEGDTPRPESKDELKTAHQEEFDVPAGYEDPHKAAL EDNPEHAQKLTWPVLLSALFLGTSFTGPIMFGFILVAPILVQLSQRLGGGDIDFWIPS GWGAAAAVGFSVAGKVSDIFGRRVVILFGQFLTVVGGIVCCTSNTMNQLIAGEVILGA SIGTVSVAYAGISEILPNKYRGIGLAWTEFNLASWAVPSTLLANAMVSNASWRIMFYL CIGYGAFSFIGTLFVYFPPSHPRPDRKTKWQQFKELDFVAAFLFTAGLVVFLYGLNSG GNTYAWGDAGTVAPLVLGLVTFLGAFVYDALVPADPLFPWYLFRNFREFSALIVLVFV AGMVFFAAAALNAQTILYLHTPDPIKIGVYSLPSGFGQLIGGCLVPALVHYIKHVHYQ LTFAVFMQTLFFGLAALITPTNINWLMAVQFLAMFPFGWITLNCYTTASLNVPQRDLG VAIGLIGTFRSVGGSIGSVIFSSIFNQTAKKEVAKRIAATATAGGVASSSIGELIEAV TLTLLGVPGEGAKLSTVPAEIFESSVRAARYAYAYAFRITWLASIPFGVVALLAAVVV RDPSKYFTNHVEVHLEKKRVVIRKD CC84DRAFT_1172629 MDALHHRATCFAGQLDKSCPICYGELSAAEGFLAGSLLHLQRCQ RGTQDSHSFIESDEFEALYMSPCGRTEITDRFHKCIEGVSRRSSVRFRFKIQRKRNHP EFLYGCGESALRNCVNADGEECEGWRTAGDVVGAARNVFPVVKEDFDPFIIMEGPQTQ FLCPHTEPAGSSNSTLNDLSEFVVQPFTLPQDAPKTDSVHVAPLDALPETEAQSTTDA QIDAPSNAAYRIPMPAADLAQVQKCIFCLADPQGTHKRFDKIAKADRIKCDKTSHDIF TCGRCTANYHPVAEATFATEAHALPRIILTSPDSEHSNVLESSTPDKKALYPMPKRRG RANWIGYNWMPNVKELTTEKSKQMTRARNRTLRCETQMYGGVQLLAMGTLASTPVAFF PPPKKTAPDTSKAAHPVAQANIPAPLAGNGSSSTSSASLSLFNMAWSSAIASQSQQLA PSNMAFADVMRKGDWKEKGHKKESKAQKFGLKPLVGEITSQMRDLCRKKKQAQDDSKV QEARRSSQSVAKLEDRAKSLDPRLTRMLENFHISKPSPSKQSAQDVRDGGNMHKAQTD CPASLQQTPYPPVTFSSAVTRPKPRITFGTAPTGAIRNMAPLGKASYLDLANGSASHR PILSPSVYTLSYTTPSELHEDEEPFLPFTHPPSPAWSETSSTSTDSSCIPETEMFEGW DALALEYDGSSGGLG CC84DRAFT_1070139 FNSKNKIIYAKLDTIFTTIECLGNCIASAVSANSPHIGACFGAV AVRIKSALDDSAHYYRIMEMFEVMGV CC84DRAFT_1161169 MQVDDPAAAVQQLKHADGEIDESLYSRQLYVLGHEAMKRMGQSH VLIAGLRGLGVEIAKNIALAGVKSLTLYDPKPAALADLSSQFFLHPEDVGKPRASVTV PRVSELNPYTPVHEFSGSDLTSDLSQLKQFQVVVLTDTPLNDQIKIADYCHDNGIYVV ISDTYGLFGTIFTDFGKNFTVTDTTGENVNSGIIAGIDSEGLVSALDETRHGLEDGDW VTFTEIEGMTGLNGCEPRKIEVKGPYTFSIGDVSGLGEYKKGGQYIQVKMPKILNFQP LSQQLKKPELLISDFAKFDRPQQLHVGIQALHEFANKHNGEFPRPHNESDAAEIYQIA QGLAGQGEDKVELDERLIKELSFQARGDLSPVAAFFGGLAAQEVLKSVSGKFHPIVQF LYFDSLESLPTSTKRSEEQCAPIGSRYDGQIAVLGKEYQEKLGNVKEFLVGAGAIGCE MLKNWAMMGLGAGPKGQIWVTDNDQIEKSNLNRQFLFRPADVGKLKSEAAAKAVQAMN PDLNGKIVHMQEKVGPETEHIFNEKFWDNLDAVTNALDNVEARTYVDRRCVFFRKSLL DSGTLGTKGNTQVIIPHITESYSSSQDPPEKSFPMCTLRSFPNRIEHTIAWAREMFDT FFVKGPEVVNLYLTQPDYLGASLKQSGNEKQTLETLRDFLVTEKPLTFDDCIIWARQQ FEKNYNQAIQQLLYNFPKDSTTGSGQPFWSGPKRAPDALKFDPSNPTHFTFVEAAAHL HAFNYGINPKGVTKEHYLEVLNDMIVPDWKPDPTVKIQASDSDPDPNAQPAGPVDDNE VLNKLVSSLPAPKSMAGFKLEPVEFEKDDDTNHHIDFITAASNLRAENYKIEQADKHK TKFIAGKIIPAIATTTALVTGLVNLELYKLLDGKTDIEQYKNGFVNLALPFFGFSEPI ASPKGEYTGHNNEKVQIDKLWDRFEVEDIVLEEFIDHFERKGLNVQMVSSGVSLLYAS FYPPAKLKDRMGLTMSKLVEFISKKPVPEHQKNVIFEVTAEDQTEEDVEIPYVMVKLQ K CC84DRAFT_1161170 MVFFHAPAAVLSLLALSFLPSVSATLFLKPTPAARLNDFERLKL ALAKRQNACAGTPCGFEDAYCCTGGQQCFTDANMKALCTAGDGGWIYSTFYYTAAETV TKQSVWSTYVGSSPTGSACNPSDMCGTACCGSGEWCQDASINLCKVIGGGSAGSPGVT GTGTNPSAPVRPTSNGVIVITATGSATATVPFTTPIPTGVNGTLVESNSGGGGLSGGA IAGIVIGVIAGIILLLLICFYCCAKAAFDGVLALIGLGKKRKHTHEETTYIEEHHSGA AAGGRRWYGQAGSARPSRPAKKEGGGLGKLLGIGAGAAAIGALLGMKKKNEKRYDDKS TTVTDSSYYYSDYTSSSSASSDDRRTRHTRHSSRPSRR CC84DRAFT_1202698 MKRLIFFFLTLIASRLLRFTTPRRLSLVEPRLPLVFAHSNQSAR RDYPHLITRSLTLSISSPLTSPLPTQSSIASSPAPGTMCTFFSLTYQCGHTQTSHRCP RSTVYVHGKDGEQVPQINGCEVTHVLGGGLPDICIDCKMAEGHMPGVTTGVDKWSLWG PKEDAMAARVKMMEAGVSEISNSPIEGSMVEGTVQHVKSGFSANGRVAEGWEEQVRAV LMNQGRGQMGTPETMASSARSGSMQTEWRQGKRRVKEQDEEDDDWMWGGMKSFGGKEG RKRGKAATLRNTRA CC84DRAFT_1112217 MPSQRRMRLYVLLLALAIIITLYMTRSSSQTRTSPFYVKTQEAL QAAEYVEASKQRDAEKVGSRLKAAADDARKAAEEKGKKFVDSVTGGDADKAPRGRYAN SKDGKQQPLEGVAVVGGRTQDKKPAKENETEDYHEAEVELNTILKKSPIIIFSKTYCP HSRKAKHILLQTYDIDPAPYVVELDEHPIGLKLQEVLADTTGRRTVPNVMVLGQSIGG GDQMQELDETDTMAETIKKLGDTRITRVTRRSQQSEMPRARRRV CC84DRAFT_468475 MTCMGVSMPETLHAARSTSRTRLTSHSCAPYVDLSVGPRARTLC SLPFERPTGRLRLLLQLTAIVMPKSRLQVACLSVGTRHMCSAHHSILPVFANPRILMR LIRLRAAAAPCNQYSRHSAIKNPNRSNLRVRIRRFQREPMSRLGHMASQDGSYRLYTH GM CC84DRAFT_1138477 MSLPSTPLSITPSTEEIRNGTLTQKNLEIAIRALSRDGLVVIDN LVPHDILDKLNQKMVQDAYELQSRKDSPFNYHKGNIQQDPPLVKDFFFPEVFTNPIVT QITSTALGPYPHLRFISGNTALPPSPSAPPASQPTHNDADFDHPRIPFALVINVPLIS MEAHNGSTEVWLGTHASTTIADQQGAHGERASGKIKKDLLEARRGVRAPCQPDVSKGS VIVRDLRLWHGGMPNLSDVPRVMLAMIHFAPWYRNQMTVEFAEDLREELTPERTGLYV AAEYVTGEEVEKSYLNRPYGNAYDFDQVDKVLDISPAA CC84DRAFT_1202701 MSSLLDAALRSDAAPTPGSEPAATPRGQFRSSSVRPRAPPSESA GAHSDHEGFADDEIVGRGTGRRPNGPRTDIPKVVDVTGETLSQRFQEFLEGYTEDPTS SALPVSSVPVTDKYYIAQVRGMKLYGLSTLYVDYTHLQGHEDGVLAAAVASEYYRFLP YMTRALHNIIAKYEPQYFREHRQPTAAGTAPPSSRAEATSQNESLNEKTANQQTDKVF TLAFYNLPLISRIRQLRTESIGRLLSISGTATRTSEVRPELSMATFICEACNTVVPNV EQTFKYTEPTQCPNTVCMNREGWRLDIRQSTFVDWQKVRIQENSSEIPTGSMPRTMDV ILRGEMVDRSKAGEKCIFTGTAIVIPDVSQFRVPGVRAQATRDNSGAARGADVGGSGV SGLKALGVRDLTYRMSFLACMVIPDISTPGQKSTHHMQGQAGNILASLNQAQAMESDK NGEEAQAEYLGTLTASEIQDLKDMVHKPNIFMRLVDSIAPTVYGHQVIKKGLLLQLMS GVSKQTPEGMDLRGDINICIVGDPSTSKSQFLKYICSFLPRAVYTSGKASSAAGLTAA VVKDEETGEFTIEAGALMLADNGICAIDEFDKMDIADQVAIHEAMEQQTISIAKAGIQ ATLNARTSILAAANPVGGRYNRKTTLRANVNMSAPIMSRFDLFFVVLDECDEDVDRHL AEHIVGLHQFRDEAIEPEFSTEQLQRYIRFARTFQPVFTDEAKETLVEKYKELRADDA QGGIGRNSYRITVRQLESMIRLSEAIAKANCVVDITPDFVREAYNLLRQSIISVEKDD VEMEDDDDEALLAAAAAAESQDAEVDADMGADEQPDGETTVRVTGTPAPPREKTKITH DKYISMRNLFVKRINEDQEATEDGVEEEDLLIWYLEQKESELETQEDLEKERDLAKKV LKKVCREQYLMPIRGEGLADEQGQADAAAKVVYVLHPNCPVEDIV CC84DRAFT_1112225 MDTNMEDVKMPDPAQLSSVPASEPASIPTLDGWIESLMSCKQLA ESDVQRLCDKAREVLQEESNVQPVKCPVTVCGDIHGQFHDLMELFKIGGPNPDTNYLF MGDYVDRGYFSVETVTLLVALKIRYPQRITILRGNHESRQITQVYGFYDECLRKYGNA NVWKYFTDLFDYLPLTALIDNQIFCLHGGLSPSIDTLDNIRALDRIQEVPHEGPMCDL LWSDPDDRCGWGISPRGAGYTFGQDISEAFNHNNGLTLVARAHQLVMEGYNWSQDRNV VTIFSAPNYCYRCGNQAAIMEIDEHLKYTFLQFDPCPRAGEPMVSRRTPDYFL CC84DRAFT_1172636 MSWFMRGVQSAIFHYASCAPCTGYMYKKQRRKQAKQARKARTKL VEEQPELYHHPEPTGTNPYWQEEIGLGPGPPPRRAKKTNTCNTQATTAVGTHGSAVSQ AGSSIDVDRAADLRFSDDTLDDDNWNRKRYQREDEELWGFETIVPLESAVSGSSVGVA GFTRPSTSRSGSYYSARAPPVNDLHPPVVSLPSPHACDNAWMLQPPPKASVMSGKERA TLRSRSGSGASSRVELSLQRQVSARQLRHKIDRGETPDLPSLSRGNSYNDIFLGQRHD RPKTPLTRPPSAASSYRPRTRRDTAMTNTSATEQLSSDSSDTAVRRSHSSSRDMSTVP SLHQVRVRSSRQQLSTVVSSGSGELPMSSTNLSPPSELEHENLRVSAIRKVRHSTQST DSDPYYLMKRHAHLVSSDVSSLNALQNLVSPDALLNSRFVSAPLIEAHIKLPPSDHDE QRMLDTDQWSGSGFAVSRGWGTEQVDGEARVPFDSAGAAERDPRMRWSVDF CC84DRAFT_1172637 MNRRLQSISSIPSTASCNGDMRATGHVRPSRGGTWTNYAASVGS RKDATAGKERRGECPAIKRARRRWISRLHESNPGVRQRMEFGHGQASMPADLPHEPGF RASALSEWVCSGCARITAPVHRVAHPSA CC84DRAFT_1256068 MPPPVMSPPRSRSRSRSQPRRIHHHISMSFSTPENVVPQLYEWV SLRFPFSVVVHRYSISTTHVSRSLSSLSFLEIPVRLSHSSSSRMDNDPDPNDSLLAEF DHNSGSGEYIRPSESLGEELRRRLNKPAEEEDADIRAVPGRDPWTTWFPSTPPLRSRE ALRSESDTPFLHFSSPGVAPSVLVHILGMHRSEMDAIEEDEVQVAEQKVQQDLIREQR GSSTARPQGICEGLPDDETDFAQFIEDERDSLPGSCLCWACRAIIQRYARGRNLFP CC84DRAFT_1161177 MSTNGVRSLNPILRGFASTAYFIASCSRSVLPCLCGANCSVVRL RCSDGSRSMRAPGALSLTFSGPRRAHAEREDRRSGGGMG CC84DRAFT_1161178 MSDEDTKPIMSSSTVSVSVPTPTQQHPFQRKIDEIKPSKPSIDL LVMDYLVSHGYPRTAAKFAKEAGVPAYLVEEESIEARVEVRHAIHKGDIDTAINKIND LDPQILDKDPALHFALLRLQLIELIRNCTSVPNSDITPAINFASSQLAPRAATNETFL KDLELTMTLLIFLPSDDLQPQLAELLKPELRQQVAAKVNEAILLSTGARGEAKIYSLY RTRQWSEIRAREAGKDLPASLPLGLEPSSVQANGNGESGDVMVQ CC84DRAFT_1256070 MTSTPTSRIHYSPPWGDASIIGVAGSSGSGKTSLALAIVASLNL PWVVIMSMDSFYKPLTPEQSERAFRNDYDFDSPEAIDFDVLVDKLKDIKSGKVAEIPV YSFEKHARLPQTTTIYSPHVLIVEGIFALHDQRVLDMLDLKIFAEADADLCLSRRLTR DVKERGRDIEGCIKQWFGYVKPNFYKYVQPQRDIADIIIPRGIENKVAISMVSSQIKN TLSLKSGKHQLELLRLGKIAEDSPLSKNALVIEQTSQVKGIHTLLMDPKTNREDYIFY FDRIVSLLIEKAVDFLPFTPAQIQTPQGHLYMGLHKSKEVSAVIVLRGGSAFETGLRR TIPDCRTGRILIQTNYRTGEPELHYRALPANIAEHGLVLVLDPQMSSGGAALMAVKVL VDHGVPEDRIVFVTYMAGRIGVNRVLRVFPEVRCVVARMGDEREERWIETKYLGC CC84DRAFT_468584 MPGLPSTVDLDECISRLYKKELLAESVIEAICAKTKELLMKESN VVHIRAPVTVVGDIHGQFFDMIEIFKIGGPCPDTNYLFLGDYVDRGLFSVETISLLVC LKLRYPHRVHLIRGNHESRGVTQSYGFYTECSRKYGNANVWHYFTDMFDFLTLSVVIN DQIFCVHGGLSPSIHSIDQIKIIDRFREIPHEGPMADLVWSDPDPERDEFSLSPRGAG YTFGAQVVKKFLEVNAMSHILRAHQLCQEGFQVLYDDRLSTVWSAPNYCYRCGNMASV LEVSDTGERFFNVFDASPENDVHRAEQQQQQGREVTTEYFL CC84DRAFT_468606 MWNGVATGAAPFQQPQYANSYSNQQPYANQQAPQYGQQPGYNQY QGGFPPQPGVSPQLPKSFPPKKKGNPIITRYPPPPGYRGPAQPQGPFGTVQFSAQQQG FPQGPHPAQAPYPNQSYQSAAPAQGYPPPGYGPPQSHPSQQGYHHAQTFQWPSQNYPH QTPVSQSPSYPAPQQYSQQQTGYKSYPNPPPPINTKQSAWPSAQGWQQAPGSATYPPA NQYNPYGASSANSQPTIDPNATPTPSSAMPTTAQPTPANTQLPSATSDTASDNKAPLF LGWDDWDFDFDGAIWPKANEPVDPDLSLGVITWRPAKQVTRALPATFEDAEEQSLRPP AEKLGNGESVSLYFTAENSYEAFLDVRKTDDWYNIKDDPAFVIFTDEEMKKNLISIED CVSLRDRPDEKGDEDMNDPSWNVMDNLEQALSGDMEDVKKPTPPDSCGKPSRDQVQED ILAKLGVTGSPKPPSDQEVPLPFPRDDQLPASLPPKPPAPPSANIPPRPDVGPHKTQS YSGHRNSMYGTTGPRPYGSISSATSQRSSPAQPYERTNSCASIPPTHQIGSNHPEASN MAGSGTEEANHQVEKDFIPQLKRNDSSFARKRSYEDTDQEDGQTRQQDDHTKRKRRSQ VDAAYR CC84DRAFT_1236359 RIQHRSTISLSPPLKPTTHGHPQTIRVTVQFRSPTMCKYFKKIF TCSHFSQIYVERCLPACKPGVEACTTTDASHDPDAFNAQLDRDARRSYFACFKCITEE ANAERTAAAEAAAALEQDPAKRAETMLRQARYTSTAVKADANRKVAERKIKTERDADA AKAEALKAEKEPAARGEGMWIEMGSAHKKKGWGGHGRGFRDEKSAVQSAPPMPTFRMG VDAAVGGWEGKTGEFKKANVPSSANETGTGSGVGAWPRKILIKPVQKQRENWGWK CC84DRAFT_1082824 MSVNTPTAEKPLPGFDIVSTYRSILAGDAELTMPVAAIEALVEA IAHSSVSTVAETLALLEHHTAVLKAEIANPISLSAGTDLFQRYLITTLNRPAALNLGP GDDFRAIRNHLLSNGRLFVERAKQSREKIASFGKHFIRDGATVLTNGGSRVVGALLRR AAESSTMRFRVVYVLSGSASSDTKEGDQIVADLRAHNVPVATVPDSAVAYSLGEVDMV IVGAEGVVENGGIISRLGTYQLGLLAKSKGKPFYVVAESHKFVRLYPLSQFDLPIEQK VLEFRVADDKGTSKPSEDEGFFDMHGSTKKCSGINADDAVDFTPPDLISGIITESGVL TPSAVSEELIKIWF CC84DRAFT_468628 MAVETYKMWVRGQEAEGQAEQLSVEDPSTGDIFAKCHAASPQDV DSAVRNAHATFKAGVWSKAPRHVRADTLERAADLLTKNISRLIELEVRQSGRAIREMN AQVPTLTKWFKYYASLIRVEERSVMPTMGKLHNWIDRVPLGVVAQITPFNHPMLIAVK KIAPALAAGNCVILKPSELTPLTSIELAKLLKEAGLPDGVFTVLPGDGATTGKALVSH PLIKKVDVTGGTPAGRAIGAIAGGNLAHFTAELGGKAPLIVFEEANIDAAVNGIAFGS FIASGQTCVAATRIIVHKNITNKVLGKLRKKAESIERRMGSPSNPESMMGPLISAKQL ENVRSLVDEALESGVWVYAGGKRMTDTSPLDGTDFSKGYYYPPTILADSPDHKIVDTR IWKEEAFGPVIVVVEFDTEEEALKLANDSEFGLGAAIWTQDLAQAYRVSEAIEAGICW VNTHHRNDPSSPWGGIKTSGVGSENGVDAYHAYTTTKSTIINYATAEESIANDDWFRE GTGEVRYG CC84DRAFT_468629 MDVLNHFGAFGFVPNPLQATATPPVTEPAPDQPKTTSWNPANAS KVFKNQSSAPSLGAPPANTDTEPPNIQGPVHSALQDQQPSAPRDQPTTSASAVDGPPE QTGPVSPGSSINNDPLFTEHINQSPHSPNVSGSDADSSMEIEGTNAERSKKYAGYEPY VSSGSGDDDMQVDEKSDNQAEPGSHQTPGADTFQDQLGGFSPLQPPSAPAPLGPTLPV YEPGRSESWKQEQPASPGFSGFSHSGRPFRYDPSGPELEGFASHHRQQQERENNNQKL ANTNVGGEPPDQPSPSGGRFTGSGNKPGWGGEQPESIKHAPRSPVREYNPSPAYMNGE DGDGSIYPGFPGRQRRGSGGFVPPSPFLMSGRDQQRRGAFIPYPEEDEHERPHRGPEI GGGRRGSMDTMAGGETRDGSEGRMELDDKPAQIPFAQGQTQVPANNPFGQGQTQGIAV NPFTGQPLRPFAGSAPNPFRQHTTQAPDN CC84DRAFT_1256074 MSSISMSGCPMSGRASGIPQGSNVEDFLRQRERKAINELLYSNV PSMDEIKAIQNAKGVKSLDKLNVDERDLLAVALGAPARQVILRAEEVGPSTGWRDGYL SVEYGFQPPDTSEAPGALRNSPGRVWMDLCDRMPGCIARGRVRESVAALPIVEGRIDI IPDKALWAALVALGMLCSIYRYEERHDGNEGINVAAKSLRLDSVPMSDDLGDEVKGIP RSIGLPYVQICIRMGRSIPHLTFFDQSSYNVDYIDPLSDYPYVGRFDNTRLRWAMFGD SAERAFLKGCAETSASFQHGPDAIAACQEHVMNRNNEGLLRELIRLKEILERMPQAFH TISLAGSGENYVSPAEWVRWAKFSAPLSKRCPATSGLQFPPYLVMDAFLGRKKYDSFL GAEGVHLRAWLPSNLRAFIAAIEYHYSIPEYVKASGDPRLMGVLDGVVEAYTGERGFM GVHRYKVFGLLECAGKTGRTETNGASGASNDMRPWEQTHEEFSASMKERLEPFRGQRD LEPHEMRGTFEECRYRGRILSRNFVDSDPSRSIAMVTLDIQEAGITFQPGDRLAVMPM NSWTECAKVAAALGLDSMLEDTVTLDRVWSRYADHIASVTRSGKPRLTVIDVLRKGHL APITQGLATKLHTMLRASSNTVLQVLATNEWPVRASLGDLLQAAVQDTPTKIWDQAFG LSGDLSWLADLIPVEVPRTYSISSTNSDELLPGTVDLTISRSNYELCSTFAGNSKVMR HGVSSGFLNPPVGDELDVLDDEELLLGVSRPFAFQLPFDDTSPVALFAGGSGIAPFRS FWQARCGQSWGKTALYLGVQSREKFCYESELRHYVNEGLMEVHTAFSRDSRGLVYDPV TQDLVEKEIPPRYIDGLIVEQGHAICDLVMSKKQGGIGGYIYVCGSVGVFDSVMSGIR KALYNHRSPTMETVESILTTAFAERRFMLDVFMTPKPLPCNQPTIPLSQLALHTGHTP DSRVWISVHGKVYDVTDFCPMHPGGTNIIKSNGGVDCSKSFDLLAHTNNPEVSSLLTK YYIGELTPKPAYHSEEIGMLYDLWNSFLRVATEQVVASHFEVGMIMESSIVWFQGELF NMGGVRRFYHYQSRLLQGAFSALFGPKLQEMYLKISFAFANASSSSTPSKLPDVLGII ARAKGSGDAVKASNEISQIGQFTCNSESARHHEKGIITYAQRSVQYDMEFLEAIRDEA CAGMDAFSTIMEFDAASDSQRIAALSAFLMQVLERMAKRLEGFYTKLARHSVFQPELE RNPARARWNILKRKIRDGSFFVLSRNVTISTAPSYQPAVGERVDFDQVVSQIEMNLEH ADLRSNRNLDLNEQHAARAMDTSDGAPAYEKHNHTSALRAMSSFINDNKRAIRRLSKL PQDINLEQLMQAYGSVHPVPNGLPTPPSSRSTSRSPSINSGRGNLARRNTVADSHSGA ALQRRHTAASEDIVTTPTHMSPTATMSALMTKMNTRPKPGQVGLASPPHSAIGSANFS FNDRARSMQRLPIREESAREASPMSPAHMRSRSTTNSLRAFRLQASAVAGGMSRRGDT SAH CC84DRAFT_1236371 MPPKNKVVVTMRLPESAQRLLEADKSPTEYSQHNAEQPCSRLWL LNEIKGAHGVLLGVYDKVDEELLDAAGDQLKVISTFSVGTDHVDRELLKQRNIRLGYT PTCLTDSVADISVMLVLMAQRRARESIARVTAGQWPQMPWHPSLMIGPDIRGSTVAFL GFGRIAQATLKRLIGFGIKDARYLTSKPGQPVQEDHFGLIRDAAVPVQPVKDLKELAS CGDVVIVSCALTPSTFHIVNASFFAEMKKTAVLVNIARGPVVDTDALVDALQQEQIFG AGLDVIEGEPEIAKGHPILRQERCVLLPHIGSATIKTREQMAEECVKNLWAGLNGDAM VNEASL CC84DRAFT_1213546 MASSTSPSTSTPATLSVSFPSLHPTTGLPITFNKDYYFNVHIAK FAQTCIPFGYLGYQFFEFPNPSPFTGEPPEYAFQTVGYFETMEGLQKAVEATKEEAMR DLKEFVDLGEGVGPAVVVGIKSEEKWFGKGGS CC84DRAFT_1138505 MKISAIIAAAGLIAPAFAGGDKGNKNKKLVTPRELIKDVKLKNL LAGSQKLQDFADANGGNRAFGGGGHNATVNWLYTELKKTGYYNVQKQPFVELFTAATI DFSAGGTEYDAFYMTYGPSGNVTAPLVAVANIGCDAADYPAEVSGNIALVSRGTCTFS QKATLAAAAGAVGTVIYNNVPGALAGTLGGVGDYVATVGVTQETGATLLSAIQAGEVS ATLLVDAIAENRTTYNVIAETKTGDKNNVLVLGGHTDSVFAGPGINDDGSGTVGVLEV AKALTKYKIKNAVRFGFWAAEEFGLLGSYHYMKTLNGTISGSPAEVAKVRAYLNFDMI ASPNYVLGIYDGDGSAFNLTGPTGSDTIERDFEDFFKNRGVPSVPSIFSGRSDYAAFL QNGVPSGGLFTGAEELKTEDEAALFGGEAGVALDVNYHQPGDDINNLSTEAYLINAQA IANSVAKYAVSFEGIPKANVTHRKRDAEISRYMARFADTIAESHKGHVHAAPCGQGAL LE CC84DRAFT_1236383 MFVRTVLLSLSALAAAKELPKDEVKAAQLYDSGIKHANNVALKR ESWAKHEAAGVYASEQYAEVADFVACSNGTAKTSTDTFRCKNIDLYHFLSHSALGSTR GFGSSSWGWTSSDGREFVAIGQSDGTAFAEITNAGKLSYLGRLPQFSTPSEWREIRGH NDFVIIGSEASGHGIQIFDWKKLLTIDPAKPVTFTNTVGGGLVGHYDKLPSGRTHNVV TNPKGNYIYSVGAQPRTDACKSGIIFIDISTPSKPVNAGCASADGYVHDAQCVFYKGP DTQYNGREICYGYNEDTLTIYDITDKKSPVVLSRTSYTGASYTHQGALLDVENQKYLL LDDEYDEYDKTGPGAAGNPITYIWDISSLKKPKQVGLYKSGAKGIDHNQYVSGGFAYQ SNYGTGFRVLDVSSIPSDPSGAGVKEVGFFDIYPEDDNQAGGGVIDFVGTWSSYALFK SGYILVNTMERGAFVLKKQAGAF CC84DRAFT_1138506 MGKAKYILLDCDNTLCQSEHLAFEACADLTNKVLEKHGITDKRY TAESLLEDFVGYNFRRMLLALQPKHNFKMSDEELEEFVEAELGAVTKKLSEKAVECPG VTEQLEWLKKEGYPMSVVSTSAKSRVVASLKKTNLERFFTDEHVYSAATSLNPPSSKP DPAIYHYACKELGVKESEAITVEDSKSGATAAMRAGIPCIGYVGIYGIEEGKEKMEQM AKLLTEETKCVAIMYDWKEFPEALKKVEAKL CC84DRAFT_468667 MRASTFLTSTFFAATALAHGNIVSPPVRQAGAVTQQVCGAQAVS ALTTDITGPLENVASNGSPDCNIFLCKGIQFEDNQNNVQEFAAGEQVDFAAEIPIPHE GPCNVSVVDLATNTLVGQPLISFASYADEALAQLPLNNTQFSVTMPTDLGTQCQQPGE CALQWFWFGTNAQQTYEDCVDFVMTA CC84DRAFT_1161185 MKIEDSHHQHSGAQQQYISSRSQHSKVTMEDRARIPVGPPIANP LHSYWQHPKSPLAATIEPECSNPTKPYDYAIIGSGISGTMVAYNIFKTFPSARVVMID AREICSGATGRNGGHTKSASYRTYLQHKVELGREEALKIARLEYANIIETHTLAKELE IDCENQICNTVDIIYDPETFEQGKHAIEELEADATEEERQPGKMASYRIYHASDGIQQ KFWVDDSNTNPAVKQEKVAGAFEYLAGKIHAYRFTTGVLKILVQEHGLQLCANTPVHA FLPAHSSTPDSPLWDIFTQRGTLPARNVVLATNGYTPYLLATLQGAIVPLRGQIVAKK SPPTARHPSVLPRTYSFIYASGYEYMIPRQLPDGGQHIVIGGGLGRLPNAGAGEYGTV DDSALSPDVSAYLHGTVTGYFGQPTSSSPAAQDVPEYELVHEWTGIMGATADGRPFVG GVPGMEGVWVSAGFNGHGMVLCVKAAEALVGMIKNDGAVEDLEWFPKSFLINEERMKA KFGGRTDMKVPETGEGPVGGGKSWEVES CC84DRAFT_1193730 MVPPWGRYDPIQDEEYDSLDDSWASASENIHGLSKDQLPEQSAL DLHPGDNSVDENDTTLQNLEEADQDPDSDIDKDGAPCHGPVTLKEKRAAQNAVFRAFA LEKEAQITEKEVKEALHEIEDDKLSIKQLLKKQETSSIIASPRDYQTELFQRAKDDNI IAVLGTGSGKTHIATLLLRHILDLELDARNKGQPPKISFFLVNSVNLVFQQSNVLDCG LGGHAVEGISGSMGPSLWNKHTWQKHFDKNMVIVCTAQVLVDCMMHSFINMANINLLI FDEAHHAKSGHPYARLMKDYYFTLSNRSQRPRVFGMTASPVDANTDIKDAAVQLEAML DCKIATTSDITLSANAINRPEEEIARYSRLPGGYETKFHQELKVRFGHLAVFGKLFNQ SKRFASELGRWASDEYWTFAFTEAESRKREIREEYKFNKTLTNESIDKLNTQIAQLRE AAEYVKNFDNGIPTTTPEDLSSKYYHRHGNNRCIVFVEQRQTARLLHRIFKYIGGPHL HGDILVGVSGSIGDFHVSLSKQIRTVSDFRKGELNCIFSTSVAEEGLDIPQCNLVARF DLYRTMIGYVQSRGRARHQNSRYLHMIEKDNPQQESLIFEAMGAEQRMKSFCLGLSGD KLLDDADARLPQLLLTESNLPSYQDPTTGARLTYSSSLSILGYFVACLPKGNEQVDLQ PTYVTSRAVNTDSNGMHESGWQCEVVLPENSPITFKTGRVHRKKALAKCSAAFEMCLE LRKRKYLDENLLSTMKKMAPAGANAQLAVSGKKKNLYPMRVKPDIWQTCLGNAPELLY LTVVDVDAGLDRPHQGLGLLTRVPLPHFPSFPIYLQDDRVSNVVIVPLSKPLKVTAGV LRLLTKYFHQIYEDIFHKDFETDLAKMYYWQVPLRHPEAPVTTSTDPEDVIDMVQVCS VCDKPEHRWTPEMPPEVLPDKYIVDRGAGGRRFYSIRVMPDMKPLDPVPENVPAWKWN DNVLDYSVSLWKRDRARRVWDVNQPVMQVEKIGHRRNWLAVVDTKDDEEVKEIKGNNI TYLCPEPLRISALHVPFVAMCYVFPAIIHRVEDYLIALEACQMFDLNIGPALALEATT KDSENSDEHGQEKINFKSGMGPNYERLEFLGDCFLKMATSISTFVQQPDENEFEFHVR RMEMLCNKNLFETAVLRTRLVEYIRTATFSRRTWYPTLRLLKGKGAQAGTRADHHNQV IQHGLADKSVADVCEALIGAAFMQHNQRGNWKPGMWDEAVKAVTKMVMSEDHVMETWS DYYKAYQLPKYQLAEATAAQLDLAAKVEEQHPYHFKYPRLLRSAFMHPSQAFMWEHIP NYQRLEFLGDSLLDQAFVMHLFFNYPEKDPQWMTEHKTPMVSNQFLGAVCVKLGFHQH LRQNNAKLSSEICEYVTQIQEAESESGGAVDYWTVVDRVPKCLADMVEAYVGAIFVDS EFNYSVVQDFFDIHLKPFFPDMTIYDSFANKHPLTRLKKRLEDEVGCRDHRIATHTTE KMLPNEKDRVVAMVMIHDKVHFHGVAVSSRYAKVKVANIALDGLDGLPEYEFKRRYGC DCRELVPTDAEQDVV CC84DRAFT_1082769 MADDEPKSIRSLFLSAERLRNNLNSFPDSNSPTYQENLTKAIAT YESCLELSEQVALFSPNESLDDISSSDIQYMSTSYHLSELIQKIRSENVSERKANLLR ARDHYERFFKLLDTYDVLSASDAKLWELYLDDKSSFSVASTRDAAARREAKIARFREE KELKRKIEYIQANPKLAENDEQVVRELHLTNIAFMVHQAFAALESLAQELHIISLAPT SPLQGERAAPQDGREDERNRDKYSERLDSQLPGLRYSGPILSSDGKPMRPFTLLDTRQ RLQQGVFRPDHSLPTMTIDEYLEEEKRRGGIIEGGGEQSGIRPEVDEDDLRLADEETM KARAWDEFKEDNPKGSGNTINRG CC84DRAFT_1161187 MGCNSSRKQLPQFVLFGDSLTEWSFDDSTQGFGWYLTDLYKGKA KIVNEGYAGYTSEHVKPEFSRIMTRITAPFAPSTLLFTIFLGANDACFVGKTEYVPLP QFSDNIRSFVEEILIQDNLADAKIVLITPPPVNVPDPLPGDDEGLGPAMAKALKGKEP KQDRAYLTYMSKKRYADGIMSIAKEYEETDRVVGLNYWRALVDAGLEEQGRTGELDED LFPGCGLAGAKEFGSGWFTDGLHLDKKAYNVLSKALTETVIGKWPELSPERIGT CC84DRAFT_468740 MPFLVCASFLCALFVSHLLQNMHGLASIHRTLVLCSKHVKRYKS TAGLHSRCSFTIAITCFLHDVRCATFAVHCCGIPFPDWRSLAFAFHKHVYHAPAMMCV RHLRTDQILRVPLGWIRWRDCRRSCFWCVGVARKMKAGDGLLLLALWNGSPARRTFWL CPRRRSEVRMATAYPQVARKKYPTVLRLAMLLVCTLPSPFLTDGNLREPWMYHLASVN RSHRLI CC84DRAFT_1161190 MPASVDVDCQQSARDPAQPSYIFRGHTAQVHSVELLRCNTCLLT GDADGWVVCWKLDTKRPLAVWKAHNAAILATAEWGPEKVITHGRDNTLRIWQLRGGCA AYSTVLPADGADTHRPKPWLLHTLPVNTLNFCAFTMCYQRSDAASSVTASNETIYVAV PGRDDKKAEVYQFPEEKLICAVPRINTSETGMVMAVKLVHHRPSNNILVIAGYEGGFT AVHRIPRNRTGVRDAVLSVAQTIYLSQPHTQPVLSIDALPDGSTYFSSSADAIIAAHR IPHLPHDDVEFASPENSVSAANNLDQNMKANINELTEDDRTANSSGAVPGAAAISPKS SEPVDSDTEPAEPLSFPKTRRPTNPKSPSDISKPVGRSSGLSALLASAPPQQNLAAIP RSPPPVTIQPPHKIASTKHAGQQSLRVRSDGRLLVTGGWDSRVRVYSTKTLKEVAVLK WHKEGVYAVDFGVVLGQEDVEKPVEEQKALEEGAEVVRRETGLAKLQRHREEAVQMKH WVAAGAKDGRVSLWEVF CC84DRAFT_1084267 MAGAIALAIQANQHAAIAEQQSADHDIQQPDALSEHTPPAQAKG KSAKSTRTRTGCLTCRDRHLKCDEGSPSCQNCLKSNRECRKGMRINWIDTQVKAPPHL IPPSDNWVVEFKDESRKTASEYIGGLELYPARGPAQSANRSFEPTTYQATYAHHHRIS NYSDMASEYGSQGGYAPMRSFQNPQQHQLAHSTPSDNMSAQASVNAFMTPNPEDHHLS RADPRDLQELDGPDYLVDQEEVLFMQVFVEEVGIWMDSMDAKKHFSRQLPFHALKEPM LLNAFLASGARHLALVNKRYSQDRALKYYDKATKHLLDALQDPNRDTVLCATTAVILN VYEIMGERALQRMNHIAGARALIKECGWNARSSGIGAACFWLNVGMELLSCLHFNWQV AWDPDEWGIDMNLEPETESGREETWTHRIVYIVAKVCNFRASIPRDEESAKQSAQARY VKWLGLTELADRWNTCIPRTMHPMAYLYPGQTLSGSCFPEVWLIKRASIVARLFYHTC MCLLAQINPLQNSHSPEMHQMLTEHSQLICGISAHVKDRGVASVALRSLHIAAECLTR RDAQEEVLTIVDKIRTETGWRVGFINDELKEKWGWNNQEHQPVQAPQQQQAPPPQMQS QPRMYPMMNPLAGVDFNNAQHPYQEHYIPPNINIMQQQDLLQQHGAAQQHQQASNNTY PYY CC84DRAFT_468767 MYNPLGLRQMYWLRALNNAQTIRSSIQLSKQYFYIVWILLSPIN TTSSQDIQSRSMDNPSHTGRISDDEGKGESFLTLLPELRNDIYGMTLVREAPIQITYR STKGRSSPRADLDEIFRFHSSGSKRTGTVLTWLR CC84DRAFT_1172659 MVYTDIDMPLRGFIALDWTLMTVALVPILIRISMRTRNRGLSTI ASNIADSFLVLAWLSGCVLISINTWKNNLRMRYLHAPPETLYYGVPEPLSGHLLYVSW ISLFFIYISLWSAKACLLAFYYSIFSLQGRRARVGLATACVFTAATFLLHMFLIAFWC TPISSNWSPPPGEHLCSAVHSMSSVTISTFTNIATDIVILSIPISTLLATKLNRTQRA GLAFIFLMGSISILAALVRFICLKLVQSVPRASITHTIDVWALVEIVSSILAVCLPSL RTFVRKHGFSRPDGAIRLVSNSSSRQEHSMNKSLTRVDSAKSVPMPVVEAWYDRNEER YFVIRPEQAKIESQV CC84DRAFT_1213559 MKDNIERVAADQLPSHHQAQRWDLSKRFQDLMDDILPKLAVVTH KVNTYTGTDYSGIEALKREIKDQEKLVKTRRAAIEEAKQALDVALSKQTSAQKEVVAL LERKHSWSDSDLERYMSLIRSEHINDQAVREAKDSIAQAENALEEARSRLEKRERAQY HEEQIWSDTIRRNSTWVTFGLMGLNIFLLLATMIVIEPWRRRRMVREIRAALEAQKPA VVEPLTAAATPIVAQGAEEVVEKLSESVIKSPASVDTNDTASPVQQDLNASSEQPAKM TEAPPVFTTPSPEPAEVPGVQSTTPLITDENKILLESIRIENEVRSRPASTPESWQDK ITYIATDIISERTISMRRIDYTVAILQGAAAGAVITAAVVAMVLNK CC84DRAFT_1082215 MGIQNAAAELPAMKSNPKFIFFTDFDGTITLQDSNDFLTDTIGY GKERRRKMNVECLEDRISFRDSFRDMMDSVTKPYSECIQYLVDNIKLDPGFAEFYQWS LENNIPVVVLSSGMEPIIKALLKKLVGPESEKMQVLANNAAPREGKSMDEEGGWEIVF RHPESGFGHDKSICLRQYSSLPDDVRPTMFYAGDGVSDLSAARETDLLFAKKGHDLIT YCARENVPFTVFADWTNILAKCKDIVEGKTSVKEAAQQGFEAYKAGAAGI CC84DRAFT_468848 MNTDPLTGEPLPPTAIQRILYLAPKVHVYQIPPLTSTKGYQASS WTADPKRQIFTARLRILETSIPSPTATDDTAEKVSTTLLLEDPSSGQLFAAAPYTAPN VVEQALDSSRFFAITVVGEGRKAVLGMGFEERTEAFDFNIALQDARRALGFEANPNAA APGRKAASKAAEEPKRDFSLKEGQTISINLGGLKGRRERREGDSKSPEQPKSEQDALF SIKPPPGSGGGFLPPPPSAKSVKEERRRSRQSFEPKPEDLGFDDGEFGEFQ CC84DRAFT_1184313 MEKESLLPQTEEPIPRQVPAKRFSRYHGFAFALVLGLFWLSGTW KCGHRHTHKHAHEDTASMVPLEIHIMSKCPDARDCIEKLVVPAMSNVSDKVDFKLSYI GKTTEDDGVLCMHGQTECLGNILELCAAAEYPDPKIYLGFTRCLSLRYQEIPDTSLIK DCALEYGIEFEKLNDCASRDDGAYGMGLLRDSVTRSAELGVKTSCTVRLNDKTRCVRD GGKWKDCDDGSDPEDLVKDINKLYAKAQGWIYV CC84DRAFT_1112291 MAKDFAGEASQAIAAFTHFINEETLLDLAARFSEVYTHLAKTSL EHFLVTPVTALPTGKEKGKFISIDVGGSNLRAGFVELTGETEHGVHRSIEPNEKIKRS YDKSWPIGEHLKMDQAEDLFAWIGDCIAEVITDALEDIPASVEAPFGDELLLGITFSF PMAQQSLSEATLLPMGKGFAITSDLNLGKMLSAGYSRHISQPHRNSNRSHAEYLSSSK TTGSSRLPRIRIAAITNDTVATFASLAYAVKAAPNSRVAMGLIVGTGTNATVPMSLTN LHPTKRHDLANGESVETVVINTEWTIRGTDKPLVELGIKTIWDKTLDANSEAPGFQPF EYMTAGRYLGEIVRLVFVDLIARDSGANVPASLETKNALQTRFLSEVVARAEEKTVTI ELEKLFPSTTEAFWAPVRVKLLRDIAYAVQQRSSALIAAACVGLLDCVHDIRIDPPAR NGHATTEDSKPEEIVIAYAGSTISQYPEWLETCQRWIDILVAKGSAANASKRVILREA LDGGIIGAGVLAGMTDEIA CC84DRAFT_468785 MVSLCVVSALASAASPTVAPPRARLSAFPGNHRPYLSPFITAGR FQYLTGSMAVIQLGWLLLALVSLTRISLADVPTDLPRLYYTGKNELPSSSLLPCFKGF IDDGGRVWLLFGWRLLFGEPGLLQQVQSDNLPEGLYIQRVWWRQISAQV CC84DRAFT_468784 MAVGLGLRFPLLLAILGFLIYCIRKRRNRGDGDQPWPGKNSGDG AGENEKIKGSTSETYDYPTKAELPADEKPAQIPEIEGFSVGPHKMPMASPLLSTDSGK DHVRNHRVDNAPVYELLG CC84DRAFT_1184315 MAPQIPEEQWAQVIEKTGAPLQYKKIPVAKPGPDEVLVNIKYSG VCHTDLHAWQGDWPLATKMPLVGGHEGAGIVVARGELVEDVQIGDHVGIKWLNGSCFA CDFCQQSDEPLCPKPQLSGYTVDGTFQEYCIGKAAHVARIPKELPLDAVAPVLCAGIT VYKGLKESGAKPGQWVAIVGAGGGLGSLALQYAKAMGLRAIAIDTGDEKKEMCINQLG AEHFVDFSKSSNVVKDVQAATPDGLGPHAVLLVAVNEKPFQQAAEYVRPRGTVVCIGL PAGAYLKAPVFESVVKMITIKGSYVGNRKDSAEAIDFFARGLIKSPFKTVGLSELPSV YEKMQKGEIVGRYVLDTSK CC84DRAFT_1084440 KPPQQPARPSHAHQQTRTAHVTPPSAPPQSPGYTPTTAPQLKPR SQIEAMPPFSSSPLVPPRNVYDSAVRHPVFFTEQLRKAPFPIPTAATHGWNPVASGYI FEQRKAEANGIPKTKL CC84DRAFT_1084539 MRRTPALFFAASRCARFHTSRRKLSTEPIVRISNGTFYRRHPAT KPEGHDASPNPPLFPDLNVELPSFATPNQHWAILSSSSTVRTAFLQILRGELLCFPPT ARSFPYLLSPEFAAEKPKLRSPERAIEYVGFDVERQAFGGAYLSARYESRVEETDFTL AQYLTGIVGMNPGEDVIKEKAIAKEVMLKVVRDLELERFFDRPVSTLSNGQSRRARIG KALLARPEVLCLDAPFIGLDPFVSQHISEVLHRLAEANAPRIVLSLRPQDVIPEWITH IVYAGEDGKVQALGQKEDVFQYLKQQYEDIEKSIIARSGKGHELDPKLVELREVGKHL SEKGDFETSSTEERDLSSGPVLSRDGFEKIDTSAATIGEPIVEMEGVRIKYGPNSVLG DWQQDVDGERKEGLWMNIHRGQRWGIFGANGSGKTTLLSLVTSDHPQTYSAPVKLFQR SRLPSPGVPGITIFDIQSQMGHSSPEVHALFPKKLSVRHTLECAWSDTPITKPRLDAD ASKRVDACLRWFEGELNPKLTAGQTPSGNLDWATDVYFGELSFSAQRIALFLRATIRN SSIVILDEAFSGMDDLARDKCLLFLSHGQSMKLHYTDAGPKPVESELRKKGEVVVLGL QEHQALLCVSHSRQEVPGCVREWVCLAEQGMGQPRFGRWDGPLELATSRWNEIWRR CC84DRAFT_473060 MSLFGAPPQAKSLLDWHRVLSPNAGVRVSPLCLGAMNFGDAWEQ GMGKCDKKTTFEILDFFHEQGGNFIDTANNYQNEESETWLGEWMKERNVRHEIVLATK FTTTFPDPTKSTPRQRINFAGNSTKSLHVSLEASLKKLQTDYIDLLYVHWWDFTTSIP ELMQSLNHMVQRGKVLYLGVSDTPAWVVSKANQYARDHGLRPFSVYQGRWSAAERDFE REIIPMAREEGMALCPWGALGGGNFTVQDKEKAGEQGRNFRPQTENQKRVSEKLATVA KAKNTLITSVALAYVMHKTPYVVPIVGGRKVDHLKGNIEALGLQLSEEEIDDIDSAID FDVGFPMSFLFEFGGAKYKTSMGPSDVGLLKFAGPLDNHPHPQPVKPHGL CC84DRAFT_1184319 MAPIDLPNLSSNWRVLQKRLQASKAAEAITAQPADADKPLLKRK RSGKTSEARATQSPSGAVKKVAYRQRKRQKMEEPAAVGASIKQHEPKSLSRSVSMPSL KHSSLLASSKRAAESISVLTPSADFPDIENEGVAEKALPAKYIALDCEMVGIGPEPKK DSALARVSVVNYHGHQIYDSYVQIPEKVTVTDYRTDVSGIEPRHLRKDVARPFKEVQA DLKILLAGRILVGHAVKNDLDVLILKHDKRYIRDTSKYSKFRELAQIPGRTPSLKILA EKLLGVEIQVGAHSSVEDARATMALFRLEKEGFDLEVRERYGNISVGATGVEVEVGAD ESNKRKNKPKKKKKKRN CC84DRAFT_1083718 MAPRENSHEPSGTPVPVETGYATLAQLRVGVKAFVEKDGEKRKA EILMIQTRRGEPKFYVHYEEFNKRLDEWVNADRIDLAREVEWPAPEKPDKKKSSSNKN EKTTSKADQKNLKRSRSKLDRELSIASESSSSNIPGKAQRPTKASGKENQELLVTTEI TDGTTPKPEDEEMDLVDVQDAAAAAKAVPDQAYSREDEIEKLRTSGSMTQNQTEIARV RNIEKVQMGKYEIEPWYFSPYPIDFVDVDMVYICEFCLNYYGDVTQFERHRSKCTLLH PPGNEIYRDDYVSFFEIDGRRQRTWCRNLCLLSKLFLDHKTLYYDVDPFLFYCMATRD EHGCHLVGYFSKEKESAEGYNVACILTLPQYQRKGFGKLLIDFSYLLSKREGKLGSPE KPLSDLGLLGYRAYWQEIIVDLLMDGRAEANIEDLGAQTAMTTNDVLHTLQNLNMLRY SKNAHVVVLTDAVVEARERQKAKEKIKGRRVIDPERLSWKPPVFSAASRTWNW CC84DRAFT_1256096 MDKPVDLGEEGVGLKRESTSSYTFQNQRGWRKWRILRPGRGMYY DIRRRLPYYWSDITDAWTYRTFASTVRMYFVNLLPAIAFTLDMYRRTGEFFGINEALF SSALAAMIFSIFACQPLTIVGVTGLIALFNYTIYDIVSHYDITLYPQVMAWTGIWAAI FHWIVSFGNFCDYMGYITDFSSESFGMYVGIIYMVKGVEELVNEFEVAGNDAGYLSCV IGILYFGSVYGLEKLGGSTLWKPWFRGLLADYAYVFPTLFWVGFAHIPGHLKGTHQTF VPVSKAFQPTQPRNWVIDFWNLDVSWVFVSLPFGFLTMLLFYYDHNVSSLTAQARQFP LKKPAGFHWDFFLLGCTTFVAGVIGLPMPNGLVPQAPVHTDSLTNYETRLDIIKTKDH DIPEIRRPLVEATSVVEQRVSHFLMGLALWGTMSGPLLIVLHTMPAAVFAGVFFVVGW GSIESNGILQKLIYLSSEQRFLAPENPLNDISRKKIGLYIALQVFGVAATVAISQTIA AIGFPVLIIALIPLRTFLMPRWFTKHELSVLDALTANNKSVLASLGGQPTKMKEHVDN SSDGAFEYEENVLREAEGMERTASGNESDLHRQRSRSAVRQRMGSITRQTAHKLRSHS AERKKEREQSHTQRE CC84DRAFT_1161199 MDSTDHFEGLSKAIARTIEEHISKLKDSTGWPQEFKHPILRVEA FLEDQGPDQDQEDNPLRPFQVASSKIDLAPIFRARRTRRALDQQPDEEVSSRSSDESE PGSPHRRGVGRLRVANMHMIEEDVTEHQRQIATDTRSFPKRRKVASDKFVFRPSTLDK LIIGIWEQLHGTLDLNPQIISEQYAITVPVSSNVSIPNDNTAVEIRSTGPALQNDAFH RMNTLCRKVTQASRVCRSIEIVVQAKWIELFEDKIQMSIATMPHISKTKHHKQAFVEA CQDFGWSEKELRNKMAIWRGYKEVKDAAGWVALIFAGMGIYRFCKYRVEFTKEAMKRL RNLRPQFEVAADTLHPHWRGLLTIIGDSSKLQFAGHPHDWVVSEDGALPVPLRSTYLD RESYFEFEQLEESVIDEREWRGEDPRWVPQANAVVQANGPGIYVCAVCDQNQSDEPAL NSCYCFPNLFGCVKRKPPPVQIYRTNKGQNNGLLALAPFERGSGIGEFVGLITKGVRH VDVMDSATATTSYQIWQGRQGNFTRFINHSCKANAQFSQFTWLDTQHVILVSKGIEAG VEISVDYGDRYWAGLDKSCLCGESCCRYRRDRRR CC84DRAFT_469463 MGSAAVTNRFALFTDGDEERSPVVPLAPDSNTFVNQVTDNDAPW EEVRRGGQAAKSNLKTLVIRDENKTTVRCYTKTRDVSGSTQDSDNMKLSDPHEHWCGV CHIRFPNKNALLTHVKLSPQQHKNYCNLCKRVFVDRNGLKNHVDNAAGHDVTCNLCLS AFKDAWGLKNHFENNYFVAGHDFVCLTCLLGFRTQKELDKHLFTGAKHILCHTCHRKF RNQAERDEHWRLTTKHRHCLQPGCDFDAPDEKALEAHLHDDHFQCEGCKRIFPSQTKL NLHCETCQFEVTCNCGFGCAGRAKLELHMENCFYCSECRYQTCHESNYQIHMTKHDAA AIPCWHCSVPMRNCSTLINHLESGSCVKLPDPTQLMRCLGTFWYSTLYMDVDIHVQIR SNRVDIGETISWMKEGLLQPFICRSSGCGKTFNNFSSLVAHVENQECEWDVEKLRLDL VQAEFRRMCARKDSFNATTC CC84DRAFT_1161201 MSHATPSEAEVTTTLSQLKLSSKSPQKLANKPKSAPVAESWDDE FSGSGSDTETEKPLDTRPSRTKDRDSLSPLSTVKSVDSPHPPPPTPASPTGVPFDFPD NVPYTAGGAVNSRDGSRRGSGAASPGRRPDKTTAVAGRLIAGALGVRAPRRTEEEREY DRAMRDKERKRRDAEREREKLEKQAAEDRKRSVWED CC84DRAFT_1161202 MSDNGSPMQQKPEDGQQEHLNIKVTDNNNEVFFKIKRTTQLSKL MNAFCDRQGKNMQSVRFLFDGQRVQPGDSPDTVCAWPSTLLPSAGSNQC CC84DRAFT_1161203 MGSKEDTRTDPPCISRACAIQNCLQKSNYKEERCRKEVDALYEC CNKFYQEKGEDASSVCCPKYELLKYWLQFCIVKL CC84DRAFT_1161205 MSGPRTHDARAYGSATSACATGPHFLHSGAAIDFPIQDPLQPLR AMADRVGKEVEEFAERLDHWYTQSTDNEKAKHKATLKVVNKFRDIAESNVRELNKQNS AAIGLDQSTKNRIAHLVNNEGLRSRQIVQSTESRRDSPSISSSSTQSELRGWQAELAT WELVRVVFDHYHPEPGTDREAMKRKKLVALDDTKCRSPKDEVWERFVISDDLAREKMA VLKWLQQTAKRSERNVDSIMGQWAKESGKDIDTWTSGWLETKATIKQAKLKNGVEGPL ANDEVYSRSERKLLVTRLDPDAQTRQQLALEKPDVYYEHALWMACYEMLRRGEPLDEI NDWFKARNQGYRAICIGASGEPRIEGAPNMGPSDFGYLFRRTTYLAAQSTIYPYEGAA YGMVSGNFQRIQAISSSWDDHLYAYYNALLLSRFDNYLLTEPKDQPRAQKHPQLNFPG VMEHINNDWENATQKVMELLKQDSRTSQEAKTPIKLIQSALLNGTLDDLVSNVGIAIA DAIQAKSTLPTFIVDPDYPEDAVRDAGPDGIFTCRGKRSVVVPDYYQQFLHDPHALRI LVHIFIVLGKPRDPLSKQQRSTLFARGNVIAAYVEFLRLAQRLSPIPLYASHIEQWRA SMTLARILPEIADPSDQQRYVGLMKGYGVDVESTISRNFHHVLSQAGLVVGRKQEVAK PISKYDLLDKPHKGLAASTWPNGQHLKDQVFESAIRWQDEAVIDCLLWYGHLPDKDED KIALQIGRALAVFLRAGNVAAADKLVTQINDGSINVALDHHLPHFVRLIMLFSQWRNE EKKFIQGEELRISAKAGGSILTDVLEGITSTISIVLEPTVDPRHTDIWDIYKIYIPEI ILAYLAVLQVAFLFYKREHGIEAMDLMVAVARKEWLSQTFQETKRMRELVDRIADVSR FMVEVGEKGKVKVTKEGKTANIWNLNRQG CC84DRAFT_1074021 LTSHDFGTLLPHMFNGDAKGWLNDNIINEYLEILVNYAQRQEGY VYVRGKGGSTPPVHAFKSQWYTSMKGNPSGTARWARPMHLFGEKLLACNLVLIPICHH SHWRLIAIKPKDRLIEYYDSLHGSGREYTQLAKEWVREVLQKQFVEEEWSICTNQRSK AQANASDCGIFTLLNALVLLRGEEHNRVLVTNGMDDARLRVAATLL CC84DRAFT_1236697 MAPSTTKQWTIQGKEGFESLKWDEKAPVPSLGDKDVLVKFHAAS LNFRDLAIPKGKYPFPVKEGVIPGSDGAGVVEAVGPNVTRFKVGDKVATLFNQGHLAG SLNPSILGTGLGGVVDGALREYGSFDEQGLVHIPSNLDFAEASTLPCAALTAWNALYG LKKLEPGQTVLTQGTGGVSIFALQFAKAAGARVIATTSSPEKAEILKKLGADHVINYK ENPNWGEQAQSLSPGGLGVDHVVEVGGPTTMKQSLVAIKIDGVISIIGFIGGFSKDQP SFLDCLNNICTVRGLLVGSRQQFEDMNAAIEANDIHPIVDNKVFTLPELKEAYQYMFD QKHFGKLAIKIAE CC84DRAFT_1161210 MDPFRGRHHASRSVSPDPFADRYDPDDAPSDPIAMQTLSAAAYP SPDLRRGPSPGASPQWSPEIGLSFPRGGHSYEPVRSSLPPTDSRTMSLYSTHSLGYHK TKDQEAQQLVDRRAGEIAEWKVHWITPVLMIALFVAGVAAAVGHHFFYLSLEGKPATD QLIMVRYGTALAFFVKSALVGSIVMCYRQRIWHTLRTRALTIAGIDGLFSATEDPTQF FYNGEMMINAKIATVMAICSWLIPIASVLSPGSLTSEMTVLKNATDLCPQVATLNFAK EAKFDFRGESSYVGSSLVYYNTTNFEGEGDFFDYYDRPSQNAKRLVFASMYLKEPAAN TNASINSCGEGFNCTYEINFEAPGYKCDLYADSDHADAGDAPFSMDVLAPKGDNLYFA VVDQGDYKMPQTETGAHGIPIKEPYPDSLGVFDVEPTLWIGYVINTHQPWPANAPEDY KTRWGSIHEPKLIKCIAYHTNYTFQIEYRNSKQNYTRIKRDFLRPVVDTTFTADAHNS SEGTASPSANFVSPKGDVQTYKRTAAYHAIMQLLRNFLGGSVSKSKGLFVTSSDISET KLMDAEITYPQQDLPAAIQGLFEDILISLLSDPRLIVADTQAVPCTKDQSVNVYKYKR EGLWIGYAFAVAGAFVCLVVGGWAIHQNGVASDTLFSRILVTTRNPTLDRLSVGACLG GDPFPKELTRTKLRFGVLLEENPREGPLGTVEHCTFGAVGETKPIVKFGTYAGLKKYR RDGSEEQGGWDEKEPLLEKEGLRRR CC84DRAFT_1256105 MSFFGFDATLPRDKGHSTNAPGFGHHDAFASLGGGAADDDAIDF EETYDGLGDQLDETDDAFNDDTFGSAPATQQDIGRDFDFHGQTSKIANTLQEEQMVYQ ARHPAPQQQIQQHVQQQRPPIPHASKPTRTGYESYHDPDYIPQLEARADIWGLKPKQS SAPKQSEPQYQAPAPSRKMMSLDEVEAMMRNQPVQATPPPAHQHMAPTQPPMPSHYPQ SLPHGQPLFPGQFPPQIMQRPQQHPQQHHQHQPGQPGPRQPQVHAELPAQPVHTQPPQ QPTILQRPRQSNETTAQQQRPNQPQGQPQGQPQRPPSQPRHILQNPNRLSGHGQPVAH SGHPGARGPQPGHNRGPSYTPMVITHPEQLMALSQEERDAYIEAEAKRAKRNHKISLL AKDNGLMTPQDKNFITRIQLQQLMTATGNLDERGPEAAIAEDFYYHVMSQIRGGARQN PHQPANQFAQTYLFQTNSRFGPRRNGRTGDNHMQRMEQQVQRAVEAAKAKPKGKQLVI EGSLGKIAFSNAKTPRPLLNIKRPETGDKHPKNHKSSVAHRKQTLRNIEAIYMTLMRI EDVDRAIPPPIREDSSPEAIQAHMEWRSKIETLRKELWQNLKIMEPINPQSPTPHPFI SILSHAKGKKAIQRIFPHIDEQERITVVTMIVVHLDQLSVVSHGTATPEEPLSPAMRE EIDLFLGTVIPPLFAHVSESPLNIIIGLLGLILDRTHMHVVARSKVGMSLLTILISRA ELLKQSAPEAVTDWEQWADLYNRLFDAVEPVLPVIFPGGINDTDDMYIWQFLAAMGVG ASPDQQQRLVIGVKDRVMETVTVSKALPAEMAGARLANVNLFMRAIGLDVELLG CC84DRAFT_1184329 MAGQQRYLRYIVFALAIILLFFISSSSSVPSAQWPSYKGDQSAV ADPTTNKPAAPLAGADKDSTATTPNTQNGLPPATAGGERMNATFVTLARNSDIWDIAE SIRHIEDRFNRKFHYDWVFLNDDDFNDEFKKITTALVSGTAKYGKIPKEHWSFPDFID QDRAAKVREEMKEKKIIYGDSISYRHMCRYESGFFFRHPLMLDYEWYWRVEPSVKLFC DIDYDTFKFMADHKKKYSFTLSLYEYVETIPTLWDAVKNFTSVYPQHVVDGNSMKWIS EDDGKTYNHCHFWSNFEIGNLNWLRSQAYIDYFTSLDHAGGFFYERWGDAPVHSIAAA LMLKKEEIHFFNDIAYYHVPFTHCPTGEQYRLDHKCSCNPKDNFDWNGYSCTARFYEI NGMDKPAGYEKEQ CC84DRAFT_1256107 MPSTYKSHKSSTAEQAPDLSITADDEVHLHTAGYNESSEREVIN SMARFRSSPLDFIREVSLHVSGTGWRSYDDVVGQPIFYSGFSENMKSMVLNNPMLVNK VRHLAERRVEVEAKEGLLGEQVMNGQDRAGNGDKKRRRQIQIEESLMEVCETMTDNMI CKMESKRFIRGAYYLATQLLTRAYHQGVHVSSEEVLRLRAVAAKAAKAKQAIIFLPCH RSHVDYVSLQIICYRLGLALPTVVAGDNLNFPVVGAFLQHAGAMWIRRSFANDQLYQT LVQAYIDTLLQNGFNLECFVEGGRSRTGKLLPPKFGILSYMLDSVASGRVADAIICPV STQYDKVIEVESYISELLGQPKPKENLKDFLSASSILSLKLGRVDVRFHEPWSLRKFI DEQQGRANKLPQQIDDKDQRIRLLRTLGFKVLSEINDVSVVMPTALVGTVLLTLRGRG VGKSELIRRVEWLSERVKAQGGRVAHFAGLPTSVVVERALEVLGPGLVGLVPGLPEDT FYAVDRFQLSFYRNMTIHLFILQSLVSAALYTSVKQGGSPSQQRISFAALRDQVSFLS QLFRGEFIFPTEGLDFNLAKTLALLEADNVIKVTRDPNDSEVVEWVELSDQEREQGRE NFDFYCFLIWPFIEAAWLGAISLLMLTPPVGVEAKESWLPMKNVQDVAQVLGKTLYHQ GDLSYFEAVNKETLKNTYQRFEEDGMIFVAKSKEKNTPTTIRLAGEWLPERDAKGGVK AEGPLWTFAERISISRREGKNRRDGATVQTRVLSLADRVGTELWEERNSVGARGARDK EAESAAAKTKNRRGKTKLAVPASRL CC84DRAFT_1213581 MATLRIIFFVTLLAALVWAAPAPARIKKRSFKVPRYINTPVHAR GLDGGAAVRKVFNKYNLKGGFIAQEKTGTLTGLDIKASNASSNGTGTVAANPGENAAL FLSPVDIGGQTLNLDFDSGSSDLWVFSTDLPAKATTGHSVYDKTKSATFKQMTGAKFQ ISYGDGSGAAGTVGTDAVTIGGVTVQNQAVEVANAVSQSFVQDTNTDGLVGLAFSKLN TVNDGTKKTPQKTFFDNVMGQLDLPVFTADLDPDGTGVYEFGKIDATKFQGEMAWIPV NASSGFWQFPSAKFAVGNQIVDNPTASQAIADTGTSLLLVDQQVAEAYYSQVKGAQLN AQVGGFIYPCDAALPDISVAIGDSYMAKIPGNQITFATVDKANTTCFGGVQGNSGAGL QIYGDTMFKAQFVAFNGGNQSLGFGEKPPVA CC84DRAFT_1138602 MELDLELDVIEHEPTVLEYARFHGLCSDFTHELPQCYNNVSISD ETFDRDLQDPKDVLALTNPADELKRERFAVTKEAAMILKSAISLAAAPHDLPLLANEK MRILNLKLEVPILRTDNELDLLEFGSAVMPSFDDLKIPLVPIDEENEEGLEWPSRYSG YPAQCTDRAKSEKLGVSRETLLHLQNAIKDFHLLEDSENIKQEALSYRKVNDSNSTTA EAKALEEQLMAADALMRRDSDGSDTTLLLDMLDPGEYEPSLGDANSPSTKRRAAYLKI EGPLTPLMFSESPAKKLKTVAFAEMLVEYIPNFENGNDILSPEDDYAFFKDIIEPAAE DAKWRVENEKLSEADTTKRMPVPHVDFRLPIAPWDEFSRRDRSESEIDAQARFLLWVK GNYMKSATSWHGVSELERTLPLAPFPYELGIVSTEEQLHGEDTLTKMLAEVAVGEIAT SSTGMWKRDGLLILEDEEHGEEFLTPADLGELTDMNFLVRKQQLKLQEVVGNHGYSSQ PKLSALTAVAGTLKPRHDLIESHHWRTESSGSGANASPRAIEVRQKDLQLDSMRNKSI QEWDKDQSLIFGGKFSASSALDNFIVLQGMTVKPNTIGRNEPLAKRPPEPPLRSPIRP TKQARNDENGLSKVDSQNAPEPVRRPLNLPPLPESMPPCSFVISSTLLQRRSLSKQIE TLYPDAEFVCRDFDLQIAVSKEADLILSPSTGLMLTTLQQLKQRALPGQPERSPVKER MVSLKDVYERLVVLVSEGLSRELEQQSFGPCSDRRDQEAVTEYEKIAAKLDGEVLVRY IPGGEQALARSVVIEMAKFGLPHGSHDIGDIKLLPDQTTWEIFLRRAGLNTFAAQVIL ALLKDPFDWPQFSEGTSDDKSGPTQTRGLLNFLFMPAATRVQTFQAVLGGSRILRRVS ALLDERWLSAAHGFRV CC84DRAFT_1193758 MAPKPESYIYNLGSFKRKVSTASPAAQVWFDRGLIWSYGFHHEE ADRCFQYALEEDPNFAMAYWGRAFALGPNYNKPWELFDPEELKSSIEQINIANAKAKE NADDATDVEQALIDAIQSRVPKSLDDKHFRACNEAYAEAMASVYNAFHDDLDVATLYA DALMNLSAWDLWDLKTGEPRPGARTLEAKAILEKALSQEGVNEHPGVLHMFIHLMEMS KTPEAALVAADHLRNLCPEAGHLVHMGSHIDIQIGDYRRAVASNAEACIADEKFFSEQ GGDDFYTIYRLHDYHFLAYAAMFAGQYHVAIDAVARLELSLTPELVAKLADWVEAFTS IRVEVLVRFGRWDDLIALQVPRKEDQTLYAVLTAMIHYGRGVAFAATGDVGKASEERE LLYAAVDRIPQSRISVTPVNKSHTVMQVAKAMLDGELEYRKGNYDVAFKHLEEAVELE DGLNYAEPWPWMMPSRHAYAALLLEQGRVQEAAIAYAADLGLDDNIIRARQHPNNVWA LQGYFECLGKLGRTEEAKIIGQQLQIAQAVADVDVQSSCYCRTSCCGT CC84DRAFT_1083963 MSEQTIPNRGPQLVAVCSTFASLAFASVLLRVYVRVRLVRAFGW DDAFMITALLFQLMFTVSAIMGVQYGTGRHMSMLNPPEIETALMYWYLCYPAYCMAMI AAKISVGLFLLRVTVQPHYRRIIYIVMGATVATGLVFFFVSVLQCHPVSYFWQKFTGS GKCVNIDIIIGVAFAYSGVASVCDFTFGLLPIFLVWNLNMAKNQKLMLIPILSMACVA SVAVTVRMGYLMKFKSPDFLWDTLDVAVWSDIEQGLAITAGSLATLRPLYRDITKRLL GWTDAGTGTFPTERKADSRKWYRTPSVDHHKKSGPFSLVSITRAGDEEPRRSAESDEE FAARIGRPAPLPKSRNDLVAANEDSKGFNSWRIQVGDRSDEDLTVARGITRQTDVFLE SSKHH CC84DRAFT_1202745 MSNSITSNRSTLKEFLASIATIQGDLSNITAPPFVLGEFSTVEL PQYWADHPSLFVAPALENDPEKRALLVLKWFLGSLRNQQYAGRREEEGVKKPLNAFLG ELFLGFWKDESGRTRLVSEQVSHHPPVTACYLWNEKYGIRAEGFTQQEITFSGSVSIK QKGYAILHIDKYSEDYLIPVPNVKVKGLLSGTPYPELVSSYSIISSSGIVSEIKFEGK GLLGLGSGSKNGFEARTYRTAAPTDDLYTAKGSWNGQYSMHDARSGEEIEKFDVGAQN SVSITVAERSEQDPWESRRAWGGVIDALQKGDMKGTTDAKSIVEEGQRQMRRDENARG EQWKRLFFRRQDSDPIFEKLYTVDKQSFTVDSKGGIWKIDVDTIASLKKPYHGDLLPT GQVVNNNGIQNKNEVDNNGGSGQTPPRTKQQLHSGQSDIVGTSVESTEEIQQIMLEDG TGEAQVQAMPKGDAGISAEEIHDMQVEAMLRAKYSSAPH CC84DRAFT_1236727 MCCHDEHAHIQTAINASLPNVTIFATGGTIASQGSSNTQTVGYQ VGLGVQQLVDAVPEILNISNIAGYQISNVDSGSVNQTILLKLAHQINSELARDDVSGV VVTHGTDTLEETAFFLQLAVNSTKPVVVVGAMRPATALSADGELNLLQAVTLAVSDNA KGRGTMITLNDRIGSAFYTTKNNANSLDTFFSTEAGQLGFFINQVPYFYYAPSVPIGL THFDLANATSLAHVDILYAHQDMDPALFNASYALGAEGLVFAGVGAGGISSKASEAAE GLFNATGIPIVASHRSVDGFVPSTDESFTIAAGFYNPQKARVLLQLALTMDYDYDEIK NLFALGYPKP CC84DRAFT_1138616 MVSFTALFTAATAFAGALAAPTDLAKRAPTELVERGTPSSTGMN NGYYYSFWTDGGSDVTYTNGAAGSYSVKWASGGNFVGGKGWQTGSARNINYKGTYQPN GNSYLAIYGWTTNPLIEYYIVENFGTYDPSSAATVKGSVTADGSSYKIATTTRTNAPS IVGTSTFQQYWSVRNNKRSSGTVTTKTHFDAWAKMGLKLGTHNYQIVAVEGYYSSGSA SITVS CC84DRAFT_1138620 MRVLKRRWDVSGASQGAPAQPSSPTAQPQKRLFKKPRSPGLLRR RGPCLRYRKSDMTDPVEYIQTHEEAFKNPNRLASLYSDFRAQQHINPDGYVANLDAWK RALEHAAREGLLPGSGATRNLLVIDSGRELTRALQHRDFGLPTCLREVLQDAIKKGAF VSAQDWLTSTQSIYDKSWFKLPKVSVGGVLSGAWELGRNKLLGPSTQLPAGPFVVVAN VEAAAEAILNQQRDQPNVSIADRIYSKAAFLERFRNVLNLNAPISARDLDILLVHMAR DRHELAVKGNVIKFKADAEDLPLPVTQEDAAMAELHDAINRVQARLALLHENIQKSGM AAKEAVQLKQLTRAKTCLRSKKLSESSAEHYTNLSVQLEESYMKLQHAADHVGMLEAM KAGAEAMALLNKQVGGAEGVQKVMDSVNEEIATTEEITNIINESATPIDETEIDDELA ELEKGEDEKQEKVEAEKTAELLAELPDTKKPLRSPVSCLTSPSRQLSRKRTRSGLEFL LSYLGFHFESIRLMLRHPGHVRHAAIRQVTSWGMMIKNWSGLLQASHLDNDATFYLDK DFHGPRIRVYDRDLLHNSTPPSRLGAHHDSHISTHRTPHTYPRDPMPSLAPFRAAART STVVATRQPNLKFPLSFRRTFTQTKSAQGGHGPSYDPPSGWLFGVKPGEKYQKEGWEG VWYWGFYGSFAVAIVAYAFKPDTSIQTWALEEARRRLEAEGILQDPDTKE CC84DRAFT_1112366 MPFAQLVIGPPGSGKSTYCDGMEQFMTAIGRKVSVVNLDPANDH TSYQPDLDVRDLVTIDEIMEQESLGPNGGILYALEELEHNFDWLEKGLKELGDDYILF DCPGQVELFTHHASLRNIFFRLQKIGYRLVVVHLTDSIILSRPSLYVSSLLLALRSML QMDLPQLNVLTKIDNLRDYGDLPFNLDFYTEVQDLNYLLPHLNREQTSGIPGPSSAQK DGKTDTDMEDDEEPTSKFSALNKAVVELIEDFALVGFETLAVEDKRSMMTLLHAIDRA GGYAFGGVEGANDTVWQMAMRSDGVTMDARDVQERWLDRRDELDEEERRAWAEESKEM KDPPHDIPPAEPTPAAKEVAQPRKTTGVGDDDEDMEDDLEEMRNFIDSKKEGGVKIVK K CC84DRAFT_1184340 MSSQFELAQNPTDPISAVKFAPQSSSRLLVSSWDRHVYLYDTLA EPGGKLIHKFEHPAPVLDVCFGRDNFEAFSAGMDWEVRRIDLESGKKTVLSTHSAGVR SVFYSRPHKLLVSASWDSTLHLHDLSQPGEFSTVALPAKAFSLTASPTKLVVAMANRA VYIYELDKLAQAAQAGGDADVQPWQQRESSMKYMTRAVSCMPNDAGYASSSIEGRVAV EWFDPSEESQSRKYAFKCHRQTVDGQDIVYPVNALAFHPVHGTFASGGGDGVVALWDA VHKRRIRQYQRFPASVQTIDFSADGKFVAMGVSPGFEDGSDDVPDGAIKVYIRELSDT EAKGKASKK CC84DRAFT_1138630 MSRSTHHGKRTRVQKICTLWTHDDNFSRDDVVFNSDKFPELPAT PGSLIQVIAIKYGTATRDFQSSSRNVGDDSIQAKVEESKDAATDSISRRSRRGSIKVT LDENGSIIQEDREVDTEKSYIFVAKPFPTDLKSKHSNLQVSIAEKIAKVFGLRNRMQV VVTLADEHKHSASHVEVTFRDEYLARADMWRMSIAELSNRTVYRGQKLLFMGTIKATV KNVYINGQSTHSGYFSSLTKPVFRSESARYTLFIQMSKEMWDFDAEGAGEIMFNKVVN GFLPDLFKRWLRINARHLVTIILFTRMQYDPESTPKQPNETTDNKGFDHRTGRFRDYY RVVVSDMASGDWVDILYQLKKEFRSFLKDVSLIPRQQYSSSTADVATPGLPEPQLVIA GKPSTAAQGNILEAINLAGAQFAKDYIDRDLVRTGISVIVVTAGTGVFEVDYNMLKLT TDTLVGSGIGIDLVCLSPMPLHSVPLFKYRSPRSMSSLQGSASDVEEQNTPVKDFDYY DEKTPRQNKPNFGSVIRRSPLQAPPIILGDPPDVQEQEQWRYAMPHWVDISFWSGPFE EILELAKVRKSEKIIKRVQKKSGSFALRCRMYELQMMGVMENELGDISIPYLEEDPFY PQRLRERIETASTAVAIRDITGMTGRLPSNGTEEKSGSELDEMRRLHERWMDDFDSNV FTPRMDKNIRHTQSQLAKQPSISTVKVDSAEQAVKSSLLASSYRSVAKSHGKASRVHA GLDPPQPMRDVSEETDVPSRVSAFQTPEYNGPGVPRADVLARQMAGDQSSTVKRRPTS HRRGASGDSNDHRTSQYSTPRDSPAPSRGHSPPEDSGLPPLPRTTTATSMTNETNPAR WFLRQISFGGKRGTAPKAATEAAIGQATIDITPGKVKLAKLAAGGVNHTGPIAEKLAS TRVEKSQPIAIRSVSRAGLSSEESSLPGNVGRSVETVKGFRSMKTSIPSHPSSVIKDP GSTFLLAGSRALGDSVPPKPDLNMMSTSGGAKGIPRTLSPTSAIAPWAVLVNPCNPKK NNISIASQFRRWQHVFPKRLKTASVKWKSLCSPAAVPLTNEYFPSPEQLATEYNESPY KITQNDDEEMLEAPKSRESLVRELIAFRLSHGFQIIVGPAVAEFSGRRELDLSNIFDA NYMSSDGDTVFLSIGNNIHQLVCVAGGEVEIKRYSRKPTTALQSSAGIDTPFPYRPYI RTAFEDNYEPRNVILRPPRKEYNWNFIDSFLAGYQDEFSEVLRFWRARFVLIPVDLPT INRRPLPMLAEDSEEEIRLEGIRKLTQVWQKYRYIPPEERHFQATNSRKQKDPNPLAI EYQTRDPSAIVAAGAENSLIDDTASEFPTSIFSETEQYQTSNIDIKKLAEDIQGENGI PMLDRRWHMRLHYNCFLGFDLTSWLLSNFKDVETRDEAVDLGNQLMKKGLFVHVQKRH AFRDGNFFYSVAPEYRAPRPESKSGWFGLRKPDRSVPSTPLSDGPRTSPLASRGSLRS RPSTSDSSSVGSEKDGGKTPTRSGTPKRKVLLSRVMRYDVDPRKRSYRPEIISLHYDR LSNPDNCYHIRIDWMNVTAKLIEDAIVSWATSVEKYGLKLVEVPIAEASNIADHHPFR EPYIVKLALQPPQTQNEAGWDFSAQYAKNDKFAYHKALLRRLNFVLDLESADSFPTDV EVAYSWGSPDYKYTQFIHKSGTLMAQILHDGNFAVLANRLAHNRAKDTNRFRPTDPYE HKRAVNDKDRMPTTPAERANPHRSPFSSPLARPVQDSSLLHSALQAQPSTTPTPITAT ATPAGTGIIQTPEQVKDELEAFCSNATLLQAFYATEAFKQAPHPSPSPRILPVLDNNI PNLGLPPAVFVSGASPASSPWSLSGNVISSSSTIAGRRQGNDEGHVSSAGSIRPSLLG AMGSRRRSVALEEIMLPKRSDSQSSSGTS CC84DRAFT_1112376 MRPVGDMLGQFFSGRKGANAHPSSTPTLDSATEESHTRHLLYPD TSTLCHPDGQPYPLHGAALSLGTAHDGPLPEIDLEFPRDCRILIAQDESKSTHKTLLF DSKPGPLRAESPTQPATRSRAFGGLGGTSTTGPSLGGMHARRASLVTESTPRSPTVAA FARSRTRGNSISSMPNIDEHAQTQAKAQAKSKESTDLVNAALECMFGNTPMNYRGNSN KIHIVPLESKPADPTAAIPPLQDGTSSLGRSAGLRQRSNLAKSFTPGNIPPELAVGES SGVTPKESRRRTIFITRMFSVTMPDDEQDTSNVRTPTPQSSVGTGNGFPFPSPTARGP QKLPPSRKSPMYAITIILHLPIGAPSSTLRPPSRPGQYKTQHLSTSAPGNDSLGSSLD SDRRAGWSWVDGNFGVDSLLSMALSSDVDDRVDVVGQHWDVIMRTLTSLQFVVQEKIL AYLKTAQATAVPLLHQPRVQPSRSSLRSTTSLPARRVVALQPNALMFDADIKKAVDLA GSRVVSGMRIPRVLTGQGKWGVWREEARWLGRWAGGKEENFFFFILLTAFLGNHTEWL NVLGPKLHRRRHREQHKVNAGDNLIIPNRTVIVSPDKMAARRLIFLLAAFLPPNASSS YDVSPMRPSTSASLRGYSQSPPTNMPPSRKHSLRRQINRRPRGKDSQINIRLQPPTAD DIGPGNLESLDKSTESGVTEFPEMPRHSRRSSAHSIRTSLVMPSMSDTAALAKSTSAA VSTGAPHNDAPVPHFALPRTKSGPMPDYRPESNDSLASANLMNTLQRSSTGHASNPST ESGNSRWSGFMSFWGGRRGSSTDQSDYLQTTDDGLGVAGSGYRSQERPRSQLEQMVQE LSMDGVFGESDPFDPSASNPGTTGEDSPETYPVGSSGVPASAARPIPERPKTFDTALK LSVNEKDGVIDVDIPLPDFGSPLQSPLLGGYGSVPSQQGSSYGESSVLSMPYCEPEQS VNAAGWLKQFHPDFAVQAIRPYHELERDIKWAMSAEPSPITSAATPTLEQGPLERWVD VCSALIADTRTFSIKRISLRRLIRLIPTPTYQASAMTPGVSGMPPGRSQYGNPYNTGA AAPMMTEMHLAEKFVEEQIMDFDATLIDAVDRCLAQSGQGSRAQSASSSRSSSRRGRR DSRPVTDAPPHVEVPQTDCKAVIFDALEIVVKNVTAERETKQVANDETVTERKGRGDT ARTATDSSLKEGIRRWLTEVE CC84DRAFT_475690 MAGFGRSNSLSINTGSSLFGNATSQGQQSARLFGSSTAASQPQQ TGGLFGGASQAQSSQPPQSAGLFGSLNKPQQPAPTAGGLFGASTQQPQPQQGSSLFGG ALGANANTQNQTQPAQTGGLFGNFGQSQNQNQAKPSLFGASTAQQNTGSSLFGNAQQQ QNQTSTPSLFGNLQQNQTQQSNTLFGGMNSQNNTLGGLGQSSNLGGSQVIQMNDLSQI KGTTRLNDLHPDIQKQVFGLDDAIQARIDDVNKMRETYPGHIQKLETIAPDVEYVEKK LATVALGLENDAANIAHLKTIVDHDANDAELSFRAIVNQALPAQFRYGNPSNLSASTA KPASAGSLENDDPTKPVDLIAYFNRRTDDLGKTLQTYQSQIREIEMHLKTMEHGVLEK NQQLAGARNRSLDDRQQLVEALRAIESAIMDSAKKVGKVRDDVTRHTLGGAGAPLL CC84DRAFT_1184344 MDDDDDDLYGPSEPQQPGAAEAPTAADADGDDSADEPMEEESAD DDDDDDDSDDSDSDLEIIIDKPAVAPKPAQQQQQQPQESKAIKIEAPPQAATAPSQAA RTAAPQHPTQPGTAYPAIRSSTVDVNGDPVYPPVGKPITQVVMDADLAEETKPWRLPG ADQSDYFNYGFDEFNWEQYRLKQQTMTDTLAEQQKQQAAMIAMMSGMPGPGGPAPTAP AGIPGMPGEAEMMQMMQQMAASGMDPNSMDFNQMMMQMSNGGGMGGFGGPSGNQGGFG GGHGGGGGGRGRGRGRGNW CC84DRAFT_1084367 MDKAPEQKGSITDWVKPGDKSGEFKRQTSVFRNWIENKPDAEFP PEKGRYHLYVSYACPWAHRTMIVRKLKGLEDFVGITSVHWHMGQKGWRFAEKGEEVAG DLATPDPIHEDFTHIRDIYFEQDPNYEGRFTVPTLYDKKASKIVSNESSEIIRMFYTA FDDQLEEKYKSVDLFPARLQKEIEEMNDWVYNDINNGVYKSGFATTEEAYTKAVTTLF SSLDRVEEGLSKSSTPFLLSSPTITEADVRLYTTIVRFDPVYVQHFKCNIRDIRSGYP HLHKWLRNLYWDHSAFGETTQFEHIKKHYTKSHSQINQFAITPVGPLPDILAKDEDVP AVKFASKA CC84DRAFT_1161229 MLARAARRKLRRDAFRSPTQLAEQLTLPWLCPAQMRWAASVGPA TTTTHDARRPRHGALSPRHETRSLATQADVQHAHTPTIAFDGLIQPWSSRSIHSPRMA RLEPWDPATPLVLRESRDPPTTPSKFGIGGDPIELYQNLHACLRVGRMDRATAIIQRL TTMYSPTASEVVEAHNAYLQALFELAQQDPEAYSMATIEEWYDSHMVRKAIEPNAGTF VVLLRASMSLLEGNTRHRSLHKYLAMAEARCGSDVMDAINYSEDFDDHEWDALIRAQP DRFQEPPVMEQPTNKAYPIEMSTPLGTQALIDANILPNPAHSVKEVPQKGEGLIALKN ALAVFDQTSRVPFPHEMDGSQDEKERAFAYMRQLQLEQDATEAATNRWKIEDEKLQEL GIHGVLKTKPIQALMWQWYSALLPRLQKEYARVQQVLSAPTPQNQSDERHIYGPYLEM CKPEKMAAITVSRMIQGVAIGRKEHSSDLKISQLSSQLAEDIRNQSISDAKEKLLSAR KKQRKQARRAMLDSLSLQPQGTKPEEKPTTRIAELPTDSFLKAEVPNAVKTRIGAMLI EHFLQAAMITVTVEDPKSGKTLTNTQAAFHHHVGFANGKRTGWIVPHQEILNKLMREP THSVQSVQLPMVFEPKPWTAFDEGGYYTTSQAVVRLKNHDQAQRAYAQSAIENGDMEK MFTGLDVLSKVPWQINKDVFEVMVQAWNMGEGIGAMVGEKTGPTLPEEPPQDASAATK TAWRRAIVAYENERGGLHSQRCYQNFQLETARAFAKEKKLYFPHSVDFRGRAYPIPPV LNHMGSDFSRCLLRFANGKELGTVGLQWLKVHLANLYGFDKASLHEREQFAMNNLHEI YDSAANPLTGRRWWTKAEDPWQCLACCMELKNALESPDPTRFVSRLPVHQDGTCNGLQ HYAALGGDTAGASQVNLEPSDRPQDIYTGVADLVRSMIADDAAQGHRLATMLKDKISR KVVKRTVMTNVYGVTFIGAKNQVEDELRGFFEREDETTEIWQAASYVASKIFLALGKI FNGAQEIQYWLGECGERISTSVSAEQIRRLRDHLDGKKKPYDSKYKNPKSVSKSLEKK IVKDIEAFKTPIIWTTPLKMPVVQPYRKPGTHVVKTKLQEIVVSRNLGNAAIDKRKQL QAFPPNFIHSLDATHMILSALKCNEMGIDFAAVHDSFWTHAADVPNLNIILRDAFVRM HSEDIMGRLAAEFKARYSGSMHKALLVQSSDAAKKILAWRRAQHEGEASARGARKAHK DAPFDELALEAQRQDLLNSEDLEMREQGLKMVTPTSIWLANQDPSSIASYRLARMGES KNTAGPKLDEVKEKLITAEAEHVTGELEASGTLANDISDADLLAAEEEMEEELEDESP MKTGEKKKARSRLTSTTVAVWLPLTFPPVPKKGDWDVSRLRESKYFFS CC84DRAFT_1161230 MLRSILPGDEELGKKDDDHKPGAARMPKWTPRSTPLRWRRRRIL LAVVGLCMVYLLVKNVPSMGGWTDQITTAEYASAPPGRFNYAHEQATNEEPTGPPPGY RAPRVGEPAPHTFDGQFKFYRLAASLHGASHTYGYRAVNRNIVFPISSLHSASTMLPM ICEMARWSRNYVHAAFMGREDVDVARILEINGIDQIKCPAIWHDARPDWTEYSSDDRA ELSVMAAMTHINTFLHPQAAIVDDALSEDGFFTRGVRAKTEALHMPLIEIPKDKLDST MWITRLDAGSLRSWNLPTVDILIQVPPDSASVARLLKSIRSADYSGLNLPHITLELPA DLDETVEQFLDEFSWPPSGHQSHITTRRKIGNQRATQEESAVRFLELFYPSSKNSHLL LLSPQAELSPQYYQYLIFTLLEYRYSTYAEDDAAGVMGVTLELPSVLLNGETKLNPPK PPEMHSPRYSELFADTKSVPYLWQAPNSHATLYFGDKWAELHSFLSNRVAKQSKAPQR KKLVSETLPAWMEYTLEFMRARGYALFYPGATSEEALVTIHNELYHMPEEFASPKARH GDDGTALPIQTNEPFLRGDIAAPTPKLSENKVVSHSRPLHLILPFEGDLPEIPHLPYL LHRGDLIPHSNVSSIAETYAAEYRKVIGGCTIPTGKHRKIAAGSARDLFCFGDEEDDD WENDIEVFDADTDDDYRDIRDSALTNNEIVAIMTTTGSSVSATPEPTATSSL CC84DRAFT_1112390 MISTVLPLLSNQLLEHTLRSVLVFLIGIPVTYVLVNEFVRRSLR VKGFDGPTNWPLVGNIPDIKYNAAEKYREWSKIFGDVYQIQLGNVPVLVVNSAESARK IFGQNSQALSSRPVFWTFHKVLSNTAGTTIGTSPFNESLKRRRKGAASALNKPSIATY IGHIDVETKDFVKEGFVNGKAGVVGVDPMPMIQRLSLSLSLTLNWGTRMESRDDPMFH EITEVEEAISLFRSTTGNLQDYIPLLRLNPFSAGSVMARDMRRRRDVYLTKLNKDLDD RMEKGTHKPCIQANVIQDKSAALNKEELTSISLTMLSGGLDTITTLVQWSVALLAQRP DIQRKAVEEIGRFYSHEEPLCDAYDDQKCEYIVALVRECLRYYCVLRLALPRATVKDI VYEGKLIPAGSTIYLNAWACNMDPKVWRDPEVFRPERWLEQPDAPLFTYGLGYRMCAG SLLANRELYLTFMRMLNSFEILPVTQVDTHPVRGSADPCSLVTMCKHYEVIFKPRNEA ALRKSLEDAERRLAETA CC84DRAFT_475903 MHTQEPAPTSNSRYGPFKARFSSSESASQHRKEATRFDRKPYRS PDTDYTISEVEKDRLYHVERVYNAMTCGDAARDNKGSIAMKRWVHGAYYESTLVEAYA HKVLDCLLLQAKEGFRGWVHNDYVADDRKGDDEDRDVTCEERLESILCALQEEKTICE DVMNSACQIRMFVNAPKAYANRKYQNRVGNSKRGRNKDSDPANRPSKTHAFDSKANHA SCHHFNEPKPDSHHHLC CC84DRAFT_1161232 MSRPQRLAPSRDFPAIQRRIHAMSPPPMGSPYASHISTVPPQQH TPLMSPPALSHGNRSAPVSPDDGQLIHNAGSEQWPLMQQYANLYHRHTEPLFDGLWIS SDGRQGQACTGVSANLFVQHPEMADMAGSLKQKSNGLYDGQNFSQYWDAQQGVQQFPY QGASDTRDQL CC84DRAFT_1172698 MLQDFYVNRHETEATEALQLLYHDAEHPRFKDSWARALTYLDRP LEEATPTNRADDTIQEVILQAEAWVDALYEAICNVENVRNKATSIELAMFKSPLLDKK AVEAACRSTLLDKRICKDVLTEDSKVFQLVHAPFALLKIKKSQEKGNDVKRNLLAAAS ALEPTPALPASAATASQCSLSGGLSVLSSQGFATLNEERSSNKRSRGDLTDGSVNGGK RAHIPSEIQWYDAGSWIVPATHGITMLAVPVRSFECCDPWWTG CC84DRAFT_1138651 MQHIVFFHPDLGIGGAERLVVDAAVGLQERGHKVTIYTSHCDPA HCFDEARDGTLDVRVRGNSLVPPTVLGRFAVLCAILRQLHLILHVVVFSNELQLLKPT AFFVDQLSAGIPLLRLLQPAVRVVFYCHFPDKLLAQQGGLLKTLYRRPFDWLESWSTG CSDTIVVNSNFTRGVFAAAFPGLDYRNPGVVYPCVDTRRTNVDEIVPLWANKKVLLSI NRFEKKKDVALAIRAFARLAPHERKGARLVIAGGYDPRVADNVATYTALCELADSLKL SHATAKTVITAQRVPDDIAVLFLHSVPTAFKTTLLATSRLLVYTPLHEHFGIVPLEAM LAGTPVLAANEGGPTETVVDGETGWLRDVTKVDDWTEVMRTALADGQGEQTLRNMGKR GKKRVETLFSKEKMAEVLETEIAAMAKNARPPLTPFAAVLFAVAIMGLLAASAMWLAL KQAQEAAKP CC84DRAFT_1161234 MGQGFSLTTLSAGSANIDVPELADLRYEKSLGAARFMKSVRARH KDGLVVARVVMKPYAQFNLDRYVRRLVEERNVLAQVPNALGYHRILETAVGGYLVRQY IHSSLYDRLSTRPFLEEIEKKWLSFQLLCAVRDCHARAIYHGDIKTENILVTSWNWLY LTDFSASYKPPYLPEDNPADFSFYFDMSGRRTCYLAPERFLGPGAQPEGEGTVTWAMD IFSVGCVIAELFLESPIFNLSQLYKYRTGEYDPVHVHLSKIKDKHVRELITHMIQMDP NSRMSAEDYLEHWQGKVFPHYFYGFLQQYMHSITDPSSGRKPVTTANEHLGEPDDRIE QIYSDFDKISHLLSSSQGNAPEKPQHSPPKPNARLFPLCIDIPNYQHQTSPTPSLAVD DGNLIFLTIVVSSLRGTARASARLRGLELLLAFAERLTDEAKLDRVVPYVAQLLTDKF VQVKIAALRTMTQILDMVRVVSPVNAYVFPEYVLPRLERYLPDSSTTIDPLVRMHYAW CIGTLATTAARYLDVIQALRAEGTLPAAVPEAEEELTSSVHRNQFDLDRQNLLEAFEK HTKSLLIDSNSSVRRAMLRSVGELCVFFGSPRANDVVLSHLNTYLNDPDWMLKCAFFE AIVGVAVFVGSASLEGYILPLMVQALTDPEEFVVEKVIRALSAIAEQGLFQRSKAWEL IDIVARLTMHPNIWIREAAAQFIAAVAKCLSVADKHSILINLIRPYLKIIPSEFTELR LLDSLKRPLPRLIMDMASNWVTQAQKGVFWAPAKQQQTFSFGSSEDALPTISGRELDL KVLHRMQKTDEDEQWIRKLRNAGLTLDDDFKLVALREFIWRVTRRRRSDDLATSSAKF NSMVSLKDLNIRPRTVLFENAQQTVEEARRGSVQDYGYQPKTIRDALLDASTTETEAQ AARNIAKGGSQADGLVQGQRPRRISIPARPKLSSSPSDFRSGIDSPGSDITDPKRTSL KVPRRGSPNVLSPVGSFGAGDHIHSLRHKGSALALLNKGDKAQAEIGTTSTNASGKVD GATAREASRTRHRPSSLAGDRRHGSPSRSRLRDAHNYTGNDPAVLKLLDSMLLDRFPM GAMEFGPKIETSNEPSFIRYKDGHLPQNGLPWRPEGRLLGVLGEHTATVRRILVASDQ TFFITGSDDGTVRIWDQHRFERHLSLGARQTYSLGEGVNVTSLCFVEQTYCFVATGSD GSVHVVKVRFHEDKEEDNKGDIIVTRKFSRPRMLREYQLPESDYVTWTDHYNDETRSV LLMATKTSKVVALDLKTMTEMYTLKSHVQHGYPTCFCVDKKAHWLLLGTSHGVLELWD LRFKLQLKSWAFQAATAIHRLYLRRTGKSRVYITGGTGQGEVTVWDIEKTVCKEVYRT GTAKDTGSKTTTLIDLDSETTGGMLDRFSTLEPTSNTAPDKCVRAIVVASQATTQKPG SRAHTFLLTAGPDWKVRYWDTARQDASMVVSGMEQDEARPQYATSQPPSSPETLVVTE RLSQPQSQSLTLASRDSRSGNKKTSTKSSRSGLITVQQQHLLRGHLDTVMDVALVEQP YGMVVSADRSGRIYVFA CC84DRAFT_1236751 MLSSLKPLEYAFEKLSSSHAVTQLTYAIDVHGTRSITATANPER EQCANCGAFFADYHRLKGHYADYPVQCDVHGVCLRFDDVLVHADEDRHDRCFVRACRS IYRLEGGWKGSVVEGHIRGSHRREGIYY CC84DRAFT_1138657 MGPLGEQIAQLERRNNALRLNGNTVNGRTADIGITTRGSDWYFT VCAVMTVAGFAFMGLAVRKPRHTRIFHYITSAVVFTAAIAYFCMGSNLGFTPVEVEFF RSDPKVRGTFREMFYVRYIDWFITTPLLLLDLMLTAGMPWPTIFFVIFADWVMIVTGL IGALVTSRYKWGFFTFGNFALFYILYHLGWESRRNANRFGNDVGKAFLYCGSLTSLLW LLYPIAWGVCEGGNVIAPDSEAIFYGILDLLAKPVFGALLIWGHRNIEPSRLGLNITD YDNDVAVHEKRATNDYGVPVTGNNTGVTNGTTTATTSGTTPVAAPASDGLGGNVPTHN PTV CC84DRAFT_1112403 MGFDYALVHVKYTVPPALLLTLFYRPLFTRLDVYKIFFLVTIAV VSTIPWDSYLIRTGIWSYPSHVIVGPKLFEIPSEEVFFFVIQTYNTSLLYIILSRPTF QPAYLRVERQKSHPLGPCNQQWRWYKRLGQLVLAVSIGWGSHLVVDNGVGTYTGLILI WAVPFLLLLWSLAYQFILGLPLSNTLLPIALPTLYLWVIDTLALKRGTWVISSGTKFG IHLWDGLEIEEALFFLLTNTLIVFGQLAFDNALAILYTFPQLFPRPTVLPSPIALINA LCISASRYDEARLIGLQEAVTRLKRKSRSFYLASSTFQGQLRSDLMLLYSFCRVADDL VDNASSAEDAKQWIARLQRFLDIAYGSAEKADKSVKQYVTDSFPQDTRSALLQLPCSR LSRQPLQDLLRGFDMDLAFNRAPPIQTDADLEVYAQRVAGTVAQMCNELIFHIYCTSL SLEDQRRIIQSGNRMGIALQYVNIARDISVDAKIGRVYLPTTWLKAAGKTYRSVLHDP EGTGVEELRTKLLDKAFALYENARDAIDELPAEARGPIRVAVESYMEIGRVLRQKGYA VKAGRATVPRWRRIGVAWKTLNQ CC84DRAFT_1112407 MSARGHERKQWAPHPYLAGNFAPVTTTSAPQPVEYSGTVPDELQ GGMYVRNGGNPISNSDLGRDAHWFDGDGMLTGVWFDRAAAGTKLPTPRFVNQFILTDL CLSTLENCTLRTPVMPSITTLVNPVARMVEILWRIFRSAILVLLSHLPGSAQAIKRIS VANTSILYHDGRALATCESGPPIRIQLPGLETVGWYDGNRTEGEPIEEQRDDGPGFGG TGINSWMREWTTGHPKVDPASGEMMLFHCNFIPPFVHYSLLPQEQSKTQSQPSAYKKL LNAPVPGCSGGKMMHDFGVSRHHTVILDLPLTLSPFNMMKNKPIVAYEPKKAARFGVF PRRVPSAVRWFETSGCCIFHTANTWDELDSMGHTVAVNMLACRLTSASLVFSAGNLTP PPHPNHKGPQYNKRMSFFAKYDDDDGVKDLEKVSSNERTALLAEDHLAKYGPPTPPIS EDDEEQCRLYYYRFDISNTASNKITDQYALSSIPFEFPTVAPDVEMSFARFIYGCSTS NASFGAALGKATKIDVIVKMDAETLLARAKATPPDSVSGCLDTRSVQEVIAANNPQDP IRIFELPPHHFAQEARFVSRQSAISEDDGFLLFYVFDESQLDESGECKPDATSDLWVL DAMDMRTVICKIHLPTRVPYGLHGNWFSEEKIQKQRAVETLRDMPVEGKRTTWKKVKD YLIDALR CC84DRAFT_1236763 MMPTSITSNAMTGPFTGQHTADFVRSATIYLRGGCFVDVGTLLM RHPDWICWDKLTEAGSPYGLAIPSITKENRKSVRCVLQK CC84DRAFT_475996 MEPRIATLLGNSPTVTALSRTPPPRKPHPVEPTTTNHHGNARAN AAPTTASLPGQSQSQSQSQNRHQHSPSQEAAPKRQKPSAPIASVLNTVTPFSGRLSDL LLDPSQQQAALKRKRGDEPADGPPPTGAENSLLTLPKPHQQPKKSTKRPRIPPLLQGL HQVPPQAQSRLFPPITSESGAFGRDRGDGAPLRGTNGPERRKEQPTETPLHAAPGDRT AVAQQDAQTEAPAKGASASASDEESRAAATRSASSPTTTTGTKELRKRNKWSEHETKD LLLGVSRFGIGSWKKILQCPDFTFNQRTAVDLKDRFRTCCPGEGLKARKPKRKGDSPG DSSQSTPTSSTTSSMSSNPDPVAQETLETIVSLRKPRGDNYRKDRVDTHRKGPAELRE MGIHGSFARNNRRERRAFTEQDDENLLKGFEKYASSWHLMRDDKDLGFSTRQPTDLRD RFRIRFPEMFAKAGYKLKPKAEAMLKEKEKGKNQETAHIHTSITSQDSPSSSRAKDSA SDTSFSSLTSIATSNSNLMPLALRESFLTSFAGPLDDFGDLDSEADGDHSRSPIILNR NIFEWADANPSQMSAASAANLPSMGPFASDVPLGIFNATDATHINPMALLNSTVPSST SQPSSSHATTSSRLPDPYHHANNATVGTLTAITKHSSDPMLRTPNLPTIVFPHVPVSS ARSAVHNLPPPADLLSGLEPDGRPEAQTVPSMDEGPKMRTLTHSIYLARHWPQ CC84DRAFT_1193781 MPDTTLGLDLASKKLRKRQDYPYRLDYRTRWADNDMFHHLNNPI YGVLIDSIINEYLMTRVGYNTTTYPRTALVANTYCDYFGQLQYPGMLELGLRVVKLGK NSVMYEVGFFKEGDDVVKAVGGFVQIWVMRDGGKAPKEGLEPEVREKLGELMQPGLRE RSRL CC84DRAFT_1161241 MILRRRCGASDCLAWFFISGIALVFFLICSILYGTRTENPSLPQ AVQEVLPAGRCLCQQSTTFNCESCLDCAARPAIVANATHALETWEFDFRRDGSNYGLD EDQCAAAFPGLFEDIERAKNFRKGRGLVTQKNLTDFELTKGMVRAMIHDGQLYILQTC LVDNINRQKAVASLAALHRAISAARDRPAIANIEFVLSVEDLPAQPEKPLWMLARRAQ DEDLWLIPDFGWWSWDMPALGAFDEVADEAMQRERLEPWDAKKEKLVWRGKLNFAPKL RRALVEAAKGKSWSDVGQVKWEDTEFKEPGAHFLGPVDQCSYMFIAHAEGRSYSGALK YRQLCRSVIVSHKLQWIQHYHYLLLASGAKQNYVEVERDFSDLSSTMDDLLAHPEKAK RIADNNVKTFRERYLTGAAEACYWRALVQAWKEISFEPSLYETVADGQNKARQQKRGV RYETFILYDYESQVTFPQVAQ CC84DRAFT_1213613 MPRATADYPTREDFIWLGVEQLSEVPEDRENSCPICMMPLVPHD SPTTEDGHEFAPVQDDQDLQGSESSFTANITDTHSDLGIITMGTVHAAGTTVFNIAEQ DTLNSLVTHWRVVFSVGRHNLNFDDTEELGDTDEPEEGLFIRIRICRHIFHTACLRKW LSKPMNKTCPLCRRLLFAAPCRHTNLLQDLAALEQHVQHGRRQSVEITRLKEEITTLK KTIKNMEKGYVDFLSDCATMVFVAAMIMVALYGMLKS CC84DRAFT_1138671 MSGPPLPGPNSAPLPSSFDENEDFYNENRLDKIWRRLREEPLVP FGCALTVWAIVGATRSMRKGDHKMTNLFFRRRLYAQAFTIAVLVAGNAYWQKDRAKRK DYEKLKAEKTRMEKRERWLKELEMRDEEEKAWKERLAKGVRREGDSLTDLVREKTEEL KNLRGGK CC84DRAFT_1082744 MNDEAESSRAGQRRRSIADPDTDNDDGIADIDLDEDIAQREQRM KERRLDAKRKRVVFLDHLLRELDTLVFLELITLYHLDCSFFWFAVRAIIHGSLLTPLP DIGLHRQHDEHKPWLPLILFCFAINFLLHTTYPAPSAGEDTRGYLHGGLMIDFIGQLG PTSKWKLAGLDTCILFLQLVMVSVHVKRRQAKKTLAQTSAGSTETSANDEESTEQPPE DTAAREQDADAEERGVLRRTDTLSDIGIDPDEEDALLSSSEGVQTDALDVLISGQCII GEFSLIDTLLDEHQKYQAFRQTRSEGGAASSLSPTALRQLQNIRMRFGVGGG CC84DRAFT_476135 MRDGYIAIDVPPRTPRAPKCLNSHVHSRRQQSIMWRLAPVADRL ARLHRRGAHGESQPGPRAARSAPLQGSCGLEMVCISRSPARLPRVTSGLRHIFRLRRR VVVPQLPRSECATAVTARRFKGCWAVGIHHSVKG CC84DRAFT_1236782 MRCIVLCVGGVACLDAQAFVPGMGGRGLFGSARILGGCIWMVLG GRLGPVPQVRRFLCVKRSCMACFRRSESRLSGAAALDSLPVAIIMRLQPGACTYSPIC RCAHGPIAPPRSTRRAESAVANCIEITGQPRSRASNQAARVFIRERLVRSRLAAVRPS AREGTQARRKVPNLTPTRVFPITCISANSTLLPAPDSLSPPRVLVQRHPGLESVSRDL LASRCAGRAAPFTMGS CC84DRAFT_1082229 MHSILNPQADLLEQHRGQRRSASHAELPSPIEHLTPPNLPSISR PTSVESTQDETVPARQFQQPFHPRRGPLSPTIHRTQSLSVLNPPTGTIDAHQSPFISP SGRPSAMDSITSQPALPTPPVVGIGSRAAYFPTAPTPPPTMVRNDLRRPSMGFPQSGS ASPIAGFSPYSQPASVASSQVDAGSQHQHHYVPAPGHAPMQEAHQIPMAVDTDRGRIS MAPTGQSSIQIMTIKSQQGHHVQIPVDVQAASKVADEKRKRNAGASARFRARRKEKER EASMSIARLEQQLRDALEDREFYRNERDYFQNLVYQQPGADRHYGRPTSPRLRRPSVS HSNAASSNTGGGSAGSPYSAYDDDDVESDRNVRRRTSTYHPPLGQTAAPLNGTGPPSS THPAATFSSVNATAAGMSSHRQPQPHHHYSTQQAQLHDPFASDPARYEQRNWAPAPGQ LRESR CC84DRAFT_1236791 MAPAIGLVGAVAVACLSRRVACSRPAGRPSGASAARGGLALVAE SGRVESRRGPGRWPVPPEDMSARCAVCTPSSRQARAKLSRRREGFPTAAGSVPASRGQ TGAGVQQTKKTRRGAVATVERCVTGSVGCICAAEWWCWWWCSAARLPHARRGGGSFPI RLVSVRRPAVHPARHPLAIAIAIAIALAFGAPCGPQPRPRAFSFASRTVAPARPHATV AASHKLPTVAPAHPGRMACDIMCWALHHHTALARPWPRAARGAWAPALHITLVRRQAA PSALQRHAHLDAGCIAPAHHHRDNLAAASVHARHHHGTATGSRDAGPWPRPLHQAGIV RHSVVQHRGPSLHLGSPAGRLAVIEASAPKLEAAPPPVSPAPHSRVRPSSREFQEACV RASSRVRPTVSFSREKRLCHPSLRGSPNPQAAPTKQDCVSKRDEHPSPLLSCCWQGLD ASCSLPMHVGASALIRAVRYRDANFRCKPKLFKRIRTLCTCLRSCSSPLPEHLAPPST VGRESHGSNVAELHAIRSRFGATRYTGAPLCAPLCAFFHI CC84DRAFT_476201 MYLPSAQHTSSCFIMPSTRIPTGLKMTCARNCLGAHAKQIDDNC HPNANTSWLIPQMPRCGWLDFISGGPIVSEMVSRMSHQGNHDLPGDKTSRSQDRLAPH LGPCQVRTTVEAAKDLCFESCSFHTPQPL CC84DRAFT_476208 MTQMTSIENRPAAHTALASSTEIASRSGLAGEGNPPRWILAHPK RGSKTDPTCVCTRRTACATDVASIVSSGPWQTALIIASTPGANQCGYLLSCHRAVWFN KEAKRSSWLIAALCTIDVADPLSSSEINSATPQFAYHSPHTDEERRFPALRRHTMTRL SARSMALVVLRLWRNVPLLRKDKPNSPARVASQIVWLFRKNFNGRGRC CC84DRAFT_1184362 MGQFSHLRVNTYNLLLVIFVGLGTISTAYGLAIIGSTVGQPNFY TYFKLAPAGEPGYAHTTSMIGALNGVNSAGAIFGCLFNAWTSEKYSRKYSMMGGCVVL IIGGALCAGAYDIAMFLVGRFIAGWGAGMLACVVPMYQAEVSTPETRGAMVCVTGIAY AFGYTLAGWLGFACFFIPADSPNAQFAWRFPLAFQCFFPLMVLCGWKFIPFSPRWLLQ QDRSNEALDVVKKLHASSSDPNHVRAREEFFLIEKQYQLDRTMLVRRFELFRTPANRR RALVGFLLMWGDQFLGIFVLTNYGVLIYASLGLTGFVPLLLNACWTTFTIIGNFWTAL YIDRFGRRTFMLIGSTGCTACVIFLCALTAKYLGTTNVAGLRAAVFFIFFYIFWWCFF IDATQYVYVAEIFPNHLRPQGVALGLSSFYLASEITLVGAPVALEKIGWRFYLVLICP SVVYIVLIYFLFPETKGRTLEEIGALFGDEQHVASRWYGMSEAEKEKIAHEAIAELPE NGEDAGVGMEKARATRTEHA CC84DRAFT_1236794 KMLFKSVLVLSGAVRSLAAAVPQSSYEYPRPLVSSKPFQDLVTT EGLWGNLVKLDEIAKANGGNRAFGFPGYAASVDYILSRTKNSTNFRTWTQDFPALFQY VESISFKVDDSPYTVIGLSYSPSTSAEGVTLPLVLGATGDIGCTIEGYSNLDVAGKIV LVQRGTCPDGTSFAGRMKPAAAAGAAAVILYNNVETPVTAGTLSKPNPEEYVPTGFIN LSDGQALVDRIAAGEEITAYFQQTQIIETKITQNVFTETIDGDPENVIMLGGHLDSVV AGAGINDDGSGSSLVLELKTALERFRVKNKVRFAWWGAEENGLLGSKYYTANLNVSEA NNILTYLNFDMVSRGYFGVFDGDGSTHGLVAPPGSEWIEKTFVDDMTKKGINVTAARF TGGSDYQSFFNIGKPVGGLHTGTGVEQDPCYHQACDTIENPNATTLTVNAKAAAHVLS ILATRGTEFIPKSPINATMTARGLMGRDIEWTIDEEDRHLGTCGNNV CC84DRAFT_1085004 MSIKEASGKYIAGSYTWGPSSPTAPITLNGSTFEIRENLHDFLK VCRHKDDAVLIWVDAICIDQSNLLERTHQVGLMVDIYGQAAAVAIWLGPSSETLDYAV DIIEKLGTDASIHLDSKHPHSVFAPGEDEAEKTVEVAAFFNLPWWFRVWTVQEYALAK KRV CC84DRAFT_1084192 MSTRVSNASQPSGAEVRRLTVNPAPCRVQRCGIWRAPPPSSPPQ SRTSANSHQRRIQPPASSMIRAPSPSPGPAFLPAGNAPPTPPHADPLDDIYGSSPDAS PTLAAQRDDEMLSDLPSRQRNLDTDAYREGLSAAKGKFVQEGFDEGFSLGAEIGLLVG RVLGVLQGVTAALRGHDEGRWEEAQALLERARGELAIESVLGREWVDEEGVFTWDVEG HVEGEGEVTFQEVARCHPVVKQWLGTLEDLARRWRVDLEAVEKARGDGTEVEEIQTHN VVPRLLTPTSLRSPRLQPSLVRYVQSHGFQPARPINPADLPKPRVRTTKPPFRRRRWV RRLFVLSALVGTGYVLDKQFNASALTRSFRTFKTGLVIGLDYKINFRAHPPLVNSIEE LHARNATRVFDLLRHNGGLYLKIGQAIAMQSAVLPPQFQKMFSKMFDDAPQNDWAEVE RVVREDFGKSVEDVFGVSFAGEQGKGIMEQTARASASVAQVHWARLADGREVAIKVQK REIADQVGWDLWAFKVVAKTYTWWFGIPLYTLVPYITERLMLETDFQNEADNAEQMRE LVAGEKRLNGRVYIPKVYRELSSKRVMTAEWIEGVRLWDKEGIQNNWQGSFRQGSPGA GGTNLPPLPSDRSNIDASVPEDAPKHTLYKPNRKQWKGKDGNGGLGVSLKTTMNTIVD LFSAQMFLWGVVHCDPHPGNIFIRRLPNGHPEVVLIDHGLYIQMSPHFRHQYALFWKS LMAFDNDTIKEIVTSWGVNNPDIFASATLMRPYEGGDKHTLNELKKGGSGKDQAERAF EMQSKARDGIKQILGDESKWPRELIFIGRNLRIVQGNNQFLGSPVNRIKITGLWASRA LTESKDLSTGQRWSNWVKHLRFRLVLLLSDAYFTWSKVKQAIGVGRGMEEDIEAHMRV MAKDFGVDLQHGVFEG CC84DRAFT_1184366 MNKMLGLSEALPQLVESKFTSARASQALLFSPTELCIIRTAAGI PFQLRYCPSLARKPEPRKDPTPKRKIDPFENPPAELFIANVPTTNPSHFLVLNKFPVI KSHFILATKPNKQQTHALEEDDLKAAYACLKAWQTRDNRCRLFAFFNSGDHSGASQPH RHLQFLPVDSMHEGEQSAGWDVLLDSILTNGTEDASTSVVQHPKLPFSHFACRFTSEP SGTQLLSTYNRLYREAKQAIDGYISAHPGHLSLHESAHGDLPISYNLAMSTQGMAIVP RRNEGHMMRRDDGTDIGFVQLNGTVLGGTLMVKFPEEWDTLRQQPEKLDAILEAIGIP RTTETTKL CC84DRAFT_1213623 MEEASLHQQQQRKPTVGAQTRVWHTNGLPRDLDILPSTSATGGY ALGTSTSGAMSHFSIGAANARAYNHNQGVHMAPPMIMPELDYEGSLSHQFDYAGTLVG QSTGVKNETGYTDGPLWPLPMRQTALLQPVGTADGSDVDTGTASLSPRSTYFSEPSEQ GTAYSPVPGCEEASSRGPWTEQSSVSPTQIKQSPSHSNFSGFREQLTYAAGGGLGRGM PGSRSTPVDAAPSVGQHYHAGFAPQVDQFSLPWIPMVNQTGGMSALAWQPSMYSQIQP NTQSPYYRTGFANSVQQNAPSPGANASGAIVQSREPSREVHRNAPGLEAHGLPRTPDE QAQRDVENRILVQGKAAGLTYKEIRARIIGRFGGEIAESTLRGRHRAMTKQKKDRVRK PTWMPKDLRLLNEIVQQQFDSIDDGHRAMDTTARLNKVSWKKVGEYISERGGSYHFGN STCKKKWLEINQRD CC84DRAFT_1112430 MSGGKITAYLDCVSPFSYFALKHLERHRSVLESHNVEIDIVPVF LGGIMEGSGNKPPWTLKAKALYSGPDAARAKRYFGVPKMQTPSFFPILSLLPQRALVY IKATYPELFVQTFVDLFSAMWEEGQDVSKPDLLSVALSKRFEEVQIREILGKATAPEN KQVLNANTKEALDQGAFGCPWFWVCNSKGEEEPFFGSDRFPFMWQHLDLPWKDVELLP PPQAKI CC84DRAFT_1256145 MANSEKKVALVVGASRGIGRQVAVDLARNGYVVVVAAKSTSDVS TVTPFPPDPNSPQSTISTVEREIREAGGEATAIAVDTRDYTSVQKLLDETTKKYGRLD VLVYNSGAIWWASVENTPMKRFQLMQRVNVEGLYGTVQASLPHFKKNGWKGRIIVVSP PIYSRFFRGKTAYAMGKVGMSVLTKGLAMDWEREGKQDMAITSIWPAAAIQSAATKMA EKDAAHELRKPTIYSDAILAMLNSPAAEVNGCLELDEDFLRKKGAVDFEKYNLVVGSK PRRIMPKLLPDLTVNEQMDEGKRMDSTKLREKL CC84DRAFT_1213626 MGVRGFSTLPTLGVGTASILFSPRPRPPSNPPSPFWTLLACNIG DGSSGVAEEGSNAGADEGRINGMEDTASVESLIDEICELSEFRTFDTATETLGSAATP DTLGRATLGFGDDGIFETADRRLPPFMTGAADRGLLAAAPVDCLIPGEELGSKPRIAD GPTERLASMLKLT CC84DRAFT_1213627 MFPRGFWPAVGTDEGAGRMADGFETEASGRIADRPNEGTLGTAN KLPKPPTTPETIFPSGSVPAVAPGTAGPAADGVITGTMGVVDGTPIPGTDGTASILPR PPAMPDRSPPSGFCGLLNPGRGGKITEDSGGTTANDTEDKAGEINGDTATEGNVIDGN AIDGIVTEGTDMPGRLTERLNDGEGTARTLPRPPANPDKRPPSGFCTPPVAGTDDAPA EGEFRAGTERLGNESVGIATVGIETLGFWTPAIEEMGIEIAGKATLRTGMTGSERAGI EIPGSETPGTERLGVGSEGERTGSPGAEKADAGTEIPGMEGAGAEIAGREGTGSEGAG IEIAGIERDTPGTDRVGTAIDTAGIERAGNDMLGSATLGAEITGSEGAGIDSPGSERS GSEGAGIDTPGTDIDTAGVERAGTATDTAGAERAGSETLGSTRLGIEITGSDMTGTPT EMPEDSEGAGMIPPPPAAKLDTVTPPPVRNDATPPRGF CC84DRAFT_1256146 MSLTPLSLAVSVPPLSSNILGIPTGLGLSGALAIPTAAPAGLSA SLPNPNIVDGVIGGLVSDLFSPLTKFPTSDLLSLPAISLQTPPPAASAPDLLSGLLSG LSGALPSVLNDAGGLVSGIINPAISPPTRVPGLLPTGSVPTGLESVVGGVLSEVVIPA VSALPNLPGSFPTGSVPNILESAVGGILSEVVNPAVSPLTNVPGILPTSLQPDLAESV IGGVISGIANPKPLSLTDIQGLLPSLSQPDAVESIVGGVISGILNPGIPTLTSVPGLL PTAPVSNLIGNVVSGLFSGLPTAAVPFPDNSNGPNPLATGLPTQIPGNLVDGFVSGLF SALQSPIVPPIVSNVLPEALPSISAGLESLVGGLLSDLSNPIIPSVAVSTVPKLLPTA LPSIALGSLVEGIISSLASQSLLNVESAIGGILSEVGSPSILPLPSESQVPIPTPTGP DVIGGILSGLQPPSGILNGLPTISQPNIGDVLSNLAGPGSSLLTIVNTVRPPSTTQAP NLIQNLLSGLASGLPQAPESAQNLLSALASGPPLPVSAPPNVIGDLLSGLGSLGLGAG LPSPTIAPIDVIASELSELGNIESGIAPSRSLAPNEIENLLSGVAAGLQTPIPPGVLN SVLAGVGNLGSGIVLPTLLPSNVAGSLLSGVVANLPASAAPAVFNSILSGLAGLGSGI VLPTLLPSPIVEGLLSSVAVKFPASVPPDVVNSLLSGIVGFGSEIAIPTLLPSPGNTI ASDNRPGAADSLLGNLASNLAPGVILSDLASGLGLGAPSQLPPIISGAASASSSAGGR GDVIGGLFSGLIPPATALPNDIFSQIPGILPSTLIPGAVGGLVSNLATEGVLPTIIPS VLLPSVTNLGNIGDLGGVLSGSQLFPPLTLATGVPVPPQFPISAPNIDVVLSDLLSNI NIGSPTVATLVPLPSIANPGIVEGLVSGILSAVELPSGLSLPTDISLPQLTGLPSPEA SLLPGLLSSILAGASSRGSAAPVSSSPNSGPVDNLLNGLFSNGRLSSLSRPTLTAASA SPSNTGILNNLVSDLFTNVAIPTTLAPPDFSKPGVIGNLVSDILDNSVVPQSPSIDVL SSINVPGVVDGLLSDIHAPSFAVSIPQPSITAGDAIGSLVGELASGLVNPLSQIPALA TQIPPIESIVSNALSDLSLAAIGLPTAVPGIPPSTALGSIVDELVSSLVHPLTQIPAL ATQLPEIESIVSNVLSGLSLPAIGLPTGLPSATNVLESVVDDVVSDLGSPVLSLSLPT ISLTAVDGLVSNLISGLAIPTALFSNVHNLISSVTPAHPSDILGGLISNLGPDGTSLP TPSVSFPALSGVEDIVSDVVGGLPSDLGALVPSVSVALPSVALPSVALPSVALPSVAL PSVALPSVALPSVVFPSVVLPPVAVPSIALPSVPQPSLSLPGLPGDLVDNLLSGLTRT TRRSPVATGSQSPTATRSADIVDEIVDGIMNGLGSGGPSTETNTPSGSSTRRSDLIGG LISGLESGLVQATTVRPITTRINAVDSVVAGFISGLANSGASFALIDNLVSNVFAGVT SPTAAPESLTGLPSNIASSLLDNALSGLSAISVPALIPTQNSFLQTIRPTISPVLTPV LPLSLPEATGLESSALPPIINIPISIPSLPVGQLPSDLGLGALASNIVPAPPTLPGNP VGALSSNISLGNAGSTGTRQPQTTQIPAPLIDASISLGLLEGLCPGPSCRTATQSAPL IDLGLSLGVLEGLCSGPSCRSEPAPATVRATLTQPPTTFITSRRSNQPPLIDASVNLG LLEGLCPTCSATGAPPLINLDLSLGLLEGLCPGPSCRLQSTLAVSYVTSTSSPRPDTA PSAGNLVGGLLSAIGLGGAASSRATGPTPSNRPSLSPIPGGGDLLGNLLSDLGIGGNA ASSLRLPASSIVLTQAAPVSSNLPDNVLGTLLSNLGVGGPGSTLQLSGLSSTSTLPPN ALENLLSGLGSLPGPTILPENVVGSLFSAIGTITTPTGLPADVLQGISSVIGALPSPT VLSPNIINSLVSFLGAVPTLTGVPTNIAQNLLSAIDSLTMPPGLSSNVLGNLDSALGS VPNAVPTFVPDILSDLQSKLGGSPPLPTASISQTDFLSTLLSDLGLLTGTPIVTGSVR PEITSAFNALDSLFSSLASGDLLSTPTRSSLDFASNAPDLLSGALSNIAAILPSLTGL PPLGQTPSVDLVSGVLSGLASQLSRSTKAAASAGNVPTNIPVDPFGGLTSNLGDILGR PTSAASGLLPPPTSAPNLIDDLLSNLGASQLSSPTQSLPGFPIPPNVPAELLSALISN VGPLPAQPTRTLPGLPSPPNIPAELLSALLSNIGTLPAQPTPSLKAGTTPSIPFLGGT FSGLGTLPGVALPTVSLGSILPTPANPLDLGSSFLGGVLSGLGTLLGVTSPSISLSSS PAGLSDLLTGLGNIIPAPQTTSRTPSEVAAVSSSRAPSSNLVNNLLSTLGVGSRPTTT AAAESSATIDEGNSNALGSLLSGAEEALQSVTSSRGSPRASGVIIPPQSTPQTTNRAP LNPSDVFSILPVFGSSSDLNSPRTLQTSIITSPSNAVFISCAPVPQPLLNVGGLLNGL LGGQTPAPTLTTCVTIINRPSTVLPTPLTRASGAQSVVDLSLGLTDSDLRLPSYPPIP FQSM CC84DRAFT_1213629 MSFRSTISAPSPSVAALFDILGINIADAGETMTESLQPAKSFTA SQAFLVAPSGITGLNIFSGAAASTQRVRPVTRVIPQSSTIMGDGLPTLDVPLVASIAG INTRLPIQLLPSTTRRPFVTPSGRSPGGGMTGRLGVSDGPVYTPIASLPWWDWNGPGE GGDGLPDDNDHQYWDDGDDVSDYEYDDEGDEDARNDWEINDEYVHVHGDGDESGDDYQ VGVANEHGDGYEAEVGNEQDDGEEDQCECGCDWWWTDDEDSSGEGVDWEWVNEDVVSW DEEDGTCNWRPVSTPKPTPPSVSDTRADGYDTGSRVPQPESTASQNLRVEPSTGDSDS TSNHGYNAHHAAPAQESQHGKPQSWHDGSFNTGSHYDKDDPNKKWSDGALHPA CC84DRAFT_1161253 MSHMIELESLREEPISPTLDYGEDHGLLVSYSDARAYKTPACFR GIWEKLKHEAKQIFHPSAAVFPKRIANLLYATTLIFFGIFISTITIAFFRWKATDPFD TFFARFWDEGPSSAHNPAKYPIEFFGNVLPIPCHSHNDYWRRTPLFAALGSGCISVEA DVFYRNNELYVGHTESALHPGRTLKSMYINRLVDLLDEMNPTHWRAADPKGVFYNAPH QSLTLVVDFKTAGNETWSALYEQLQPLRERGWLTNWNGTHRVERPLTVVASGAADFDL VASHNQVRDIFLDAPLAELDHPFDDGTRFRYNVSNSHLASANFHDAIGSVFRGKVNDE QVLLIRKHTQSAQQRGLVPRYWGTPRWPRGLRDEIWALLVRENIGLLNVDDLRGARKG NWGSWS CC84DRAFT_1213631 MPSTKVTLLMLAAIVAGADPFMVTSTTILPASVVSSDAAIVQAP ASSNTSSVQPTTAPSASSGATSSQSATISGTKGAAAPSNVPFGAVFGLGLPVVLGML CC84DRAFT_1112441 MKLRTLVSLLPLLGLSDALALPQSPKNGTDLYVSFQEPEESGQL VNRAASGYRNVAYFVNWAIYGRNYNPQNLPANQLTHVLYSFANVRPETGEVYLSDTWS DTDKHYDTDSWNDVGTNVYGCVKQLFLLKKANRKLKVLLSIGGWTYSSNFAQPASTAA GRSKFASSAVQLVKDLGFDGLDIDWEYPSDATQAANMVALLAEVRSQLNAYATQYANG AHMLLTVASPAGPANYQKMDLAGMDKYLDFWNLMAYDYAGSWDTTSGHQANFYPSTSN PTSTPFNTKQAIDYYTSHGVAASKITLGMPLYGRAFQNTDGPGKPYSGIGPGTWEAGV YDYKALPQAGASVVNDASLVASYSYDSSSRTMISYDTPAIIQQKTGLIKSLGLGGGMW WESSSDKTGSDSLISTYVNSVGGVSALDQSANVLTYSGSKYDNLRSGFPN CC84DRAFT_1161255 MCNGSSTRVSQGGSIAVLRPSREIREVSPVQQDSEVRLLFSNGS RTLLGSAGTHVELQGWDATNSIFQRRSWVVIHSDGDLSAAETRPAVPFFSFDIGSRES CTMELPETLNLGVGDTGIIGRRVSVMTGSTRGPLIVAEGIIGWN CC84DRAFT_1083975 MSAPSYTLPPLPYAYDALEPHISKQIMELHHGKHHQTYITNLNA ALKTQAEAVHTSDITSQVGLQAAIKFNAGGHINHSLFWQNLAPASSAEAKTSAAPELI KQINAIWGDEQAFYDAFKTTLLGIQGSGWGWLVKVETGKEQRLTIVTTKDQDPVVGKG EVPIFGIDMWEHAYYLQYLNGKPAYVENIWKVINWKTAEERFLGTRADAFKVLRASI CC84DRAFT_1161256 MHARFQPFAQAFKAPRQWTQYSQARQQPRLAASICQSCHRNFSL RSPLSALRKITQASRRRVSTAAQNVKDKKYAEQIVVYEAGSNRTAFIGTWKAIALFQF GVCTVWLAPHLYLNQNQPDKSVRVFQTVGVMALATIPSIILSFITAPFVTSIKLWPIP PHARHSLTALRSFAANLPATTKLTFQTLRIFPMPKHTTIYAHELRALPPRKFRFANIE LPKSAAWAQRQREKSLFKRAWEFVEERRFKFYVKEGVAYTMKTGVPGVWEEVARGIKA RTETKGDRKEGGGDRTRRIASKKAVPAKKDVGVQMPAIRRQTSRRV CC84DRAFT_1161258 MAPVGGSGHDFEAAALARRQALQTPPGPMALLKNLKVFGIACFA CLGGLLYGYNQGVFSGVLVMHSFKQHMGDYIEDPVALTYNTSKQGWLVSILELGAWFG TMYSGFLAEILSRKYAILVNVTIFIIGVVIQTTSVVGGHDSILAGRFITGMGVGSLSM IVPMYNAEIAPPEVRGALVGLQQLSITLGIMVSFWIDYGTNFIGGTGAGQKEGAWLLP LCLQLVPAVLLGAGMLFMPFSPRWLVHHNREAEARRVLAHLRDLPEDNDLIELEYAEI KAQSLFEKRTLQENWPHLQNLTAMNTAKLQFVAIGSLFKSKPMFKRVIVATLTMFFQQ WTGINAVLYYAPVIFGKLGLSNNSVSLLATGVVGIVMFIATIPAVMYVDRWGRKPTLI IGALGMAICHFIIAAITASYQKDWEHHQGAGWAAVAMVWLFVVHFGYSWGPCAWIVVA EIWPMSNRPYGIALGASSNWMNNFIVGQVTPDMLNTMTYGTYIFFGMLTFLGALFIYF IVPETKGLTLEEMDILFGSVGVAAKDKERWVEVHNEVGMGDILARMGVARPSEHHHLD EKLADEKPVVAESERHESQ CC84DRAFT_1161260 MELLVALVGFLGLLPTALAYGAVSDATLRGLPGPGADFDIDTGA LLAPILRPRVSGTEGSRAVLQHFVDFFRGELPEWRLELQNSSSTTPTSKGQPVPFVNL VATRDPPNAGVGDVGRLALVAHYDSKLEPAGFIGAIDSAAPCAMLLHAARTVDKALTK KWAAMAAEGTDDLEDKKGVQILLLDGEEAFKVWSDTDSLYGSRALAEEWENTFNPAAS TYKTPLDSIDLFVLLDLLGSRGPTVPSFYKTTHWAYKHMAQIEHRLRDLGLFKSSPNH PLKIAKRKNKNKRKEPLFLNEAAKENGDFYGGYIADDHLPFLARGVEVLHIIPSPFPH VWHDITDDGKHLDMDTVEDWARLVTAFAAEWMDLEGFFDVQTKPTAEVTGKSEL CC84DRAFT_478014 MARGKPRAKFPEEYTLEGGDARAAREERGKAPQAKPHKRGGVHK MKDEHLTDTKWDSMTRGEMLSAAQEDPTYIPFFANFKAKKQKVKDLQKHVLARTLADA DEQLERRAQKAKRGNAKLRENTRVKAKEAEEKRQAEQQRKLEEKLRKKEEGQIVSDED EDEDEPIQELPQVYLQQEDSNTSDTTSTHSSESLTYPPHRLRIFEWSFADPPSNDYWK TPRTWPQNEELQPRQLPYTPLNVVTMQGHEMLHTPGIDAKDKETEPDQVPALSEKVKD FARNGVLIGPLEGAFVESGQHWSKRTIVQAWNGRMYFNLPRPDEDLAEVYRQWKSREA REKRRMDRQPFHTAGVHKRDDRLQAIRKKEQRKITKKVYRASQWRPTLVYLPAYLPSY FEGRYNGPENTFADRDIKTLFYVRLKGESVPSFFFWVDKDDSMNPTLKNPTKSNTKYE KYQDQHPGRDSESERKPHCRSSRLIRVKKAAGPNRLRSTRKLRHTTTYDVVIWAMERD LYRFGLDYTLKFYHDKWLDEGRKDAWHTLTHVLRNQLPPSGKLPIHPPVQLEHDPSMI SIAEKMARVEGSNPNSDDPILPIYISDDWTRNDGAYWTTEERPRTPVHHGMDARMQYA TPISRAGRPASSWGTPASRPTTPDTPHSLHRRISDVFTWVSTVSSKGSQFYNAPSPAA TEQLQEARDLALDIMADRAPDYPFDNHMWTMMQERYRLQNQVPDHCAICLEEIGDVPF FEYEQHLIDHQVHMPILCPFCSTHWESMDGATKAHHVWSHRNEAYQTDAWKRYAKQHP QSARRYAQTPPELQDNVAVPRRKSSVRFAPTTVGQRTAYNDNDLAHTQGIYARGSTFD TYPPGPNTSGSSATFSTWTTRSCSRKSSQNSHNAAAKAPAKSSIKKKNKPNLTIITDA NGRRQVDYNTSSLRNVHRDAHDPRRKSSSDSMMGSNRGMRLYTYLDNGEGWPSDKEIG ISGFSDVEDGDDIGGDARPVWQVQGGKIGAGGEVPAQVVKIGSVDEDGEEESEHDSNE ETDEDEQDEISPDDDGGGDDYDEQDDFGHDDRGDQDGEGPYSESNHGSDDNDYVLEEE ESVS CC84DRAFT_1213638 MAPTALIVRSATIFSSVLLIALSITVLSTSVDASNRIAKDFPAG EYGVWYGPVNAVWKGTNSSLEFLEKKVNLDYDYATEQAAWAAASICLIVGLLSVGFEV TRLLRSRKNGDTANTSKALSITAIALSTVTFIVSLAALVFVNVHPKQIEETSCDWEGT ADRSYGLFKPGRDSYTCTREQSACKVIYYAIQDVLPKEMQCVELQIARHTMIPLFIFS GLVTLGYGAQMWVAKKERYGGVDPEERVRRLQEEDEE CC84DRAFT_478030 MATAAELPVNGSYAQQGYDGSNYANNAPSYSASASQPAQSSNTA ASEIPKDEVGWYFVEQYYTTLSRSPDKLYLFYNKRSQFVSGNEEDKVNVCVGQKAIND RIKDLDFHDTKVRVTNVDSQGSDANIVIQVIGEISNKGHPHKRFAQTFVLAEQTNGYF VLNDIFRYLAEEPEDEEDIQHDASAPAAGVQEPAPTAAAPENAGLNQSDEIATTEEDL NKVDQKLETAKEEPAQEAPATATNGTPAAEEVAEAEDAPAAAVSASEEAPSKEPEAAV EVEVAQPEKPKDPAPTPAPKAAAPAAPAKPAVPKSWAALAASAHKVATPVMPAAATSQ APSQAKSTTSTPAPQAAPEKTPAPARESSPTNSQGDAAGWQSVGTKKEQSRGQQAQAP QEADQRRAYIKNVYSQVEEGALKAALTKFGEIEHLDISRQKNCAFVDFKTAAAFQAAV NANPHTVNGLEIKVEERKLRPQQGFNTNFRGGPGGRGRGFPGQSRGGFQRGGRGGSVR GRGGAPQES CC84DRAFT_478120 MRPLPGGDAPLSSNPRSRKSSFVDASGLSGGPLSHVPTTFFMRT EDDMEQSMQASRNTAAVKRQKESNFGVQSLADTLEAAFGSETQAGDSRGDHARAQATQ CKRNIMRASRDSSRATGTRSESCKTSPPRTNRRDRSTHSQSNPLSPMPTSALPSTPTS ASLQSVKLSDEETEPNEATSEVVGSGHDAENATTVDQFSGFPQLVMPSIQMPSRRPFT TKGKAMGKLKVLVAGQAGIGKTSLIRSIVQLCEDIVHVDPLSPSNSLSQSSSSKPKSR KRKAEGPGTPHITETHASTKSYPPWWTDVEESRVLRRRKSSTTDAVLERNICFVDTPG YSGDASRAEDMGRVIDYVEGLLYQTTAVSSMEDNDLFGVISGSGGIAVDVVLYLLPPR PDISEDIEFMQRLSGLTNLIPVIAKCDTLSAHELVAIKTSILARLQTSSVKPFFFGNA VDDALLAVQELSLEQSSSASSDDKPALEPNEFPFSIPTHPYAVSSTLGSDSETMDASL LMSPDYVQPLLPSELAALVTRVFDPESITWLRHSAAKKFLAWRRRTKLPGDSFIMQSL QQQTLRRGSSSTSASVGLNSAAMNTSAASSIFTPVSPSGVLLPPAGSPYYASNLHSPF QASSPSLAHTLPETLDNLPEFSLTRYRTAAQGEQRLAEVRLAKWATDLQRSLRNEKDR FEELQRNERAKWLLERVGEEVASGNIVATPGGSPRADWAVVKRGVTKESLPGHGSPMY SRSGSVDSRDPLGLCDFSDEVRRRGFVLVKVLGGVSVLGAVMVTVVRICGWETMLPEG GVWGWFTNHTE CC84DRAFT_1213641 MPKTFFGPRFVPTPRAPAPQLAALGSDWRSVRHRNIPLQRLRPV VSYPSDSTRASLWVAVPILTGGAVPPRSTHDGTSQDAAMPEITFIFGPNNSFFFDCPK TWKFHNIPLTLRQLFNSSMAPAWRIVQPFCLALAPPQTGSPEPVWYVGCKVLAGEEKI LYSQTFFDTHYPSLSAWTKTIPNAPRSCYVTFGAGYSYFASAPGRGSVWAAIPSELSD KIQKAYDTPCCVALGVNEAWFVLWPDGYYSWKFYGAYAGLDGILSGAEPRSVTYLAIS PYNPNHYFVAFRNRTVQYNFTGAPPEWMAQMNDVFAQWQAEIAQAQPGMPYAPPAQGQ GQQPHFASPTPSGMLSPPSPITPLSQQPNVTSPSLSPQVPQVPHVPQVYAHYAPPPDP TAVEMPGSLPAGMMLEPPPPPAARPSSINVKRKKFFSKIFD CC84DRAFT_1138716 MTVSRRNSSFRAAAVWLLATVSYAGPTVRVTNGTYEGLHLPQFG QDIFLGIPYAQDTGRENRFRAPQALNETWNGTRLAQTYSNACPDFQPDADAAYGMGED CLSINVVRPTGIPENRTLPVAVWIHGGSYQVGTSGLPNYNLTWLVQRSVEIGKPIIAT SINYRKGGWGMLYSREIQGSGETNLSLRDMRKALAWISENISGFGGDKNSVTIWGESA GSFAVGQLVISYGGRTDGLFHRSIQESGSAATAWYNGSDWYQPIYDKIVRQTNCTDWP DTLSCLRTVPYETIFPFLDSSKVGGPGFYPTVDGDIIPNYPTELLHSGRFAHIPHIYG SNSDEGTDNAPTKIFNTDQDIYDYLLGSTGYDFPPDVVRKIMELYPDDPAQGIPLNTG EERFAEQGYQYKRAAAIIGDVFYHATRLDDARHYAKYSPTYVYRFNTRPFVNGTNATF TDYIGGLAPAYKGVQHFSEVAFIFGNPQFVGPWEEYKALSEQMSAQWIHFVNGGDPNG EALPKWPKYSEGSKGLNLVIQAQGRGYNGSYVEEDTYRLAGREYLTKWARRRHV CC84DRAFT_1161266 MKTTTFLALAAVGKLAAAHATFQEMLVNGKSQGSTGVRGTSNQN NPIYSTAEELASDMIICKGGSKVTGSVSVASGDKLTLQWHHNNPMSSGDGDEPIASSH KGPIIVWVAKAETEGKGNAWVKIQESGLSGGTWAVDTFRSNAGKIDVTVPDLAAGDYL IRSEIIALHEADRAGKAQFYNGCGQIKVTSSGTKSITSGVNMQSVYKTDDAGVLFNIY GGATTYKIPGPAVNAGFGAGAASPTKAATSAAAKPTTKAPTSTKAVATSVAAKPTTLA TVVKTTSPGYATPTKEATGSVAKWGQCGGKGYTGATACVSGSTCKVQNPYYSQCL CC84DRAFT_1161267 MSKIPDNPGESQTHEQIAQSEPTSKSKLEDKSPESTKEAHSKES KSPPKESYEDYIKTLPPPFWESKRPPPITEASNAPPRHQQDRSAEEIAASINAVCPPM TAADRAEDARKKSRSLRERWKDWREDRERDRSEVDIRPLDRGSSARLNVWGSPLTDKG RFKR CC84DRAFT_1202790 MLRSRALVLAIIGGKTCGRETGICRASQPHSKGTPYRSTLGVEL KAIRSMTVLSNCAGSSKVERRRKLAEAGRGGDPQDSDNFPDNHQPSSASCYCNKHGRC CC84DRAFT_478326 MSFEMRSNTSTSALTRETALVPANSCRTAAGKFAKRPTRSKHAC ANECALSLVKPNLQPVEKIETKPREQMREERTPCDSPRTPPSDRKPTRGRPLDPSAAR LRSGIPTAPDEETDLTSECQRHWGVELFVCAAATPGDRYHVTSQCLLDKTWYEGRKSR NVGKRNRTAKAL CC84DRAFT_478467 MQKQAKAESGRDTSTALGMHTARDARIAHAQCGARAFENDVTQL NVEGDNVGGLVAAAARGSSISVHDCPCGIFQKCCRWIAAVTSLRRNTMPPLAPPAGHS AANRCWVAYRCLAGNPSAISSTLHMAHNIDQATRRQVPVDLGARRVIQRDYEHVIF CC84DRAFT_478277 MGGRTLDTEVSGTFPGGYQNSTVANCVAACSSRNYMYAGLEYYG ECWCGNLLRNEAALAADQTTCNTLCNGNSTEYCGGPDRLNLYTNSPPPNSSSAISMST VSISSVASSLATSPTTQTVVQSSNPNSSAISSTSPTSTISTNSSAPITSGTTSSSTTA SISSSDSTATTSDSVLNTTSTTSPVTFTSSAPTVTGVPTPLPSGWNYKGCWIDNANGR VFANQLPDANDMTVERCIGNCTANGFGVAGIEYASQCFCGNYLMNGAAMSPQLQCSMP CAGNAEEFCGAGDRLSVYSNSTANLTVYAVPSTQKTGLPSNWEYVGCLRDDAPGGLRA LPYQLILNNNTANICISQCSAFGYAYGGMEYGNECYCGDLGDIQTARATSAPESDCSV TCSGNATTLCGGPRRLSLYKWTGPNLANWNYATGDAAGAYQFLIGGVVVPLVTQAARN GKITFLEKSGTGPPNSTGAYELDVAQLNNFTGAWRPMHVKTDIFCSASLTLPDKAGRQ LNVGGWALDATFGVRLYWPDGSPGVWGQNDWQENVKVLKMQNGRWYPTAMVMANGTVL VVGGEDGSNGKPVPTLEILPTQGPVVYAAYLNRTDPNNLYPYLAVLPSGGIFIQYYNE AAILDEVTLQVKKQLPNVPASVNRPDGGRTYPNEGTAVLLPQYAPYTDPLKVLICGGS TPYQGFALDNCVTIAPDVPNANWTLERMPSKRVLSCMAALPDGTYLIINGAHQGQAGF GLATDPNLNAVLYEPTRPLNNRFTVLANTTVARMYHSEAILMDDGRVLVSGSDPEDDR FPQEYRVEVFIPPYLMGNPVQPVVSIASSQSDWAYNEFYTFTVDQPVAKVSLLGATGS THGNSMGQRTIFPAFVCSGNSCTVTAPPDAHTCPPGWFQLFALNAQGVPSKAVWVRIG GDPAQLGNWPQQGGFKLPGV CC84DRAFT_478506 MSSRTSIHTPAIQSNPGTNPFSTPLRHSRAPSVSEHSASGRATS YLPPPDANGSQQPLRKRFKSARLVPGEYDEKPWALSKHPKLKWERAIFYGSIGLGVAI GAFICYRAYASVTNHEYCMVLEDDFHNINKDIWNYEIQRGGFGSGTFEWTTNDPKNIY TDADGLHIVPTLTTESTDITRDQLIDNYVLNLTTDGTCTAKGIDNCSIRSNKTAGTII NPVRSARISTKGKKTIKFGKVEVVAKMPQGDWLWPAIWMMPDNDTYGEWPRSGEIDIA ESRGNAGDNYTSGGRDSIISALHWGPLPEADGFYKTSGQHQVRRTDYASAFHTFGVEW SEDYLFTYIDSRLLQVFFLKFNKLMWNRGGFSEKLVNNSALYNPWAATGKSNTPFDQP FYLILNVAVGGTNGFFPEGVGNKPWGNQSPTAPKEFFDGITNWIPTWGEGDKRGMTVK SVKMWSQGAC CC84DRAFT_1213647 MASGRADRESQSSSTESAVAKHIRPGLTFRDSAGSSIASRGSNG GDRHDVMVKFLYRRASQAKWLEPPEDEYGIVDGGASNLGVLLRRSDGIYTGEPLFLNP DLVRAVERLGVAVAFTMSSEITHALMQQVTPFQTEFSLDPRGFVLPIANSVHDIATGR STISRDAYVCLCRQERMVLVWGDTVPGILAHGTDVETRLLGFVWGSHIPSHNPTPLLQ RTPQSMPSPPAGSLGGGARTSLFPISAPLTGGIPSEMSEKIGAIDRALEVEELGDQAY DPNKEAELPDRPFLLVHAVTIGIAMVLVIVVEMACVAKLLTEYRLDGGMIRFALVATI PLFASFSLFFFIVIGGSLGQLFGPLSALQGNSLYYSGVKPKRMRHQDYELPHVTIQMP VYKEGLKGVVIPTVTSLLAAVRYYESCGGTASIFVNEDGMRCVSPEMQEARKAFYELN NIGWVARPKHHEHTKEKSGWFSKKKDDDTEKGPDTEEVFIRAGHFKKASNMNYCLDFS LRVEDETLRLLEERAQERGCSSDDLTVEDEDELYERAMNAMLEKDEGRTWAAGNIRIG EIILIVDSDTRVPEDCLLYGALEMHESPEVALIQHASGIMQVVNNVFENGITYFTDLV YTSIQYAVGNGDCAPFVGHNTFIRWKAIQSIAFEEDGMTKFWSEHHVSEDFDVSLRLQ INKFVVRLATYHNGGFKEGVSLTVYDELARWEKYAYGCNELVFNPIVRWPTRGPITPL FWKFLWSPIKVTSKMTILAYIGTYYAIASAIPLSLANYCVVGWFTDEVDQFYITSWKI FVGMAAVFNVLSPLAYAMLRHRLGQKTFFWSLVETMKWMPFFLLFFGGISFHLCKAIF CHFFSVRIEWTTTAKELTESGFRVGLDRIVRDFKWMYLFLVPVIGGMIYCACFAPRGW TISDFAAIVPLANQVGCHALLPFALGLF CC84DRAFT_1236879 MRRNTFPPRPRPDESRLRAAPEPPTRKVFNCIVDDTALIAGAKK STRDGIRKWITQDAIRLFVPLHTLTQLNHLKTGPERYNSDARDALKWLDDVTSSPAIG DRIVLEGVDEAYTTWAEVEHFMLPETLLSMDSSGSEDEDEDDEYHEDLESSFNALDIS DGTSMSSVDQSPKSTRTTEPDFAVPTGGLVDAKTMVTSNAAPVVESPARTARNSIELP RGQKPPKNSFPHSLRPLFNHILWRIHQETNPDAALDNFILLTNDTVKQGIAQKFGIRA KRLEQLRDAVMREDREFKNHLTVYKKETEEHALKKESELEPKVAQRPKSSHSSITKSA VDSDEDDCVLIKNAPRGPASSTSQRLFDPNDFGRATQPQSPRGGRGHPVLRGRGGSIR GRGAFAGRGRGGAYVPPAPSFQSPPAPRHDPNRPIDPDSFTRPATRGSPIRGGRRTLW EPN CC84DRAFT_1084170 MKEEKFEQPGQAPLPFMEMFEGFRAELDEHHDRRERIIKASRDI TAASKKIIFTLQRVRTLGQSVPPFVVKGNAQYWEIIEKQYQHIAADVQGLNAFRYPQI TGGNQEFMEALSFHHYLETQTLISYDDAKARVASMSGDTGAVLLTPEDYILGIFDMVG ELMRFSITAMATNGKLPAGKPKKEVRSAKKEESEDQGADKMDVDEQTPAATPAEEPRN VLSDLRALRLQLEIFEPGGGKFGADVKKKADVMRECVDKVEKALYQLTVRGTERPKGW VPDVREERRPELESY CC84DRAFT_478614 MALSAFFGSSRGVFSTAILLRAIFLVYGLFQDTYSPMKYTDIDY FVFTDAARFIAQGQSPYHRDTYRYTPLLAWLIYPTTWPGFWFSFGKILFAVGDIITGW MMFRILRAYHNMSQERALRYASIWLLNPMVATISTRGSSEGLLGVFVTALLWAVLAKR IALSGFLLGFAVHFKIYPFIYGASIIWWLDNDRIHGRKSSTSPEPVSFTNQTISFLNP QRLALTACSLVTFMGLNVVMYLAYGWPFLDHSYFYHLIRIDHRHNFSPYNTLLYLNSS PKTDVNTSTQPSLELERLAFLPQLLLSAVLIPLFLSKKDLPSTMLAQTFAFVAFNKVC TSQYFLWYMMFLPYYLPESTLLRTRSVGVSALILWVLGQVFWLQQGFQLEFNGHSTFV PGLWASGILFFVVNTGILGIIIRDVQSKDALTGERNAVIDKKKA CC84DRAFT_1213651 MFCDKCQAFLEAFFSASKISASADQSENNNVAWAHHEEVLHHSL RELRITSDQLCPICRSILSSPTQWELRDLLSNDDEELDIVLEIDAKNVTFPSLFVTFN AAGGKIGRLPRRMLAVCDGFVKDEELGATLNRTAQLANDSTGSDASLELAGYWLKTCL DTHDKCRHESNSGANSWLPTRLIDVANDTIRLIETKEAVHAGDDRRYVSLSHCWGRVQ IIRTLVKNLQEHKNRIDPKLLSKTFQEAVHVVRKLGYRYIWIDSLCIIQDDKSDWEAE AATMCDVYRNATLKIAAAPTSGGDVGCFQARDGLIQFPFLVELPSSPVSESKGDPTPP RSLIFTSYGRTEHSTVAPPLYGRSWVLQEQLLSPRMLIFDGPQIRWECNTSHGSERTP LGGISRHIGHSKVLRAGIFNNEEFFSIPDIASTENAARYQLQYWMSTVMDYTHRGMTK VSDRLVAIEGIAQALSRHTKKKYYAGIWEQDLWLGLLWSIAHENEFSGDVPDAFSLEH NPRVRHENAIAPSWSWVSVTVPVVYPVFDMLNLHRMCDILTVRVAGTPSAKTGSLKLS GHLRTGYIDAIYPFANREAAKSNPLMTACKPDGAKHLITYRGRSFHPHDFFVFSDTSP DTAASRFSGGQNWRLVRGTFRPDEVIPPSTQLTFLAVAQWHVGQEPPVMTRTHRSTDP ITVWSIVLVPTGAADNEFRRVGYCVWEDCGWYGYNCGNKERPGRGVEREAGWKGMMAG TNLEKMGWGGEEAGNGAHKHEWVKAGETPELKRYGHWVTVAERMVTVV CC84DRAFT_1213652 MAKRDYAAAVGALNTLQSNFSIVDAIRKSGKGMNKQAIPEMIEW CRKIGYEPSNFDRLKAIHIAGTKGKGSTSAFVSSILAQYIQPSSASPASPTKIGLYTS PHLRSVRERIQIDNESISEELFTKYFFEVWDRLEAAADAENTPEGTPTKPVYFRYLTL TALHAYLEEGVDSAVIECGIGGEYDSTNILLKPTVTAVTSLGIDHTAMLGSTLPEIAW HKAGIFKPGSVAFSAPQKEEAITVLQERAKERDTELHVIDVHPDLASNKIKLGLSASF QKINASVAIAVAAAHLRALGHTSIPDPTSTPHIELPAEFIKGLEDVRWPGRCEVRREK NVAWHIDGGHTLESIEVTGQWFAEQMAAANAAPGSAKAAPRIMIFNQQTRDANALAKA LYKALQTGITADATSPFTHVIFTTNQTFSEGYKPDLVSINTNQQDVDSLAVQKALAKT WSEIDSTADVKVLKTIEEAVTTARGIAGDWAREAGAEAEVMVLITGSLHLVGGALEML ETHPAK CC84DRAFT_478808 MVHFSIFPSIALYLFCVLPIIVALPTSRFNHDVQSLPPRNFQEN PRTPPASHTHRFRKRGLPGAVYICTSDNFRGDCAWTAPNNRCHIAGTGNNSARSIGPD EDGFCVLFEKATCTGTQVKTLRFPGQASNMPTFMSLKCFSDGNGNGARANATATAVTS NILPDADPRLSGGVGSMERKNLENVMEQMEKDGFRQGMIGLKKGHYY CC84DRAFT_1083365 MFQNICAIPLDHDLFTQVVHPEEPIVSVGLSSGHVQTYRLPAGA SEDGDETLASENGFGHIETAWKTRRHKGSCRALAFAVDGSQLYSAGTDGIVKAADATT GKVVAKIAVPLDPSSNAIDAPSLVHALSPQTLLLGTDSSALHLYDLRALGPQANPKPE QTHHPHDDYISSLTPLPPSAASTSGFSKQWVSTGGTTLAVTDLRRGVMVRSEDQEEEL LCSVMVTGLSKKGSSVGEKVVVGGGNGVLTLWERGVWDDQDERITVDRSPGGGESIDS MVLLPQGVGPSGKIVATGLGNGALRFVKIGSNQVIAELSHDELEKEAVVGLGFDSTGR MYSGGGRMVKVWGEASVYGQEEESEEEEEEAGNGVAGDKHSRASDDEDSDEEMEDSSD DERPSQKRKKRRKGKGGKQQQTHGILGFSGLD CC84DRAFT_1161277 MKLSASLALAAAASSASAHTIFVSLNNGAIGDGVRVVSYDGPVQ DVSSSSIACNGAPNGTPQSTNTVINIQAGSTAKLTWRHTLTSGSNDVIDASHKGPVMA YLKKVSDAKSDAGPGSGWFKIAEDGLDSSGKWGVDRLIANGGVQTITIPQCIAPGQYL LRGELIALHGASSSGGAQFYMECAQINIQGGSASKTPSSVSLPGAYKASDPGILYNLY NGQKTYTAPGPSVFKC CC84DRAFT_1138750 MAVGSPPRPLKRPSLHRRTPSSLGSLGSVPEDDLPIMTDRNPAV DARFRSEPELNASGNSGTPLPGDSTHAGSALLGSNASTAPLPTPGLSRSNSFSSAYDS DAEDASFFPPVERLTMFDFIENLALTERMEKLQKSVSHQTKRLRETAKTRTQSTRDRA IEEWRRRVPNETEQLDKYKKRMRQSVERLNQQWASNTAVTAREKASFIAAVMNIFVSG YLVGGRPEWFPLWYTVQLLYFMPLRYYTYHKKGYHYFLADLCYFVNALLVLSLWVFPQ SKRLFISTYCLAFGNNAVAIIMWRNSLVFHSMDKVVSLFIHIMPCATLHCVVHLLSPD YQRQAYPAVWAIRNAAPHSPHRYGLPQMMLWATLPYAIWQLCYHFGITVRRREKIAAG RPTSFTWLRKSYAKNFLGRFVLSLPDSLQEPAFMLIQYTYAVLTMVPCPLWFWSRWAS GGFLTVVFVWSTYNGANYYIEVYSKRFQKELEQLKKDVAKWQNSPLTPMPQPNAEQMK EFPLLDGSANASGAKAGGEDGEARERKQGSLNVPESSS CC84DRAFT_1161279 MGKLVRLELYNFKTYRGKHVLPFGDSYFTSIIGPNGSGKSNSMD AISFVLGIKSASLRSRELRELVYRGRIISTSKTAVDEAHGDQNGDQDGEAQEDGDSQQ GDPKTAWVEAVFEDDADNIHRWRRTITTAGQSEYRINGRVVTARAYNEALEEQSILVK ARAFLIPQGEVEEVAKKPPKDITYMLEQISGSLEKKADYERLKAESDAAAEDNSQFLQ SKRHINAEIKEYTTLEAEAKAYERKVAERDDAAVTHIMWKLYHHQQAIEKARDNISRH AEELKEHRRGVQKYHDRLQDATKEEAKVKRNIAKTNNDVNAKTKEIEDAQNDLVPIEE KIRLTEQELKKLEARIASLTKERDAKQQDLGRFDKNLSQLDKAEKQWEAQRRAAAGQP GQELSPEDEQEYNRLRSQVSKQTYADQAEVSRLEREIGTEREHARNLQQKIDGLRATV ERFEQEIAQLQERQSELKTTLKEKKTTRATKRQDLNKLESDRNRNKAQSDELNQELTK VLRELGSAEMGRHETNRERAMREHVSKMKRTFGASVYGRYKDLIKPKQKKYETAIGTL LGWHMDAVLVDTDKTARDCVQFLKEGKLGQMSFLPLDSLTVQSANQNLKGMHEGMRLG IDCIDYPPHLERAISSACGDSVICDNLKLAQHMCFERKIGVKAVTLDGSVISKGNTMT GGTMQGSNQKQQFGDAELEALRQNVQKIQSKLANVARADAFDRDIDELQVELNDLDDQ TRRLEDEIKTIERNISSKQKELTHDKNILRQEQPKLNDVTQRLQDREEHLKEKADAVH QVEDAVFAAFCQRLGYANIREYDSQQGSAQQEASQKRLEFRKQRTSLDFVRNNILREI GSIDSRIEAAQTKLDRDQTALETLNAQREELQNSIDELQAELETLQEKVAALEKDKAE KTQAVKDARNKLDKRNEKVRHIQADVQQQEIEIKNRASERYSLLKKCRLEEIKIPLTS DSVPLNSLPMTDAVRQQDEDAMDVDEPDETQAEIDDYGVEPDFEKLDDELREEIDDIL AKEDNDDDKIQAEAAAALKKAEDQLTDRIASLDVEINKANPNMKATEKLAAAREKMTQ IDDDFKTAKRKAENAKAAFEAVKDERMELFMKAYQHIRENIKPVYRELTSSSQFPLGG QAYLDLEDSSEPYLAGIKYNAMPPLKRYRDMEHLSGGERTIAALALLFAIHSYQPSPF FVLDEVDAALDNVNVSRVAKYVTSHARPGMQFIVISHKAGLFQESETLVGIMRDQAKM SSKAISLDLRKYPAAAAA CC84DRAFT_479017 MLTDSPYKIIPNCLPTSQHQRARTILAHRERCSDLPSGTMGTNK ESLTTIRFPGMEHDVFIEVGALVWAGEKYVHVNSCGLTAPQKRESSREIDGASGTLGP AMWATFESGKTELVLEEARPAPLQAKEVVGEATPMVMEMLQVGAPLQEQAMELTAHPK RLIVREKKLHEFSELKRRDEAVEQEGGGPQIKVKAMKKLSKALEAQQRMTGEHQKSQP GNWQLVRELHDAHPEECQLDLCPVCREGARPNHTHQAVRLTKAAIESLSRVRSFTDSF ETEAESESTTESSMLETPPWKDSSQAKQRDPDPAACSGLQWGHSRLHSSSPAAKAAVS DHKDRRRTPFST CC84DRAFT_1138755 MAPFTFILLALSFVSYLAWKIIKAVHCNKTPSALKKLPGPKGYP FIGSVPDVPEKNGFIKFAEWGKEYGPIYQVHLAGTNHVWISSDQIAKDLLAKKGSIYS DRPHIPGLIDDNRTSAQYLPLLSKNDGWTRQRKFANVIMRESEEALFHRYPEIEAKRM LVELLEEPDRYNHTLESFVARVTCRLAWGRSEASDELKQRARELLLGVSPTGSFANKL PFLMALPDWLSPAKAWERRRARTERFFFQIMQGEVEKDAREEKAPQSWMKIFLDRRSK WGFKDELEGAYAVGMHGIAGALTIAAPMQTFCLAMCFYPQYLPMLHEELDRVCGERLP VAEDRPNLPFLRAVIRECLRWRPPVPTGIPHELTQDDEYNGYHIPKGSVMHPLEWAIA RDPSMFPDPEAFNPLRWVEPGWPTYQEPLTQFPTIINCSQFGYGRRLCQGQTVADEDL LIGIGSMAWMFDMSKEHMPAAANVSSHSIGMNEHASISQEELDTGIEKFESCTMEDVL LSKYTYPGAFPVGRDEGEEKRIDKGKELAEFDPAHTDPTLDFSTLLIAKPLPFKFELR ARDGERADRVRKLFQEGCEKGEYKESREFWGEDQGKGKPCGWGKMWLHVAYAYRMHKK PFKVALPKTRDFSIDSQAYLNSHCQPVTLLNTNFGRQHSSDAPPLTISTLARSLEQCF ACIPRSRAPDSNMLGFTATRIQVATYLLGVALFSISFLVFLNSSISFVITQRIGQAHN VGDAVGTLGFADELVALVACPAWGLLSDRVGVRSVAVMGYAIVGVSLWVFMAAKNVYP QLLLARLLFSLGATATATMVTAILPTMTVVQIVQDPRTPRRRVNGASHALAPSISSEL TITPARFQSRSPPRDDDTIIKKDTAASTSQLAGLVGMFTGCGALVALLIFLPLPTRFG RGGAEPAAAVADAFYVVGSIAIVVALGCFFGLRHLPGEEDKSWKRLYHTPEHKFSDPT PSRQRILSFPRLFLESIRLGYQSPTIGLGYVGGFVARASSVAISLFIPLFTNTYFLRT GQCRATDPADPADIKNSCPQAYKLAAMLTGISQLIALLSAPLFGYLSGRYRKHNLPLM LAAAAGIAGYSAFGSLASPDPKSKDGSGGIFAIVALLGISQIGAIVCSLALLGRGINA DDSASHAPLSDEILPSNGHPSAGATPPRSPTLTPSSEDAPLLGTNYSRPASSSGPASH THLKGAIAGTYSLLGGFGILLLTKAGGALFDSRGPGAPFYMMAGFNGLLLAVGVVVAA GDVYKGRQVRVDRDRETR CC84DRAFT_479157 MDSGKGRKRPWETEDPVDTHTKRRASSALATYERPPLPQHAGHY LHDSKTLNQRRLPPLYTPTSSTAEPLTNSIRSFISPRRASESRSSSQPLFDPSQQLVA GQWLIGAQESCLRALERNLSPQLIGSAYRSRFGTEHATRAAGDVACCSSGCKGQECVH ARALLNKLAAELLSLDSGVGLLLQKDHHLSAESPNPEEVPIKEVLRWALNTVSWANSK LRNHIHDSYPRSLNQSASQPDTTPNMRRGFNHPETREEQSPPARPYAPSYHSFNALGN PVVPTETGRSNPYGNEMPPMGSSPHYTASSSGSVFMSPHPNSPMQAPQPTRSTVLPSP SSMHFPGGVSLPPVSPPTAATTQTSAHSVLLQELQHQVSVKTIALQTLQREYDSLLQK LERQRTKCATLEKKFEVSDVEINSLTDEKEKLQAQVAAMEYQVEELQESKDESRRQLI ANGSQYMRIMEMANRLQTQSADDKRRWDAEKSELQQRIKVLEEAMVTGTNSEHPSHST PPSIVLAHTPGSTSSSSAETINVLRTEIGRLRIRTQSLETALHTMKEEGRSIQEAAKK LLESSCKIEQATQDALE CC84DRAFT_1172751 MPIPTPSSTAKPRSLLSRHKSTNARSERPGQPTLDASRALTRSD SQNTALGEANGETPSRRSFLPQRGLPRAVPRAKGVTEAEPAQDATGSNATANGVTAQQ ANAGDAKQTRPRPRSLYQTRASQQDTVVSHSSRPTDANRLPVSAGLSRTQSLKRPSVA SQAMPPPSRPLHSRTQSTSTPIGARKETGEADNPAPRVERPKSLAMVSGHTRSLSNAP TETAPGISRASARQDGLTRSASTRAKLGQPHGRATASTSRQPEDPVQAKEPREALNQE PRKLVRPAFSTLQQHFTPRKTGKAPTSTFIHAPEPVNHVLPPEIVALQSELLQLHLLH APSALSMRQWEVSAQKALRSKFDEVASLNQIMQEKERFGQEQKNVLALREWNGSNAIS GLAAHIQALSAPLHELPSLLDPGGRFFYLVEAFSKWLSRADQVWSDRDGSGGHGSAMQ SLAGLGDTWKEEHAAMMRKLTAFSRHLDGLPPTVPGSSIAYIVTRCQALIEGLLSELQ VMQATEADAVSREEHWVEQRLRTIAQDVDVDSETDEEAWRLY CC84DRAFT_1161283 MGKPPNLYAFQGIDELAPSLRTYVLDAQNAAISRHGVFRVAVSG GSLPKVLGQALLKETNGDGKVQFDKWEIFYADERLVPLDHEDSNHRLVKAEILDKIPA ELGEPKVFPIDVKYLDDAQELADQYEQTLVSVFAARDSVRLPMFDLLLLGCGPDGHTC SLFPGSPLLRETEAWILKIEDSPKPPPKRITLSLPVVTHGIKIAFVATGGGKKEIMKD IFDKDDGRELPCGLVNAQAQERVSWFVDYPAIEGVSFPARRGSL CC84DRAFT_1236925 MRDDTNTGTTHSTLSQALCYFSLPVTSTHFSIETPGTTPLQAYS PDIIPDPYHPLLASRQLSPEHHMASTDNSSLLQLQTSAANRPAARPIQHGASSPASST TSSSSGSTSPSTLCCSRCRRESGGSMVQFGTNLYYCTHCARMTGYCAG CC84DRAFT_1172754 MPAVFVCPRLAMNPCTALAIDANIRALNIGPFLSSSSVPAAHGI PHTDSRKQARCRHLPTLSLTTWDPGHTVELSLEHTNLCAADHTIYPPPLTWPAVLGSY ATAGMYFTYTNAKMTRPVCGDPSREG CC84DRAFT_1213665 MYGIHREAFNDIYLNLSKKHGVTRFAESGFGWKPTSGGEGGTFT LDASKIISAQWSRAARGWEVKVLSRDSNEGIIQLDGFKQDDYERIAKLFKVWYSINLE SKEHALRGWNWGKAEFGKAELSFNVANRPAFEVPYSEISNTNLAGKNEVAVDFSAPTE NGPDTNGTLGGAKFRGKKALGAKDQLVEMRFYIPGVASKKEKNDDGEEIEDGEQGEEQ NAANLFYETLIEKAEIGEVAGDTFATFLEILHLTPRGRFDIDMYENSFRLRGKTYDYK IQFDSIKKFMLLPKPDDMHSLIVIGLDPPLRQGQTRYPFLVMQFKRDEEVNLDLNMKE DLLETKYKDKLQSHYEAPISVVISDIFRGLSGKRITRPSRDFISHHEQSGVKCSIKAN EGHLFCLDKAFMFVPKPATYISMDSIASVTMSRVGGAMAASRTFDITFTMKNGQNEHQ FSNINREEQQPLENFFRAKGIKTKNEMADDSGAILAAALQDEDLASSDGEVANRGSAD EDDESVDEDFKTESESEVGEEFDENHESSGSDSDEEMADADAGGDSDGPAEEEMAERP KKKQKMAK CC84DRAFT_1172756 MRSRTGCLTCRQRKLKCDEKKPICSQCCKASRECVPSSGIVFRH QHNASMNGEDSGDDNSLKGFYAYRNTFDEETIWLDIPRNGKFPTSRRWDLQLTDDLLV TFINTTNPYLDPDFDNMSIGSADSPSSFEPRSVTSWPAHNKFSTATSTPISGPLHNPP MCYTPELEALPALDTPSMLQSPPTSSVGTPISPPLALATHFRPMLHHSLSMTPPPIDP QLASPMSQLPDQIPRSSSMSTSRRTPSCTSYMSDRDYETAHLLRWFSEGPGYWMDLFD LGTYFSSYVPVKAQENLLLKYAALAYSAKALGRVQGRKTVMGGGVARQSQMEIYPDTQ LVDWSHKATQYYDMAVSLLLKALKANALSSPDSDSDGGDSSYGPDDRSPGRRRTSGSN AAGLSTDELLAASAILCVYEFLDGSDKEWTRHLKGAKSLLVVAQERLSPMRTMAADPI TSSANFGLVSKARRATFWNIARQDMLAAYINKTRTRLDTEDLSLWREFGLLLNDDGFI VPNNAVESAYSDGDNMMKEDLICNSLVWLMGKLVNFMAYGDSFGEDCQSTWAGVSQKT LLDYWTAIQRQFQIWYDSLPTTFKPSARVDPRPANVFGEPNVLFPEIWYSIPMCASTM QYYHMSQIQLFMNKPHQTTQGATNVYERLNSYQSVLDACQKHSREIVGISLGRPDDAV RIHSVQPLFTAGQCLGDIGERQVVLGLLRGVESDIGWATEYRARQLLEQWHWEDSDQT LVT CC84DRAFT_479286 MRLSSCQGREQGRGGSGMLSEASRPSQAVSRPHNCVIGVIEIRN TSWHGELRVSLTKLPAADRRTVTRHSQKPLTRRALPSPSLELCQDELRFSSRPRCWNS THNSRSSGQISCHLRGFFDGLVVCSTVCMHAEAPQRWKRETETGQEQA CC84DRAFT_1202813 MAAEQDTPAGDVVADAQDHEEAPERTKRQRTHADASKHATSIST PESPPNKRRRREPISAADDATVKEAESYKEKARKAEQVRDATKKKRKEEEKIAKQKAK EVKKAREAADKKRQAEDKAVIKAVKDKECEDKKKKTIAEKTKKQEEKEQKEHRKKQWE DYCTTHNFTGATLAEEPGESITQSDAGQYYTLKPNELACLPHHPRKNPLYKNTTKLFD EDEVRSLAYRKYAIFGGVSQSPESTMLAEGKKLWDDEGERLGKDKLELVTACPGGLAR GIDPSFDGNGDLNWWRDPRELRRLGFKNGKLPANRKRASRKKARSDDESFDYNHHEDH CC84DRAFT_1193818 MAATTKIALSTLAIAVLGPYCYDRYLALSTIVRNRPGHYEPINI FKGHEIKFRDTHDFRNCEDLLLSEVRGVAILSCDPGRDRWNTVMGTFRPDENLTSGSL WLYDYTSTELGSNDSIKQLAFNDFPHEGDFHPIGMDLDKETSILYVVNHAQSGSCIET FRLEANTLIDIKTITHPLLHAPNSIESVGDGSLYVTNDHMFRSRVSPVLSKFETFSGL PGGTIVHIDLNRTEGAQVVARVPFANGIARLNSTTLAVASSSKPGLYLYGMQLDHSLN FKGMFRTPAAVDNLSVDSEGTLLMAGHPSALELMKISKGRPLCSPNSDSEAERIACDC TAPSWAAQWSEEKGLETLFQGSYFCSSSTMVRDVKRGISLISGLYERGIMVINE CC84DRAFT_479775 MSSVSISDPEWYTQLQRYASGEGHYPQDIAATMQSCTQTSGYDT DRTDPLLDDTETPCPRRTIATVHPTSASSNTSSRRLQHRIAIRQPTFRSTSVSRRRAR RESRRAPEYPVRHPKWTNEQRYNLAIIFRFFEADTDKLCQVFNTMHGLNLDTKKQIKP QERHLRDNIEAYPFCFAIYNCPIQDPADTFASDRAAVEEVAKQLGIKLQRRQKEAHPI LGSAKTARSEITRNRFDRLVLKKPSDADNASLVCNLQPQVRMKQIRLGGIALQIAGVD EVEVELGTDEAYASDLEEDPIPLSPRTPRHRPLQPAHSVAYRVWDSHS CC84DRAFT_479810 MTNAHFHPKGAASFFVAVTTSLAQVLKYTFEKNMQDARLAIINL DHPSLKEEHKVYKASEWLSRLKRQEQAKWRYKGLTELISWASIPNEAILHIVNVSELL AFGQEERNSKLLSFDTFIPKDKGVKKSTRVIARELKDRNTKLTVQVATTMGSFAKLLG LNSHCASHKHISDFCSVLVDGWSISTPGNIHTRSSITQSFAIALGSKTLALQDVRDAF ITGLDRGEWNLAYYASRRRRTR CC84DRAFT_479800 MAENEVDLDSIIDRLLEVRGSRPGKQVQLLETEIRYLCTKAREI FISQPILLELEAPIKICGDIHGQYYDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLET ICLLLAYKIKYPENFFVLRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLPI AAIIDEKIFTMHGGLSPDLNSMEQIRRVMRPTDIPDCGLLCDLLWSDPDKDITGWSEN DRGVSFTFGPDVVSRFLQKHDMDLICRAHQVVEDGYEFFSKRQLVTLFSAPNYCGEFD NAGAMMSVDESLLCSFQILKPAEKKQKYVPSSFGGSRPMSPRTKKSQK CC84DRAFT_479766 MDTEDGQIFIKNLAYFVRTHEKALANALQLQRQAPRHGQSNSVS SPTGTTGTSSSSTSSVWAAALSLPSLTFTSPTLKPAKLTLTPHHLFYLLSRFEDLNIA VGPMNVRLENIHTDASPTNYVSFLSTAQRNKQRSSDRDSIHSVSSIRSVMSGMSSLWS SLRLSSNSDAKKEKQKAQLEEDIKYLYSAFTKIPCLRLAPDHKARLIAGYEEFPFDSA VPLHAFKNVTALEIYDVDFRQFYGWDRLADNLRSLTVKRAGVDDPADLLINIVLDDMD KRRRRSAKTPSSPAIPWQAGSPASKHAQLARSESPPSSPTAPGKQATSPRNSTLLRDG SRTSVQRQRSVSPSRPASSRHSSTRVYGTNSAPNIRRSSGSSGSSVRSTTPRGSSSNL LSLGWFPSTKWRFLRHLSLADNALTNIPATSLVPLANTLQSLDISANLFAEIPDSLAT LSCLRALNLSNCMISSLHSLGRNPLPAITTLNLRSNRLTSLAGIERLLSLERVDLRDN KLTDPTEVARLTGVPYITEIFVHRNPFCKTHSNYRVTIFNLFRKTPGYSEDIKIDSTL PSSGERKQLVDRVPELPSVPVVKPPPEDETPPPPTPPKVVKALDATDLVEGPRTSLHR SKSGKSGQGSHRKKKVPKRRIVELTQGEALQTTEVTPPPSYSTDDNLSKPKPTVSTES APRLEAPESENSTGDPSKTLPQLDTGVKAPSGTATQATSPPEFDVTSDLYKRKIEALR QDFGNNWLSALGDEGWDAKSVASIPSTHSGFTSPIIRPVMARTPSQGIVSGGRTLG CC84DRAFT_479987 MRHAMFHVRGTSALLQEAEGLPSITLPKNELRLEFGQVQVQSPK LIYHSSDFDALGLEELWPLGLILGRNSSKNEAVEARRDTTLAPVLRSASARKCASRVD NLYSLDASAQHPHDMNSAILEIAPLGHTLNQTHYATQVMYRLYDLNDAKMMPNPAFLH AGLSNIWTNSCISSVNECRSPFYFGNGVADSIKLKHSARIPWTNDLHAMTGPMRCPRL TYFSNVYDTMEQAHGIESDQANQRYNEAPAFVLVLVVSSPQVWHVATLRLRRCFVQCR CRCRWHIACG CC84DRAFT_1161297 MVFSAREMLAIQVSERTMSVLSILGSLFIISTFLRWHYFRKPIN RLVFYASFGNMLTNIATLISTSALPSQSAPFYALCEFQGILIQWFMMADTLWVFSMAL NVMLVFFRGYDSRQLLRLEKWYLLFSYGGPGVIAIAYIIMNHHGPNTKKVIGPATIWC WVGKDVEWMRIAFFYAPIWVIIGATMCIYIATGRQIFKKRAELRSFSKIPDQELGTTL TNPFTAMDFRNIKVETEMKVETSYKTTSSDQDSLAAYPPSRGSFSSTKELSEPADISP PSMPFDNTKTSRREDGPRTGYKATAFSTSQAPDPDVKHSQSFTIQHNPNRRRTAATEG NAAAWGYFKVAFLMFAALFIVWVPSTVNRLQQFIDKEHPIFGLNLASALVLPLQGFWN SMIYISTTWPECKRALADTLDALSSRRTARRDQRPYAKKDFNTSTTKEVRGSEAPIPL GAVRTRPESQRHLQYMSSAESVRAAAG CC84DRAFT_1084451 MPLSTSTETVETSQGLVAAMRSAQPPNTSQSFRPAHAKGHLLKG TFTPTPTAASLSSAPHFAQPSTPLTLRFSSSTGLPSIADTEPTANPRGLAIRFHLPEG EDGKRRHTDIIAHSTRSFPTRTGAEFLELLRALGAGGDSVPQFLAKHPETVRFLEEPK PSPVSFATERYYGVNAYVFTDGQGRETSVRYRVEPVAGVATLGKEELAGKSKTYLFDE LAPRLDGGPILFRLTAQVAAADDVTDNATVLWPEEREIVELGEIKIEKALEEEASLVE QKGIIFDPVPRVAGVRASKDPLLDVRADVYLISGKQRREAEVAKA CC84DRAFT_1184401 MQLPAASPCQSRPRQVSTGGRCAWTLQRSLPSTTTAQPTSSPDA TALAPAHAHATPPQLRIANELTTASAILFDPQHTSRPPPSKLRPTHAGTLSVANRTDS SISVRNLGGGQTAPFAATMDNRRHPSSFQQLEKLGEGTYATVFKGRNRQTGELVALKE IHLDSEEGTPSTAIREISLMKELKHENIVLLHDVIHTENKLMLVFEYMDKDLKKYMDA RGDRGALDPPTIKSFMYHLLRGIAFCHENRVLHRDLKPQNLLINTRGVLKLADFGLAR AFGIPVNTFSNEVVTLWYRAPDVLLGSRTYSTSIDIWSAGCIMAEMYTGRPLFPGTTN EDQLQKIFRLMGTPSERSWPGISQFPEYKANFPVYATQDLRLILPQIDQVGLSLLNSM LQLRPEMRISASAALNHPWFNDLPQRQQQHAQAMAAAQAQAQQAQMGGYQVPQGAY CC84DRAFT_480036 MKYSVYDRLLERELATGRNKRYSSVLGLYGDRQWAQDMDIVNEL EGHNGCVNALCWSTSGRLLASGSDDHRINIHSYQPESSTSQFSLTTSILTGHQSNIFS VKFMPYSNDRTIVSATDDVRIFDIEHSGHSAFGSAASDRLQGARGRVGIHGAPDGLTL TEGDTNAKAFRSHKDTVKRIVTEDTPFYFLTCSEDGDVRQWDVRQPSRVYPPQRDSMM PSWAAGSDASDSVPPPLISYSRYHLDLNTVSCSPSQPHYIALGGAHLHCFLHDRRMLG RDLLREQGAKLASGGAQHDDEMLGKATQCVKKFAPNGQQKMKRRDNGHITSCKISDAN PNELVVSWSGDYIYSFDMMRSPDASEEMREPGPSTAPSKHRVKDKSRKRKRPKSSILS QEGANRAGSRQRTESSEEGLALRVNYANGQSEEIRVEAPDSPLTPSEVAAIQDTDHYR IAKTTVGILSKMFNLSEVHGADAGSPAAHKAVFTSVLGFAASVLPDMDETIRTWGYPI DPSPVDVAVQNKLREQRSSFRRFVQAAGTLARILGGQLRTGGDANAVIAQHFAFIQHA PGERQIPQHEQFAYDFLKAILLWLDSGVQSVLDGFASPSSNSRMPLPPDPDSDAIDEI LIPYLLQLASDDPIVNVDVSRFETDDTRVLFSSEKAAVIAFSRAVKIPFMDLTGAVVP TSGDVSVENDLQGQDRAAAVRNWAFKIGRGLLLNAARGTRFSTVDRAFGGSGLADPSV QAEESEHRERQEDIDPLEEDDVVLDAEIVSRAWADQTTTGSASVRPSSAEGVGGSETS GQNLEEMVEEDDDEGEVDDEDSDGDVESENEEEDEEDVDENGLARTRSGRVLWRSDFD RSYLRERVESHVPCAPHTRVYTGHCNVRTVKDVNFFGQNDEYVVSGSDCGHVFIWDRK TAQLVNILEGDGEVVNVVQGNPYEPTMAVSGIDHTIKIFSPDANAQRNARKGVGVHSA DRGLSSLGFGRRRRPRRPSATSPPAVPRDPSLSDDDDDDDDDEVAENGLKSRKAMHEQ YQITSQNDMDRKGGREDAFITRALLAQLAQRLHGHQQIVLGGGDDDEDGEPTIVFNND EGCNVQ CC84DRAFT_1082775 MTRQDHGGGFADVPNLQLINIHCTPKSVRRFHSFATQGSQDGKL RVWLSKAISLTKLQLDRLVGLSMLIAATTVFVYYTIWTLFMPFVDDDHILQSFFLPRV WAIRIPVILIVLATTVVGSFLSTVMIRSNRKKALKAQQKKAS CC84DRAFT_1161301 MEVASAVRIPSPEPEPELPEAPRKRDRSPHEAGSSKRPRRSERD DEELDRSRDRDRARDHDHRRDRENGHRREQNGDDSRQDPGQNVQEDDPRLKLFQGAFS ASRKLPIDDSKTRAGGAYIPPARLRAMQAAITDKSTPEFQRMAWEALKKSIQGMINKC NVANIKQIVPELFSENLIRGRGLFCRAIMKAQAASLPFTNIYAAMVAIVNTKLPQVGD LLVRRLIMQFRKSFRRNDKAVAVSSSMFLAHLVNTQVVNEILIAEILLLLLNKPTDDS VEIAVAITKEVGHFLEEMNAAISNAIFDLFRNILHEADIDKRTQYMIEVLFQVRKDKY KENPAVKEELDLVEEEDQHTHQHHLEDEIKVEDGLNIFKFDPEYEENEQQYKKLKAEI LGEEEGSDAEYTDESDEDDEDEEQKAEDVKDQTNADLVALRRTIYLTIKSSGGFEECC HKLMRINLPHGFENELTTMIVECASQERTYEKFYGQIGERFCKVNRMWRDLFEDGFVH YYDTIHRFETNRLRIIAQFFAHLLSTEGIGLHVLMAVKLNEEDTTSSSRIFIKILFEE LMASMGQKTLVERLNDPMLQESLTGIFPTDDQAKTRFAINFFTAIGMGILTESMREHL KNNAPKPQALPEPESDSESVSSRSSYSSYSSRSRSRSRSRSRSRTPPRRRNRSVSSDR SYSHSKSPAPRKVKARGRTRSRSSSRSVASDSLSPPPRRRRSYSSSRSRSPPPKKRGS GRSPTRSRSFSRSPSPVRRRGATASHSRSRSPVRRSRRDTSVSRSPSPSRSPSPPRRS VRRDSSSPPPRRARRTSSPPPRRGARRSVSPPPRKRGARSHSSSIERSPPPPRRRRDS CC84DRAFT_1082700 MPEKVVVVGAGPVGALAALYAAVRGHDVDIYELRPDIRDPATAP LNWNKSINLALSERGINALQNTGLPDLADAVLGETFPMHGRMIHLEKKGEYVRIPQLY DPRGKSLLALDRAELNKALLDHLESMPNVKFFFNHKLLSVDFRKQLAWFDKHNKSEAP EERGTEIEIKFDFMIGADGAHSAVRYHLMKFVPMSYQQEYIDKLWCQFHIPSTPAGDF RLPPNYLHIWPHDDSMFIALPNLDKTFTATLFHTRSGFEALDASRKVADYFTTHYPSV VPDLITAADLQKQYTENAHLPLISIKCTPYHYTSTGVILGDAAHAMVPFYGQGMNAGL EDVFALFTLLDAHPSDRATALAQYSATRTPDAHTINDLALGNYREMATDVKSRVYLLR KWTEEKLDLWVPSLGWATQYSRVTFSNMRYSEVQRRAHWQAAVFNGVLAGGLAVVLGA TLWWSRAGGVQRVKMGVLRGICKVAQWIAGPPQTRR CC84DRAFT_1237024 MAGDAPAARKCSGKDCDNDAGQLQCPNCQKQGKESYFCSQDCFK RNWAEHKKSHKSQSTLLSNIFTPKVVSYPDPATGTFNPFPAYPYTGALRPVYPLSERR KVPASVQLPDYAKDGIPHSEQKFVGRNKIAILNAKEIEGMRKVCRLAREVLDIAAREA KPGVTTDYIDEVVHKACMERNSYPSPLNYCHFPKSVCTSPNEVICHGIPDQRVLKDGD ILNIDVTLYHGGFHGDLNETYYIGEKALADPDSVRIVETSRECLDKAIELVKPGTLFR EYGNVIEKHAKAQNCSVIKTYCGHGINQLFHCAPNVPHYAKNKAVGEAKPGMCFTIEP MISLGTHRDKTWPDDWTSVTADGTRTAQFEHTLLVTEDGVEILTARLPDSPGGPVARI EASNGTVAAA CC84DRAFT_1161304 MAGPIPNASATHAQQPSTTPRISKPTLPVEEKNRVKDGEIRIEM CAIDDMPAIAEMLYTCFPDSFWDKMEPPALRDGDISTRARRLATRLSPSFHLPEMKWI KAVYAPTGTMVGVAGWMIPGMPIHNVWRRTATDFFGFEEKMKWTDADIAEMWRGVDLA EWEGHIGGNDAIRADVMGDEPHWFLAPLMTLPEYQGRGIASRLMNWAIEQADAAGGQA MYLESAPTARKVYLRFGFEPLGEANMVRRGPRKGGLGKREAAR CC84DRAFT_1161305 MRAAGKACLYTLFCPFFCCYCCLMIPQAITPKCGNWRRQKHREK HSKMVILQIEKRERQHKRRNSLTSEPSTARKLGNYLRRRERWCDQESSTFFSKLPTEI RMHIYALVLNGKDHIYIDQNRWNERFEINCRLLDKHAHLFVDLDRATTKRKEDGNLDT IGKGRPQATDTEDLERKESRNTETTEAADGRPQVHNPSTTKGTQDRNGREPRRTKSLL PLLLTCRRVYAEAIPLLYSGNTFVTCVGHNLHYFPRSIPEVHRDRITSLVIYSPIGSG ISWESTERTLLSFKNLKSCRIVIVEEEALLWPRSREHLRPESLNDVQSLYGIHCLPIL RGIEETCLWDKITLTIDFWSAGMRIRVGGEDRRLQAREKLG CC84DRAFT_1213680 MGMKESAEKRTSSPNTHNEFAHGIWGAFSKLGNLLEWGVPGKGP LRGSALKTFVSWLASMAFLMFGYGQGVMSGLLTLDDFQRHFPLMTPLSRANNLCWLDA PANTIRDESMCTGDPNTQAAAVALYQVGCFLGTVLILFYGEAWGRKSSTSWGSFIMIV GTIFQVVAGGVNGGDAGAYAALVVGMIVGGVGNGVVTSTIPTWQSECAKLEKRGRVII TSGAIIVAGIMVSYWVGYGFYFLPAGNSYSSVRWRFPTLLDDAPYSSEEVDAELTNIK DALEAQSRGGGFKMRELLQNGPSQNLRRILLGIAAQFFQQISGIKLMTYYATFVLENS LGSGPDMSRPSGSSQWYRILHCRSLHNPTY CC84DRAFT_1161307 MTTTILITGANRGLGRAAVEKYLPRDNVTVVAAVRDPSLETSKS LATISKGSGSKLIVIQLDSAEFNPSKLKDSLSNNGIQSLDTVLASAAIAQAGGPIAQV QLGELQRHVETNAYGVLALFQATVELLEKSTNPKFVAFGSPLGSIGGMERRSVYPMTV YGGSKALVHYFVRRIHFEHPNITAFVIDPGFVQTDMGNNGAKAAGMEKAFDEVEPTIV ETVKLIDQSTKDVHSGRFIPTGKSSIVELEGKDFPW CC84DRAFT_1202827 MGNGDGGGCGSGGGEARYYRSGCKVQGRTVPCQRCRVAQLDEEG NTWRVWCEDPQADYGYDQSGDSAQWQWNGSGDRGSAEHLAHTSVPGNCGEAGRGKSEA CTKGECAGCGFKSARALGRQATARAGSAGGTQRSKRPTGQIPQGDRKGPALVRAAADC RALASPTALGRALCSLTAPVFDHGFTRRLAQNTAQRAFVSSVSPRLPASGRATGPLIA LVAARHCRTPGDKTPTDRPACPFEPVAGRGRSLLCRAICTHSTCAAIRVHAADSPARS PTLWPASAAPSRALSTEHVQHAQTCLSAASLLRSSNAVLRWPPAPLARILTAFLTIFR QQPSLLSSAASPRAESVI CC84DRAFT_482212 MAQNKLGSHSAQLNLANFNTPTNAAPSMSSEDDMLADVDEPPDL QRTPRPSALKLHDSFKGAFEKHAPRYQRQTSLLSTALHSNETSPIEEHDDVPRGMSCT STWSNNSISTAELTSDGGITSPGTRTSTPSPPLPPVSSHNMLPISTKPLEREVTIVRY DGEHVVPVLKDPPVPVVSENKVEAGLGRKRCITFACGGKKEAKPEPQAAKTVPEPQQS EEPPKRPCTIKFACPTKISTDAPAKTRVARAASPAPPPRVRNSSKASLKAHRGSDSTI RNPSPVSVRRPRMSDRSRRLSSNSDLARTEAFRFHEFASSEEEVEEWTQESTCHRRPL TIKDTLIIENNLRQLGEEAEEEALEDDEEEDDVLEDDDELDEDDEDAEDDDAEEEEEA EDSDAADASSDEGFQTDNEEGFAVSDDDSDAGSDYNWWTPGRSTAATSIEHLDLIRPS SGRRSISESSVGSVESSHRFVPEVKIKRRKSRPVNIRVPSPELPDSTDFVCGTLDEDR PLEQAYLSALERRRQARHKKTPQDVDPTFPTSDPELDEEDEDSEPDHLASESDHFMLH GQMDALNGDLRGRRKSVPARRSPAQSPKRLRSPAPIKRAVHRSPPPRKLFGQSPKRMR SPAPARLKSPPPTRRGSSFLVEPAKRTTMSIQFAGLAERPVPTMTSSLPRTPVTQNPP EIDYDDEDTPNEFPVRRAIDIKVGLERKRQRRREQLYKKMQKQKCHKDKRPAPGKGAE RMREVGLGLAAHKGKVAAAGFAFNVPHTPDQKDMHVLSV CC84DRAFT_482272 MAQASSVSGVTQADPHVQRSALIMEPLSLHSAEIMLAACRAVIA NGEDVNAPDTLPHAGHNEGRPLDACLRQTHMTGKKSIMENLPVIELLLEHGADPRLFW RSVGIQNLPILQAKRYSINKEVTDEERAFWRYLLRLFEEAIVRIDAKKEAEAEGGA CC84DRAFT_1138809 MRVQSLARAQSLAQSCLRTSARSAARTPSRCALSTVAASRTQFT YGTKTEAWKHSQRTLGQRRWQSAAAVLEKAASDPSTLTQETIVDNLDPVEAVRLSKVR NIGIAAHIDSGKTTATERVLFYTGRINSIHEVRGKDAVGAKMDSMDLEREKGITIQSA ATFCDWVKKEDGKEEKYHINLIDTPGHIDFTIEVERALRVLDGAVMILCAVSGVQSQT ITVDRQMRRYNIPRISFVNKMDRMGANPWKAVEQINQKLRIPAAAIQAPIGREDGFLG VVDLIRMKAIYNEGPKGEIIRETDEIPADMVELCKEKRQKLIETLADVDDEIAELFLD EQEPTNEQIKAAIRRATISLKFTPVVMGSALADKSVQPMLDAVCDYLPNPAEVENMAL DKKRAEAPVKLVSYNSLPFVGLAFKLEEGNFGQLTYIRVYQGTLRKGMNVFNARSDKK VKVPKIVRMHSNDMEEVQEIGAGEICAVFGVDCASGDTFTDGSLGYTMTSMFVPEPVI SLSIKPKHTKDTPNFSKAMNRFTREDPTFRVHVDPESQETVISGMGELHLDIYVERMR REYRVECETGQPQVAYRETMTKRVNFDHTLKKQTGGSGDFARVVGWMEPAESLGVNKF EQQITGGTISEKFLYACEKGFNASTQKGPLLGHRVLGTQMIINDGATHAVDSSELAFK MATQQAFRKAFIQGAPQVLEPLMKTTITAPNEFQGSVVGLLNKRNAIINDTEIGPEDF TVYADCSLNSMFGFSSQLRASTQGKGEFSMEFSHYSPAPPQLQKELVSKYEKEQKDRN A CC84DRAFT_1193833 MRPLRLLLSLATSLITITLLLYVVFGSQVDESRSSTAPAKDKGT FKSFFSFTSPGSLFPPSAIISLTDDNSTFFLARPAAFGPSLPSESVSGQLWIGSGFGE DSMGKGGELGCSDIPGWDGSEDSPDAASGAGHKETNDAGKSRNPLTKQPHGAVDVAER SLADSDGTDDHLQPRLPLLPKYRVGKTPEHADIESLQQTAEISGKVVLLKRGGCGFLE KVLWAQKRGGVALIVGDDQRGGALVRMYARGDTSNVTIPALFTSHTTAHLLSSLLPAG EFLNGLLSDDTTKPQLLRSARAGTNRGRKEDVLPLKPKQTQRASSSEAGWFSTILDGL GIKFSNGVSSNDATRRPPNSGKLNWSNGEDASQPIPMTRTAQVVATQTSQDFIIGEQD WRDPALLASIPPPKTTPEGTQPTELSQVKFEEKEPLLHGDLKESSTSSVNKQPEDSKP AGPHEGLWVTLTPTNMSTSPFFDTLLVLVVSPLVTLTVVYALLLLRSRIRRRRWRAPK SLVDRLPIRTYHTISDSSPSATPSASSPSTPLLQHAPPSTSPQSRPQSSAEREAPAPS SSALPVHRTPEEEVHESGLAAWRRKYGGRQRECVVCLEEYVDGISQVMSLPCGHEFHA DCITPWLVTRRRTCPICKGDVVRSLSQSYHDHAVSRLESRDSLSDELADDVQAQAFLS RNDSPSASRPLPISPSSNDADIEANWDEDGDERSRRAPRERSGELSNSFREAGSSAVT AIWRGFEAVGRATGLQRRSSREELDRDR CC84DRAFT_482286 MHASTLGRGFATTARFCHTSPLSAAVGRAPMLPVALPDLHPTSG RGCDPLRCILPKVPWLCSPNGCEFAHQTPALTHAYVARWQVVRLYPLRTILRLPRKQL DAARHTLGTPRATCNLALEHLRASSCPSSSRNIVFLISDHARNTGLGVLAREPFWKIR PTVSYEEFEHSILKNVIEARQLPEINHLAANSESVQDAASSKASTADAPHFTMRFLAL LPCHLI CC84DRAFT_1065283 MVFPGMKATYTEDPNRPGERRLPGFSWLPNRVRHLFISMLGEFV GTALFLTFGFAATQVANTPPNGTVDSPASTSTLLYISLAFGFSLLVNVWIFFRISGGL FNPAVSVALALVGAIGWAKAFLLILAQMAGAIAAAAVVSGLLPGPLAVRTTLSEGVSV TRGVFIEAICTAELIFSIFMLAAEKHRATFLAPIGIGLALFTAELAALFFTGGSLNPA RSFGPDVVLGTFDGYHWIYWIGPFLGAVIAVLFYRLIKLLEYETANPGADDDGREIRY DDQYAEEEAGTSRRAGKALVRATTDGTNESDFLHPFHPLNKPVSELQKPVLTESSAIP LSNLPSKPSATFQHGGDGQYSIDHPNERPRAQHLPRSYSTRARSLSQPYSESHYDETS EQSYRNGPSIESGDSGS CC84DRAFT_1193835 MIASLLPVAFATFASFFSPLDARALDNRQDGNHWVTTWTSMPQL VESSNMPPSQFTSGGVLKDATLRQTLHMTVGAPKIKIAISNTFGGSDLPITAGSVGLP AGGAAGVSSIEASPLAAITVGGKASFTVPRGQVVVSDEIAFEVKAQQNIAVSLYSQAG QTGSSITGHPGSRTTSWFVKGNAVNTTTISGTSSVHWYFVSAVHASVPSTTSGMIIIG DSITDGRGSDDNKNNRWPDLLLARLQTTNHTNIAIGNQAAGGNAVLSGGLGPTALSRY KRDALEQPGVKYVMIFEGVNDLGSGASAGALTNAYKQITADAKKAGFVTIGGTITPFG GNSYYSAGHEQARKQVNDFIKAKGNFDFVVDFAGAIENKGDPTKMDSKYNGGDGLHPN VAGYQAMANAFPLDVFA CC84DRAFT_1161316 MASKHDLTRKNIIVLGGSYGGISIAHYLLKHVLPALPQRQDHKI IMVSVASEAICRQACPRALISDDLFPQNKLFVSIPKQFEQYPPGEFEFIHGSATVVDH GGRTVTIESYRNGKTQVLTFHSIVVATGASTPSPLLGLNVCDEKNLREAWETFRKGLE TAKSIVIAGGGPAGVETAGELGEYLNGRAGFFSSRLESPKVSITVVTSAKNILPYLRP SIAKAAEDHLAKVGVTVIKNNKVVSVNPENVGACRERIASGALLDLSDGTTLQTDLFI PATGFSPNTKFLAKNILDPSTSQVDTNPSTLRVDKAGPRIYAIGDASNYARPAVHSIM AAVPVLGTNMHRDLLLDAGVPENQVPAEKLYKEERRETQLVPIGRSKGVGAAMGWRLP SWMVWLVKGRDYWLWTVGPVWSGKQWAKEA CC84DRAFT_1081493 MQLQFTTVDVFTKTRYVGNPLAVVRVPAALRSKLSEQQKQKIAN EFNLSEITFLHEPTSEEPEIADYDIFTALSRMTFAGHPTIGTTIYVATHAAAYPTVRK LRTIAGIIPFEFNKELGTAAVGIPHDVYFHKDRLLPHPFPKLNGSDSSNVPLVSIVKG MAFNLIRMNDLDALAVPTEGLLPLAKLFKGEHLDQGSGWDSGYTGSFYYADLGYDDGA PEVRQLRTRSLGSREDPGTGSASCALASYLSLTEDGDRRRKFHLTQGVEMGRRSDIYV EVLLKEGGKEIEELKMSGAAVEVMEGVLTVDE CC84DRAFT_482397 MLTEQFIASISAQTKANTGVTKDAGIFVHEFQPLAAQRHVFKKS ASAQNGLAVSSSHVFAAQDGKAVVHVYSRDKGNQEAVIPFPERIRSIALAAKDSVLLL GTESGRVLAWEMCSGRLVSTSTSHLQPVTALAVDPTSSFFLSGSPDAMIHVWALPAIL SFSPDTSRSPVHTLSTHRGPISSIVCGHSATSANIAISTSEDKSAIVWDYQNGQLLRT YLLPELPQALTLDPADRAFFLAYGDGSLQTVDFYDDMQKTASTDTLRDAASSHRPIQP SPKTRFNAESQKLGAALSLSLSWDGQTLISGHATGKIAVWDTAKGNYVSTVTNLPGPV TNLEFLEPIGFPAASEPTFKMQTVVKPKQDSGVSSGNALVPPNYTLTMQLNGQLRRPA ISATEAVTKRSAFEEALVHPSFPQAMLDASLAELATWNAPSTSGAGIAPAADFLSLED TAGTGDMQGMSNTNEVQELKKQLASLQRVQKLTFKQLSELREEKEYLLSEERKRSQSA QSKAKAKNNLADGSTSDVEMSNNTSGESESSDDDSSSDGESEAAPSE CC84DRAFT_482378 MYVCAITRSMHQAGPATCTQPGPSSARKLPRRIEENAGGSPSFL CAMKMWRGLASAATKVVLSTARSDPVRCGRLVPWRRSGQIRSGRVMLPPHRDIAHSLA SLPLVPSNIKRAGSSSLHDQAPWSTRPSLPSVARHRRNLRHAATRLLSRSRPPTSS CC84DRAFT_482418 MSASLHTRMQAASREDDEVTTKTIDLHGCQLEHTRISGISLGYT FINIILFNHNRLHTSTNIWYARSFMVLSSLSTQLPFCLCRREWQPDITRDCIKGSRHS LQQYLTRFVPSRTLVLFALHQRLNTGVTDIPRRFTNLGKAAYKRLGYRWPDQIIDMGL RERRSITGKGLGERCMAGAFVT CC84DRAFT_1084227 MSDAPFLSPEEEFAQLQKLSNEYEPEVAGPLVGDRQSSSAIATE YANADPVYRVKTQALPAKYAFFRTCRGDGHCGWRAIAFGYFEALLHVGDVAKFDVEEA RLISMNNLLNLAGFSEDVYIDFAEEAYDLLRKLGGSLQVGNGASLLLEAFNDMGVSMA IITYVKLLASAWIQSRADEYQNYLQTDVKSYCSQNIEAALCEIDDVAVAALSEALVKP AGIGLEILYLDRSIGDEVNAHAISQPMNFNGLLPMIRLLYRPGHYDILYKAEDLPAPL QQAPIHVALAYSNSHSFEAASPDANLLSMIPGMYSHNRFQTMDQSFGQRWPATYEFDS TPAPQPQITPVQPYAPAPSAPVAPASVPHGEYMSPLHASPISHQSPASHHSLQMEQQS VSLPFHSAPPPPVSIDRTPVTVERGGPFRPSMYELEPGFGIGDALPFQTNIFRNSHFN TCHFMNPSFQPEEWSPHEYRTGNKGKHKSVSQ CC84DRAFT_1256206 MSDDDDFMQDSEEEYDFEYEDDDEEQSGDVDIENKYYNAKQLKG DEPEAAIDEFLGIPALEEAKGDWGFKGLKQAIKLEFKLARYDKAVDHYKELLTYVKAA VTRNYSEKSINNMLDFIEKAAEDPDAYRCMERFYALTLDTFQSTNNERLWLKTNIKLA RLWLERKEYRQLTEKVRELHKACQREDGTDDPSKGTYSLEVYSLEILMYADTRNNKRL KALYQRALKVKSAVPHPKIMGIIRECGGKMHMSEENWKGAQSDFFESFRNYDEAGSLQ RIQVLKYLVLATMLSGSNINPFDSQETKPYQNDPRISTMTDLVNAYQREDIHDYEKIL KNNQDLLQDPFIAENIDEVTRNVRTKAVVKLVAPYTRFTLAFISKQLKISLPEVQDIV GFLIVDKSLRGKINQQTGTVEIESSTDMDRVQAMEEWSAAIGSLWATVLNEGEGFKSD DGGSQYAQSGGGPMMQQSLNGLGAKHGRAPKGKGPGRLLGVGSKG CC84DRAFT_482481 MWSRPGSEKRGVQKKTGTFAGLCSIHDVKIADVWFSGKTLWRIF FRQMAPARPGRLMLMAWDVAEYQSRYAVSWQRRSMVELLSVDLPTLLSCTRLRLLHSY TKTHPASTTSLSPSPRAGKCILTRPVARRRQSGWLASLAWRGVPVVERRAPSPI CC84DRAFT_1112581 MPLCGGTKSVQRKLVLLGDGACGKTSLLNVFTRGYFPTVYEPTV FENYVHDIYIDNTHVELSLWDTAGQEEFDRLRSLSYDDTHAIMLCFSVDSPDSLENVE TKWVSEIAENCPGVKLVLVALKCDLRKKDDDDEGAQPEKALIQYDEGLKVAERIKALR YLECSAMKNRGVNEAFTEAARVALSVKTNKDQSSSCTVM CC84DRAFT_1213693 MRRSNRHEERWSGWFWIDALCIIQDDEHPEKDIQIKFMPKIYGG AREVIAWIGPGDSITDAAMRYIRNQPSTFLRAVAEGTAEARLESFENTFRDVAFCVRD IFNKSYWGRLWILQELAMAQNTTIVCGNEELPWKTFIDFATQVAAADFGPSKTLRRAQ GEVAAKQPVWLLTLIYEKRTRGLNLAELVFLSKDSVCGRDKKDYIRALLGMVQEGSGW RLDPEKHRSACSMLSHATRVMIDVRSWHV CC84DRAFT_1161321 MDSTPQPTESEIHIREFLSANPALDKELGEVEVMTDRYTNEEWA AQIAETQRKVLAEVRDRRKDGGRGWKYETPSAASTGLSKTVDHTVLKLDAKEAQIDAL CAEARVENFKSVCVRLPWVQKCVNNLKGTDVVVACVVGFHEGTQDTYEKLKEARAAVA AGAAELDLVLNYSILTKHNPPPTSPPLSSRDSATDTITAANTAVNARPRAGTAATEGP YSVGAASTVGEEDEGEIPDYSAIYKELASIRALCPSPTVIKLILETSQLTDRQILAAA HLAASANLDFIKTSTGFNGPGATLPHVQLMVTAAEYLSTKTRSNGGSPVRGGGRKMEV KASGGVRSWEDARKMLEAGATRLGTSSGVWIMQEGRKAVEAEAAGKRPGMATRLYTDN SFTDGDGY CC84DRAFT_1161322 MVLAVDLLNPTPAAEAKKHKLKTLVPAPRSFFMDVKCPGCFTIT TVFSHANTVVVCQGCSQVLCQPTGGKARLTEGCSFRRK CC84DRAFT_1256210 MAPRSYSKTYSVPKRPFESARLDNELKLVGEYGLRNKREVWRVQ LTLSKIRRAARSLLTLDEKDPKRLFEGNALIRRLVRVGVLDESRMKLDYVLALKIEDF LERRLQTCVYKLGLAKSIHHARVLIRQRHIRVGKQIVNVPSFMVRLDSQKHIDFALTS PFGGGRPGRVRRKKAKAAEKGGDDDAGDEDEE CC84DRAFT_1161323 MGHAAGLRAGTRYAFSRDFKKKGMIPLSTYLHQYKVGDIVDVVA NGAVQKGMPYKVYHGKTGIVYNVTQNAVGVILQKQVGNRYMEKRINVRIEHVKHSRSR EDFIKRVKANAEKRKQAKTEGIHIHLKRLPVAPRESRTISFKDNKPESITPIPYETTI CC84DRAFT_482586 MRRILLAFETLKRLPGREQEQLRRQVCRSGTPVLDLRYQGPHTH LGMLLKQRGRVISVACVSTSSTLPPEICSRSPLIRGTASVNAPIVPMISFS CC84DRAFT_1213698 MEDPRAVLAKRYFAYPNSLDPMTPTFQKGLIPLVIFAMASLISV FALLCFITWRLIGWRKSYREYVGGNQYILLIFNLLIADLQQSIAFVITFHWLRIEKIL APTAPCFIQAWFLHIGDISSGFFVLAIAVHTWLGVVKGYRMPYGWLIVLIVSIWCFAV LLTVLGPALHGNRFFTRAGGWCWVSVDFEPERLWLHYLWIFIVEFGTIVIYGHIFFHL KGRLRSIINNDTSKLSRATKFMILYPAVYVFLTMPIAIGRMVSMAGVHLPDTFFCVAG SFLTSCGWVDALLYTLTRRVFVNSEISSHAYNRTTTNTGGNNVARVGDDYGLHTMNKE VGRTVTIVGGTNRLSRMVDKKRRGHTGLTEHSASGSQDSIMKPIAGTSGIAIVTETNI QVESASARDSDETQRHISEGDMNRHPQP CC84DRAFT_482603 MANFGRMSKHCFSLGSLYKFPTRLFEFRFLGCRRSASTLREGTT GSTTPSVVEPPGHGVNLGSLYKSYEPVAGWGCFEDGSVYVNVKPWGSDMDHASFEAKM RLLEPLVRKPPPRIYQIRSEHPNLSRLIFVDCQPSIKLSKSEESCTIFVRNANDGEKL SEERHPFRRCHLTYDQLNFTQSSSKVDRPPIVLPHPVRMSMNRALENFRGTLVGGVLK PNVRLGNGLTLRKNHSLDKQRVLRGIQYFLKMENAREAQTKTPTPSSNTRHSVGFNAL AGIVQGNLGSSLPEKALSRSRVDGKHSESESQSLTKRENKVSKRETAVNTREVAVSRR EVAVNAREAMLNKREAAVYSKEASLYHREASLLQKVAALNKKEAKLNERRDTLDKRTV EFGIERRRHLAKNQKPEVDYDDIFPELANSDSSERRTRITLPQGPVQ CC84DRAFT_1213700 MKEDGPTSTSGTQTADPGAPPKESIVTAPIVDSATTSPTFLNQG KTPPRKVLRLKCTKCNEYPEGFRSEHELRRHTNRVHRKTRKVWITIDTSPDKNFLANC KACQTGKRYNECYNAASHLRRMHFHPHKRGERKMSAAEARRGGKPGDLDPPMDVLKAN WLREVEEVVGEADDSPEEGEPMDTSSTQLQPATTQADGKAPPTPTTPSTRTMAIDSIV DKVDAS CC84DRAFT_482663 MKIVTTILGLTAVAGAQFNYDDWRAPASGDLRSPCPVLNALANH GFLPRDGRNITQGVLIKATSAVNLSTEVTVGLFLAALKTSSDPASGAFTLQDLKKHNI IEHDGSLSRADTGTPGAGDQEFNRDVFNEFKSFFKGATQISLPLAAAARWARVKSAHK SNPNFLYGPSQRFNSYAETSIYFQLLLDPNKGTVPLEWLEIVFCEERLPAREGWKTPS SINGLGTGGVLLKLAMATDEKDADIVLPGTGNVISHPA CC84DRAFT_1161330 MGHSVPQLNDKSLLKEAQTYVNGEWIAAKSGKTFEVHDPATGKT IGTQPEMDRADTEAAIAAAAAAFPEWRKTTGRQRSKLLRKWYDLMIENADDLAKLITW ENGKPIADAKGEVTYAANFFEWFAEEAPRTYGSTIPASVAGNRVFTINEPVGVCGLIT PWNFPAAMITRKIGPALAAGCTVVAKSPGETPFTAAALAELGHRAGIPKGVINFVTAL KNTPEIGETITSSRTVKKVSFTGSTGVGKLLMKQSSDTIKKLSFELGGNSPFIVFDDA DLDAAVTGAIASKFRSSGQTCVCANRIYVQSGIYDAFAEKFVEKVKGFKVGGGYDEGV THGPLIHDRAVSKVEAHVRDAEKQGGKILIGGQKLPDLGSNFYQPTVIRDMTANMQLA NEETFGPVAGLFKFETEAEVIELANASDVGLAGYFFSRDVQRCYRIAEALEVGMVGVN TGLISDPASPFGGVKESGFGREGSMLGIKEYEVTKMVTLGGMGESLQGS CC84DRAFT_1161332 MRSVRSSPSSNLKRKERDFEGTDEETNINVVVRCRGRNDREVKE NSGVVVSTSGIKGSTVDLSMGPSALSNKSYQFDKVFSPAADQNMVFDEVVAPILDEVL GGFNCTIFAYGQTGTGKTYTMTGDISNILPLPDAAGIIPRVLYALFNKLESEETENSV KCSFIELYNEELRDLLSTDDAVKLKIYDDNSKKGHSTTLVQGMEECHLKSAAQGIKLL SDGTNKRQVAATKCNDLSSRSHTVFTITVYMKRTSEDGVEYLSAGKLNLVDLAGSENI QRSGAENKRAAEAGLINKSLLTLGRVINALVERSSHIPYRESKLTRLLQDSLGGRTKT CIIATLSPAKSNLEETISTLDYAFRAKNIRNKPQINQLMSKKTLLKEFTAEIEKLKSE LIATRQRNGVYLTQENYEEMATISESRRILSEEQRDKIETMEANLRNKVEELFSLTTN FQTLKKDNEQIRLNLEGTKGLLDKTEAVLTMTRKNLAEETEMRKAHAKTEGELAEIGQ DMISTLGKTTSDIDALRSKIRRKSELQSQNRQYWATSRHQVVDTTQLVDTRIAQFRNQ QEQMMESLSTRMQAFVTDELDKLDVSQTFLKEKMKDFGMSEQEVNEQTAKARDDMNEV LEEIKTLREDVKQKVGAGLNELSAAAEKISANIILELEKFQAELHASYQALGREFKNT FVDLTKSLNEQQAENERLHHQVLKANSALVQANKASQGRLLQVVDEEKQRSAEERQQL LAQVTSLINASEDAKDKRLNERVIGVCEEIGTANASFETEQSAYSEGMGIWVESSNGI LAGISRSQDAVKTKIKGDFSAVTQQSTSIKNTTTSVHKSTVQIVEAQMAQMDTQLNSL DDIMSRVREQNDAHHTAHTISLSALSSTVQSSYSSIGEHLATSFARVQALESDVAAQT TALKEMLPALAEDANIRAPLHELRKTIEGQNLLEYNPTGETPQRVNYTIPDKLPRTEN YESLLSRLRDRPVSAEARSPTKALIFNDTENETNDDIFNAVTKPNFGRSVSAHSGTVP VGASLRELDVNVVAHESHTQPLPIVSHSDTTVMAAPPLKKQRSEDTKLPMKRMTRKTV GGEGKGDRENLTITNFSSSIGPGLAKGRVLRSRGSN CC84DRAFT_482911 MEDGAMQLVPGRSIGFMTIGSSLHEILTTIKAEVRAFPRFHLYH DDLRPIASPVHVVLPDNGLRLQFDGPDQRLRLIEVLDFTKARLVYKDVEVFKPTEGHG GIPAGASGPRFRHIYDKLLGPTYGGEYHPPSNDDPNANGIYNLSYPGVAFNFPVQHSA YSPKKDFISLLSSSATGPAKSMAIFSGESWQKARGSLFTAPAPNPRTVALSGKGKEGS PDEIEQVRVHGEGRIELVRRSSPAFWIVLSETTPQDLIMELGAPDSIYRKNDHRLLIH KDRRMSDVSETSGARLTPDELLGSDHGSAIDTDDDDDDWEGDDEAALEAQEREVAAAE HFYNYYHHGFDILISQPTQISPPLQASGSLASQGELSVQPLNHLTATKVIFHGNVPGS YQFNRHRRSRWTLDHVPSELRREPLNSEMTFADISRELKQVYRDSYATEEVERLQQRG MALNRGWGDSPGSSVELLGGWEDSSNKKGKFANAGSDMLEGAGDVGNVELFGFPGLVF EVLKNGAVSSLTVY CC84DRAFT_1161334 MVAPTRLLRASWSPTLRLPKSTFPPRPLPAFTAEYLRRCTDEHY AWQQSARSAPDANGKTPATFTLHDGPPYANGPLHVGHALNKITKDLICRFQVGQGKKV SYIPGWDCHGLPIEIKALQAQKKDLTQTDPVGVREAAKELAETTIEKQKQGFKEWAVM GDWDNAYKTMEKGFEIRQLEVFKKMFDAGLIYRQFKPVYWSPSSRTALAEAELEYDEN HKSLAAFVRFPVQVDKQLKEDALSSITESVSVVIWTTTPWTLPANRAIAVHKDMMYCV VQDPEKDNEKIIIAASRVEEYQKILGRDLTVVLSELRGSDLAGHLNYENPFQKANGLQ PIIHADFVTDSSGTGLVHIAPGHGMDDYHACSALGIDAFAPVDDAGAFTKEAFPEQPE LLEGLAVSDLKKTGSNAILNHLDKLNLLRAKHNYRHKYPIDWRTKQPVIVRATAQWFA NVDSIKDSAMKAIENVNFMPATGRSRLESFIQGRSQWCISRQRAWGVPIPALYKVNKD GQSEAAMDAEIIQHIIDVIKQRGINAWWTDAQDDPAWKPTHLTGSYVRGTDTMDVWFD SGTSWSMLPPRDDQPVADVYFEGTDQHRGWFQSSLLTHVATQPFGNDSVQAPYKTLIT HGFTLDSDGRKMSKSLGNVISPAQIMSGELLPPVKRKKQKGVKQADLVNAAATYDAMG SDALRLWVASSDYTRDVTIGQPVLMSVNQALHKYRVTFKWLLGVLSLPSCPPLYSSFS EVRNELVGQHDLEASQAPLKNLADRLAVHRLTQVSHDVHGYYSKYEFFKGVNLINRYI ANDLSAFYFETLKDRIYTGYKVDCQELQKVLGLIFYELLQMLAPVCPLLVEEAWGHAP EALKSNSVHPARATWTPLPRADPQTQTFMTETQTYIDRINTAIKVAQERLRMEKRLGS SLESAVAIYNDSGSHCPWFTNHFSEAFTGDVTQAGPEALNVSQRDELAALFVVSHVDL SWNAVIKEKVDSDVEKQTFVADEAKGWGGEVAVYSAPAEKCPRCWRYQKEKHNELCGR CEEVIREEA CC84DRAFT_1161336 MTKSLESSRPMLASSTGNRASTRMSTYSTAPTLTPSVAPSHLSE ASSTAGDPRAQEIKSWNEGFERLEDKRLVQQRYMLNNEKTDNMSKLALGAKLDRALAR RMSGQDAVFRPKVLSEKKVEA CC84DRAFT_1184431 MSAGYFPKREKVGLEDLFKGLVTASHILHYHGVLDAYGHVSVRS PDNPATFWMPCNMPPALVSTPEDLVEYKVEDASEVEKDAKKGYLERHIHSEIYKRFPS VNAVVHSHCSAVLPYCISGVPLKASIHMAGFLGSNVPVWDIASHYSSGAKHDLLVRDS QLGASLSAAFKPATSAGFLYSKVRSALPTQIGGSQEPDKEPNFATVLMQSHGFTTLAH GIEEVVYQAIYTKEAAQAQTTALTIRNAHFGHTIEGNVDVQGGGKIKSAKVKAEGDLT YLSDREAHDAWESNQGTVHRPWSLWCREVEVCPLYKNDCPGCEDD CC84DRAFT_1112618 MFLQSALLATFAFSSSALAAFGITTSGDNIVVDAGSDNAFTITV NKNSCDITSISYRGEEFQYQSTGSHISSGLGSATVSSTIVNSQYAKITCTTSTLTHYI VVRSGDSTIWMATNTASEPSVGELRFIARLQPSKLPLEYPFGSVSTTSGSSSTVEGSD VFVVNGQTRSKFYSSERFIDDYTHCVYRDSDAIHACMLLNSYSYERSSGGPFFRDINS NNAGDSTNLYFYMNSGHVQTENYRMGLHGPYGLQFSRSGIPSGKTMDTTFFADLGISG YVAPSGRGTVTGTATGGTSGLQKVLHWYNANDQYWVYADSSGKFTSPAMKPGTYTQVF YQGEFKLASSSVSVSAGKATTANISGSLPSHTTLFKIGEYDGQPTGFRNADKFLRMHP SDTRMSSWGPLTYTVGSSALTDVPMALFKTVNTPLTIKFTLTSAQASGAATLRVATTL SFAGGRPSAVINSYSPATPAAPTKIDSRGVTRGAYRGFGEVYDFAIPSGNLVSGSNTI TIDVVSGSSGTTFLNPNVILDAIELYR CC84DRAFT_1084743 MSWNYTVNQINLSFERIAQWYQDFLRRSEAAFRALHDRVLVLEQ QQASQGPSDEQVERVLRKILAERFGDTSSLRVENPSQIKDVDFFVKPPDDNIPIPRAP LIDPAMLFVDPEAVPSKAYSETFQMLERGLSQYPQIDDAKPVIRDATPKEEDDFKPPG LPPNHGRPW CC84DRAFT_1202854 MSVNGQTAPHALATAKRLPLARLHFTNEPTQVHAVQSALLMLPY EILEAVLLHLPTRDLLLSQRICQRFRYMVESSKPVRRTLFLEPAHHNGQLDDWPLLKF NPFLTNQLAGSFHTRAIGVHRGKDGIVKMVAHMRYEKEALLDDDWAEIILYEHASWKS MLVSQPPATLLMHPSASLFWKTAMEQRAQFWRDDAGFTILDALGCADW CC84DRAFT_1172803 MPPTNFSTLARRYSSYNCTDYLYMRECEPHRIIISAIVALVIFL VIVVIVLLCVRHRKHKTRNAQAQAQAQAQAQHRNPQLQKQTVLAMYDAEPELRAPPPA YARFDPESGVRRGV CC84DRAFT_1082313 MLPLGVVAYPSVGAGPLGDGSSSIWKKPRVLKLKRALSKQRTPS REAASSHIPSPGLTGLIPAPVHAEHPPAVVTQSSCSTKPRPKHVPILIPHYVPSVAPD SPCTSCGLSSSRTSTTVSSGHPSLSSFPLARGSVGDSSSIRSRHVEIVIPDWALPIQR FSTSPITFPFDWDVKSPVSPPWLLSSTRMMARQTPNTSRTNTIDSQTSAPSPSQFVNS SQTSLHRQDGQDGERPPTSSARTPGLGVSPALMQTAGLGTPSSSTSGLSGLVCNVHRT TGREPHPLVGATTTILGDKLYVFGGRRLSRTRPQLTSDLYELDLIKRHWTKIDAKGDL PPPRYFHSVCGLGDTKLVCYGGMSPASQQPNQSAAVPQGTPSDAQPEVVVMSDIHIYD VPTRTWMHIATPDAPQGRYAHCATILPSAAVFSSTNATLTAIRHNPSSTLPNQGSIGV QLDGEGGAEMVVVGGQDSANHYIEQVSVFNLRSLKWTATNPLGRSCGAYRSVVAPLST MDPGKLGMGTPGTRSDSDSPAEDAPSRSGGASSMLIYSNYNFLDVKLELQVRHPDGTL SEKTMQGDFSPPGLRFPNGGVINNHFVVSGTFLTSSKQEYALWALDLRTLSWGRIEAG GNIFSQGSWNRGILWNRRNTFVILGNRKRSLVEDYNHRRINFSNICVVELEAFGVYDN PRKVAPNSGFTSVSGPGHVDGLDFNAGGRLMSPAAAELGQLALGMRELADMDFLAIHG ERIPVNSHLIARRWGPYFNQLLRESTIPPENGDTATLRPVAGVHPSRNSSITITPSIK TSYSAATTLTNNTITSDTSTAAASSIGPPDPAAQPPAFRPRTLYLPHTHLTLQALIHF LYTSSLPPVNTSLCTPQILCSLLQLARPYHIDGLLEAIIERLHMILDNRNTAAIFNAA AMAAGGGSGVNFLGVNGVASNGTSNGIHDSTATSSAAAQRSLDPGLRALRINTDVVTE HDDEVSSAVSSATSASASDAGDGSGISGDREVWNGELSAVVGLQKRGLRGLMEGRRAR EREMGRGSSRSRDEGGPSNGDGVGLGLMAGGS CC84DRAFT_483201 MCAPLLEECGEEGRDWGTTRHARHRRHDNKQNSKSRIPTRAVVG CRSVAQLRRPQKRLCYPQAHPRSWDVETHGPAGIVRARIRANEVLTYRHKSATPRCGR ARLRPMPSQSPREITSKVAHVEWVVSSILRTFNTPLTAQPYRVAVQGRTSLEASHDVL EAQRSNPRHAVEPSHRISATPIQTPQHAGNACP CC84DRAFT_1256225 MANEERQQPLRLGSIAPNFKAETTKGPIDFHEFIGDKWVVLFSH PEDYTPVCTTELGAFAKLEPEFTKRGVKLIGLSANTIDSHDGWIKDINEISGSNLNFP IIGDKERKVAYAYDMLDYQDTTNVDSKGIAFTIRSVFIIDPKKTIRLILSYPASTGRN TAEVLRVVDSLQTGDKHRITTPINWVPGDDVIVHPSVNNEQAKELFPDFKIVKPYLRF TPLPKEKTTAA CC84DRAFT_1138884 MADPATERLPSPEPSDAGGEAPGINASSPGINTHGARESQPTTA RSSDTRFDAKNDTEDVPESEEEGPGEIVYHYLTYTTELPQPTSVCPTRADQPPAPEAP NLKKFDSPFDWPETRKNMTIYFSCFITALTAFSAGSYSPGVGQMTEEWHVSSVAAFVG ITTFTCGFGVAPMILAPFSEINGRRPVFIASGILFVICQLCTGLTQSYAGMLVVRFFT GVGGSTFSTMVGGVVSDIYHAEDRNTPMALFSGSALFGTGWGPLVCGFIAQNTTWRWI FYMQAIMNGAAVAVICVIFEETRGSVLLSRKAKALNKWYAAREEAGYYTVNMPRTDGK PAIPQRIRWKVKEDELRASLMSMIRTSLFRPFHLLFTEPVVFFFSLWVAFSWAVLYLT LAAIPLVFQRNHGFSLQQANAVFAAMCVGAILSTVISIYQEKVAKKYGKLASTPEGRL YFACIESACMPIGLFMFGWTSFSGIHWIAPTIAIALATIGIFSIYLSTFNYLADTYHR YASSALAAQSFCRNILGGIFPLVTRQMYNRLTFGGASSLLGGIGTLLTIVPWVLVFYG PKIRRRSKFASEIMNTHD CC84DRAFT_1112634 MDALRSALQPITHNLPAPLVETGRSLIGPVCYKTLVVDIDPFAS PECLKLAISKGLGIGIIAASSIVKVPQLLKILNAQSADGISFLAYLLESGSYLISLAY NVRHGFPFSTYGETALILVQNVVIASLVLKFGGKGVGSVGAWVAGLVAVGAALARPDI VDVKTLGYLQAGAGVLSVASKLPQIWTVYQQGGTGQLSAFAVINYLLGSLSRIFTTLQ EVPDPLILYNFIAGFVLNAILALQMLVYWNSPASKKTESKKLNKGFVAAGKGPSSDST VKGAPSYAKATGKSPAPTTRRRG CC84DRAFT_1237089 MSPSPKPAPEHTPPVSNLTHLYKHSRNPTVDSRGRNPARNELGR GDRHGERVTRIYPRQIANSPPAAKRFSSASPTGPSYNATTSPLLSALGATTKSAAQYT NEWTKSLPFFANQASPSHGGIPINGSPPQFHNSPNARAGVYGQIAVSASPPVVGMNPR STPDHYTSFGGRLRGSPHESPARDPRDRRASMFSHTGGRPASQQFAANPPLPHQPQTH FYNLPDFNLGLNNAAPDGLAAGEEGYYCGFDTLGMAADDAARNAENVLLVGYQGGLDI FRVEKGKMDVLGRLEGLRGGVIGAKVLPWTSRRDPLSAVRPLVVLTIHGPVLGDNRSS SGSDVPSVDDDGSSDSPSRPGSPHGNDAAARITSYQTTVEVFSLKEKCKIAVLYQSPF IAVTSPVDSPLFQPPPPIGDLSVDAKGKFVTIASGASGEVFIFAPYSTPREDVQESFR CIGKVWTTVQPRERLNLSSASSAADGSHEDIAPEIYGVPVVSLSERWLVFSPPASTAH FPVNGIPSVSAQYERPPGLSHHTVPSQPSVNCAVDAPEAPSLINRLTKEGTQVAIKSA RWAFEKGTQAYKNYMNKGIQPNGANAHGPDPSQQYFPPTHGHNQAPRQEATVISILDL QRLHDAEETKSKTALNPIATFPATLGCSLLSFSPGGLMLMTVNKKGDVYEVWDLKRLN FRRARKTTREQATGQHVRQVARFSRMTVSNVVDVVWSAPRIDKVAVITDKGTVHMFLM PASAFQWPPSRRIRKPTDIAMPKAEPTEAGRGSTVNSAMQALNGTAKPWLDAIRPGSN SNGSRFTFKGFGMTPAAGAKSGKAVAVGVGKQINTIRHAGDNKLALPTSPSGVKTHSV RWLTGKGRGHIALVSGGVLQIHRVQMRPATGKGKSANENSITKKKISEFGLLPIRDSI LAPSIAAQLSVQPDEPEQLHEVHGEWIPRALPARNGRTATKQTGITPAPLSFSEIETN PPYQPFYTDRRVTRFVFAQLPTEAQVSSSEYQTPELVSELHNENDEAPWLFGDDVEAV RISSPITQHFDSGDEDAIAGVAARIENKLIRRDGEDEIEQIIVTTRRRRTRRDGAEDE EEGFFEDDCEVLDFAEDRV CC84DRAFT_483319 MLLGRTRLSGNVRICSLGSPCLVVHALCTQQCRKWRGRRRSITR CVASGRPSDDGGQGRPYDLIVSRAATRTGDLDVQVMCWAGPAGMLTGPHPVPGDARRH AQSQRKHVVWRLSAMRLGQTRRLEEGSSMEPAVGGAAVGACRQPAAGARCAPRRGTR CC84DRAFT_1213716 MASAFWLPLAVTGATNLPDVAPGLFNVSARIEIDNTNISAAWDA LTNFPDYPKWNPFVRSSVVVDSRNSSLLVQRPVENSQLLLRVQLPALPLPVNENTQEN LLNTQLSYENVTHVQPELGRLAWEYYPSPLMAAERWQALSVNEHGQVLYESREVFSGT LAPLTQKLYQQKLQESFNAQAQGLKLWLQGGYRP CC84DRAFT_1193859 MQLPLFLGISTFLFTASFTAAALPKGYQTNTVDGNRWVNLTSLP SPRQEHATVAVNNNTIAVLGGVERIGNDSVQVRVFSTNLVELYDIPSDTWRTAAPLPI KLNHPNAAVVNERIYLLGGLVDTQSPPTAEADWIATGKSYVYDLIANAWTEVASMPPG TERGSAVVGVHGDMIYVAGGMTVLNFVVQDASSTVTAFNTTSLQWQRVPSVAANMPEG RQHAAGAVVEDTLYVVGGRWFERTNVRDTVFSLDLRNQTAGWQTSPSRMPTARGGIAG SAVGSSFVAFGGECNPDTSNGIYPQAEMYDLKSKEWKKLPDMQVPRHGTAAIAVGSLI YIPGGGLQQDGVPIERDGVVSYGQATAHFDAYEVSI CC84DRAFT_1256231 MSFWGISFIFWRIMEIITLIPTMGMLAWFVHWYDSQLYRTPTEI LVLFIVSTLAVAWTIGTLFLYSRARHSAGFVAFVDLLFVGAFIGAVYTLRRIADADCS NWSRGGQHGISAFGWSVSGSFPTLNVDRQCAMLKASWAFGIMNCIFFFFFTFVLALLV HRHHDSHDRVVVKREVHHHRSSRHHSRSPRRSHHSHRSRSYV CC84DRAFT_1161352 MSARGARSRKAVVKDIEKTEKKAAQKGKAPDTIRRPHDAFAEWG PVGTRTTTSTGGMPTRQLTVSTSRSSSANGSGSRPPLKASASHSSSDPHRSRTGRPGS ISDTSSTGKEKSKYQANGKETKAPRGRGGGVPTKKNASTPVPPLFRAESSLNYPSDPD HKSWMNFRIWQSGSESMRPYGGFDFDEDLQDGDVLIFFKEEQMDEDRPLPQIRAELSV LENCGSTWLKNALLYGSIDDNDDWTFEDNGESSASRQSFAPHFPMPPGQRHMLSPVSP GGMSSPPPFNINQTYYGVPGYSNDSRAQYYPDSSRPGTMSPPPSFRTSQQQRATHELW FTAPNSLKTPQAQRLHHVAVRNFMAILHDKPIVGADLFEMLSTLQPEIQVMYDLDHHE YSNLTSRERSIQIITNYLTQRKLDDVRNGIKLAISLLAWAEQDSVRWRQGYLESFVHL AGILNAQVEEHPDFKRLTIATRRNLGIAAKSLQLRVMEAEEKLATFDFDDLWPNLPKA TATPVYQSYQAFRQFLVHYLTKTYGNWPPNQGQTWLNRKIALDLQRDFGMLYDYLVNR DVVWDVREERPGNKWQMVNLKTEDFRANLPELSLSDILVTWDNKHGYSHIPHAYPLLP RDVPQTRVAQKKGLFGGLKKNKADTTKDAKTHLQLSIVFSDATNIEKLDSSFSGNILI DSFEQFELNADLKTSTPREARLGRWVLLYGVLQVLSTLSVDVQSLKHTDGVRYFLCTD LKRCPEWVTNGQTELLEASQRRSWCWQRPWDPTPIYGQPVELEASVPPNPSEELDSTS LDGATMMNNEIRRLGEKIDNMSVARGESRAAAEHNLAARRENQKHIQGEFAAQKADQS YRLSESDYVTRPLVPNRSPLRSPGGTVLEVPRYQGRERAPSNAQEYAISPQEYSRSPQ EYPRSPQEYPMSPQDFPMRGSSMAQGESFPRDEERRGWR CC84DRAFT_1213720 MLAQTLLLPLRRTFLSAATPARLPTISRALHTPAARPCLQSSPA MASLSSRFAGLRIQQQTRGMKVRSSVKKLCDGCKSVRRKKGKYVYIICSKNPKHKQRY VPSLSFWDWELGLGGSAGPE CC84DRAFT_1161354 MPQEASKLSDPDATAKAAAEAVAESTAQEAHDESADESAEEEAT DGPAGATEKKKKSRKRKIKDALAGKGKAPAIESLDAPTAGAHLSKDQLSMLLEANPAL KNQLLANKGNQSLEDMLKKLSMQEMLTGLAPQAKNVKDMGSHQFWKTQPVPSFDEMMA GKDKIVDGPIKEIDIARVPKEPSDMYPGFKWVTMDLEDEKELDEVYELLSNHYVEDNE AMFRFRYSPSFLNWALKAPGWKKEWHVGVRAEKSGKLVAFISGIPLQLRVRDKVLNSS EINFLCVHKKLRSKRLTPVLIKEITRRCYVNGIFQAVYTVGSLLPTPVSTCRYYHRAL EWEKLHEVGFSPLPPNSTKARQVVRYKLPEKTLQPGVRALEPKDTDVVLDLLQRYLKR MDMAQEFTKEEFEHWMFNQKGAKEQVVWAYVVEDPETKKITDFFSFYNLESTVIGHKK HNLIKAAYLFYYATEKAFSGDETSLKTRLNELVKDALILAKQADFDVFNALTLLDNPL FLEEQKFGAGDGNLHYYLYNYRAAPLGGGIDGQSRPSTKTMGGVGLVML CC84DRAFT_1213722 MGSLRLDERGVTDYFDSHSFYRFHHRNRPNETLLITDGDGDVDM MTFNISSSENWQIYYQQGRWFIRPYASSVVQSWKDYQLGLMQDEKGNVGALPKVAKRS GGLGQQWTFAQVDDGNGGFGYRISNGLLGNTSFLALTGDGGQPGMQSSSEGTVWDMQV NRQAGDPSMDNSYEDVNNFVVATTSVTSVLSTSKATAGPSLSSASSSTSTATSISPGS ASGSASVGSGAIAGIVVGAVLVVLAATGAFIFFAKRRKRNQVRDRYREAELRSDTKVT YAHMGSPAEIGSDPVVHMGSYQEERYELGNDGPSARSPSKLPMTENDAKDIGSGGGSP AARLPRDGVP CC84DRAFT_1112651 MFSRGGEPYFGFKGTMLTFWITVACGTDMLLFGYDQGVFGGVVV TPQFLELLNLGSRPTILSTVTAIYDVGCFFGAISVCFIGEPLGRKKCVLLGTTILSIG AILQITAFSLGQMITGRVIAGIGNGINTSTAPVWQGECSKASWRGKLIVIEMILNIFG FMMSNWVTYGFSFVDNGNSSVAWRLPLVLQFIFIFILYATVPWLPESPRWLMSKGKVD EAEQVLAAVEGTDVNDPWLITQSKDIQWAVQYEKENAVRWRDLLLGRSGGQAGTHTFR RLILGMGTQAMQQLSGINVTSYYLPTVLIESVGLSNSLARLLAACNSVSYLLFSLIGI PNVERWGRRKMMMYAAAGQFFCYVIITICIRYNEDASIGFETQRQWAKGSIAFFFLYY VFFGIGWQGVPWLYPTEINSMAMRTKGAALGTATNWIFNFMVVEVTPPGIASLHWRFY IIWTVFNFAFIPIVYFFYPETAGRTLEDIDRYFTGHAPLLVFLDKEAIQEKRPEKFVE REQTEYRRNSSVVTGAIDPAALLRSSYEKERDSREEIV CC84DRAFT_1081684 MRTPRALASAWFPLNAVAQNSTIDLSWHPPSKTWINDLGQVLNS TGTYGFYFNGSALPANASYGTYNWCNMPHVRREEYPKVSEEYELDYVEVIHRHHKRTP YASNTFPKETYRWDCNDEGLFYYGQPLNPVGNTSASAYWSVFTSDINPFAPSGFNGTC QFPQITRQGLDDSWQHGKDLFGVYHDLLSFLPNKIGDKVTYRVTNNVITSQVAGMLIN GMYAPESPTSLRIQPTGIDSLEPQYSCPAASSLYSSYGVGSTAANWTKHLNEAKSLYN SLDAISGVASDSTDWHKSFDHYYDNLSARQCHAKPLPCSSNDASKCVTQEQADTVYRL GQYEYSFIYRDSPQSLQAAVGSYGVFLAELAQNIRDFAAGKSPVIYRHNVAHDGSVSR LLSILQVDVMVWVGMGSEIVFEVYSKRGYGEKKYIRILWGGQILQSSNPTLGRVDMLD LDVFLGYLDGLVGSKASKMVQYCKP CC84DRAFT_1161357 MSSTSTKTGTGSHSGPKMVPRDDSKDAKSAEARKTKNTEHISLG QFLKESEEEGGVGADGAGGADTAGTGGGGKGNGGKGSGAN CC84DRAFT_483388 MSYRRYSSTEVYGSYTAEDTVLVDRVFNDDRLQKAIQYLKTEGG GDAIDLYLTRVRTIDEHILRQLPAQGKSFNPRLYQRLRDLVREVQNDGQAINDEESSL EVVNESSVEVLYKGTENDSDIHPYPKIPGVTLPPQSTIKTKTTPGSGVPSENQAQVRF ASDIRTSGDDDVREKQFLYGGPSNDPEVWYKRSAPLLHIGESPYMERWESWKAVRDLA KGRGKSLDELESPPVFKRDNMYDSGSKYKLPATVANEWSQNEKQRAKLQKLWRAAAEW FNIGRRLSPLKAPPEGKDYAPRMTLPLIGEINIKSFARSTPLVSEGHEDSSADEVSYT QLPTPKHSPLKSGRFPRLPETNLRKFNSIREAATRRDKSSPLSPSEGPVLRTRAALAT TPTPETRVKPGLTAGVKDGKRKPGGPVTKLQNISPTPTFYPSINLNTTLSEEKLKGSI DELFEDFDEFRQQKRARLASKMKDPTYHPPHCLSQDEDSPITPADATIEEDLDELFGI KSSKRKRGRTAKNPKDSTYHPPRRISQDADSPTTPDETTLVAEVNELTGFASAKSQLV RYQKPHKSSTDPDWKPDQSPLTPTKRKRDESLDLTPSSKKPKRSKASSSKKKQTPSSS SPHVEFAAETPTPKKKAEPSRKVTPSKSAIKKTKRTSAEDRELASTETLERRGKTRSN KDFKPKRLRSRDETKE CC84DRAFT_483491 MVHERPAVAVLLAVLAAAVLVAGAATPLSIRPSQQWDGKEGPWS TFRIEAGTPAQQFRVLPAHDQSTTWLVLSEACSANNDTCFEARGRLYARDNSSTWTEK GFYGLEPWLEARVGQAGDGQYGWDDITLGWAGDNLPTLKNQSIAGIVTESFWLGSLAL NPRPVNFTDYNTPIPSLMQNLRNKTNDPIPSLSWSYTAGAYNKAPKVYGSLVLGGYDA TKFQPNSVTFPFGSDQSFDFQVAVQTIRTSLATDPLLDTGIIAYISTLVPDIWLPENV CEKFEKAFNLTWDEKTELYLLNTSLHQTLLQEDPTVTFTLGPEVDGAGVTINMPYFNF YLTASAELTKSSSSKLYFPLKRAANDSQYVLGRAFLQSAHLSADYERNSFNLSQALYP SSQTEEEIVAVLPPLTETTPGVGGPGTGGSGAESGQALSTGAIVGIVVGLVVVGAVIA LVAFLLYRRRKAKPKKHELADTDIMHDISHEVSGEHIKAEMGGGMAHEVAGDMDPKAE LSAHGPQKPVEAEGNLEQIYEMAGDDPKPVEVSGESMVHKIPPSMMASNHNAMPSPVS PQWEDSTSPGVHFYTDESTLTPSSEHYFNQGYPRHN CC84DRAFT_483490 MAHGGPCRIHLVVAAGAEPGPPLTAPFGRNAGASSGPSARRGGE FHTERAHTPRVARQCQSARTKPWHRTPAGPAARRRSDCGQTTACAAESENIAAGRFQP LRREAVPLRRLRRCDGSREQPSTTPAASHSVGLAQGSLIKSPATLGH CC84DRAFT_1172819 MPANNKRKAARAAKARADGHAAPDADSTLDRNTVDEMPDNEKSE DQRNIKAIFSQFLGAMKKEYPACYNMCPHLFLEDQTFSDLTIEDIENPQLHHLIGLFK QHGFSKNATGTTAMNLINQYESMKDKQKAAGQPSQGNTAAAPSTSYTAAYSQFLAAKE DKSDPDVEQLVNAIRNYDLSKDVDATTTTRSKVTKPKGKQKATKPSSYNNSAAGLSTF YTAASGRFLTARKGEKYSNVDHLINAMKQLEDANATAISRSKVTKQKAKQKAAGQSPQ DNTGAGSSTSDTATETDIQDVAGHHSTDDPTCSMPWFERMKKTVRRKAMRQRCREKAA RNAARESSQVFPAEHSSGYDPSGETTQNTHGATATGSTKPEHTKQDSAGQDSKGRTMA SSSKDVPVPMLIHDPNEPNPDYDLLIENGFL CC84DRAFT_1193864 MSYLIKHSAIGTLLRFVASGKISYRRGTAPKIVSPASTSLNSAT PRPSGSREDVSSQEKEEAGIAVTNLVDWSGPEDPSNPQNWPFHQKLIITAVLCFWTFA LYVGSSIYTSSQQHVVEIFGVTHAEGALGIALYVLGYGAGSLLFSPLSEVPAVGRNPS YAISGFFFIILCIPTALVNNYPGLMVLRFLLGFMCGPCLGIVGASFGDIWSPAPFCYS IALWSMAATAGPAMGPTLSSYAVEAMGWRFSSWELLMISGPFYVLMVFFLPETSAPTI LYYEAKRRREETGNLNLVSEAELKQRDLHVGALLWDALVKPWVLNVKDPALGFTTLYL GLAYGIFYSFFESLPIVYPTDFGFSSTSTGLIFLTVLPAGTVAFVIQYFYLKHRVFPA LFSGQFGELENHLLPGVVASPLMPIGLFIYAWTARAEGSHWIAPTIGFGLIIIGVYFI FQSILLYIPNIYPRYAASIFAANSLARSLLAFAAILIARPMFEKLGVDGGVSFLAGLM VLCMFGIWAIYKWGKVLRQRSKFAVA CC84DRAFT_1184445 MFMPRKSAQRSNSSSSLSSQASSTSTISTASSSQTSGAAASPAA DGSTWAARKKAPRGLWPATKTEPVAGITNARPQSLTSVGQGPSAAAAMTQLHSPAPLP SQHNGTTQSQTNGGPRTSMQEAQAILHLIPMNGTFERKTITVPFFPDVLRIGRQTNNK TIPTPHNGYFDSKVLSRQHAEIWADRNGKIWIRDVKSSNGTFVNGQRLSQENRDSDPH ELREQDMLELGIDIVSEDQKTIVHHKVAARVEHAGIYSNTGNNMADLNFGEMDGQQLS NMVGPGGQQQMINMRGRTPSQGSINGQRLPGPAVMGNNVGAMQQPRHPNFWLQPITME QVVKKLNAEIKAARQQSQDLQQTHSYINAILSTDPKKDSTKNSPINSTKISPIKDANL KARFSDPPAPPPQQPLPEKPDAPSLQRMDTEKVKSTGSPVRSETQMSTLNEALQTAKM EIESQSVRLRDLEAMLTEERRAREDAEERANRLERERRSAESDLANGDIHKDEVHGHM DETETIIANGSASSSLADVATSRLQQRLDTMVSEMNEMKLQMEKYRQRAETAEADRKS LAEMIENIRKDNARTAGNEAKRRSRSHSGSAQEAADDQTERSMDAEDAEEGEITIINE RDVDGDVNGALARKGQNDLPAEHKDTAASNKTSQALATRLNRNDLALLHGAPAISMLT VVALGVAVMAWLNDYPKVDR CC84DRAFT_1161361 MPVPVGAMPGGQHGPSTLDKLKMGGMMGGSVGLIIGFIFGFTNI VRFGPGPNGMLRTLGQYMVGSAATFGFFMAIGTTIRTDSSPIAAEAFAKINRRPMILP RQYQYPRVSREEEN CC84DRAFT_1237122 MSSRRHSSRRNARQPPNMDPPQHMGSEIPSPPHSSHGSSRAFER YRNDYDQPAIESPSLYAASEIRPPPQSSNAMPRAFQPRDVPAEPPVEKSPAVKQSPTV KQSPTVKQTPMVEQSDKVEQSATGQKASEFKQSDDLGELYKAFPQFANELRTLRKGKS QAAPPPKPWELDLTDDIRMPKVQSSSMPLSTLPEVINRWENGVRIVAQGFDAAELQRA EDLSAAYACGEMGERGRLRNEQELPAKEEEVLEMVGNLNEVMVANDKCQQEKEDVEKR LKERREKHKAHLEKAIKRARAKGHAESSQHYKDFADQAIASGLKKKGEKLEMQYEQKL QHHLQEKDEETKQKLEKQKEDLYAEFKKDIEALKPEFEKKQGEHVATAAHNLLSPPSS QDTLGEQAASESEKAPVSPLQSTQPSASASPVAPSEERDPKLLSGTTSPEYDRQVSLP KEESKPSSDFNLNQTPADMEESTGADAASLKRKRSAADNDIDDHKATISSPKRPRTTL TPSKRNSNAPTETHELDSALEYELFGSNDIPTLQLEDDTAETSEHDTELHSDSSGVTT VPQPEDASPAPSNPVSELAPSVKRKRSVADDDFHDEELAPTLPKKPRAIAPSASSDSG VIKFGGPYHMQWNGLTQWKTIRAEMTLEETLEFSPLFNPPDPPITYGGLPRWSTVKGD EEDEEL CC84DRAFT_1138919 MKFLGALILAATASAHYTFPALVAGGSATGQWQYVRKTTNYQSN GPVTDVTSNQIRCYELSPGSPAQGTYEVAAGSTIGFTAQSSISHPGTLQFYMAKAPSG QTAASFDGSGNVWFKIYEQGPTISGGQLSWPSNGKSQVTVPIPKSLPSGEYLFRVEHI ALHSAGSAGGAQFYISCAQVKVTNGGNGTPGPLVSFPGAYKATDPGILINIYYPVPTS YTPPGPKVWSG CC84DRAFT_1161362 MGFRAPPIRSILTQDTSSVCSQCWRKLLRRPTPCRQPFSNMSSS RHAVAVGKRRNSFSKDYFWTNSTLRETLGQSLARSRRDIQSSIASARVGTAAPARSPE QPEHAETSEDVLPHRRRKRRRELESTENTEQSYPIALDASAQLADISSKLPQRSLKRV FTTYLSLSKPRLSFLVVLTATAAYSLYPVPGLLAPAATATPSLSTLTLFFLTSGTALC SASANAFNMLMEPEHDAKMSRTRNRPLVRKLISTRGAMVFAVACGALGTGALYYGVNP TTAFLGFTNIVLYAGCYTPLKRMHVLNTWIGAIVGGIPPLMGWTAAAGQCAHDGTWQE LLFGENSAGGWLCAALLFAWQFPHFNSLSWSIREEYKYAGYRMLAWVNPAQNGRVALR YAVLMFPVCIGLSLCGVTDMSFIATSSVVNGWMVWKAVDFWREQGHKGTARGLFWASV WHLPIVMVLAMAQKKGLGERVYRSIFGYADDEDDLYYEVGQFEEEEEKVKAVVERGST A CC84DRAFT_1138924 MVGLTSAAGVVGFLSEPDPALRSFALHRLNEEIDLLWPEVAGSV SQIEELYEDESFPERELAALVAAKVYYQLQEYNESMVFALGAGKLFDIHHAGEFEETI LAKCLDTYIALSAMHNPPTPISRANQAPPQLTTSFDRNATGGASTSAGLTSPITPFSQ SALPSKSLLSREDSSTFDPSLPGGGNAGVAGAHPTPLVLQRNVQKNLQATIRRIFESC YENGDYKQVVGIAIEARNLEVLRECFLQASQEEKKQGKSPAGSPSKSEELMEYVLDIC MNVVQERGLRNEILRLILDLLNEIPNPDYFSIAKCVVYLNQHSLASNLIQQLVKRGDS KSLAVAYQISFDIYENGTQEFLAKVIEELPKEEKSEEKPSANGDASQKAQSDEAGETT GLLSNIDTSNVSASVSRAKQPSSTDEELKAFASVREVLQGTKSIELNLEFLYRNNHAD KNILNKIRDSLESRNSIFHTGVTFANAFMNAGTTNDTFFRENLDWLGKAVNWSKFTAT AALGVIHRGNITQGQKLLDPYLPKDTVSSGSHYEQGGSLYALGLIYSNHGTHVLDYLL KQFQNASEEVIQHGGALGLGVAGMGTGSEEIFEAFKAILYTDSAINGEAVGLSMGLVM LGTGNIKALEDMIQYAHDTQHEKIVRGLAMGMALIMYAREEAADELINGLLDDPDPTL RYGGIMTIALAYCGTSSNKAVRRLLHVAVSDVNDDVRRVAVMSLGFVLFRKPGSVPRM VELLAESYNPHVRYGATMALGIACAGTGLDEAIDLLEPMMKDSTDFVRQGALISLAMI MVQQNEAMNPKVATIRKTLTKIIGDRHEDAMAKFGCALALGIIDAGGRNCTIGLQTQT GNLNMTAIVGMAVFTQYWYWFPLTHFLSLSFTPTAIIGVDQDLEIPSFQFHSNTRPSM FDYPPEQEVKTEEAPKAIKTAVLSTTAQDKRRKAVKARQQRRESMDVDQSPTTPKIPA SDDDKMDIDEEKKDDKEKEDTPAAETLKKKAEKEKVGYDLENMSRVLPGQVKYISFPE ERYVPVKKPTGGVILVHDTKPSEPKSLLELKVKKAAPAAVPGAAGPSGDDAPAGVQAG PEAEDVDDNLVEEGDAEAPVPGEFEYISDTGEGDDD CC84DRAFT_1161364 MDIAALVNPVSESLPRRSPSGSHNNILAPSPALESAKLPTTPRQ SHTTDMSRRRKRHDSKPIWAVREDEVFDGKTLQQDINERQRQQPPKPHPQAQPQPRPQ ASPSQPLPGASRNGHAQTQPHPQPSSAVQHTTSAALAGFERPISHDPRVYDEIQRKVC DFLFSNIVINDRIRSLLQEAPDTMVEVEARWGHVVWREQNERLTGVHSTECVLHSAVS QRTKFDSVMNLQQHQQMNKYLNKQVFQAKPGNPNNRPEIVYKHTKLVDQQYELGEEAL SRLSLAAQSIIRASGKQERIRVSRDQKTGEVVAAIIKHKVNNLEISSPQTEWDYRIGV NIEIVFPGPLDGLTEVVEKGKGVEAMKRYKDRMSYSYKGAYQIDLTQVTQDGQRIHEL ELELESNVLLEHGDRVRIGQPNEYESLINGMINNLRVLSREVTPPKR CC84DRAFT_483775 MANALDHLNSGRTRIEWLSQLNTEYTPPKAYRRTSIIGTIGPKT NSAEKINALRKAGLNVVRMNFSHGSYEYHQSVIDNARKAEATQAGRPLAIALDTKGPE IRTGNTPDDEDIPISAGDVLNITTDDAYATKSDNKNMYVDYKNITKVIEPGRIIYVDD GVLSFKVLEITDEQTLKVECVNNGKISSKKGVNLPKTDIDLPALSEKDKADLRFGVKN KVDMVFASFIRRGSDITAIREVLGEDGKDIQIIAKVENQQGVNNFDEILRETDGVMVA RGDLGIEIPPSQVFIAQKMMITKCNIAGKPAICATQMLESMTYNPRPTRAEVSDVGNA VLDGADCVMLSGETAKGNYPVEAVTMMHETCLLAEVAIPYVNAFDELRKLAPIPCPTT ETCAMAAVSASLEQNAGAILVLTTSGTTARLVSKYRPVCPIIMVTRNEMAARYSHLYR GVYPFYFPEEKPDFKSSPWQEDVDRRLKWGIMNAIKLGVLSKGDAVVCVQGWRGGMGH TNTLRIVPATEDLGLDQEA CC84DRAFT_1256251 MVLGKVAHYAFDAVLISAFLAGVRRSTGLTVKSENFTETPGLKK WIDNYLWVGEWTMDQSVAVFGTSGYFERKR CC84DRAFT_1112681 MWFLEHEILFGGKRVWLRPGSQQLFGRTKNSDRDGSVGKHVFID NKNVSRKHMMVKVVEVPPADGTKVHKRSQVEITDLSCRQGTVVDGKTTLKSSKQADGT IAEDKTTLTGAEHTIRLSNSYPPFTLKWHDVVFTYASKERKGASQPQASTRNAELHAL DIKTSSEFLYGKTTHVVSQKRNLPKVLQALVSGMPVVTADYLDAILSAAANSSDLEGN YVPSQLEEDFDTWWPQEKEYIPPAGQEPIPRPDQMLAPDPTRSEVFSGLTFVFLNETQ HTSLHEVIVGGGGKTLLFNLRPGKTTVDEYVNYVKSVAGQTKRDRAPKDGLPVVTIRL PTYPEELEEWATNFVTGVDQFLKQRSILQNEFLDAIITKDTSSLRRPPTDTEISSSIP DSTAAEQRSVQETTPLSPSRAQVQDSVSNPSPAAEPVKTNPRKRPVRRAIASRFTTFD DYEPPAKIQKTEHTQVQDTSREEVQPDVPQSVPDHEPATQSQIPPPSQTQRTTRSQQQ HTLDSSTQKDRMFPASAQFRKNREANQAPTAAGTVQPDPQTKVVRETTDHKVARLQAR QLERAQKMEKEILVKEKESRKRMAEEEDRRRAEEEKMRADLEGVDISKLHIDIEIVDM KIRPREDRTLARTQLHGDMSNSEWAGRKNFKKFRRRGTDQEARAQSQRVIVTLKPVPP RKGFGDSMVMDEVAPARTAEDERLFKRRLRGRVPDSDDERPMGFQRRRRAKPTEVIDV ENSGPDEEESPRPSGSTFRNSGRTQRVVETQLDDTQTQRQTKKRAGGPLTIAAGQPSA KKSRTANEDSDEEEGGFRFRKR CC84DRAFT_1047161 CTVCSDSIHIADIPLLSGCSHQAATCVECFARWIESQLTTQGWK GIKCPDVSCSVILTHHDVQAYATPEVFSQYDMFSMRDALGQVSNFRWCRNPACTSGQE HDDDGYIFTCVACGHKACVTHDVNWHEGETCDEYTYRVSGAKEREQKAQEEASVAAIS KLSKKCPGPDCAFNIEKNAGCDHMTCSRCRYEFCWECLADYQRIRTKGNAAHEKTCKY H CC84DRAFT_1161367 MSERMTRSKTGKTPSKPFNPGFVETPGRKKYTRKSILTNGESDY ESTPEPEAVSKLSFAEATKAGNGDITANGNGKVNGHATGINGAANGLHDRTNGQLNGN TNGHANGNANGKATSTAPSEQHEEFGPYDVSGETEFGGTLGMTAMMIGFPMLMYYMWI GAFFYDGKLPAPADGESWGHWAQYMCGLIKTEGYPNARAWKIYWVFGLVQMAFYMLMP GVYRKGKPLPHLGGKMLDYYCSGMWSLYTSIVIALALHFTGVFKLYTLVDEFGHIMSV AIISGFLCAIVCYIQAIVRGKTMRMTGYPIYDFFLGAELNPRLFGILDFKMFLEVRIP WYILLFLSMGTCLKQYEEYGYVSVEAIFLLFAHYLYAGACSKGEHLIITTWDMYYEKL GFMLIFWNMAGVPLTYCHCTLFIARHHPSEYQLPVWFTALLTVAYLGFYYIWDTTNSQ KNQFRQEERGNVDERTTFPYFKYGKIHNPKTIPTARGNSILCDGWYGKARKIHYTCDF FFAVSWGLITGFKSPFPWFYSVFFAGMIIHRARRDIEKCRKTYGEAWVEYEKRVPYLF IPGVY CC84DRAFT_1161368 MPPTSRIPPLLQPFVRTPKNESLLLLTSTLDASANWLLTRFLCD ALGSGQKDNDNGGAKNVVLVSWMRDYEFWRQEARKGSGLDLVGLRTQGRFAFVDGLSS LFLPADEDAADPQRPRSLPAAQPGVPSTKGLPTLPVRGPPGRTAPATAQAALRAPASH PGLYALSSTSIDQIKTAITAAIASLRPTTAAATRTLIILDNPDILLASVPGLTPAALT SFFLDLHTQATVSHVLVHLQSDLALLATTAEKPSPLQIAQQNLVVKTAHMSAKVLGVR VLDTGVARDVSGVLRVTANRESWAGIATLGEEEGGAVQAPEEAGSEVLYKVNGDGSVR VFERGADVAV CC84DRAFT_1138943 MRQRPQPASASPVPPPQAANMSTLFGNSIDFGVAVSGRTMQIMQ TVGAVRTVRFTLKSGDFKEIEIQFPLLIDAGRENLKFQLPLSLIETIYKVENSLIIPF KSPPMFWMQKGQAQGRQDQSPLRSRSQSYGQSQGLWYRQTDIVDRKTREEFAPAPVMN RKETPVIDIGRWTTYRVTFDAAIFADPKYIRTKATLADHGIHITTVQDYSIIKGTAPA IWSLLEEEISGTHPHLRGSTFQETAFHELVAGQMHLPFDVRYQLEACLSNGVLREHNI NREFLERLGLMSSVEAVNMLEKAMNDKQEYFDPMEMFNKSRKPAHARKLPSYCILSRS ANITPTLIHVGQPAIETSNRIIRKHLADADRFIRVRFTDEKTEGTLFAPDNDSYDAVF DRVTRAMTNGVVVAGRYYEFLAFGNSQFRERGAYFYAPTPSKSADDIRRSMGKFDHIR IAAKYGARLGQCFSTTRAIKSVNVKIEEIQDIERNGYCFTDGVGKISTFLAQMAAEEL GLPHAFHDPPSLYQFRLAGCKGVLALDPGITGHVVHIRPSQYKFEAQNVGLEIIRASA LSVATFNRQLIIILSTLGVPGAVFIQKQQKMVNDLERATMEEDVALEKLQRNIDLNQT TLTMAGMVIDGFMQSQEPFMMSLLQLWRAYHIKYLKEKARIMIEEGAYVLGCVDETAT LQGHYDDPQSLLDATREQKMATLPEIFLQITDTVEDAKTGKKGHYKVIQGVCILARNP SLHAGDVRVVRAVDVPALHHLKDVVVLPQTGDRDIANMCSGGDLDGDDYIVLWDNDFL PQSPITVRDITDFFVTHMKNDSLGRIANAHMAQADFSDRGVRDHKCLKLAKLHSQAVD YSKSGIPAKMDPELRPRKKPHFLRNKKQPERMFYRSRKVLGMLFDQVQLVDFMPRYDN KFDRKIIDFCVPSPVAIAKAKEIKYEYDSELRRLMAKHAIRTEFEAWSVFVLSHNLES RDYTFAEEFGRTIGLLKTRYQDLCFEAAGVAGRHEFENLAPFVTAMYTVTATEVETAV EEAHRLSRSMTPEDMPLMSFPWLFVNELGRIRKGKSSGRLDPDGPQQHQPAKKHTVPT IPGKFLGDVVSPQGITHFGEILKLDFHKGM CC84DRAFT_1112697 MAATDTELARELSAIINSPCPVSLIKLAGILSSTDALTVRACIR QQFPCAVAKLASSLYDALPVWQCCVNILESLAQSLEFKNELLSRNPGLLDALLKKANT SQQSFEQYLGLCLQLLADPLPEAVPLPASVQSFFLRVLDQTSRKPNVQNLKPIYYMLK GACRGFLSLLTFDEKQLFDEQLCHILKSKVAPENFMLLLWCFGIAILAEHPELLDNKP GAHEGLRDGTVNKDLSVEWVTAAGRKLFESPHACKKTINLACLSVIWAIRDGSSVPDD EAVQGIRIATRALQLVDQRTLEHWATADMNSINTISKLWEKIQRCEVKPTVQREALCF YAVVGGPNKLSPVVVETYEAALVNLKGWSTMETQTSLSFSLPLFADRMQQASVQSLLS QLLEINHSPASVEQVQNATVLVNEIAAIASRHASFRSHIITALSDPRVQARIEAFLAC CTRTHEEHQASFCPSHSSIVHRRFISATISMLLTTIVAAEPEQSPRIPLRLATALVEK QGQLSLPAIQCTHTPRQLAPTVSLFEQECTPISGLPLQDWRSRLKHELENQASYQQDS LIRAVAQICQDLENRCDNVEGPLRSEREKIKALTEELRQAQACVESLEQKRMDDNLFL GTLDAEKARLEKDNDELSSRLEDLRIQLEKSNYQAHTALHEAEEAYNYKEMHLRSVIL QHEETIENYQKEIPTLREKTVALSDKLAKDEAARSELVGQYNDLQNRFEKEKDIVEDQ RQDHVRLEDHCNNLQARLREAERELNEGKQAAVEKKEELVRITQKVTILESDLQQTRT NLAMTIERLEDLQIRHHELAQSSTKALQEVEAQCESDLEAAASKAAEEYHRLHEAYDD TRQEFERVQASIPPLQLQIQELSQECLTKENELEGLRAWQIRVFTSMGLPAPEPTPAR CISKATYQHVDDMQPGLTQGITNTALDPLSDASFLSSSQVSRDGSTPKRSKSRHTSTD LSAQVSYNNPCMTSKTIKRPQAKRSALRPISPNRRLTTVGVSVTERKDEAGRQNLPLR KRRGSSRGSGEEDFEMSFAAGTPFTPGKFAAGTGTWRQEDGSITEL CC84DRAFT_1161370 MFRQPTLRLRLLASPPLILKRGASRHSVRAEQTIKSKRDLPKTS WTSSRVFLLASLIGATAYFGGINHDVERFPLPWRRSKAPQYASKSQMEKAIVELRSAL GEDAISTDDEDLLRHGYSEWSSINIDQLPVAVAYPQSTEEVSMIAKTCLKYKIPMIPY SGGSSLEANFSAPYGGMSIDFTHMDRILALHADDMDVVVQPSVSWMSLNEDIKDSGLF FPVDPGPSAKIGGMVGTSCSGTNAVRYGTMKDWVINLTVVLADGKIIKTRKRPRKSAA GYNLTNLFIGSEGTLGIVTEITLKLTVVPQETSVAVVTFPTIRDAAAAASKVMRAGIP VAAMEIMDDVQMGVVNKAGSTTKKWKEMPTMFFKFSGTKAGVQENVKLVKAIAKTHKS GDFEFAVDAQEQKTLWSARKESLWSMLALRREGDEVWSTDVAVPLSRLPDIIEVSKTE LDSLGLFASILGHIGDGNFHESIMYNSKDPKERAAVEKCVKDMVDRALEMEGTCTGEH GIGLGKKDSLMKELGLDTINVMKSIKGALDPHWLMNPGKIMDVPS CC84DRAFT_1193877 MDAEHFTSKTSNFPKKKCGVLGATGSVGQRFILLLALHPHFELH AVGASERSAGKKYKDAVKWKQALPFNERIGNLVVKKCVAEEFADCDLVFSGLDSDVAG QVEMDFLKANLAVFSNAKNYRRDPLVPLVVPTVNLPHLDVIPHQRKHHGLEKGFLVCN SNCAVIGIVIPFAALQAKFGPVDQVSVVTMQAVSGAGYPGVSSMDIIDNVVPFISGEE DKLETEAQKILGSVNGEVTGFVDQPMKISAACNRVPVLDGHTACVSLRFQQRPPPSAE QVKQAMREYVSDVQKLGCPSAPSRAIAVMDEPDRPQPRLDRETDRGYAVSVGRIREDE AGIFDIKFVALSHNTVIGAAGSSILNAEAAVLKGYA CC84DRAFT_1256259 MGRGPKKHQKRLSAPSHWLLDKLSGAYAPKASPGPHKLRDSLPL VIFLRNRLKYALNAREVKSILMQRLVKVDGKVRTDSTFPAGLMDVITIDKTGENFRLV FDTKGRYTVHRITGEEAAYKLGKVKRVQLGRGGIPYCVTHDARTIRYPDPAIKVNDTV KIDLATGKITDFIKFDTAVIVMITGGHNMGRVGVVTHRERHDGGFNIVHVKDAVDNEF TTRESNVFVIGREKPWVSLPKGKGVKLSISEERDRRRAQALAGH CC84DRAFT_1184459 MLRPATPLSILFFAAFALLLLSTISTPIIGGIPLATFRGVRFGT FGYCDGNNCKGPMIGYDTDNLFGTDTPDSEFSLPSATRHSLSSILIVHPVAALMTLIC FGLAVAAHFHSPAHSPRFLLALLIFTFPTLLVSLLAFLVDILLFVPHLAWGGWIVLAA TIIIVASGVVTCAMRRTLVSRKARKRRIAENAEMNGQNYYASRNGDGINTTTVEALPK AESPPPMSGETMHSDNSKKTGFASYEVQRTGMQDDTIPLNARNPSLKTTSSARSDDRS VPPGRAPSGRGRPPVDQYGNPIPPMPNDSYVMQNAPRMGPPPGRGGPYGRGRGGPRGG YGPRGGYGPPPRGGMRGPPPPGWNGGARAGPGNVGRGPPPPGYNNDMYSQGAPSGPYN ARGPLPATQQRMPIGQAIEMDSRNGGNQSYGLRESDGDVQGMLALQQGGFPAQAPQRR PSGPMSPSSEYSTQEESRPVVATAGWHNNSDQTIPTNSSNSRGLSPIQDSPVELPAQL STVGAPSNQRTSDYYYEDVDPKFAEPPPNAGRPGVLPSALVPGGPPNPPFNHSNNNSS PSLNTSDPNLLRHSFEDDGSRSPAASETSHFTSVSQRGVNPNWRPPPGPPMPAGPYGP YGQRRPLRPEDVILQANAANPDFAIPGAGPPGRGGGRGRGGRGGINPMANVGLGAGRY PGASAI CC84DRAFT_1074429 DVASGYFAIAPEYVPGGVNGKPPERTTPATGAVTAMESPSVYQS MYRSIFDRNKTASPTFSPSQGKNRKTRNVFYIVLRHRANINRLGHLMLYDDSEQVEVR HVISLAHYSVSIYAGGEDIPEGELWIKRNCILLAPKDSPGGSKSYYLFSDNCSEKEDF YHAMLRSQENDLESASGPPPPLKFNSADLIKLVQQLHASEENFNTRWINALIGRLFLA MYKTKHIENFIWTKVTKKIDRVQKPSLISSVKVQKLDMGTMPPFITNPKLKELTVDGD LTVEADVSYKGNFRIDISAVARIELGSRFKPREVTIVLATILKSLEGRVLIRIKPPPS NRLWVTFEVPPKMDVSVEPIVSSRQITYGVILRAIESRIREVVNETLVLPNWDDIPFF ATETNQYRGGIWKDE CC84DRAFT_1161372 MVARPILGAAALILLAGGLLLQWFLILSGGVNSSPENQFYFLQA STNGIAGARNPSRWTFWAICGVDGSGHNANCGSPVPALPFDPPRNFGTQNNIPGSFIG THKYYYLSRFMFAWYLIAFFFAHIALFTGLLALFSRLGGYLSALTTFVAMFFQALGAA LMTAWVVQGRNAWRSNGFDAHVGTKLMAFAWTSFVCYFLASLLFCIGGTIGRESSGSS RMSRKRSTRSTRSRGSFLDTESQRRVKDNYS CC84DRAFT_1184461 MSTAVWPPIDNDQLTREQAASQARELEWLLVQLRDTLQSLKAGI EECAALLAPSESGSTLVLSSLRSESLKGLVTRVGTRIVKGNVKLRLASLPPPRGAASV DLAISSAPQAPTLVVPQLTAIRTAINTCLDVIDVTTWTGDATDANFVAGQLRLLHDHI SEARQALKGYSDTQPAWWENPLDEHIFDPPLPANVSFHLYVFDAALVLEIRTLEEQSA AADEGYTGFNLRDRLYGALGGARAPAHDEADQVFEFRKKDVRVKEKIRVETQDPALIS AMAKLNALEHNVLLSRKALHIVMGKEEQLE CC84DRAFT_1082551 MAPALLTAVDSASHVHLIIGSNPLAAARCTRSLEVGAKPILLAP EDATLHYGLAKRIEDGEVQWLKRGFHQEDLTTLGREEVDRVVDAVFVTAGGKGNLSTL ISKSCRRLRIPVNVADAPNLCSFTLLSTYSDGPLQIGVTTSGKGCKLSARIRREIASS LPAHLGDAVERLGTMRRRIWEEDHAAEMSQDLEVEEEDVGQSATFNKLVTAESAEIAR GRRMRWLSQICEYWPLRRLATITDADVEAVLHDYKSSQLKQRAGGDSSVAVAGRIALV GSGPGHPDLLTQAAHKAILSADLVLADKLVPSAILDLIPRRATVHIARKFPGNADKAQ EELLDWGLEGLKAGKVVVRIKQGDPYIYGRGGEEFIFFRSHGYTPTVLPGITSALSAP LFADIPLTHRGVADQVLVCTGTGRKGAPLVPPPYVETRTVVLLMSLHRLSALVESLQQ HEYPMDTPCAVLERASCPDQRVIRTTLEHVCAAVEEEGSRPPGLLVVGHACNVLHQQS QRWIVEEGFKGLDSIADSCIPLAEQVST CC84DRAFT_1193882 MASSTVVEVDIRGSLPKVASGKVRDLFAVDEQTLLFIASDRISA YDVVMENGIPGKGALLTQMSVYWFQYLKDHIPTLQTHFLSRSLPKALESLDRPLYEAL ESRSMQVKRLEIVPIESIVRGYITGSAWSEYKKSGTVHGIALPSGLVEGQKLPTPLWT PSTKAEAGEKDENISPQRAKVIIGDEKVAADVERLSLEIYLVAAARAEEAGIILADTK FEFGLDRANGNAVVLVDEVLTPDSSRFWPKDTWEAHLGKAQPSFDKQFLRDWLTSNGL KGKEGVTVPTDIVEQTAQKYKEACELLTGKKA CC84DRAFT_484173 MSGVQFTALIRLPFERGDFVDPQQATWDATKDRELWRIVSKSAK TKDLDCRWLATEFKVPPTFLLQQAAWLYERHLDHVRAQMKKVGGQTAPTSSIPDSTQG RASAATNRPGNGLAGVASRPTSVLTVRQKDDQVAREDASTSVNPGSKPSLSRTPSTNT ITQSKAFGQHASVRNSSFRPRQKEDIPRTSHLGAGQAVPQEPARVSGDSSPTDPASSS SSSSLSNSGRENLITRSQLFKRPPRFQRAQPPKELLTYDEDVEEPDYRSQDASAEYPF ARPGDQARATGATREGSRDNPAPVGREEKISSMRRNDRPTNTGRIAAPPAPGPETSSS VNSSVSETTNPDARGPEPSSGNHRAVMVQLSPRRLGTRSRKDGSEGTPSMGSSFSDID DTSISQSALEEALLSNIQHGRMSTLSQLRSRYL CC84DRAFT_1202884 MSAPAPRPPPRRPIFLEPWFQDMMKPGMPPILVSDFEDDPPVTV RYIQGNNDLDFKTVYTSIRTLSHHPGRGLLDTNNEDDPDPDFYIEKKCDPAFLEAFWK CAAYLCDYFADFLAFDTAHMQSVWFHLLDKLQEATILPHYFWISRMPFCEHSEDFVGD TNRLYVLISGLIAPTRIAPPSNILGTDYMNKDRRDDGVSGLKGWQQFLYKDSPGFFVP KSKRLYPMTAGTPTDRMVFEAHIPRGGLAIVDSHTKKLRELTEQVSRVRFAYNWYKAR SDKRRENRAPTPEQKHSLSLIKRYTKRKEMIPDPKLPRAPRRHPDLVDPGFQNLKPGL ERLNELTGAYKGKDIYQHPDDDDEKFPMLSLGYPEAPQNRTLQSRVDRAAYSEMYIP CC84DRAFT_1202885 MNSLMHSPISGSMHYSRITSVTEAAIASFDSNLQYCKDQLATVD YVELTGNVDVRFGSEMAASFFAHQLGLEFGRSVAVYTGNDGRHSVVRFAPEQDLGVPA FPLSPEPLSFTSTSTETEQPATTSTTASSIRKGPPRPMNCWMLYRDTRHKQLKDQFPH LTVQQISTLCSDDWKKLSPAEKDEWRARAKDAKEEHHRMYPDYKYAPRKPGQKKKRQS RKAAQAAMAATVPANITLQGEIHLPSPMDVSTMDFTQVDNFGTASDDIALIIHDSLSG GAVQPVEAGDASSRQEPPFHDNEIARQDMLDIELGSSFGFGSLDLFGDEAFAFRDGAD ASATLPSFSSEMF CC84DRAFT_1138971 MVRITTWNVNGIRNPFGYQPWREKRTFDYMFDVLEADIVVMQEL KIQRKDLGDDMVLVPGWDCYFSLPKHKKGYSGVAIYTRNAVCAPIRAEEGLLGVLCPP GSSTPYRDQSEDAAIGGYPTPSQVASLGVDPAALDAEGRCVVLEFPAFVIFGVYSPAN SNGLRDDFRYGFLTALDTRIRNLNKMGKRVILTGDLNVSREEIDTAKAEESMRSEGIT REDYMNAPNRRVFNQLLAHGKIYGARDEGRDEPVLWDLCREMHPEREGMFTHWEQKIN ARPGNFGSRIDYVLCSIEMKDWFQDANIQEGLMGSDHCPVYASTKDVVDYEGEQVHMV DLMNAPGVFKDGVRMREYSPAQDLRPLSGRLLPEFDKRRSIKDMFSKARVPPKAPSPP QQSPPELQASSTGQLKPAVVPDAPHTVNGSNDNQKITLNAPEKRRASDAPLASSTKKS KTNAVVFSQTRSSSGKGQQSLRGFFAPKFSSAKPKQEDSSGDYALAKKLSQDPPASPE EARLPSSLPPPATPPPIRDPEASKESWVKLFSKKPPPRCESHDEPCKSFITKKPGVNC GRHFWTCSRPLGPSGQKESGTQWRCGTFIWASDWNAPSPQK CC84DRAFT_1161376 MIAQRTLFRASQRMAPVARAPLRRRMYSSESPKQFAGAEDNEFN RERARIAEHAEESGIFWYKLTLYVALPCLLVAGINGKMRWDAHWEHVAHGPPKEEKPE YAYQNIRTKNFFWGDGDKTLFWNDKVNYHKKEE CC84DRAFT_1193886 MSKPRYLTASKISLLVLVQLYCDSDFPSSATVPVLSFVLSHTFP TTPSAARKSHTVEQHVAPLSIRTFEAILKNHTSSMPGRSLLDDFLKCLWEMNSMDALF DLFNDLGNLLGPPKEEPSQETKRMHLSPTSPLGALVRQARLEFTRLPFDDIVHLWCAF IAYRAPTAQWARRLSGMASSNVDIVAADLGLSKDDSLFEVTYGHLLEDDTSSTSLSHD DLERILEFQLDKLQRLGSRVPEEMKAPLRQLLESCDNVPRQAHLVLFFDAWKSGDYSS AFDNLHRYFDHAMQARERIHYQYALLHMAILQADFGCFAEAIAAINETVATARENQDI HCLNFSLNWLNHMSKAYPKQMKRAGYIGMLGSEKEGLAFLKAKARETRTYNLLSATLL NEAKLCLLSGDVASRAFELLYQSSHLSLKENIGNHGSHLLFQSALYSRLGNPSLSEVN CQLLLDCYQSACPLDERIRALGRRAFLVLQRCRYDEALCLLASTEQFMQRSLKFHQYV YLCTGLVKLRRAIRHKDWTACEALFSYLQPDSSTDPELTFLLSESRIDYLVARGLYSQ AWEAVEELSITLKEEGADVMQRISLLLAKADICRRLGRPERGFSVALRAASVAFKAHL KTCLFTAVGLLANILNSNADYHAATRLLNAIIPQSLENGDVHVAGTLYSHMGDAYMGL AGVEDASNAAGLRLRAMRVSHAELYIDRARECFKKAEDVEGECEQLMKKAVIARLRGD EELAEEWAHDHNRVWDHGVERIASNSVETH CC84DRAFT_1112726 MRPTARCLTPGFMKRSLDEFKRLSNRALKLEGLSSPTKPYPLII FDSQDAIARCKRMSDKDIGGFSAANLDFHAATANEPAHARFHGTISTELPRDQPHVQR TGYAGWRTLDRGFTIFGKSVWDVDSYAYLAIQFKSDGRKYFVNVQTESIVPTDIHQHR LYSKTPGEWETILIKWNEFVRTNHGQVVEPQREMLTQKVRTVGNALIDRIPGPYDLSI SKVWATNATSDDDILATVTGAITGLPSQHGFKKVETPKQEPLGDRSS CC84DRAFT_1112728 MTLDHAPRPRSIHDDGTPFKQTLLPQSRETGDVIPQKRPDGTPV ATAESPFAKSWAHFIAGGLGGMASATLTAPLDVLKTRLQSTYYQQHLAAMRTARGLPP IETMSFARSSLLHIRETGEILWQVPKTEGWRALFKGLGPNLIGVVPARAINFYAYGNG KRIISNQFNDGKESAMVHLFAAACAGIVTGTATNPIWLVKTRLQLDKNTHADGRGRQY KNALDCTMQTIRKEGIRGLYRGLTASYLGVTESTLQWMMYEQMKLALARREERVAASG RPPTTWDQTVSWTGKVSAAGVAKFVAALITYPHEVVRTRLRQAPLENGQLKYTGLTQC FKLIWKEEGMAALYGGLVPHMFRVVPSAAIMFGTYEAVLKLLGTSSNL CC84DRAFT_1161378 MSTHLPIRSLRPLHRQCLPFSPSQRAFSTTPTVERNLSSTRKAR QSDPVTIAVATRYQRGKASSSQNEEQFRDVRNLPDDIGLVPGTFVHAPMFPLTRFSLK DRIWYEWKWIRARLTSWYQLRRFRQEIGGKPNMFWFSRKDRRLLSQDAILKYKALYES FAKADSKTISALCASGVAKEFQTRIASRPAGVRMQWDVQGEPSCKIVSNIAMPLELPG YESTGVHQVVFRIQSKQTLKLGESEESRKKNDQDSATTSSDIVEYFVLQKQFIRGRAK GWKVFGFTDFSTLKSIEETEEYARKVNAYQAA CC84DRAFT_1161380 MRRIDLIVPYAEPAKDKSDGDMSSTMATTLPMAAIFTRNKMIGW VAVVFALQSWLAETAEQRRTAATPAYFSVGMSFMSLLVGYMPLFLPPVTPRVGTGSGT EAPPAAPPS CC84DRAFT_484399 MNSRPAQGAQDPVQHRGNAPATSARRAAGQHGQEAAVDHDRAGE PPPKARPKGKPGPLDGIQTTATEPMRVPPKGKPQLFFSVAAHSGLESSEPSPTTAALP VPPRPSLSMPAEALNQRRIFPGGSGVKADPTVTKVVNQETPASAAVLPGGKAADFFPW TGSHPEDVLSEALVKGGISNKSQIMNETNTARPSLWANLKNKSGTSTLSTLFVAVLEK RQSCSRLVAPNTFKPPPRLTLRDSTRETWLHDLANPTVGLRRLSRTIPHGITGKVLLD QCLNKNIPIPRALWLAKCVGINEMRAHKRKGQAGTITWVRGWTSSVEQFLDGVISTIG QQDWKIRITYALQLAAHLFKEHLMEEDHFMDWILKSLDSCSSERLFLWLLITCIPDFW TSLISFRRRGKRLAESLLNHAGKIYSTSGESRETSVLGLLESIIARVAVTKSACLLLP DDWEKCKVVLYVFAQRRAHAKISQVIPGLDQRNRDIMPSRSLGSSASQRSYRVLYQFL DGVDYETNISVDELAYECMELFPDHDRLISAVLQWSSSLYRQGLHRVYLATRLLRKWN HLGIDVSEGILRYLPRLALDPSKDAELVFRVVSELVRSRTFSLGRFLQWLIATGSMDP GQDHHAAIAWQLRLLTEVPLSGLSEQVLNLRSTMLRGSVYSVDTEDDLILNTQDSILQ QLTDFTLTDPNGLRRMTLNIGRLSRTMCLEVAIWLRRQVAATIETSDRAPTKESSIFM AGSVCTISSSCFEIVRHYLEQFKDLSILADVVGISATSFDAHILGASADTLCYHFKAF KAIGAFNPLSEKIVMRYTQIRAIRLPERSLLLSISALSHLTTVDSQLTQLLDYDLSRY DHRNSPAVCSPVSDTMIENVTGSDPEDEIERILSSGNSMDQHTMNRVFKKVVAYLDQR SSQGSYPSEGLLSWFCRLQSLEENTFHAIVVSWLQSVLTDQEPRILAVALPPLVVSGC LSLSRFAETTRDYMRRLQPNHFVESFRVAINGLDIILPRHELSDLLPHSYLYQFRTKQ VAFCQKQDSGLLELIKGALDLISVHPDLCSGDSLPHVLSDGRLLGVLRHSAAVDFQNV SFLLDCSARITSGVSQTGIKSLLDRIMDPLNALDLSRLSHEEQATAIFRYADQLSLSF CQLKLQDLFISMSTTGQSIESLPVAFVKFLKSTLEIDHSYMLDLVSGLDTNLKDLIRG HAEEEILRFSAFLCDINLDDKDGNCLDELPFVHRLLDVICATTTSNAREAQSSLLAPL VERLKGIAELLHQMDYRLMKRDEQATIENNLLPSDLCSWLNALLRLVVCQSPGLIPKA NHQHQAALMWNLRNLLTHPHLQGFPSTTQYIFDVSVLLSDHISEEVRKNLVSSTSTKS SYDSRCAFIFGLEPHEDGWLGLTRSLQRASSPQRQTPASNPAQPQIQGQQQYGVGAAQ SRRPSNTPQNQPQGRYPQQQQPHNILSQQLQRMGSNGQNSPVSQLQQMQQMQAMAQQR QNTMSPQLQRTPSMQNQPPRATKASGVKQERVDAKPVPYKLSRWEVLPESGSNAGGSG NETAISLSLFGARKA CC84DRAFT_1082113 MPPQQGEVSLLTLFADIHYYLSPPTHKTPHHRFDKGSYVYLYHS PMQHNGRIEVANHAGTPDQDAFAGYLDTVRIEQTYKHPCLFTLTVDAFRSQNGSATSS PQQDMSQWHLPSPDPQNNGKYMYRLHTVDMYFWTPEDASLFLDSIRRVVQPHQLQILA NPQAAPAQPEYKNDSMNPVVAKLERAAISHSSRSPSLNMSTQQSFPGPPTAPAPTSSP PAADAHSNFAPMAYNPAAPAAPEPIAHREKTPPPPDAADGTGLNAAAYNDHQHAQQYG NPLQTSFAPQPTSGPYVPGPPSRTSTFSGPPQPPGVSRTNTVGSIPPPPQSPPSFAPP PAGPPPGQGPVQQFANHPGSPGFAPGVQSPGAPSQSPLPSPGYAPQQYQPMASPPPGG YAQYQYSSTTPQQQPGADAYGLHQQLYRPTEQEAQTKDHSAPGPQAKSNMGKRAEKLE KGIGGPQPQLAEKTLDSLLLLIAAFVHSCRPIATSPTRFLADSSALASSVRRTLPHAG PTRAGTFRRRLRVQQTGRRGSDLIPFRTPEAGKLGWEEQHILYLCNMRRAGRRVGAAD DQVAEESLGGTATQLSQRVQALRTTSGRCAFYSMLTATQIAIIVIIIFVILLITYVLT IKLRNNSGVPTRKPKDKLPSLFSWKNLRIRPRRNEYSTSLQDQDAGRNGSFGASRDRE MTGSVAVANDPERQALAQDSTTTTNNNAGVDRNTSVRSVMTLPAYAPAPRENEQVLGR EGERGGIDTVVEFPEEVDEEEARREGEMESLYQIRLARRQEAREREERRQARREARQR GDYEALAEIRRRAEQAAEESVSAMLIATHQTANRERRVSSVQYGDLGVARHDGTRLRA NSTDSDNRPLLDSAASFGSGGRERGLSGATLSTHQRGLSASSLRSVSTGRASEEYEFP EAGNSHRSNSDDFEVVSLSHPNSNPHSRDRSRVATPNPSPSIEIPNEDAPAYEDPPIY ESPIATRAPQLPGAPQLPTLERLPSIQITPEPMSVGGREPLRDHSPASR CC84DRAFT_1161385 MSLVNLAHVCSHLQNASKARLGLTSIPVSKMHVNLMLGLQREGF ISSVTLGGTTPPTPFLLQDQLDPEEHEDMAQKLAAEPWAAYPSPKRAGEPLLGGREVS YNVKVPQNPARRRLWLGLKYWNNEPVLKTMKLISKPTRRIWLTSEDLGRITRTRESAY VKGLTHPGECMFLSTDRGILEARECVERKLGGQPLCRVY CC84DRAFT_1081582 MGQGGSAEAPHLTLEQLNHKLAQRFAQKSYTPLELYCFNTVFRS LADTESGVKYWSESTLCRFLELPDALAVGPILFQMSSYLGAFPFPSQAPAILTYEALL KVVTILTERYGAVIKKRSREIWLRELYRSLAVHDRGLRQDDGDTGESEKETQPSAGGS KGFAIDAPEEGGEDDDDDDELVLAALDSMDADEVFKHGEHSDVHHSVIPTDNFLRLVE LLLLIAPIDAQESLSAFAGELTAERKENLRRTASGILSSFGVSTTQPGITYRTFNTVV SSCLPYLFNGLNPLFEHFLFAKDLDLSKRKPGSSSPTLETHPVIPPPKPALEPVLRDP GEILTPTVLSQLSFFLKGSDLFRRLRPLYSGNAHGFSMGSFEKQAFHWRAPTILLVSG RLLCSNPSSTRERAFTDSLPPKRYPSSATSESSSSDQTYTFGAYIPAQWKVSHKGCFG DASTLLFQLSPTHDVFSASQYSEDYVYFNRPPTYPSGLGLGTPVPRQSASSSAHHNTM LRPGPVSLHLDDALEFGVFTHLAEGGGSFHPSRLPVRKGRDWQDRFEIESLEVWGCGG DEVAEAQRREWAFHEREAEARRRINLGTGDIEADRELLKLAGLIGQAQSGGSV CC84DRAFT_1202894 MSLRWSKRMFPLARPSGGDLYARGSRPESNPCLDGCSENNFRRA AFAVSRSGSRRPAYHVRREQGTEGATTSTSLSRTTTTSIEATATPSALSIESPSPSSS TTATAAPSNRPNFAAIAGAAAGGFVFLLLVGIIIFFWLHTQKSRRHHNRTFERRVSDS GDAGEMAQKQLVRVSIVAEEPPSMRPALSMQSHSRIGTTQLRTSICPNHRPLPGKRLT DLQLGI CC84DRAFT_1112745 MPIDFHLSPTESGVRAAAQTFARDVLIPSRRAPSSSAVSQQDRF AGTRALYEAAVTLGLIKAQIAAPLGGTGGSLVEAALLVEECYAADPSGASLTVFGTAL GLMPLQLAPHAEKYVGFLEPFLMAGEGDAPLASLVFSEPGGTANWLEKGAPGLQTTVR KEGEEWVVSGEKVWGTNCAGWDGRGAELQVVVCRDVTTAAREGEDPKERIVIVLVTRA DIVRNDDAAFQVVQHLETMGHKSYSGAHVRFTHLRVPQANILCGPGPQAVRTVLSSFD NTGVLVGAMAVGVMRAAFDAALAFAKADSRRGATPLLERQAVADKLGDIKMNAEACRA LTWKAGHALGNGPGEYQERRELALATKVFCSEAAVKAVMDAISVVGVTAYDTAQPFAE LLNTAIALPIFDGGNVAMRRRHMQDIMLSPNYDPWGATFGQS CC84DRAFT_1161388 MADVDLESGKEKGYSSPSVDSGAQHGYGETEVKTGSKWENFRDS FKRNPNARVVTEALDEEGRPLPEQPPAEPALSMKLKDRHLQMIAIGGSIGTGLFVGSG SALSSGGPASLILAYGLIGMMLFCTIQALGELAVAFPVAGSFAVYASRFLDPAWGFAM AWNYALSWLVTLPLEIVAASITLRFWSGARDTNPAAWVTIFLFVIISINMFGVKGYGE AEFVFSIIKVTAVLGFIILGIIIDTGGVPGGNGYMGAKYWYNPGAFNNGFKGLCSVFV TAAFSFQGTELVGLCAAETENPRKTLPKAVKQVFWRITLFYMVSLTIVGCLVPYTDDK LLGASTDANASPFVIAVRNAGINVVPSIMNVVILIAVLSVGNSSIYGSSRTIAALADR GQAPKIFGYIDRSGRPLVAIIFSSCFGFISYIVAAGSDTSDKAFAWMMAISGLSAIFN WGSICLCHIRFRQAWKYRGHTLDELAFRSQATVWGSWAGLIFNGLVLVAQFWTGFAPV GYGEMTTTELVENWFKAYLAAPIVIACYLIFKFVKKTRFKRINEIDITSGRRELDLPA LLAQERAEQAQWPKWKKAWNVLC CC84DRAFT_1256281 MAAPPSLPPVESTDSFNPDFNASSALHDAIGNAENAPASPVETM APAPVAHAQEPRQDAPDAQLQQKVHDVLHSDIGVNTLLNRLKASIASARDFASFLKRR GAVEEEHANSLKKLSRSTLDSIRKPDGRHESYQTQFELVMHANERIGDNGTQFGLALH AMHENLLQLANKMDASRKTWKQQGLAAEAKVQDAEKLVEKAKAKYDQLSEDLDRVKTG DTGAGRKFGLKGPKSAAQHEEDLHRKVQAADQDYLSKVQTAQSYRKELVSTTRPQAVA AMVDLIKEIDAALTMEMQKYASFNEKLVVNNGQVVSPQPLKGSTSQPSPSINQLIYQI NNDRDFDDYILKHASKVPSVRTDLQYIKHPTQASKTAQPTPPPAAGGDRRTSLQSQPP PTFGLAQNEAVSSPAQHEPSYPPGPAQQPSYQTYNPGYQQPPPQLQQPHLQSNPAPYS PIAQNPYAQADYPRGPAGQVAAQQSTGVLHSPASPPTNPVFGVTLDNLFARDGSPVPL VVYQCIQAVDLYGLEVEGIYRIPGTSSHIQHMKSLFDSDATQVDFRNPEAFQHDVNSV AGLLKQFFRELPDPLLTRDFYTKFIDAARIDDDTMRRDSMHALINALPDPNYATLRAI MLHLRRVEQSSDVNRMSTANLGICWAPSIMGPHQGNNMADAGLQARVIITILENVLQI FDED CC84DRAFT_1161391 MASPNGLPGEVTVCLQNARFLHLATSASNVPHISLMNYTYLPST PYSPHPTIIMTTPPSSQKTHNLESNPLVSLLVHDWVSHRPPTLDARNRSPSPSRPNPR SGSLAELLLGMNTASLSRISTTINGLATIVPSGSEEEAWYKAQHLANNTFGPSEDSYS SSPVGGLWGGGGLESQRQDEEMVEGDGGTRCYVEGEEVRVVVVRIRDGRVADWKGQVR DWVVGDVGGSGLANGV CC84DRAFT_1112757 MADDIVIDKDLFHERLGNFVSKWKTDKRGGDQLFQGAGSIATVV GKASESGSYLKPAAFQLWLLGYEFPATLFIITPELFQIVTTKKKASYLEPLKGGKVPV EILIRGKDADENKKQFEKCLDIIKKAGKKVAVLKKDNASGGFADEWKAAFGEAGIKDE DQIELGPILSQAAMSIKDAKELRLIRDASRASSAVMSNYFVEEMSEILDSEKKVSHKA FSDKIANKIDDQKFFEKQKVSKNFDNMQLDWCLQPTVQSGGSYDLKFNAEPDDNNLHA GVIVSALGLRYHTYGAMIARTFMVDPNREQETAYKILLSVHDLIIKTIKDGVVSKDVY SKALELLKKKKPEYEKHFPKNVGYGIGTENKDTALLLSGKNTRVLKDGMTLVISTSLQ DLENTKPQDKKSKSYSLVLVDTVRVGPTDCAVFTKDTASDLESISFFFNDEEEEEKKP KAKKESRPAIAQTNITKTRTRHERTTNQDAEKDEQRRSHQKELHQKKQQAGLEEYGED AKALNGTEEKKFKKFESYKRDNQLPTSVARLEIVVDKKSLTVILPIMGRPVPFHIHTI KNASHTPEADFTSLRINFLSPGQGVGRKDDQPFEDPNAHFIRSLTFRSHDIERIEQIT KDITELKKDVVRREQEKKQMEDVVEQDKLVPIKNRKPFMLDMIYLRPALDGKRIPGSV EIHQNGLRYAHGAGGVKVDVLFANVKHLFFQPSQHELIVIIHVHLKNPIMVGKKKTKD VQFVRDATDIQFDETGARAKRKHKGYGDEEEFEQEQAERRQRAALDKEFKNFAEKIAE AARNENVSVDIPYRELGFNGVPSRSSVLIQPTTDCLVQLTEPPFTCITLSEIEIVHLE RVQFGLRNFDMVVVFKDYNRTPAHINTIPVESLDPVKDWLDSVDIPFSEGPLNLNWAT IMKTVTSDPHQFFVDGGWSFLSTESDDEDAEEEEEESAFEVSESELAQSDESSEDESD FDENASDEMSDEGSEDEFSEGEDWDELEKKAARKDRESGLADEEEEKGKKKRKR CC84DRAFT_1139012 MTPSFTSPRTGAAPRVDGNNNDGQGPNKAPATGAQAAGMRVIAA RVVAFYFRAPVKAFFRGRIDYMGYARAINPHFQATAKWSWRMTTPAVLWHAIRTEGWS FIPNQVMPPLIANTFIGAVLYTSYLHSLSSLHVPSSYQTKRTYPPPSFQTTFAAGLIA GGVQSTLAAPFDALQVRFRTSDILEGKYKTMWHYSWHKLQSIGIRGIFGGFGLSLVKD SVGAAFFFGTFETIKSQAYYAYITRYYGSRTRDALLESRALYIDPTDGRPVIKPHYAL EPSFILLAGLSASLASQTIQHPLTELQDVHYRRLEALDFQAHQESRPSGIMRRYYHAY QETFRQCGKIAKRHGGWRRYLYRDFWMSTIKQMPSTSAGLIVFELVRRKYAFDTEEVI ISHEGAHILLA CC84DRAFT_1256285 MLMFPHILTTLLAIARFRGISARGNGFRHEAVDASNQHYERRVE NLDPLIFDYTISGQCPAPTRSIHSLLYPSPDASAVEITSQSQVVTSYLPEMTWCVGPP VAFAVVTTRAPYQNQSMEYEVITAGTARCETVYVPTETTVCATTLTGIASKITVSECD QEITFSSECGFTLETPTPVTSDSSLITLAPRVKRMTTFWVAPWQSLTAGEAPSDVDIK VCTAQEDESLECIHYREVWEVFVVTKTVTTHREVQLTTTVTGPGTLIVETMTAVITDT VETVDLSTTLLLETGVVTESTSKRKKLVTRPNEPETSDASDASEANDASEPSELDVST LYVTKHVKYKTPKPEPTTTVRITSVAHVIGTITRTRPRSRPRPTLLELPDF CC84DRAFT_1161395 MASQAPVKLAILDDYHNIAVQHFSAIDPSKLEVVTFNDTLPSYT HPQTTDADRKKLVDRLRPFEALSTMRERTPFPGELLRQLPNLKAIFATGTQFETFDKD TMKELGVQLVAAPGRGRTDGKGRGPTARAKIDIKKGGGHPTTQHAWAMILALARNVAA DDAVIKGKGVASGWQTELAIGLQGKTLGLVGFGRIGALVARIGLLAWGMKIVCWSANL EQQRADQLAEEVGLPMTGGGIATEDEPTFKVVGKEELFKNSDVISLHYVLSPRSRGIV GAQELGWMKHSGLLINTSRGPLINEAALLDTIRDGRIRGVALDVFDIEPLPADSPWRS ENWDVKGKSRVLLTPHMGYVEEETMQTWYEETAENVEKYIEGKELQHCLI CC84DRAFT_1256287 MSSEPKAGVGVSHNDRRESSSTTDIRHVQNVALADATAKAGVSP WTPAMFRLYVCLLVATLNSCINGYDGSLMGAINSYPQYRGYFGFSMDEGTPSTGIVYA IYTIGNLVGSFAAGPATDFKGRKWGMFLGCLIIIVGTCIQATSHTLGGFMGGRFVLGF GVAITSTAGPAYASEMAHPAYRGVLTGIFNTFWFVGGIPGTFVPYGTSTMEGTISWRI PIWLQMVFAGIVLVCSPFLPETPRWLIANDRHEEALNTMAKYHGEGSRDSPIVQLEYK EMVEDISVTGSDKRWWDYRELFNSREQRYRTMIVLTMAFFGQWSGNGPVSYYYPTMLQ GAGITSNHRRLLLNGMQNVVSFGGAIFGAVYTDKWGRRPQLLVSTGICVGIFCIVCAL VATNVINGPDGKPKVDATGTPMIKNPGQAKAVIAFIFIFGFVFSAGYTPLQQLYPVEC LRYESRAKGMAFYNFWVNIAGFYNTFVTGIAFSGAGWKYYFLFIFWDIFEFVFIYFFY VETRRRTLEELSEIFQAKKPVSASLSKTKIVMHSNKQGVSEIFNKEIAA CC84DRAFT_1112772 MEAQQVFRVLAPGISGEGLGAWDEKPKPKGSAHNLAAIVSAFVP TWFTAIIFIIAFVFIRNRYPKIYSPRTFIGTIPEKDRTPSAGRSYFSWYRTLRVVPDK FVLYHEHLDSYLYLRFLRTLIFLCIVGCCITWPILLPINATGGGTSSQLDRISIGNVS DKKKLYAHAAVAYIYFGFVMFTVARERLWLIGLRQAWNLSKPMAKRLSSRTVLFLSAP TATLDPDNAQRFFGDDAVRVWPATKAEKLHSLVSSRDATIEELEAAELKLIHNVKRKL EKSGSKGNSVFSSYDDLPNHMKKSLRPTHTLKTPPTGKKVDSIDHYREQLKDREEEIQ KARDSNANAESHDGAAAVFVEFRTQAAAQCAYQQIASAEILALNPRYTSVLPGEIIWK NLTIAPAKRISQQGLATILVVATIVFWSIPISFVGAWSNVEYLAKNYKWLSWLDNLPE TVTSLLAGLVPAALLSALASFVPNIFRAIFKAFGEPTNTSAELKVMKWYFVFQVLQVF LINTLASGAAAVLSQAASDPSSIPTILAANLPSAANSYLTYFIVQGLTNASNNMLNYS DLASYIFYDRFFDKTPRQKYQSFTKLKGIQWGKVFPKYGNFLIIAIAYSCIAPLVLGF AAVGLTCFYFSYRYMLLFTVSPKIDTKGHCYTIALQQILGGIYIAELCLLGLFGLRQA TGPSIMLGVLFLATILFNVMTNNYFAPLEKFLPADLSAEEDGEGAPLLNDREAEEGVV THHVQRIVDSTPIPPKYLSPLARFFEPQRYASYRAMRAWLREDTEWDEDDVPQYSEEQ LRKAYLDPAFTSQTPVVWVPRDEAKVSKIVVQDLEGMQVAASDEGAWIDKDGNVKWAT DDFSKVPIFKPAVRW CC84DRAFT_1213777 MDIHRSRFVPFPPSAINALAFSHSEPTLGNKDPEGLRLAVGRAN GNIEIWNPADGAWQQERVFYGGKDRSVEGLVWTQEPDSKDGKGKVTQGRLRLFSIGYS NSVTEWDLATGLPIRHSDGNNSEVWCFAAQPKFSKYAKGPEAEDDTPQKLVAGCADGT LVLLSTADNDLRFEKFVARSMVKKARVLSVTFKDRRTVIAGFADSTIRVYDTRNGFVI RSISLGNRGPGGPRETLVWKVRTLPNGGFVSGDSNGDICVYDGKNYSQTQRISAHEAD VLDLAVSRDGTKIFSGGMDCRTSYYTCNPRGKWREVSHQRYHEHDVKAMAAYDGAGLS VTVSGGIDTQPIVLPIRNYGKELSRGLPALPHSPPLISAPDARLMVSWWNCEIRIWRV KAQDDSVEKPKVVARLALQGDENISSVSTTRDGGLLAVATAREVKLFQLLETSTELGS ILRIKKLELPSTLGAKLVRFTPDGKWLAIIDMVNDVYMIRIIRTEDERPRALHTPLRL HRIDRGEGASDPLSSPTGLYNRSIAHAEFSPDGSVFAVADLAGYIDTWVREGHEDVTA PEVDVNETDAAPADDEDESEDEEGPQKRITFLGQRWIRNPSAHLLPRLGSAPALLSFE PTSGSASRPEPRGNPAVHPTRGNPHPHSHEIPDTEHRLLLVSADQRLYLFEVLAGRLS EWSRRNPPSSYPSQYRILKSPTKGCIWDVNNQQRLWLYGEGWVFMFDLNRDLPIPETI ETQDSTIGEEPDSKASRKRKREGARKGVSGAGDAVPEEDAPVTKMRRFDSAEDDESKS PAEIGLHDTEMGESDHEADDEQGALISLRRSKDGVDETDGASDDVAEDTDGAIEPQRR SPEPWWNTFQYRPILGMVPVGKDDQPLEVVLVERPSWELDLPPRFVGAHE CC84DRAFT_1237197 MEHSHGASRPTTAHQDANQLATELTERFRQVLSTKRMNELTSRS VQSRSASPAPRDFGTPQPPPVQNAPPSYSSLRNIPLVPEPPQDARSLRFKNMLHSLSN MPLRWENPGLLDEALRVVPLEQIYSEAEEESQILQAEAESLGAGKKAAWGYQDCVVRA LMRWFKRSFFSWVNNPPCGRCYSPTIGVGMAAPLPDEQARGANQVELYKCSLEHCGAY ERYPRYNDAFVLLQTRRGRCGEWANCFSMLCRAVGSRVRWVWNAEDHVWTEVYSVHRK RWVHVDVCEEAWDKPLLYTQGWGKKLSYCIAFSADGAMDVTRRYVRDATKYSAERTRA PEAVLHHIMTEIRNMRRNNMGKQEKFRLEGEDMREDRELRTYVITSIAQSVSKINADD IISGRVTARADPDAPKALEGRTSGNAQWIRSRGEQGRGTPGQDPHNQHPR CC84DRAFT_1081665 MGKAGRFACIFTPMALTIASLLCLGIVLSGQAYQKSDLSKELYF FKANTTGFKNDPENVLDNLPEGVTPEAVKDMQVAASAGNMKDIYQVGLWNYCEADLKD GKEENWHCTDRQNYFWFNPFEVWNLTNTSIQAGFPEDFQSGIDTYHKVSRWMFISYMI ALVLTVAEILVGISAIFSRWGSLVTTIVSTAQTLFMIAAASTSTALYGTLISVFRTVL DPYNIEASWSAKMLSVLWIGVAFSIASGFFWLISTCCCSGKSPHKKVVVEKTPYTYER VASPYMGASGNAHQMHDMSGHHGQTGHTYEPFRQQHV CC84DRAFT_1139033 MTPIVPDFARHSRTYLFVGAAALGTLVFLKSIGTSTIPTGPHII PSPKDTLLPNLTAEEVNQLPYPPDPLPGRRDVSSALGDTRVYEWGPEDGRRVILIHGI STPSIALANIAQKLVDRECRVMLFDLFGRGYSSTVTPYTHPHTTLLYLAQLSSCIASS PLPWTSFTLIGYSLGGALAADIASRIPSSIENLILIAPGGLIRSSHINWRSRLLYSSH GILPEWLIEQLVARRLWTGPETVAHPEPEKDVAQLSGEIEEPRGGLRSAAVYTTSHHR LLPDYENSTAAKVVDWQILHHRGFIPSFVSAIRNAPIHGQQARWGILRSNIETEVGGV RKVFLVLGETDPIIVAEELVEDAIDVLGRENVEVRIVKGVGHEVAISNAEDVVGAVEE AFASQG CC84DRAFT_484908 MDQWNTNKLPERLPFSKKRLPKRVIFSYILDYVVIFVLLAVFML VDKIEPFHQPFALENWTLHYPYAKHERVTVTMAAVFAIGFPIVIITFYTMVIDGIFSH QTPMPAGRGGLKRLTGRYRLKDRLWELNCGLLGLMLSVGAAFTITGALKNAIGKPRPD IVDRCQVKEEVWKAFHDNYPRVVMATVADCMQSDNYILQDGFKSFPSAHSSVSFGGLF YLSIYLAAKLHVLDSKGEVWKSFIVLVPTLGAALIAGSRIMDARHHPFDVLSGSLMGI LVAWGSYRQYFPPVSETWRKGRAYPIRAWGKGPAMPPPTAVIADDIQPLRPVRSATDE ERGTSSAIPGADGHSGNVFRQQISQSQRRREEEFGGIQPSDTMSSTYSSKVAGYQGQL PASNPFTEASRRRQDNYEYSSSEDDDNIELQPSYSLSGRPLAGQRGANYDPVAGRLTD TGYHPPQGITPTPTPPPVRTTGDIAEARGGPAPPPAHAVGTTTQQV CC84DRAFT_1161400 MSAPSSEGRLSTSSMPSVTSSPTLNSRYSDVYADNGEGSSSQRP SLAGSRQNSGGRSHVQEEQSQHFGDALRDEAVRERDFAQRKRNRRSGGFLLDSAFSNG PRSRHAHQSGSGKDAKRKQTNDRSANAARHAATGIASGSPLSREVSMAEGVPAQADRR VDSVNTEEHASDGILVAKTRARLSAVPPSAPHQEQPDATTPRPAFDPNQIVHMALSLN ESRRRNMSAGQLLTPQAHVTSGRRRDGSVNSPSTGSGHLRQYLNEQRRASRNISPFGD KSPARHMSMSAQRRGSVLIQGQQGKEPSPATWQRVDKARAFIELRVEYLRLLEFLPPL KPDSSAPGNFVVMANNVPGSPHAQLTRIPSHANKHHDLGRPYNPLQVLRNRRSRARER KSFDHPPEEFADVEQVHKWVDRVEEAAQSFMYRQLDRVALPRLHESHATAADHAKPAR PSKIWMFSSEELLADAHWLEHSDNKTIVEDRYGRKIFPAKEPQKQDLLSARPSKEYPD KRRRSWVEGIVADNHNTTGDESEALSERGRKRRLLPTFRAESPKYKKHNWIGSRQQAG SNTDSSDSESDLEKRRSKKTQKMVEGDNETGALKLRMKHLMETEVKETQRAETPLLFT PDTPNKWGQGTTDGHDEKGVRSSFEVSDSANGSVKANLAGPKLPPKQRNDPELSKDPS KEYRSSFEEFDSTAPSTPLYPRHYPHIDGDTSPSVSRAGSHKKPKKSKLDFLRSEETK ARHDQDHLVPDSAGSDKKRSSRHAIEEAEEGNGISSAIWAAPGAVKNFLGHRKNDSVN SLTSPIKDKDRKDAKEPPSAVTRFIKGVKNESSKMGGFIFRKEGPADESDTDAASDGH LALESETDEDYGSLRRRKTPKLARSSTAETAGSVTSKTSKKATGYHLELPSFRPQSKI DEDSASELSDNHISRQSRETAKNRSPRFDKLAPPGLDLSRISSSSSRSASQDRINRLL ARPGGVGHAGLPVTSLAKDRLRSSSADASPKRRPSRPTLEGRRHWSITDSQKDLSRSK SSDTIEVTPSEIARVRALYLCSGIKAKEINRRALEIRNPPASFLVRAAASAHRDLYPV ARKEEHVLAARILTTAFESRISALQSLARSFREDTVVDLQSRIADLKSNVETSLFARV REGGDDALKVSSQVSADAPLAVKQITDDIEHMVRMRRRRTRWVRRVGWTLLEWALLGF MWCAWLVVTLSRVGTRSVAFFWRIVKWLLWL CC84DRAFT_1161401 MKPHPHDSPSSSQEKLIHPSPSTPLLEETILLPDKRQHLPGRTT TTPDSHLHFPNDETPTLDHEQRLFDEMSTLSLHLLQPEEMIIQEPLQLPLEEISTRNP LQSQLDMMATNPVLQPPLDETTIPDLQQPGMSPLQLTGSLVPCSITPLATKLVRDPFV AKRRNPFAINLKRNKTFVTKRRPFLSMKRLHLFVGRRLTNNPLARRQFRKRQLSQDLS AATPKTARKGTTRRNVVAQGAELQGCGIRKFLQRKGRKEKVQKSDVGAQPSGVLKRRK QKRARKKILDLPPPSLVPEMTTVILDATTSQTGPVKRVVDPPSIELIQSELSASEPLS PARAPSQPSMQQEEYLQQVLPSGPTPIARSSSRSPQLRQQEQSQRRDTASNDTKVQMQ RTRDRAPQRREREPLGWAHYGRSQKGAQSRLQRGSSLERQVMEIRLEKHPRSERRRAE RREIPVDVHQQRTAYDLQELHGIRKTGVQEGQAVQLDLMTSQDSSVVQPSLRQDRAAH SVRLRRRRSPPNYSRGTEVSSRPFRESEQYQRQQRKPHDRLTRVRGRETLGRDWDVNN SNYQSQRQRQRQRQRQRQYSSIEDQESSRERAPDQRYREVAQSQTSSYPYQEQQQRMI HDYSPQRSSVLTPSEEIPSSQRARPQRLYPRQDRRAFGDEETSWSRQNGMGRMQEGRK RNEEEMLKRGQLVELDRQGRMVEASRRDFERRRGLEQRLWRAKE CC84DRAFT_1081884 MSSLRIARAALRARPQAIARPVMRRGYADVASDKIKLSLALPHQ SIYKSQDVVQVNLPAETGEMGVLANHVPSIEQLKPGLVEIIEESGGSKQFFLSGGFAT VQPDSQLSINAVEGYALEDFSAEAVRNQIAEAQKIAGGNGSEQDIAEAKIELEVLESL QAALK CC84DRAFT_1139043 MKSSIIASLIGLAATVMGYADPMACSGVCNNAHDPALIRRDSDG RYYRFSTGGKIAIHSAKTINGPWTYLRAAIPAGSKINLAGKDDLWAPDVTKFGDTYYL FYSVSSFGSQNSAIGVATSTDLDNWTDHGATGISSKAGSPYNAIDGAAYYDGSNIVMS FGSFWGDIYSVKMNNPPLTVASGATSTRIAYKPSGTHAQEGAFIAKNGNYHYLFFSVG QCCGFDTSKPAAGAEYKIQVCRSTSATGGFVDKSGVSCTNGGGTTVLESHGWVYGPGG QGVYWDPTLGPVLYYHYVDTRIGYADGQKKFGINKIDFSSGWPVV CC84DRAFT_1139048 MAPPPHSKPENTLKRAQELIGVQQPQAALSLLHEHVISKRTRNS PIASLEPVMILFVELCVDLRKGKLAKDGLYQYKNTAQNTNVGTIEVVFKRFIELAEKK VTDAQEKAAEVHKATEGPAADGVEEEAGAKAVDDLEASETPESILLSTVSGEQSKDRT DRAIVTPWLKFLWETYRTVLDIFKNNARLEIMYQSTALQAFQFCQNYTRKTEFRRLCE LLRNHLQNAAKFSSQMHAINLSDPDTLQRHLDTRFQQLDVAVKLELWQEAFRSVEDIH TLLTLSKRPAKNNMMASYFKHLTEIFLRSENYLFHAAAWSRYYNLLRQSAAAVAAGQS KKDNPPVTEADIVNTASFVLLSALSIPVISTTRSRGALVDVDEARKNKNSRLTNLLGM SQAPTRAILFKDALSKGLLKRARPEIRDLYNILEVDFHPLSICKKISPILEQIGADEA MKIYIGPLQQVILTRLFQQLSQVYDSVEISFVLKLAQFPESFEVTSGTIEKFIMNGCK KGDLAIRIDHAAGVLTFDSDVFSSAKAMHPGSGAGSAESEARSVQRLQSTPAEIVRSQ ITRLAKSLFVTCQYVDPSFNAERQKAKEAALLRTKEGAEKEHQATLARRDIISQKKEA ALKAQQAKETEEQTKRALRIQQAKEEESRRLAEEQRQRAAARIQAEQARVQREELEKQ LKELKESVAVDLDLPEDISELDSTRIRAMKLAALEKEKTLLGEQLRIAGKRIDHLERA YRKEEIKHLKDDYEKQQKDDEEAYEKAKQEELKEAEQKHKEDVELKHRLSRLVPAYQS FVNEVKQSRRAEFEKRSKIAQKELEQKKAKRVQEIKERIAKEKREREEAEQREREERE REEAEREAKEQAEAERREKLLAEKAARDAERAELAEKARLQRQREEEAEARRAAKKSA PFSRDVPVRDAPVRDVPAREQASPAAGGPPRLALAGNKPTWREREAMKAAGQLPPTES AAPAPVAAAPSPAAEEAPRRSGYVPPHLRNRDGGDAPAAGGWRGRESSGRGPEDRNES PAASSGRYEAPVRGGFRSREDSGRTGSPATGGGYAPPGRRGTPGDAPPESSRPPPPTQ APSDGKYRPGMFKRGGQ CC84DRAFT_1161403 MAAAPYEIRTGGDGKSKKQSMAELKLRRLTELNQRLREDLERRR IPVSEAAIDLIAFTDKEPKDWMVPSRWGTIDKRDDPYAPQQSNGCCIVM CC84DRAFT_1213787 MNGTTGAPPAWSGAEQFDMAGSEHDFSNLLDFDLDFSEFANGSS AGHGGQQLEQLADELDVQHLHNPFSPQLSHQQHRNGARTPGAPQPQRHMDAHNGGHPH SMPTQSGFFQFSMPFQQHGVPAFTQAPDVYQPHAPVPPTPNSMELHGDPARYLQQLDA QHAMFDQRFQMRKDESFTPLVSPAVTPHEPRFQVHDMTTVPGAYFSPLTSPALNAQNY PHAQTHSSQYGTTSGSSTGASPVDVDMDMLEEPAISQTSQSRKLRSAAKRSAPTRFSA APARVRQSPIVKPARRKATVSSLIPPKEVTDLLEEERAIRPSSSGVDLRRSRESSESD SISPGPLSEMGPPPKPNSVHPSPAMNAQTTELGVAPATPASLMRIHPSPTFGDVDAPP ALDDLTLPEASLDKPPLSRLDTAVRDDDQDTPRITARKTPKLGPLSTPSGASAQSGKP SPSPMLSAISSPTSPAFFPGTNRKVDSKSARSSKKRNSVTTTLVSPALRPKISPSIKP LLPDGSVSDDTHALLLASKSNYQNILDGTVVPGVSYPTSLSTNLTSKRTSHKIAEQGR RNRINTALQEMQALLPSPHLGAKESKSPESMTAAQSNNSKAAKVESAIDYIKALQKQC SEKDRQLDQKDQELEALRRELATFKRSSSTEAVSSADTALQSTEDAT CC84DRAFT_1139055 MVNRRSNSDAATTTPLQSVAPVIKRKRRASCLGEQERKERKRAI DREAQRSLREKTKTHIAELERTIEILRNQDRNGATASLLSEIEGLRAENERLRDVIDG VRSVIGGEVFGRMAPTTSASAGVSGPRLEETTPMDESAGPTSPRFRRDSISYSQAATD AKPPPSLPSPETTPPDAQYPSASFDFNPPMPASSRAVDLDGMTMMSIALPTSSANDSA LDLSLTLDDVTNEPTHEDALIPTPDSPATAAFAPFMQEMELFGRAWHCPSPMILHMND RNDDRPPSSPHVPSSAVCPIWRKSNELFGKIFSSRAPSSTGPSSLPLGDEFDWGMEAG LLFKGIRDGWKTFDHWKQSPVLQILKAVDQFLFVKSGKMERLAAGYKSFKLLKYYINP TKGELDKVPTWLRPSPLQSSTSHPIAIDMFAWPSLRNRLVHHHHTLFRNSKLSHNYAN FLRFDWPFSFEDTFYYDDAIGGWYPSPLFERYHGDVRSWTVEEGFWEGLEELRGDIEG CSAT CC84DRAFT_1161406 MASTILKPVTQPPPTSHVLLTYPAPYVLLVTINRERQMNSIPFA GHTEMGQVFDWFDREPNLRVAIITGAGKKAFCAGQDLIELGKIRQGTVEKDVAKQRHP LSGFGGISRRAGKKPIIAAVNGFALGGGFEIVLGCDMVVASPTATFGLPEALRGIFAG AGGPPRLVRNVGLPIASEMALTGRSITAQRAKELNLVNRISPSPDTVVDEALRLANDI AAISPDAAIVTRAALKEAWEQGSVERATQLVIERFERDLNTGENALEGLKAFAEKRKP EWKASKL CC84DRAFT_485954 MGRFFLPRKWRMPKLVLGLIIFEFPFTVANLALFGIAAPNTYRT VLWRVGGELGFNSDPSEVAYAAYNYQKMEIPLVWSSLNTNYNMYIGVVCMFFYLIKFT LWLLHIFYPIISLILHVCLAALWAASLYIQTAPDTVDPAHQNKGAPWYITKSCNIVSD QTERGYCMQAKSAFAVSLIMLITYAIFIGLSIWSLIPTPEARAAHDSKVAEKKAEKEL YASSPYDQEMTAEEQWQHMWELQQLPRTPGTATGHAPWAKVPATPRTTAFNQLQGAPI PQAHQEYYAQPGSPMVAPPQQQQTHSPPQQTYDPPQQTYFPPQQTYSPPQQTYFPPPG QVPHESVGYAGVAPQGQQEYDEYLYDGKGKGTAM CC84DRAFT_1161409 MAQNLASEGYAVVTMDHTWEAMVVEWPDGSHTRGTVSPNVKEED AEKHAQLLDTRVGDARFVLTQLGNLDVIQQLVPNAKCPFDTTNAAIIGHSFGGATAVC ALIQDTRFKGAMNMDGSQYGKLAKTERLVVLFGRGEPDARNRSNNATWANLCKHLGHL KEINLKGSAHNTFSDLPLIFKLGGIANEGFAKEMAGTLDGERSFGTVMAYVKEFADLV LKGRNGLLYEGPSELHPEVELMSKV CC84DRAFT_1161412 MVQTRSRAKAASLSHEERTAAEPRDEGLYSVTIDTVTPVNNRIK TFKFALRGQDTFNFQPGQWLDVHVPGIEKAGGFTLTSTPSQARTRPDDAEHKPFLELA VQNSPSNPPAAWLWQPPEEIIGKEVKVRVGGSFVWPPPKIDYATIKRAVFIAGGVGIN PLVSMLSHINEQEVEPEVRILYSSKVPSSDTQPEEVLFLTRLLDLFRKPRTHSTKNCI ELFLTGTWDGAPLNRRNDEPIHPLMSLTLPQIQSDTEVPVTAWTHRIDDTALASAVGN ADEAQGTVFYVCGPAEMTDTIVDWLQKQQHVDPERVFCEKWW CC84DRAFT_1256305 MSVEEGWHTVEDGKKLYTKTWKTNGPAKARLVFIHGFSDHCNAY NQFFPTLASKGIEVYAFDQRGWGRSVAKPSERGHTGPTSKVLDDITSFLRTVIPCPIP LFLMGHSMGGGEVLLYMSTGPAEIRKHIRGYLLESPFIDFDPKSKPSSITVILGRLAG KVLPHHQLTNPLDVTLITRDPAVQKQFTEDELCHDTGTLQGLAGMLDRTADLAGGKVK IGDDAGEGGVTRVWIGHGDKDGITNYQATKTLVERLPVKDKEFKTYAGCYHRLHDEPS PDKEVFVDDVANWVLSRSEDPVQVEDSKPKL CC84DRAFT_1184501 MSATQTQTRSHGGHSHHHHHDNTYLVSKNKNDAGVRITRIGLYV NLGMAVGKGVGGYVFHSQALVADAIHSLTDLVSDIMTLATVSWSLKPPSERFPSGYGK IESLGSLGVSGILLGGGMMMGWAALVALAQQFFPDAAHFAAEWGLLPHSHGHAHGAGS LGPNINAAWLAGGSILIKEWLYRATLKIANERKSSVLASNAYHHRVDSLTAFVALLMI FGSNMLNNASWLDPVGGLVISFMVIQAGVGNTRDALFELADVGIEKEMSDKVRKAATK ALDDINVGSSSDVNVRHVQGVKSGQNYLMDVELGVPGTYSVEQTRGIENLIRERVGAK VRGVKKVRVRFVSNTADQPDFLDEFINLGDGASLSPESESDHEHDHDHDHDHKENGSV KKKN CC84DRAFT_1139070 MADQFLGFDLSTQQLKGIIVKSDLKLIHEAKVDFDADFAKYGIE KGVLTNPSEGEVFAPVALFLDAIELVLQRLKEQGADFSKVQGISGAGMQHGTVFWSKD AESILGSLDPGKTLLEQLEGGAKGERKGAFSHPFSPNWQDASTQKQCEEFDKKLGTPE ELAEATGSKAHHRFSGPQILRFHQKYPEAYKATARISLVSSFLASVFLGKVAPIDISD VCGANLWDIKSGRWNEECLALAAGEEGVDNLRSKLGDVPEDGGGSFGSVSPYFVKRYG FPGTAQVIPFTGDNPSTILALPLRSSDAIVSLGTSTTFLMSTPQYKPDPAYHFLNHPT TAGLYMFMLCYKNGGLAREHIRDAINEHADGTAKSWDLFNETALKTPLLGQQNPETDP MRLGLYFPRPEIVPNVKEGTWRFLYKDNTLSPVPPHGAQGKTEDKTWPIPSADARAIL ESQFLSLRLRSQSLVGPQGDLPPQPRRIYMVGGGAANSAIAELAGQVLGGSEGVFKLD IGGNACALGAAYKAAWGVQRQQNEKFEDYLEKRWNEKDFVKKVCDGYRKGVWERYGEA LKGFDQVEKKAIEEKGQDNNAEQNAGGKVSA CC84DRAFT_1139074 MTPYNLPADAVWFVTGCSSGIGYALCEYLIKHTSSRVVATARKP TTLACLPDGPNILKLALDVTSDASIQTALNSTLSRFARIDVVVNNAGYGVMGDTESMD LPRARGVMDTNFWGAVRVTQLSLPILREENIKTGRRGGLVMQITSMGGRVAFAGTTFY HASKFALEGFTEGLSKELPTEWDIHFLCVEPGGVKSKYAETGMSTFDEANRLEVYKDP NMPTNQLLKYIESPGAAKNWAEPERVAEVLYGYVKKGGEMPLRLPLGSDAWGLLKAAA ESNAKELEVLMGVSCSTSGKEQLESLDFLK CC84DRAFT_1237280 MVFQDRVDDVPQEHQVHRQGQWLPTDHRIHRDWMNSIIEKVDAN PKDYHPFIKEFKSLIENNTCIYLLVSSMFEEIPTKKPYSQDPAGHKQVRDYEHMLMLF NHILTTAPEWNDRSYGVGMVGTPMNAILDWPMGTPSGFAFFLDPDVNKMLKKLLNAWA EFLSSPASAYVLGNDCIGWFSEHGTQDLCATANVGKTSYAFEELFKCDPTAKHHGFKS WDDFFTRHLHEDKRPVASPEDDNVIANACESKPYNIARNIAARDRFWIKGQPYSLLDM LDHDPLHEHFVGGTIYQAFLSALSYHRWHAPVSGTVKKAYVKDGTYFSEPLFEGLGDP TTKSNDINKAGEADGQGYLTATATRAIIYFEADSKDIGLVCFLGIGMTEVSTCDITVK EAQHVKKGDEIGMFHYGGSTHCVIFRKGLELEAFPEIGGEENLPVRSRLAAIKGHS CC84DRAFT_1139076 MAHSHLLTRIGLLCCLALSVLAQSDKLVFCHFMIGIVGDRTSAA DYDDDMRRAKSLGIDAFALNIGTDSYSDTQLNYAYESAANNGMKVFISFDFNWYHDTS DASAVGQKIAQYGNRPGQLIVDGKVFASSFAGDQLNVPAMRIAAGISVFWAPNIHPEY GTSFANVDGALNWIAWDNDGNNKAPKPGANVTVAQGDSRYVQALAGKPYIAPVSPWFF THFGPEVSYSKNWVFPGDLLWYHRWNEILSLKPRFIEIITWNDYGESHYIGPLKSEHY DDGNSKWTNDMPHAGWLDMSKPYIAAYKAGASDVTPYITEDELVYWYRPTLRDINCDA TDTTMQDANNGSGNYFKGRPDGWQTMSDSVFVVSLLKSAGQVSVSSGGNTQTFNASAG AAAWTVPMGVGQQKFALQRNGVTVMSATSLKDVTNVCPCGLYNFNAYVGTLTCSGSGE ADPLGADGLASLTVGLKVSTCQAKPTLGSPLPSSCNGAAAPTATSQVASLSTSATSTK TTTSSTKIPVTNTPVTTTFRTTNTPATTTKACGRTITASSQIFPTNCLQPGDVWQGPA GQGKPDKCDGAAPCTA CC84DRAFT_1161417 MAPISLSLSPSRPKAASYTPVPFSPYSDDNAAVSNNVQPRYAES IVSDTPTSPASIESFDILDSIAWRRGYTSYETHESRQRAYEVLRKKWRKNRALIIAIL LLFTLFVAGCAIGGWAVVRHESMRAKEACLAREGGERCNDPAWSKCVAINGTGYCSGV M CC84DRAFT_486123 MAFRQPMSRYGQCTSYFVETQDDGLYAARAEERDRARAIARMQQ RALAEELSRLTADEYQDDIMDHMEYMESQTLPDVNSIDIQTEIQWFMRPYLLDFLVEA HAAFQLLPETLFLAVNLLDRYCSRRVVYKRHYQLVGCAALLIAAKYGDKKDRVPTVRE LKSMCCSLYDDEMFTQMEWHVLQTLNWIIGHPTVDAFLQVALAEGPYDPEVEHMSLYI AEIALFHKEFVSTRPSILARSSLALARCILSRNDHKVSEWAATYDPQTVMSLSNHLYQ PSQVLSRKYSSMHLSNVSTTVDEFLQRQAQIARRAAAPPTPPASVGLEEPKSMIDAYG PQTPQKTHYSASVMQHGCLTPPITPENEQYVAKSHPMPPTTYPTTPTPEHPANMHQAQ YYQNTYIQPQPQY CC84DRAFT_1139083 MARHEAFQLSERKDAEHEEERAGLLEDAVFSEDSSEVSDVEKPE GKEDLGSRPADSTTQLLRRNWLRLLVVALLAAWTIGFLIHSFLSRSSGSSNITLAAQV RPEEEYILDSKWNFDAEPTTREYDWTISEHDLNPDGVYRPMTLINSMFPGPLVECNEG DEIVVHVHNKASNATSIHWHGMFQNGTNWMDGTVGISQCPIAPGQSFTYRFNVSGQTG TYWYHSHMAMQAADGLVGPIVIHARGDEESRLQKVPYGQDRVVMVSDHYYDLSSKLLM QYLQPGNENEEPVPQSALINGRGTRNCADLPSRNCSSVDRSNALFDLSTEYNTRIRFI NVGAFAEFQIQIDEHEFFLTEVDGTDVYPQSIHRLNINPAQRYSIVVPPPKENKDLYW MRARMVSHCFAYEEPELKEEVRAVIRYQAPSVAVDPASKDWPEIIELECRDLNVSALK PVQAIAAPEQADDQVYLRSSFQIKDWRLSRGFLNDSSYRTNMSHPTLNTLISAYEQKQ EPHMYYLSYPFGINAGFDAKHTLVYQTTGIRTIDILIQNFDDGNHPFHLHGYKFFVLG SGHGYPADNLYDTLDFTNPLRRDTASVEAFGWTLIRFVADNAGVWPFHCHLAWHNEAG LLMQFVTRADEIANWTLPDDVKGLCAAEGIEKGAGPEDEIWFGSFD CC84DRAFT_1161423 MVSSLLLSVSLLASAAVAAPKQPSGGYKTSDWVTGKAFDRVAII WLENTDYDLAIGDPSLKSLAKKGITLTNHFAVTHPSLPNYAAAISGDYYGINHDDMTA IPSNVSTIVDLLEAKKISWGAYQEDMPYTGFQGFDYKNQKTGANDYVRKHNPPVLYNS VVEQTSRLNQIKNLTLFYEDLKADALPQWMFITPNMTSDGHDSTVTVAGTWSKNFLEP LLNDKKFMKNTLVILTFDENHTYTQQNRIVGILLGDAVPKELVGTSDSNFYNHYSEIA TVQANWGLDTLGRWDVGANVFDFVAKKTGDDVRQWSGKTPLSQMYWNVSYAGKLNNKN TSVPWPIPATKLKHNGRKVADVVKKTWGSLEKDSAYTTALEVPDGLHPESEFTRAQKT KW CC84DRAFT_1213802 MLKLLQFALFLEVFARVGASPVDATFDAAHPTITQRAELFVREA PLSLVGYYTITDADGNVWITSSEKPGSVATFRGSTLVDWCNEGSEYLSATCPSAYWTS CDGSTLMSEGGSSPCTGTSTCDYDIIYTGSEIDTTSSLRWYHCIDRTYLQFYRDVPPG TLIEDVTSTDATSTESSSESSSDSSTTETTASPASPGTSLASQTSDSTSSPTPTSSTE PVKEKEPSKAWIAGVVIGIVGLLAVAGMGFYLWRRRNSQGYGPAPQGPPTGQPQAHMQ SGYPPQQAAYYPPQQAYGNGPSPYLQPVSPNMGAYDAQTAKPQDNTMYGGYAAQQYGG PPPGVGTVQMPQPNPQVQPQMAANVHELPELAPSSRR CC84DRAFT_1139090 MATQDAKENIADGLGAGLSFQTPSAPSPKKSRKSKTRSKSIGPS GLSTLEEKPSALKESNGNRRKSAFPAVKSILVTNDIDEKKRREARRKSLARRRVSFNP EATLHSWDIVAYAHDETSSTVSDATRRASAVAKEPAQPSSDAEEPPSTPPERVEEPEP ESGSSPGNQRDAHRKKNRRRSSGIPPLNFNNPDDILSSSPLIENTSPVKDAFQDQGDD THGENDSQSSARLDAALRQASELAGTQRLNLDSDEDMSMVIAEDDVTASFKPWAKKNQ QMDFEDQEKEDPFFKSDESEDEDMSMDITRAVGKIVAQQETESPGSDDMSMDLTTAIG SIKQAPAKELPSRRKSLKRRVSMLEPSQGSPAKRSSSRRTSLRAQAVPEEIPADDQTM DFTVAIGAIKSEPVPDTRPPSKRTRASGDSSFGDETMDFTIAVGSIKDVKVTPEEGAS KQPEPEEEDEDDMDMSMEFTTVVGPGIKRIVKAPEQVAGEPVTSPTPNKRNALTPQKS PKKSPGRLLYPDLTAVLSATPQKALKIPPSKSPRQPRRSLNFATPETKPAKQRIEDFE ASPFVHSTPQSSSKPTDIVSTPKSQPRNAPLIVPDDPIEAPILNRRRSSLSNVQFSPL AKVAEEPTLRSAAILSNNIKLLSTPRKQTLSSPVKRGMTPKKSQTPQKEPTPKQKTPT PKKRTPRKSMSPKKKVAFGAEPEIEDDVPEQSIDEEVDDAERISLQDFLDLTRIRFME VSATKRRHTAAPASFHDKEVEEQEQSLDQYVVAGACIVPEYECYSHACHELKQFISSG RDYVRTLEENVEEENPLLFSEYLTAPPDQRAIMDNQFKNLKTNARLETRGEWYTWRTN LLRDLKSMLLPTLDEFKLDESVIRNQELLLEKILPPLQQTQERLSKECGQLQQRHDEL NNCNREELEQVRERLVAVDTELEEKRQLVARMQQELEEKEARIEAVKERKVEYVAEIK AAERVREECRGWSTTEVSELHAKVCALEQKHGWSITSASGSTITMTYKNDLELYFQPS AFATGADTPNASISLTYVGNSATPHPRPLTTSKRFFLQLIRAHLHCIPQSQTRVKDLL DVIKNGWATASAVAEGVRWLEHSYITDETILSDERMAVSSNMLLPTLQTKVRVTFEIG VSLGPEGAETEVTAKAELVYGEKFKTEKMGTFLEHHCGSKVKEEKEMSIWADACLDLV ERLRATGRKGERV CC84DRAFT_1256315 MAFSPIARVLFLLSSIQLIIASPVSVSAPTVKLHYGTFSGSYSS TYNLTYYRKIPFAASTAGNNRFRAPQPPLPITNGTYNTDQAFDMCPQRTVNGSEDCLY LGVYSRPWASSQKGPKKSLRPVLLTFYGGGFIQGSASFSIPPPGFPVLNVSQQNDYVV VYSNYRTNVFGFLSGKKIAKHGDTDLNTGLLDQRAALEWIQQNIHVFGGDPRNVTIWG QSAGGGSVVAQTIATGNRGRKLFVKAMASSPYWPKTYRYDSAENEALYAAVVAGVGCA GAEDEVACLKSVDVQTLRDVSLKLTTSLQYTTSSFAWGPVIDEAFLTVPLSTAVKRKA LNAELLLTSYNLHEGENFIPPGLNSVAGAGGFNSSGASFDAWLRGYLPNLRTTDLQEL KTLYPAEGSAEEIVYNTTYTRAGLVYRDLQLACPAYWLSASAKKGSLIEYTISPAKHA SDTIYWNQVNAVQKSDPRTYEAYAGAMASFLQTGDPNAHKVTNESVVGVPSIKSGEQF LIRSAGIATAKVNQLEKRCTFWLSVADRIPT CC84DRAFT_1161426 MPRAQSNHNEIPACYRIFFTSIDPLIALSGAYMDYFDPETILAS AFPRSGSYAKPTPPVTFLLMQAGGSFIMMAFLMVFMLRYTSDVKIWKMFQFGVLVTDF TLFFSLFGALEGTGRLNVGAVRWEEWGTIIITGFLTLLRLAFLGGFGFGKTGRNGEKK E CC84DRAFT_486236 MRQQAKQSKPVPLIITTSNAATPALSPRTTGRNMLQTELRASLR SMLLWERQQKTSTTNAVSKRFGSQPQDFDPYFVGETKPARFGPMSTLDERGSSGEDRR VARTEYVDSRVAELTGDNDQSRAPAGWTSQILQPNPYAHVDPSRSNSQPSALIGIRSS PILHTNYAEGALGTNAVSRVDSHTTDFVLKTPEISDVGPFPTTDSNNLGLHLEDGNWR TGDMAGLKEAVQAALRALDATPEDHPDRARCLRNLGIHLGNKYARTRVMADPEGAVQV TQQAVDAAPDEITTATRKMIQIFHKDESQECLYVRAVEDPAIGPAKLEHNLRRLFKQC AEDLKNEARDQLDYLGARLVLHQATVMAETVVLRYRAPMHVHVLKEEGSDEEGTKEVL GETIFADVLVFIPFLDNSEALQRFQDNLERFVSSDSASSRDLTATVDPGIFDTQSIPG SDQKPAAVSHDTFVTDNIQSRNSTGLGGRHRVAEMLQKAGNKFGSTAHNQYDAKQYNN SRSGVKHSEGESPVGLSIDDPHKNDNLGDTLQVEGSSSHLQQKFDILVSPLEPNDASR EVRTVQLRWQCVSWLASLSVPCF CC84DRAFT_486242 MTAVKRVRIYELVRSRQWLCTFTALFDPLPSHAPNMQSWPKPVP LSPGRPSLCTGFEASCAFAIREKFNGDSP CC84DRAFT_1256317 MAEPQPSNIQEGASEAPPPTTGSAEDRKAAAALSALDEQGDDEA GKKEVDSKAVEKAMKNLSVKDGKGDAQKKAVKIEASDVNLLVTELELPKPKATELLRA NDGNVLKAISSFVTPAFA CC84DRAFT_1184511 MGSATEWSPDCASALKQHIHLLNYLAWQSKPIKQVVTYEDKEAA LAALSKLSSLPPIVTPHEIIKLKSSLRDAALGKAFLLQGGDCAELFSYCADDPINAKI KLLLQMSLVLIWGSNKPVIRIGRMAGQYAKPRSSPTEMVDGKEIPSFRGDILNGFDPA QRHVDPERLVTAYFHSATTLNYIRGQLTSGIADLHNPLDWDLGHVQDEGLQKKYSQIV SNISESLRFMRTIGADTSGQLQTVDLYTSHEGLVLEYEQSLTRKLKHPAGYQSSLSDD GKGWYNTSAHFIWIGDRTRQIDGGHVEYFRGIENPIGIKVGPSMKNDELVELLDIVNP NKEVGKVTLITRYGKDKVESMLGPHIEAVKKSGHVVVWQCDPMHGNTHSTPEGIKTRS FNSIFSELSSALKVHKEHDSFLGGMHLELTGDAVTECTGGSEGIEEEDLSLNYTTYCD PRLNEKQALELAFLVAASKGARAAGANLRKYPSRPAPGATRAPAPAPLPHSENAGPTV HPPPQASGSRTEAIDLDARDPGLASRLSTIGAVQPNPHFSPSSTSSFDPQRNSSTTQP SDSYGMMAELPQSAFPDPGSNPALRILDARQRIQQEAEQELENVGRKSFKGRKYLDAS TIALALMRRQRGEPDARIEEALSIKKGRLSALKKGMVTSV CC84DRAFT_1161429 MAVTGNSGADRARGLLNGIPDRFQEFCKMDQLTFVDLADWLVEH ADRRDPIPKEISVEECMFVFLDIAAQGSSFRMASYNWDHDVKLTQLIFLNMLEELCKL REKELWSPACPDFKQSRNRWRVLRGSRAGKSRMDGLIKIGDDRETGDGLEVNQEDLIS ALTAINNFIYEHHEFYS CC84DRAFT_1082465 MLGYISSTRKKSCKQCVKAKRRCDLGYPCCKRCFSKSLDCAYPN SVREAEVVIRQRTPDLAPLDHVGDNDLIGLQPVGCDFDLAILQVSTSDSKSSSSPESR SNIAEDREEQDATNRQALYWKSSKEPAVSRQMLPKIWAPSWLNEDQLLFMVSRMRSFV PTLAFTGANTFIHTALYKSHQPTAFQDSISLSALYLAKTKQNAPILIRSIDEKINGLI ARSNGWSLQEHLAAVQALILYQIIRLFDGDLGQAGTAARQNHLLELWTAHLWKRSFAE PIAFSKPWDAWVFYESLRRTVMISVFMRGSWNALTQGGLCDQVPVLARLPLSKGDGFW DIREEEFDRRVARVDGRDQLVAYGDFSLSWKPGEDDVGGLTEFQRLLLVACRGHMDPR LYLDGM CC84DRAFT_486280 MWIIYGISQRRRCALHRREFHIGFLHYPMTFESSFPKCLRWGDV GTRGRSTMCCRIPLRDTLAPQCSSTIPMYAALAAATLDLVQLMPQPTCDTRIEYGSLF AQAHKAAFPLHTVA CC84DRAFT_486285 MHATDAGECTLMVGSSFPDIYQRALPVLESMGRYIFHMGPLGSG HAMKTINNYVIASGLCALYESLVAGKKWGLEPQTIVDVLNVGTAVNFCSLDTVRRDML TREFRSGFALALLVNDLGITQEFMREVGFETELPGVLRGHLRDALGVVEKCADHTEAI RGWERRVGLELKRTVRVDRIREEDFRHRLEGLNRIT CC84DRAFT_1256323 MNIPTTMRAWQWTTCPTTLESALSLNTDAPVPSRPLAPGESLVR VHAAALNPVDYKLAELPLIGRLAIPKPATPGLDFAGVVARTGPDSPLKIGQRVFGKLE PKQQFGTLEEYIIGSREGTVPLPEGVSFEAGAALGVCGLVTYQALTKHLRGGERVVVN GGSGGTGVFAVQIARALGCEVVATCSGANVQLCKDMGADEVIDYRTQSVTDVLEKSGK KVDFVLDNVGEPAALYWRASKFTKPGAKYVQIGSQVSLGFIYDLTFRFVVPTWLGGGR RPFSFAMASTNLKDYEGLAGLVAEGKVKPVIDEVFEMKDVPQGYKKLRTGRARGKIVV RVVDES CC84DRAFT_1112859 MAETNADKATAKMSSAELSPVPSHFGSTADNKGTDISTSEAQSP SGLDALDDTAIVEEEAHDDPEIAQLPLIVRQTVSLEDDPTLPTITFRYFVLCILFIVP GAFLSQMSHYRTTQAPYSVFFVQIACHYVGHGLAKVLPAWQINLPFGYGFNLNPAPWS IKEHVLVTLTAASGATYNLGYTPISMAELWYDTRINPAVAIFFMLAIVWVGYAIAAIA RSLLLWEPEYVWPQALMQTTLFETFRKQDRNSPLAKRQMHIFFLCLVGMTLWQFLPEY VFPMTSSLAFLCWVAPRNPVANFIGSGLGGMGFMNLSLDWSNINWNGTSILITPFWTQ TVLFLAFAFNCWVLLPAAKWGSLGSYKHGLMSNSLLLANGTKYPTAKISTSDFSLNET AWEEYGPAYMGLQFAWATFFNYAKLPSAFVWLATFGGPAILATLGKNMAARKARSEAK KGQTSAGAEEPNIHHQYTDRLNILQRSYKEVPGWWFAALFLAGFVILLAIVGSGQLFI PWWTVIVGVATGFIVVVPLGYLYAISNYQVAIGDFNELIYGYMVHTAAGAGHHHPCGP SVYGAIAGDAWYRAQYMLQDQRIGHYMHIPPRTVFFSQVFGSILGIPINYGVIRWVLN TKFDYLSGAKTDPLHQWTGQSLVSSNTIGVQYAVIGPKRMFGASELKVLPYGFLIGAV APLAVYALYRAFPKSKLKFHLWNTTIFFSGITVFYGNLSTGYFSAWIGGFVAMYWIFR HHFKIWKRYNYIVAAAFDAAFNFNMLLIFLFFGSGKQIKMPAWWGNNTDNVERCFALE CC84DRAFT_486325 MAQTNGHDEPYWLGRATEEQQRLIKQHHIWTKAIGYLLHPSIAA VLPENARIADIGTGTGIWMTELAKVVPSTYTFNGYDISPDQFLPTDSLPSNVTLELGD FKKPIPEELRGKFDLVNIRLIIISMGVGVWEPTLQNILTLLKPGGAIQWTEGNFLEAR GFRGASPSSTPGHFLTQGQKQFNGTLVKRFRWCFPPEWQKMYADAGLERIEEDVLSTD RLIEQRPDFTEIGIGAVFGALANLAKIKEEGYWNEQEVSEAKQKALEDRTSGAYLRWD LHVTIGFTKKDV CC84DRAFT_486326 MNVYPRQSSGSGSDSTDQFLNLIADPFQAEVQQNSIYAAIVYAF AISGALFLLFCILRPRNSRVYAPRAKHADEKHAPRQLDRKPLGWIAAIRGVKEQELVE KIGLDAVVFLRFLRMLRNIFLVLSVFGCGILIPINVVGGSAFYEQWDNVATLMKFTPQ YIFGPKFWAFVICSYLFQGTICGFLWWNYRAVYRLKRAYFESADYQQSLHSRTLLLTH IPESSRTDDGIAELVNIAKPTQTLPRTAIGRNVKDLPKLIEAHGEAVRELEAVLAKYL NNPERLPEKRPMCEPEKDDRSIYGKHKVDAIDYLTDRISRLEIQIKEVRETVDKRNPT PYGFASYPRIEDAHAVAYASKKKGPKGCDVYLAPKPHDLLWQNLPMTRRTRRARMFWD GLWMILFTAAYIVPNIATSVFLSDFSHLGLVWPAFQTNLVAHPTGWGIAQGILAPTVQ TLIYMGIPVMFRRLYTHSGDVSKTSRERHVTARLYIFFVFNNLIVFSIFGSAWRFVAT VIAAKDQGIWEAIKSAHVFSKVMTGLCNMSTFWLTWQMQKNLSAATDLAQAWPLVRSY IQRKLFTPTPRQLIELSAPQPFMYAEYYNSYLQVAVVGLTFGTLQPIILPVTAFYLAI ECWFKKYMVQYVLITKTESGGRFWRLLVNRMLISVALGNAVIALVVGAQGVGSINSVR NGNMLYAMIPLPLLLLAFKWYCKRAFDDKLIYYSTQPFSDAEDAASPDGKKMKRNDRV AVRFGHPALYKKLITPMVHAKSQHLLKEIYGHRSSIGDRNIFEAPHRRSTDRAMPTTP YGYSDVFMAEMDSAEPGKPVPVAQDLPSVELVAEEDLDFENFKKRAEFREQFGGDGEL YGRPEDLISRPGTPSTFATLNGSGFFPQKVTGESSTRASSATKRGSDDEPSRDHEEGV LYGPGYQRTPLRDHFEEVDVDIPATPFDADEVLQAGRVVRQDSHGRLLQEEGNREVEY FPGFYKEDTSYDRSRR CC84DRAFT_1161434 MSFRSALFAASAFIAATNAHITISNPVPYSADQVKIDKAAITAD QFPCKSQYGFKVTQMNSMKVGESQKLEFDGSAVHGGGSCQLSYTTDTEPTKDSVFKVI KSIEGGCPGVDGTKSFEYTLPESIPNGKGTFAWSWFAKMSGAPEFYMNCAPIDVTGGA SDASGLDALPDMFIANIASTTCTSPLNHAVKFPNPGENLEDGGTNDVEDPTGDCGAKG TTPVTPSSGAPVESSPAESAPASAPVESAPAASATGAPIQSTLATIATSAPAASAPVA SSPVSATIPSSTAPANPGSGSETGGSTGSGSAGTCSSEGAIVCNGANQFGICNGGSVV WQAVAPGTTCSNGNIQKRSMYYGRPALHARRV CC84DRAFT_1161436 MGHCFPSFRTIALLLCAHGLLKMRSLRQFLCIASLSSHALATCF FPNGNESPADTPCNPDAEVSHCCYKNQACLSNGLCVSDPHSPIKARLHRGTCSDKTWN SPDCPNHCTSIADNGVPVYACNQTNVDSYCCFDGCECNASFETFRFPTDDVYTLTIVS EAYTNTHISTASSASKASQTESTSKSKTASAASAPASTTPTESPSTHNNTQTEGKDEK LSSNSTAIGAGVGVGVGVAILIAGLVAFLFWRRSKKRQQGETNPYTDNGFPLDRKAVE VDNTTDMYHTPGATSHNQGGATIYAHYAEAEPNANPFVSPHELPVDTAPAELPAMASP KQVPDFKQRL CC84DRAFT_1161437 MSEPRRSTRARAREEAAPPPPSTPKDTPTKPPSKSLKRKRPSIA PSKDATPGRGTPVAEGPQQPSQPMLPLRVVDGQPLPTLSEPQSLDLSSQEYQDIQHSG VLSASLQRSRAIWVSGTNFRLFHAFFTPPKKVADRTEEDKQNIQRQKEIVRNFPQVGE AQLVIEPHTFPIRLYGPREAVRQVQKKAPTYGQWPNHNQQSPYQYNHPPPQHQPPPPP RPPQPKPQPQKAPPPPQAPAPDPVIHMLAQRAGQDPELKAVMKIVAAGQATKEQLEFF QGHISELTNILQKQKEAGIQQAPHQPGPAPPPPKPAAAPRPQPIAPPPQPVAPTPPPP PQQQQQLIPPKQPPPTPTPNPPHVHQPQMAPRPPPPPGPPHLSHPPNYNHKPPYNQPP QYHQHHAQQYPAYQAPRVTYRPLVFDFKEGNGDKFYFPTYSFMEWLPNNSGAKFSFLV TKMKPKPPPAADMKPPATPAPKAAPSPAAAAAASTVPTPTPAGDATSTATPSSALQNV ATPGPTQATPAATTTPAPISLPPATPYVPPPRIEDFDEKADIKDIDFYQPVTVLVMSG HPDILQSLPRAIRPPDAVEKYMNQVFDTCRRAEETFLAFRLPKEGGAEAENEEKKAKS GDATPVVRAAENDVLMGGTSHFGTDKKKVTGRPRKSIAV CC84DRAFT_1082284 MSSIRTMRMSDLLRLTTTNLDPFTETYNIGFYLEYLTKWPDLCR VIEGVDGEIEGYILGKLESSPFPPQVHPYSPHTNPDPNYLPWHGHITALTVAPRARRL GHATALSDSLAKACDAADAWFVDLFVRESNVVAKELYRKMGYSVYRKVKEYYNDGEDA LDMRMPLSRDKDRAHVREDGETFEVLPQDVW CC84DRAFT_1172899 MPMLTKKKRHRLSGHGFFSSSPPVISSPTSFVKISGAGMGHPLP TTGGGDHDSAADDSMQATRAAEPLVEDLDQVHADEEHAPVQQFQPSLSKTVDYSPGRQ HSPTPSEEDAAAPPPDFTRRGIHIPTRTSSNTATLKKRFFRSSSLPPTTPTETAPMPT TIDSSSMRERSLRSSADSVQSFDTQASSIATHQLGPLQTKGPLEDVDRLSPLLEDDPQ SFELVAPTSNHSKGFDLETRSEQLFSRDHLQAIFRDTPSLLRFTAFLSTARPKSVPVL IYYLDALKAMRAIQYANAVAEALEPIDGQEFTEHPPRSTLNAVLEDKAQQAFDILVRD ELPAFITHVFIQHVSVSIQKRVTGNMPPMLREASEGLAEVFCVSDPSRSDNPIIFASE EFHRTTQYGVNFAIGRNCRFLQGPMTNRSSVTRLHNAVSEGKETSEVFLNYRRDGSPF MNLLMMAPLLDSRGNLRYFIGAQVDVSGLVKDCTDLDAFQHYLDVKEGRAPPDDNKDE FQELSEMFNIQELETVRRCGGNMHRETLDEDDRMSMRGGQQPRPRVVIKDMSAYGDEG LKSNNLPLKTEGKLQGVYKHYLLIRPAPSLRILFVSPSLRVPGILQSRFLDRIGGNTR VRNSVAEALTDSSRGVTAKIRWLSTATADLDEGQHEEGRPRWIHCTPLLGQSGAVGVW MVVLVDDEKQTGPARRFRQAPPVPNDVRRLQQQRSKHLSPEPSAYDMDSERERHSERE REGRTPMYNMGHMSGSQPRLNRNGGYSRPESAMSEQRAEPSIASFAL CC84DRAFT_1161440 MPEADSELVMFLHALSRILGWAYFLSWSASFYPQAFNNYRRKST LGLAVDFPTLNILGFTCYAINTATFMYSPTIRSQYAYRHPTAPENTVQFNDFLFAAHG AFMCVVLYSQFYTWIWGFKVGARQKASKGALGIFWGCVLAILVTVFLAQAAGKDSGYD PSSWAWIDVIYALGYVKLITVVVKYIPQAWVNFKRKSTDGWSIYPMLLDFAGGWLSLA QLVLDSSLQNDWSGITGNPVKFGLGNITIVFDIVFFVQHYILYKHSAKSADEEEEWND EREGLLT CC84DRAFT_486502 MNYIQVAARYRRGQEIACLTPCCNALSTHAIPNNTINPRLRVNV RRRHLVLTQSRLPISYWTNCFYSTLEHSILRIQLYFLRNVFTHLNTSITRSIRTPFNR GETSRGSMHAAYIRLTFLPRRMLFCSSLLRSSFVAAQYWGKSTRLINSRAYQRQPRPL SRGPVHQERRNNDRQVLAHAIALLEGIGRPFWAFVAQHGCDGTPRSQCVLPGV CC84DRAFT_1161441 MANAPHGGVLKDLIARDAPRREELSAEAEKLQAIVLTERQLCDL ELILNGGFSPLEGFLSEKDYNGVVSDNRLADGALFSMPITLDVSQQTIDNLGIKAGAR VALRDFRDDRNLAIITVEDVYKPNKEKEAKEVFGGDPEHPAVKYLYETVQEFYVGGKL DAIDRLEHYDYVGLRYTPAELRLHFDKLGWSKVVAFQTRNPMHRAHRELTVRAARARQ ANVLIHPVVGLTKPGDIDHFTRVRVYQALLPRYPNGMAVLGLLPLAMRMGGPREAIWH AIIRKNHGATHFIVGRDHAGPGKNSKGEEFYGPYDAQYAVEKYKDELGIEVVPFQMMT YLPDSDEYKPKDEVKEGIRTLDISGTELRKRLRTGAEIPEWFSYPEVVRVLRESHPPR SKQGFTVFLTGLTNSGKDAIARALNVTLNQQGGRSVSLLLGENVRSELSGELGFSRED RDRNIGRIAFVASELTKAGAAVIAAPIAPFEDARKHARELVEKYGSFYLIHVATPLEY AEKTDRRGIYAKARNGEIKGFTGVDDPYETPKKADLTVDISQSNVRTAVHQIVLLLEA EGLLDQL CC84DRAFT_1184522 MIRDDESGYASGESSHESLPEIVFSKAHLKFLNHQLAQLEPQDI LRWCITSLPGLYQSTAFGLTGLVTVDMLSKLDGPLKSNVDLIFYDTLYQFQETLDLVE KVRQRYGNTIHVYKPRGCNTTEDFEAKYGKNFWDVNDEKYDYVAKVEPAARANAELNV KALLTGRRKSQGGKRGDLDIIEVTDSGVIKINPLANWSFAQVKEYVDANKVPYNDLLD RGYKSVGDWHSTQPVAAGEDERAGRWKGKNKTECGIHNPQSRYAQFLAQQQQQQEAEA LAQQLEKTVVA CC84DRAFT_1083261 MFSRDDILEIYDSLPPEKDPQSQNDEDLALLIRTVSGHSFITAH DLRQDFERIVITKATRTAVKSASSDLDVEVDVVLQLIQGNPALALLSQDGSTIISSAE RDTIVKNLEDMLNKKLVSKADFIQSHDVHEECLASLLRIPSIRENLADEGEDHLLGKV YSRIISEEMNRKLIRALEKNETVVFNSQSLPGTPPMWLVQLNFVQVSSNTVNGDALSS QFHIEQEVDVIRCTPKQSLLSQRDELVAKLRSGNIFAIDLGHFGQTFRDLYTSSAALQ QHLLESPYIITLNGSLSAYAVSTERLSKLADDAQRKLGQDYVDLNTIITTDVPGDIKE LVLDNVTQRILTTVSNHGEGTRRVKNYLMKNEWYSLMQEEVTGLAKTRASTQWWDSLK DTSDKDPKFQMADVLTATVQQKNSNFSEHMHVLHAVAQQKDSEVAAAEQFSITISDLE SKNENDFSMFWAEKVSQRVHNYREALKAIDETKLSEQLTDLLSSYLHKDLLPEAISKA RTQGLVRSRKTRKNVTKFEATLKASKSDLASILSTFEKFGRKQGLVETDGEAAEAAKK ASIQDMVRRMQKPKTDAPSMFLSLVVILFAKHHPGVVYATGKFAPKLLKQLKTKLDDE SYEQAEKWKELAKAGSLTTDDRAFMVQMAEAAT CC84DRAFT_1161443 MSQFRAKTLDIGCFSKIRNIRDHTKRKVFEANEPERQALRYIIR NTTLPQRVRAQAQLQLSQMHCYTRFTQIKNRCIMGGKGRGVFSDFRMGRYQFRINALA GNIPGVKKASW CC84DRAFT_1112890 MFRRQESTLDPDAQYPADLKKLGYFVDKNGCFRRIDAPELFFDF FYTNNDRHNEVRGEAMRVCQRREVMSRLSALGLKELYLPTLSNNKPKGPHIPILAPVA SVLKTRKRVIVIINDDTYQDLGVLAYRELQREGGVNGGSVINFTKGLVNRAQANMNVP LGEKLAKDGARIEKEKHIPGLIVFNAGQLLYSHKLNQALSNRSWTALARKSITHDPVK IHEIENRVEGHRTAAEHIKTVFDTVIKNPNFVSPEAEVYVIAIENGVESLLEVLDKDF YRYADRITALAAMQSPVGAHQIHNPDVKTFLLTRARHWETSQHTSGDPAQCKASSSDY ISKAISNKSTSTKPIDWLETVERGSGHRADTPFANIREAKVTIAVTEEPASDDGFIDA TPLCPTFGGGNTWVGECVFTQSIVQEAVLGFFEEVARDPKGYYNPNFTLDPPLSNVDS RFDPIPDEMLNPEKKELLAAQEELRQLEVAFNAVPEDNPDLRPGHQRLHRRIIMKHRA LRELEEKVLAAGALGLGDAKDVRDKWSVPTDGVEWKEMKRGAPIPFAGVQADSNMVAS AGCLGRVEEELAKLELEEDEE CC84DRAFT_1139142 MADDDLEQIRRARLQQLREQGGAGGEGSEQDAKQQRESDQRTSI LTQILTPDAADRLGRIRLVKESRATDIENRLIMLAKTGQLRAKVTEEQLKELLGAVAE QQEKEEAKIVVNRRGGGGWDDDDLDDLLKDV CC84DRAFT_1213828 MLRTTFSRVRAAFKSYKSIQDPLARTKLDTLATTSFSPMNPGVT IFRPFPGDPESTQGTPKPAPNIAALTTASTSCSPINPGATLSLMSALIHMDTGGHQLG SRSRVLEDIPSTLKRFEVPNAIVLTRLPPQHPASSQAPSTHQLVKTPEPGTKMVSRTQ PTAAVIPAPRPWFFKLPTFLRPRERTTYYSAFAWEHEAETKVVGKPNLIQYIMYGSPN PDGKMATAMVQKRTLWQSILYGVPEEEGEKTVHVAVAETETVKDVKVAEEDEKKAERN KTVDMHQGTGYGVMAK CC84DRAFT_1161445 MAPQPHHEPVSHPGTGQQTPQKDQRLDGDSTITDSQLEERPGQL LRYRSASLEDASVKPDEHPVESVKRPINYESDDDLPPQLHHDPLPAKSPQVLLERAAD IVAGAAFTDLEISPTLSPIKPHRLSSHENDGRPHLQQPSSAHPQRPALDVSPMLPPAK LVPDISDSSDDEQATPLASVMNANGDGRFSYETELIGGDLSPISSHASSDEERTPTKP DAHSLHITDTTATPVVVTVVDTAQSPRDKAVSGEDALKTTPRPKPQQQLSAYRVVHAV EYLHSQSSFESWEHESTAALSQSDDSPHLDVHDEAEPLASDLSLDNVKAEEAEKAEKA SIEEKPTLMAGRDSPNPVVPIQSDTPQEGPQQSPQFGRAGAHKRSESLISKISSMVSA EDASLSPVSSYGPRSRPPSSAAGRQRQIPSAKASPIPVQIEEEPTAPDHAANSSIDND DFDLYADQNGVVKDEEGRPLRVTTDQPRKPAASARPPTSGAPKPTSLPAPMEEAPGRY SDERPMSFVWGPRDANGRPQDEINRPGGGNQDQSPPRVPNTRVQRSSQLRIAPIVKQK AQDTGTQSNGRLLEQPSQGELGSKNVSPLSSYRESNKSSPHNNVPISPPPVAVAKASS PPPSTISERPHLESPKTSNRQPSPPKSPDPRLIQDPRVMMEAQMQMRGWTPGQPISQD PRLRPSNPAQVHMHGLPQSPPTAPRNQYEYQQMMARQAMQAPELKSSTPPGMHSSKRE DKFSIPKFSSVFKGLGKSSANLSQASKPPPLRQQPMQPVPQQMQQVQSKMHPHPNTAA DNARRSASLQSGVSDMTPPQMPPTKERRSSAFGLNRPPSFGQESHNSQDSTRVQATGS RLDLRYPASPPPSQGIPPQQPPQHVLQNVQNVPRPQDYQDSSSGVSETSGKKKRFSSL GNIFNRSATSGPSFPTKQKISKEEKKAQKAQKHSSAMPLQSIPHDQPWPPQQPVDPRQ HGAIQYGPPPIARPFPGMQGVPLQPMQMQFMQQHAVSPVSPQSMQMQSPMTPQSMHPH GMLQSYTQVPPGFQHPVQGPPSQFAPQLQSPPGALEGSAYMNTRQIAQMMQAQRLQEQ SRPTTHHSSHTAHPSIPTASSLPHPAPRPEEAIPPAFNEYFKPDLKMIKPLPPIQPQP EQHTSYHQHPPQPQSHSVVQQPPYNSRSVSAPTTVPSVDQTSHVSQRQVSSPLHEPQY DTPQIPAAYTQVTGAFVSPSLEQGPSRAEESADARSYSRQYSDPLMQPISPQVSAMAT SPPNLRQNSSDSVVSPISDPSPGPMTGPSPPPNLRPQKQRMTSITEQVQSERPWNLDL PHGATEQEIVRARQRQYMEQQLAAQEQLHAERTGRSPSPRSGQSQRSASPPPPAPTQA QPPHRESGGFRELLPRSSPQPYPITDEPETQRSQSQEQQLPSPPIAPAPVHPGQSPFF SPAGYPLPMSPDPPNIRSPVNPAASMMPPPPLPAKTPHTPLNASFPDFHTTRPISQDA ASDHTHDSLYDPPPPAPAPATSYQLPAHRGQPDYDDLAPADEPPPYSGPGVPHEGMDK DGVRPRPPDIVTDRGRLLEPRLRQTSLGILQHPQPASMAASPQRSSADMGADILRRQL LEVEERERADRMQQAEARRVESERERAERERARARARELERSVSGGGRVGSLRSAQGS TRNSTGGFERGGSTRRAVYELPAEEDDEPVMRATSFPGQEWVPTWTED CC84DRAFT_1161447 MAVSIQDRTDEFRSILAQAQRKQAQSKTGAQRQSLLTAQQKAEA NGTSAQRKHSEFQRSARDVARAISGTMAKLERLSQLARRKTLFDDRPVEIDELTFVIK QDMAQLSRQIQDLQALTSKQHPKAKPGADQEGEHNHQVVVMLKDKLQNVGGSFKDVLE VRTKNMQASRSRTEQFLSTAASHSQTSLDPSRTDSPLYQTPQRGRSPGGYRNTNAAQQ DLLSLDPSGSSALTRGGPQSDAQLMLMEEAQAPNQYIQQRGHAIESIESTISELGSIF GQLAQMVSEQGEQIQRIDANTEDVVDNVEGAQRELMKYWNRVQGNRWLVAKMFGVLMI SKLIECSLPIVGSYCRVDACILLSFWSYQSFGVRR CC84DRAFT_1112899 MTDADGDGFAELTTAPIFDDRLYFTEALLLHDGETDELVDSQLT LAAKESGIEDPDLFLIPRPAVLDISTALSTMSLQSEQRSSVSVHSRETQSTAFTSLPS RSSRDKSPMSRMPPPLVRASFSVDRNDAIPESPRSSVRHRHSTSGFSASRSLHSSASS AQGQSARKQKRASALFSMFRKEPSACPSRSHNGHHFKPQSPKLECGHSLSKYAIRVHV QEALERKDGAAPACCGQLIPREVLSIVLTSAEIDLVTDNNLPSPKAASLRDSGYSENG ISNVDLSNALYTDVLVSEPSTVSATPTYEPSEMDEARLSSALESETFQNLLAEQKEQF RRVSVFESNQRAALSANHQRRLKRLTAQLETSKIEKAKQHIQQLERLDEFQLMMEHDL RNAQAMETQNVATALKYIEGYCSGPNPAYEGVVYVVTEDDRMKLERQRVTQDKLPAKH ESAINVLRAKQERDTRLRIQKQELELEQLHADYEKEKSAQELQYGKDLSHLDLTIQTR RSKIMRQWDLKFEVWRRNWEKENESKLYGTLPHGNWPEPTDTNIHIDPSSSLAIYIQS IA CC84DRAFT_486870 MAAPIKEGSPDTTALPQPAVQPSNGDAQNGPRRTSFNFLRRKSS SENRGRSVSGGKMSKKQKALAQEEALRRQREAAMRQPPPRLPNHSPLPQIDHFGGDDA RPDSIAIVSNRAGNYGHNFSRPSMDQKMVPSSNFNVPAPPMPSASPAYIDYDPYPRTE SMTHRGRYSYASSAVSTIGVNSPRRVRRRKDPTPFNILVIGAKSSGKSSFIEFLRTAL ALPAKKRPHTPSPPPSAVAPDSPFTSQYLETEVDNERVGVTLWDSEGLEKSIVDFQLP VITSFLESKFEDTFGEEQKVVRAPGVKDTHIHCVFLILDPARLDANIAESKKPNSNVI GGLSETLDINVLRELKGKTTVIPVISKADTITTAHMRHLKKLVWDTLKRAKLDPLEAL HLDEDDEDGEFDERDEDAYVRSGDDGDSDILNKIIDKSSSDDGSDASSHRSGSQSPPT SPPSARKNHSRKASTMSATIEKEDPEGPFLPLSIISPDLYEPEVIGRKFPWGFADPYN EEHCDFVRLRESVFSEWRAELREASKEQWYEGWRTQRLQKVPAGRRVTTSDGLASKQL SVPGQNGRAVSAGREVRQHRSTPY CC84DRAFT_486690 MISHAMQQKQATTETQREIRRFRHVSQKQHDSLRRQIGRDTGLI MKKLEDIHFSTSHHLTKRESNRRILLRGADRETALTSLLLMKEHVRQAAVNHNTYARR GISQQEMNMVLSEFNHLVSSALQETAAQHSESTATSLDRWYYSDSLGGSLLADSISYT TCIDGGKALLSTGLPDGRVNLAKFSRFWVHGTSMGRLVFSIAEILNEDTQDRYAVESQ VTFIPRIAIQPVVASIRFSHVRTPNLDLELCIQLNLFRIVEDERIHEILFTYGLVEDI DTAFRENLITPYDTNASGTILSLFYAGSGVRADILRYLDSQGIGKVALNGDGDILHAI WCSVSVGLHKDFTDHHRDMQSYLDGRINSFTMSDGTNAAHFFAWHRHVFGEPDPNTEE QWRVFFSQNGMTQELQGDRIIEVSLDICARPYQESLRNISWLLELGMDPSTIGSIDLT GENGLHSSIRVRARRWEWNGRPDPISERKVLEEKLVLLIKAGISIHHRDRKGKTPSVY ARGCNCWDMWCRALERAGFKVDEVLQEENNEWLQEAGWEERLIDLGYSRKLISVGWNY DTDEDTDSDLDGDLESQVNEDLYESSGENLPERTEDASDRTRENTCEGF CC84DRAFT_1213833 MADPLSVVASSFAVVGVADVVLRACLKCHKLLADIEDAPASIEG LKTSLRNNTSLVEALKKHVQDIEATASPEDRAELGPAVEQFGIAVKSLRRETDKLLVR CLKYSKMKKTWANVRHVLAEKDIRKTTEQVEHAKATLSVVSSLVEGCV CC84DRAFT_1213834 MGLCQVGESYENDGNFTQISLVDKQKGGDVLRLENFRCSHPYDE HFVLDSNRIFRMIYSTGNASQILSRLEMRGLYDEDGSYICTICDLNGNDPAFGTALVF TATPVDQAIIVNCKIVVPGPVRKQYIYSPNTIPIQEQAKGLVDLAKSATQWASTVHDK CSLWCKSVVYYLHMGDFRDKRIHNGTNLTGDHTLLASEFVPLSNYRVLPITIGKIYDS AMADGAHETNI CC84DRAFT_1081882 MPRQRGGAAPPRRPTAAPARPAAPAPAPARHSSTAAAPPAQHAP PAQAAPAPQASQGPGLFGQMASTAAGVAVGSSIGHAIGGFFGGGSSAPAEAAPQNTDF AQQHQQASMSQSQPTGPCAGDIKTFNNCMTENQGNLNICGWYLDQLKACQQAASQY CC84DRAFT_1112909 MRSWLGPESTEPQAKQGINAEKDAVSLPDDTPTMPRNPAEFYRA QPVRDRRIHIMGLGNVGYFVAHSLKGIPNAPPIALVFHSREKLKAWEESSKRLQCITD GDTERREGYDAELALPRPRSHGKLVGSNTGDPFPDASDANERISEGSIMFGESTEPIS SLILCMKATQVLSALSSVKHRLHRDSVICFLQNGMGTVEEVNKEIFPDIATRPHYMVG INSHGMKKTDDPFTVVHAGFGTISLGILPNERDRAPAPYAPISKFTPHSSPQPIEPVH PANPDPSAPPATDPSATFTPNSRYLLRTLLRTPVLCAAGFSPPDLLQLQLEKLAVNCI VNPLTVMLDARNGSILYNYSLTRVMRLLLSEISLVIRSLPELQYIPNVQQRFDPGRLE TVVVGVANRTSDNISSMLADVRAGRQTEMDYINGWIVNKGEEMGIKCFMNFMLMHMVK GKSGMIQAELGEGIPFVEPRPGEEAVMTRDAFGDIPFADVISRDMSTSEEAKTKNASG DIPPADSVAGKTSNPEWTEKSR CC84DRAFT_1161452 MKKATLCTSLSGECDYSKKGAGRNSNVVLFPIEALARRAERRKA RPARSKKVHSCAASLAHANASSRHSKRSHTTRDCTRLTETLPHSQPEALAPERAAHIA PPSAKHTDTATMDPETNLPDLTDDFETTIDDLEAALAPVLASPLPTLTSTLPTLDKAK LHILTAYTLESLLFSALQASGADAKAHRIFPELARLKTYFGKVTAAEAAGEGKGVQGP STKLDKDAAARFIRHGLSGNERYDKERAERLAKERARAALKAKQMAERVNKKFDDDVE DQVQEELGKKRKKAVESDSSDDEDEDMEEPAEPAELQAGGSSEDHVDSENAEFYGEDA APTDTPSKKAKKEKKDKKSSKRRRSGVNVARKSAKDDRPQELILPERGEEPRTRSETF NALLAGSFAEQKKERKKSKKGKKT CC84DRAFT_1213838 MLERSDSEALNTIPDPRAATPQPTFTRNNSTDSTMHPDLSQEVA TLSTKLIDAINHQTKLDDSLQQTRHELETARTRLAQLELQVKVHEQKVAQGLLVEKEV YDKMEKQLSSDLNEERRRRIDAEKAKKKTDNEVETLTTALFEEANGMVAAARKETEAS EKRNDQLKQQLNDAETLQANMQEQLQDLKGVLEKMSAHGDDESNTLPTTTAPSTPGIT PADKMSKMFETNGQAPNTPGSDEISPNHPLHFTHLVHPILRSDLTSIKEFEEMLKVSS RSAPNSRVSSGNYGSLNVLGLGNFTNSSTSSLPIAKSPNSVGTNSPRESLSGAANLKD ERFYKRSLSEDIEPTLRLDIAPGLSWMARRTVLNSITSGSLVVEPAPPPPKFRGAIFP CSLCGEVRKGDEYARKFRFKSSETDDTRHPLCDWCLGRVRSTCDYISFLRMVAAGHWR AESEDEKKAAWEESVRLRERMFWSRIGGGVIPAFVASRDSPRSPTFANGTSNRDATRT SEESQLSNKPLDSAVDMTEPTDVMRKSEDDPFLQSTGEEKVKRVSIGKTIISTEPAPI ESLTEDEEKKIEDEAEAQLHEEVLKSMDAKAAESEPQQQQRPFSMPPSSEPSSKKKDE RLSLTIPGSFD CC84DRAFT_1237333 MPSGKKSVSCIPCAKRKVKCDKIQPCCHCRRRRQDTCVFPDLIG AVNQPSRANIERIAKLEQYIRSLGGDPQPMWYSWKGQDNPTSHHRPSTEASNSHNLPT ILNQRHTLYADGSFSPLPPSIHLGELWPVFLKNVHPLIKIFFDWEIAPAILKAQEPTS VLSIEEEALLNGIRFVAALTLTHEECQSTLSESRHELLQQCQKSTEYALTTADYSETA DKRVLQGFILYILAMRDRTRPSAIYPLMGIATRVAERMGLHRDGTTFGLSALRSEERR RIWWALQFMELATARLVGTLSLTIFATWDTKTPSNLEDDDFNPATEVMPVERKGLTSI SPCLWRYSILQRRRDLLGKNNSGDLSWMLSPHLSLVEKDAKIDELEDILADKFLRHCE LVNPLHIHIQIGIRQFVFAARSNVRQPTLVNAKISELLPHSNNPNYSWVQMGEFHLVP DTILSVLWHRTLRQTNESVVYIILEAHQRSGEPDVATLWDLIRRVYENHPDLMTAVTR PEVDFLARITVAAWQKYILEMRQQQRSDAVGMQTPEWIQHLCHNFNLPVIDPSRATEE YAQSLNGDLLPPDFDFDIIDWSAWDALH CC84DRAFT_1256351 MPLFRSNQSQSPGTTASEASSFPLKLEEFELETGSKTTHEKAHK SESKVVLPVVFSLCLAVFLTALDRTIIGVAIPAISNDFQSFNDISWYESAYLLTFSAL QLPIGKIYTFFPAKWAFITLIFIFEIGSIICAAAPNSTAFIIGRAIAGIGSAGNTTGA NVILSDLLPLAKRPKYMGFIGATFGLASIAGPLLGGVFASKVSWRWCFWINGPIGGLA LVVLIMLVPNNPPAQDHSDKPLLDRLKAYDPVGTALLTPGLILLLLALQLGSTDLSWG SPRVLSTLIIGIMLIITFIAWQFWVGDNGTLPPRILGKRSIAAGTAVSLGFGSTLIVV TFYLPIWYQAIKGLSAVDAGVRMLPYFLVTVFFVIGSGGFVSWQGYYTPWLIAGTALL TIGCGLLTTFRVDTSTAESIGYQVLVGAGMGMSLAQCNNAAQTVLSREDLPIGITIIN FGNFVGGTIFVSICQTILTSTLQSQLAQKIPGLDVSSIMHAGATDLAKLIPTDKLPVF HAVYNQGIVNVWYCALGVSAFAFVASWFVEWKSVKGKQIIMEA CC84DRAFT_487218 MSKPHSKTVRGTFDNPKTKAAIKAGDNPPQIGDPTSLKPETYDN TPDNRKVAQSLVPNSSSDTNDDDLSKFKDSDDLPHSKKVRGTLANTDGKKVNKTQLGD PTSLKAETSETNMGKQTEREGLEKSKL CC84DRAFT_1213842 MGGIPSIALSQRSTRSRKSKYRGKGKTKMPKHPNPNPTPGVYPH PSSFRSLCEYIDDDIRNKICNYDDDMDHFRADHEHSGEWCPIHQVGGLVAIAAPQHLS VKSSSPEPSASVPPSQDPSYWPSSSSQPLPRPPSPTHDEPFDEPLEITACTAIRSYLS PYPSSSSSSSSSNPLSPTQRAEIEAFIPATILRLPMMFRACALSSWAKYMHKQQLNSM QVMMRQMKKRKGSTQKVTVLPWDEGIEEWGGSFPAEAKELVSVTRGESLYTRVPQPQG LAKRKNSEEVTHETYFQAKQGGGEGCLDLNDGHAIGRDWACDHSGPVMLLYEQRDGGE EEEKMRKDSLFDRAVHVLKRAVPS CC84DRAFT_1161456 MAAPTTQSLKCVVTGDGAVGKTCLLISYTTNAFPGEYIPTVFDN YSANVMVDGKPISLGLWDTAGQEDYDRLRPLSYPQTDVFLICFSIVSPPSFDNVKAKW YPEIDHHAPGVPIILVGTKLDLRDDEATKESLRQKKMAPIQYEQAVMVAKEIKAQKYL ECSALTQRNLKSVFDEAIRAVLSPRPQASGKVKKSKGCTIL CC84DRAFT_1112923 MFHEGNLQSGIARAIQEQKLVGCFIQDGSAESTTWEDEWLKSGW LSSLLEQKAVLLRLQAGSTEAGFLAAFCSISETPTFIVIQNGQLQEQLSSGISQTDFV NRIRKVLGASPIPGTAEPAASTPVVSAPEATRTATPPQPSPPTRTPAAPTPPLSSVAK GKQKATAPATKPEPATSKAQQAAREALRKKKEEERAALERIKARIEADKAERKAQAEA RKAEREKLTESGPTTSQFSATSSRGSNAKEVHLNVRMFDGATVRSSFPRSAKLQDDVR PWIDQEFVARAENPNERHPPYYFKQILAPLPSRELSAGDECQTLGDIDLAPSATLVLV PVKGYTDAYAGGSRGIVSGPAGSVFNIVGGAFNLAGSAVGYVSNTLSSVLGGGGSAPQ DNQQQQEQTGEGRSLGNTPQEPPGSTGIRVRTLADQRSREPRNEQLYNGNQLNFEPRD EDSNR CC84DRAFT_487291 MSDSEAEAGVPLIEAEFDSLSTSKKRKREAESGATPEDKKAAKK AKRKQKKKLKVKEIDEDDLDQALGVNRAFEKMDSQLLADYVNARTRLYGKELSSVELE DRFVPTRTLRDTTSYTEPRTLENLSAFLKQQCKHIQATPKESRGAPHTLVISASGQRA ADVFKSLRTGLPKQGIRNPNVAKLFAKHMKIAEQVENLKQYKVDFGVGTPDRLSALLD QNALSTTNLKRVVVDVSYIDQKKRGILDMKELHEPLIRLLLRKEFVGEGDKGAALFMF Y CC84DRAFT_1161458 MSESARKKQRVSPRISGDATDHSSTLYNDLHRLASIAPKPVTPF RRAASAGITPRSATIRTPGTARTPRGGPATRPLPARRAAPTTPHAIRALRERANAART PGHVRRRSGRVQRETPRDLLRNLSRVLARNTQPIEPTPKAQQRNRHSALDLPDIEDDE PTAPRLSMPLDDMYDDDSFNEEPPRPSLLAPLPDYDYDNGTVQSVEFGRRARSEDPRL DRLFGRRISEQFGDLHDLTINGEEYELDGNFINRRGTLLPNELLEQVEEEFEEGNTEA EIRALTGRARASDTGDLGVFGEVEGEEEEPTFRFEIRPRIEKPGEDEESGEEEEHGDG EVDDNTPGELEETMLEDDDEQPALNLVYDNDDTAPVLASDNENGPLEIAGWESEPENL DDEDLAAYRGEVSAIDRSLQTPAPETPAKRRAGRQRRALNISRFGHEYPSFPAATVKM LANGFVKGQGSKSKISKDTLEALVQTSDFFFEQVGEDLAAYAGHAGRKMIEESDVIAL LKRTRQVTDSSTYFFLAQKLLPRELLQQLRMQPLPKLKRQKRKRMEAIPEEDGDDE CC84DRAFT_1161459 MSKPNPFLNLFRRYYSHQPVRPPTNTRTRPSLHPQCLRARFRTQ FLLLRRNLGGAPNSSHTPLDPSFVSILDRPAKMARVGKQHGPGLIILAIIPITAFALG CWQVQRLGWKTELVARFEDRLTFPPLELPLRIDPDAIADFDYRRVYAKGKLRHDQEML IGPRMLEGEEGYTVVTPLEREDAQGNKSKILCCRGWIRKDAAKHWFRKKNGALPEGDV TVEGLLRIPPKGNMFTPRNIPEEGKWFFPNVEEMAKWSGSQAVWVEETMTPDLLESYA REPKGIPVGRAPTVNLRNNHTQYIFTWYALSFATSIMFWMVVKKPISGQAKRVRHSVD WS CC84DRAFT_1139180 MADVALPVSASEIQSSVPGKDELLLRLDDLLERYLHTLDAYQKA QQQLTKHLSSGYLSLAQANFNNNAHTRYGQDYFDERMQASRKFVICEDEGTTTISVAS QNAEDPTDKTKDTSSPADSSATAREAEEVPEADAQGPFEEKTNSAVPETKKTPTNDPL RWFGVLVPPALRSAQASFVTAVEGPVTELSNLLRELRQQEIEIGRVRKQIKKL CC84DRAFT_1256358 MRCCGPFGLLTPLAAYFLYCPLSSSSLKCSCFILSTGGQRRNGD NYDYDFGYDCKYEYIDHFYRSSDRYNHFSLYSCCISNHNNNNEYNHNDFASSDGYVGL YRGCISYYSEHHVYQHHNEHNNKYGDIASSDRYIGIYGCRFFSIHQYNLDHHNDHDIY SDTASRHYYFSIHNRSVYASTTITSTSVPDAVTVTQQGPAEATSTVTIARETTTKEVT TTRSLTFRETLIRLVPTTATQTERTTSTRVINSISTIVTTLPRVTTTRLSTITPASTT LTYRTTLTAAATRFTTQTARETSTSIRYIDRTSTLTIRTTETSFATRISTLRTTSTLF TTRADEFYDADGAHDYDEHQDGEYDTNGADDENIILHEADDDILYDQAGAAAGSNEHG CLGEGLGGRGR CC84DRAFT_1213850 MNTPRDAEKGSEPSDETDRHRERSPDQNQQYERGAFSNNLGRPQ LFRDSTVENIVRDDGDEANRESDTDDNNISINDHTTYLESLSKFTWEDSFAKLEDTVR GCGYPDIPSLRDPARILSRSDLRKQRPLFWGIIAVLNIGMAMIEVFAHTGLVFFVFIL FIKSKDFLSCLVSVFGLLIRRIYRVFRPLSPVSRQWILTLIPAYSESEEQIVKIIYLL RDNDVGKHRQVMVVLLDGRPRDIRSHMTRIIRDYKRTYISLKHKRGALKITAGFAEDV PVIVIEKMRNSGKKDSLVLCHDLFNVPRKNIPLYTRLLREEIWTKILPVLTEGEGFTT CL CC84DRAFT_1112932 MFYEPGKTDHGLPHDPFKACVIPRPIGWISTLSPSGTANLAPYS QFNNLTFDPPYVMFSANQTPSAAQKDTVVNVEATGVFCWNLATYALKDAVNATSEQTP PEVDEFEKAGLSKEDSSLSSVLVKGKQVKVPMVKASPIKFECTHYTTLRLPGNPPMGA VDVIIGRVIGIHIDEDVLTDGRIDVSKTVPIARCGYFEYTAVREVFEMKIPGDDPNRY AGLEGSVKGNREIQMKRVEEAEKEKTGGP CC84DRAFT_1139184 MERTVVVTGASSGLGLAFLKHYAVQPLTRVIGLDISPLPASIQS LDNVLSYEIDVTSEESLAKATNDLSGRPIHLLIHSAGIRGLVPSPDPQEQNVAALETL KAMDRTTFLRTLEINTWGAFATVRSFLPSLQLAASPSPSPATAPSASPSPLSPALAPP KVIIMSSRMGSISANVAGGGYAYRASKAALNAVIKSLSIDVPGLVVLAMHPGRVETGL VGWKEEGAISPEESLGACVRVIEGVGIEGSGGFVDRFGVDIAW CC84DRAFT_1213853 MSQYTLTSYIVTPAELDSALKKNVFSKLSTAPRIIPLCASWFLP NDDRTGIDTFNEARIPHARFFDLDEVKDQHSSYPHMLPSAKDFANAMGRLGIRKDDSV VVYDSKELGIFSAPRVAWTLQIFGHPNVHILNNFRTWVRDGFPTESGEPAVHERVEYP VPELDKSKVIAFEEVKEIVKDAGKEGAEEVQVLDARPAGRWAGTDPEPREGISSGHMP GSTNIATNYLLHAEDKTLLPAAELRQVFESLGVDPAKPIISSCGTGVTAAIVDTALTE AGYPQTNRRIYDGSWTEWAQRVKPTEGLIRKSL CC84DRAFT_1184543 MKVTIKEWNAVAAWRWDMPDDDVCGICRNPYDSTCSKCKYPGDD CPLLLGECNHSFHMHCIFSWLRQDAAQEKCPMCRQPFKSKNQEAGATEAETPATNADT SPM CC84DRAFT_487317 MPASPRPSRASPVLPKAHSPRSPPVEQFEEPPEGLDEPPHGYEA HDGVYEDEDGIPYPEPTSEQTLLPPPNFKPFFTVVDDTTTGEHYHPFVHYVFADDDPV IVTAAAMRSLGLDDTQYLPRPEGGDKLQDQELVDDEENEPPVESPLPPPLPATQERFI IIDVAADGQTIVDAQSMSPDWQITNASTRIAPSFDESSPDSGHMLQIEGVELPRKNKA KAKAQPGETKLGEARDRSQGDIFGALESLVIGVEGNLEVARSISRKQEDVDQSEQTVV RTEAAQGAVMQSQLL CC84DRAFT_1202972 MAATAVGVSAHTQRTPAEMIEYHQKVARDAKALTKCLDTPGLKE LNTRFIAQRRESFHRLRKARGIDFQPRDKAALEKWHNINHDQTASGKGNDYKNDGKLF EVDGNDPDNKVKPSAYRQDIRGDQKGIYQRLALQIIDVTTCKPLSGARVDVWQVNADG KYAKQVRDDGGGDVHWLTGAQSTSSWGTVAFDTIFPGHYTDRAVHTHLAVRANEKFND PDGFVHTGHIFYDEYPREEIEKTAPYKSNTQKLVLTIDDAWARAVATEKVDPFAHWAW LDDGNHQAGIVTWTTVGVNSSAHIST CC84DRAFT_1084177 MAEHAPPSISTLPSELIHHILTFLPLTDLVRVGLVNHAFLEHSR QDTLYQPFVQSHVPGYEVPKPNGLTWRELFKLHHPYWFIAQNQIWFSDTLHTGKLIVA RYDHRLNAIEGYALVAERRQPTFKTWSWNPHAIIHTFNPRIRLDLNAPVIRLDARSYE VAVGDAGHRLTQEVPMNFVDDLPRPNSGIHSQLILTRAWPNQATTRNTPVWPPLTIPS PVRTLNESPSHFRDVAHRPSRISEMSSASFRLRRWMEFSSRPHGISMRVGEDVTTWGT LPAESYTPTPQKPWQGIWCGDYAGHGCEFLVVMQPDEPRPLPERAELVLRAAEREGSV SSGDSWSTAPSVQEEWDADGWDDNDAVPEENMAAGQEATSMGDSISTLHASPIHAPAQ LMEDQHNDSDEVIYRGRLEAVKLTGDPNIPRGEYTFIAPEIGPSGLIRVAEEELFKGA RIVKSVGHIAARGFRDDDYMTSQLIMISHDRLAQYWETFGHVSFYQRVDLDEFTTI CC84DRAFT_487416 MAHHEQYILKVTAGATYDTSKHEDVHVNTEKHVNISSEHIDARI HVRIKDYRGLPEGSPSTSPYFSTPQHPYDRYSISFAFTPKRSITGKDLVFGNDFEHPI RDRLPPLFDKAFGIVKWWIDPGLDGDVYGDNPYLYGALLSSINVLRIGNNPPHAPDAG EETEGKVVVYEEGAEGDGVEVRSKHSIPAATAQRQKHFLNETKRTDFTFEEGREYKCD FFNPYVDFNEFALKIGYGLPAISIIGHWDGQPLRYVLKDRSTNTELFVVIFQLIPTEE AKKEIGIESAEDLVKKVHGGDRTEAKAAENDDELD CC84DRAFT_1161469 MAARTLRIGLIPGDGIGREVIPAGRRILEALPSSFGLKFSFSHH EAGFETFQKRGKALPDETVEALKSDCDGALFGAVSSPSTKVEGYSSPIVALRKRLALY ANVRPVKSTRSAARPVDLVIVRENTEDLYVKEEKTYNAPDGSRIAEAIKRISETASSN IAAMAGDIALRRQRVRESGLASIHNRGMVTITHKSNVLSQTDGLFREVSRKVLGADKY QMLEIEEQIVDSMVYKLFRQPGDYDVIVAPNLYGDILSDGAAALVGSLGLVPSANVGK DFVIGEPCHGSAPDIEGRGIANPIATIRSTALMLEFQGEERAAAKIYEAVDANLEEGR LLTPDMGGTATTEEVIEDVCRRL CC84DRAFT_1084111 MARYGALLIPISLVWFAWSSHFMVLWIVPIIASLLFGTGIHIII LSIPNYLIDAYQVHSGSAFASTIQVGNLIGGGVSMFVTQLYTKLGNEWASSLLGFIAM LLVLIPWILFYTRYVIRKRIP CC84DRAFT_1213860 MSFPQQETPTGSRKRARDEASEPGDEELDHHILKYQAACSPPSY YRSGGVSIGSNSAAAQGKWRIPPVPQSAHTGFANFISSFPNTIQPAQLGHGERQQIYG SAQHKYHVSQQLTILTHFQIGLPLASGGHPAHTAFGSNGLPPVQQQKYQASNLVPNQP YQQALPNQASTPAQPQMYQPTRAEADWYNTASHQLAHPISYVPRIPYRYAMKRSDCNT LLFPYDVLNLRHLEPKERYIAYARYSNALKAIYGQAPVRLETKVAFQQWLTNESRVLR DNEGLNYQYRQEQGDLVAWKQARTHEYSLAQLGLSHANVPITIADPQLMGHQLSYAQC VPLPSQVPPGADPGTSPNIIMPNVNKVSYQAAAGPENRYSSPEPSRGKARQELAPPNT DYSPPAAAGANQQSATSRGTLPNGSPASMGYQTSTAALPTTVTPEQRQQSSVQNHEQG GSAYGITSSTPIVIDDDEPDQLTSSYAQYSMILNAAIKRRRSDEQGRVAKVARTASYT KPIDLTNDSDEAATSPSLHAPSAAPEASSIASIALAPVRQTFANSENMKEFESFKRVW DQEKQQVISVGKRINSLPETLRNRVTNREFDHKDAWLAFTAKLQTEERTRRKIESARR DKQERDEKRIAQQERDAQIKKDEKAKTKAEKERQKRKEAEQKARQEQRRLQREAKHKE EEERAAQAQKEQEERKASAKEKETRELAELLESGLDDETQDVVSSNGHSTEVISVGDD EPGEQGSLASDDKMSEQGTSVYLHGTSTETTSRGDEYEADLDSLFEEEDNIELESLFD EEEGGVNTPGTSLEDDEMTSAIAAAGTSETVGLKKSRTGLSTEQESVQDEYSDTEIPI KEVLEEPIAEDRDGEEDDSDDEEEDPEMMGIKAQLRALDEEIARIAIHLSSANKMFKA RAQKTIDSLLEKKRSLENEIARLMENKKMAQVEDEGGL CC84DRAFT_1202976 MSTRRTFSLSPRRSSYPSYMQPTQASEARAQSVVSLKRSSSTPA SIEVTPGSIVLAQASTVAIAVAEGPQVQQEVVVETLQSSKLSNNYNSKDTSHESTAQV TEKSTVQLTEDVVPIAPIGPMARFGSKLSATPIAPTIEVLPSSLSSSNSSNSTQATDP TQIPVPRATGQAVNTMPKSVKVTKEVSKKRKRLEGVVKKSSKIPNTIEPTVDDADKND TDKELVTKKRKTRSQAPSPDELLELDADGDDEDDVIVPQRKRKATTVAKARASKAVVE KPVSSTKSLDPEINRMIKAEEKRKAAAASGNVFARNPKLRRSLADVTAASARSRPILG RPTKVEMVAAPKSAKKIVAVPLMKASSSARIKEGAVTHDGDVTIADLRSIKYLNVTAN IRMVHIDRIVQCPLNYPNIHIETDESERPVKAYITKMTDNEFKLAFEAAIGRDKEKRK LDAKLERQNKGKAAGSKTAQASSVRKVVAGKATASKVPIATSATKKGTATKITPGIGT EKSPLSKVLRGRITRQPPKSGPRSS CC84DRAFT_1256369 MVSPSAEKRAAQPKPEPTTAESVTEKQAPNKDKKDPSESTDAYR KFVAANAVITKNKQLAKAPAKAEAEPKTRLEVLYAQHIRAPKRAKAPQVTRKPVLELP KKVGTKAEDLFKQQTDNVDAEDSDAFTDADAQAKEEASKLTACISKGDRDAQLLTIGI DNSAGGASKKRNYKKFEIDEDTDSAKLAKKVMASFVFVDLDFKPKPVPHPHPHAKKMK MAWGKAASPRMTKEKGDMKPEHSDERTEHRARKKPARKTVPRTALDYACGGEDGEECG GGEEAGNEQEPDGGS CC84DRAFT_1256370 MKVAVILALATAAVADIINNEHLKTEVTNKVECNRPTKAGDGIQ VHYRGTLESDGSEFDASYNRGQPLGFTVGKGQVIKGWDQGLLDMCPGEKRKLTIQPDW AYGSRGAGPIPANSVLIFETELVSIDGVSKDEL CC84DRAFT_1139208 MSSTTSNLAFGNAYSSVQANNFTGSVHWGHEDTFARLPYAEDAP FNSYAKRHDLPCLANTRIDLLEEIRSWADGPNQRCIFWLRGLAGTGKSTIARTVARRY HDRKLLAASFFFSRGGGDVGHAGKFVTSIAVQLADNITTCRQHIRDAVAERSNISKQS LQDQWQHLILGPLSKLHEPGPFIIVVDALDECDNDNDVQIIVRLLAEAQSLERVRVRV FLTSRPEVPIRQAFGQMADVEHRDFVLHEISPSTVNNDIKLFIETELQAVGQIWYSRA GWPDAETVMQLVQGASGLFIWAATACRFIREGRHFAARRLETILRNDSNNSTAPEKHL DQIYVTVLQSSVPAHYTDKERDEQCRMLRHVLGSMVVLLSTLSLLSLSKLLHCTGEEA RRALEDLHAILSIPEGPVQPLRLHHPSFRDFLVDRKRCDDDKFWVDEKSTHEKLASCC LQLMSAPDGLRQGMCKLSNRGKLRSEIDKRDERYETYESRITTCLSPELQYACCYWAD HLERGQGGIQDGDKVHDFLRKHFLHWLEAMSLIKQMGLCMRLIAILQSLVTTSSTSVA SFLRDASRFALQSVSILAKAPLQIYSSALLFSPEASIVRKAFIGHMTQGVDVLSGRDA DWDACRNVLEGHSERVRAVVFSPDGQLIASASNDRTVRVWETATGQCRIVMQGHLFPV KVVMFSPDGQLLASASWDKTVRVWETATGQCRSVLQGHSDWVTAVVFSPDGQLVASAS DDRTVRVWETATGQCLSVLEGHLSWVRAVVFSPDGELVASASADSTVRLWETATGQCR SVLKGHLSGVRAVIFSPDGQLLASASYDETVRVWETATGHSTGQCRSVLKGHLSWVKA VVFSPDGQLLASASADRTVRVWETATGQCHSVLQGHSDYVRAIVFSPHGQLVTSASYD GTVRVWETVTGHCRSVLKGHLSGVRAVVFSPDGQLLASASYDETVRVWETATGQCRSV LQGHLECVRAVVFSPDGQLLASASWDKTVRVWETAMGQCRSVLQGHSDWVRALVFSPD GQLLASASDDRTVRVWETATGQCRSVLQGHSEWVSPVVFSPDGQLVTLASCDRTVRVW ETVTGHCRSVIQGDSSWVWAVMFPPDDQTRQTNRDDISLPSSSITASAVLPTEEPSWT TVNNEWILRQNRRFLWLPPEYRNCRTAVHKNMVCLGCNSGRVTLLSLQ CC84DRAFT_487582 MLMLQYMGPRVCGMGGNGTRWDSSLAGHRLGRSRSCASICLRSW KRISSQRFLRRMSLVGVHTPCFRSSRMRCFDCMMTRSGHSETISVSGKLGGHKRPITS FCTRLRDKGYMSARLLSWLYGLSMPCSTIT CC84DRAFT_1161475 MAKNRQFTIGWICPLPLEKEAARLVLDEEYPQEEVQYQNAYYLG GRIGKHQVVIGVQRRIGLSQAAILAEKMRAGFPNIRYFLLVGIAGGVPRYGPAGATSE IVLGDVVVSSPRGNHGGVLQYDKGAWEGEGRLNFRGHTNGVPGDLMAAVNNFRAEGSS QTNITEVLEQMRLRLDNDRQHQYDDPGPGRDRLFKDIYEHQGTELDDCRVCCDADYVE SRADRGSGATRQVDKPSVHFGNIASSNQLQISAIERNRVQQEHDVICFEMEAAGVMDE YPCVVVRGICDYADSHKNKGWQNYAAATAAAYAKGLLAMIPTVDATCSAQSAEQSSSQ HSANITNMTFGNNTGGIQARDFHGNVQFGRC CC84DRAFT_1256374 MAPRQSGSPKPAATKQSTGVTKLKSSSNNGCGPRAQRQRRHGHA IVPSPSVIEEEQKPNLSAGSDDDTESVLSPLDDFSPVGDELHVPEKRDGVSAWTIVPT YQAKKSMSPTPKPHTPLAEGVPGTEDLITRVPGQKAVAVVTPNEKHSKNNMANWRSLD LVQDRPRIDEEAESSEDEWLDKYNKKSVVAKPKRKDHV CC84DRAFT_1213868 MLAKSKQAGKSTEAAPVSENENTEILSRTPSPVSVGDLPQAPKF SVSQPKWRKVLAPMPAAGGFLKIVKAEGAGYIDREPCRKIGDRGVDVLYKNPRSILKG YGKEAMNLWWAQIDEFAVLWCGGEKRDDDMEWVNQFYPKEHWKTRKALADREAMMEEV RDEDEDEEIKEEKLEGPHGGKKKPSL CC84DRAFT_1172944 MLTRPKAAEDVAEAALTLQKSPDKTLGDSPNVGLEDQAQTDDLD EANVTAEVGSNEDIDNMGEDLLSSKHSRAIGYIGKNSEVQWLRRLHREADGTSSGHHD HGPYGPPGSSAQAHEQRIAAMHQRQNKNPLPLMDTRSCSFYLDEEPLDMDMEVDPLEL PPYETAEHLLKCYMQTVQNSFPILSQKTFTKQFVHYYSLVAQGAPYKLPQKWQAMLNL VFAIGAVYSHLMESDHAADERDHLLYHKRAWALSLNDPWQVILLRMAPSSDMNLVTAI SCKQAKWLTHPDLAQMQITGLLSFYYMAIGHVNRSWVVIGMSIRFGFSLGLHIRNEDR TATAVKKELLSRIWWAVYSLDRTLSAVTGRPSVGADVHSSTTLPLPISANDIDEAIIQ AKFGTRPKWGSATAGSPSDAGSSRAPSATPLGGNPSGTDARDTLNDEANAGTFLIAVV KLGMVSNNVLNQLYSPNLVTKSWKDIQGYISQLADDLDAWLYSLPAGLDPFKDHGRGQ TMQQERNILKTYYYSTKILICRPCLCRLDRRIRSQTQSSVNFNHQTAAQCVAAAKSIA ACLPDDMAVWGKEIYKIFPWWSAVHYLMQSIAILLLEACYEAESSAVLPAVKKLVRWL RELSSTNRTAERAYSITVDLLKKLASRTFQDPRTTQEIKQLLSEHPSPTVSDVGYSGF ATQWLTGEEFLEQHPSPQEMQPSGESYMSNVFAESMPTVNVFPGATDLPVSGFFSEAL HSHSPLANVFLTGFDQHNPLPFNVGDVYMPDAPGQAPHGQRSTWDEYQER CC84DRAFT_1161478 MAFQQPHVPLARPGKLPIPRLDRPREPPKKPAAPRQARVSRACL SCRARKIKCNGAQPKCQNCADNQGDCVYAASRKDRLKTSVNSLSCSPLIH CC84DRAFT_487739 MADHQQAEHDYFANEPPPKDLQANVTLAREFVDRHGAARRRLVL VTSGGTTVPLETQTVRYIDNFSAGTRGATSAEYFLSQGYAVIFLHRQFSLLPYSRHYS HNTRSFLDFMREDNGRVTVDEQHQADMLDVLRQYTQVKRDGRLLILSFVTITEYLWDL REVARLMRPLGPRAMFYLAAAVSDFFVPRDRMVEHKIQSNEEFAQSAADPDATVLPPA TRTEGRKLVIDLEPVPKFLKQLVDGWAPDGIIVSFKLETDPSILVKKARYALDKYSHH LVIGNLLSTRKWEVVFVSRLEGEKWIRVPEHRRTKSFSGVPSLVGSADPAAKQDQSET SQSLLETQSEAPKGEPAVEIESLIIPAIEAMHTKYIEQTQKRI CC84DRAFT_1237402 MSTITTFTPQHSSPLARTISPFKDPRPPPCAPGNTAQIWDEYER RAVENAKRRDTTPSLARRQAQGSRLSSGTVYDIIRSYDPHSSPRTPSIKGCPQFDFGF PTRQHPQLPAEATTVAICKTCKGPIRSTLGICEACKKTIVLSSPAGQATPPLTPTTPA FPAPDLPRSAKPTSPRTTSPAAASPKRRTHRSSASASALIPHTFDPPIRLSSLRPPPA LQTTPRSSLDAALSRPRKASLPDAADRHHLPRKPLPTAGPTSPITPPSTSHSRTSSIS PWATARPCSLANITTPPSTAGAYYSSSARHSSVSGAAPSELASLPSTSPPSVCRASYS LQNTMSAWEESDSEDEEKRGLVKYWRGRRWRGSIGGGGRRGSEGSGAGLGEVEADRAN AGSGKAGGKGRRRGFVRVISCGCDE CC84DRAFT_1139224 MHYPSPAYASKGYTMRATLLTVGAFASLAAANINFHWVNPTCVF AQHDDTQCLTGQHCAQGDVCKYNENNLQQVSRSFPGRAPVKRQNQKYSTDGQCGPAHN NLLCDPASTVYDGKCCSSYGWCGSTAAHCGDGCLSGECTTNTTPANPVDTKSPNAAAP RDDGRCGKDFGGATCDAKGAYGGCCSSYGYCGSTDGHCLVANGCQNGCTDGAATSVAP VQTASATRPPSTTTSGEPVLGKPSTSSTAQPTGKVTVDGSCGATFGNTVCGNWAQGSC CSMYGYCGNTTSHCGEGCQSGPCTNGPVVAAPKASPAPAAAKPGTLVKKGRSGVPAMH AGLMPNGKVVFLDKVENYTELKLGNGQYAYSSEYDPNTQKLTPLSYKTNAFCSGGIFL ADGRFVSLGGNAPLDFIDPTVGDGFKGIRYLKRSATDASLDGQGWSEPGAQLNTARWY ASVQIMPDNSIFVASGSLNGLDPSKPENNNPTYEILNADGTPRGKSTNMEILSKNQPY YMYPFMHLLNDGNLFVFVSKSAEIFNVGGGNVVRTLPDLPGSYRTYPNTGGSVMLSLS SANKWSNDVVICGGGPYQDISAPGEASCGRINPQAANPSWEMEAMPEGRGMVEGTLLP DGTVIWVNGAQEGAQGFGVARSPALEVLIYDPAQPVGKRFTTGPKSDIARLYHSVALL LLDGTLLISGSNPVEQPILKASAQNPFVTEFRNEIYTPPYLQGNPTRPTGVALKTKNL KANGGTLEISFYAPPNAKEVKVSLYYGGFVTHSVHMGHRNLFLDVANFKPGQKNQKIN VTLPPNRNVAPAGPYVVYILVDGVPSVGQFVQVS CC84DRAFT_489119 MRFFPRGHVSTGDLGEHLKPRPAPNPRRKSSDPRPQSSSPFLPP TPSHHTVQPRTPPTSLNPASDRHSHTPPSPARAHRRAIPSCRPAAGLQQAARTRRIYE VALAATGPAVRTAFRQFAACFKQNAHGRMSRASQTGIYLCNAKVACRCTCSRNRLMVH GSRVPTFASNLMVCDQVPRHRRDIIHTAAQQISSLATGITQSLPHSSLL CC84DRAFT_1161482 MLPALAVALGGSVGLAAGHPHPQRREVAAFPAGASWDIILNKGS TNLDDLASTASAEVTAIDIDLFDNEASTISALKDQNKQVICYFSAGSREDWREDANKF NADDYGQGLEGWAGENWVNVKSDNVRAIMKTRIELAAQKGCTAVDPDNVDGFNDNQDG FGLDQSAYADYVKFLASTAAANNLAIGLKNALDLIPDVVDVIQFAVNEQCHEYDECDK YKPLTEANKAVFNIEYGGNACNSPAGVNLSILIKNEDQSLNALGGACSPSGGSEQASA TPAASQSAVAENTSVPTPAQTPTLSAAPAVTPTGGYAVKPSSTAAGAEATPEPSGEAG DEDEDEDDQEEEEDDDEDDDEDYEDQEDDEDDEEDEDAQPGWQRHHKHE CC84DRAFT_1161484 MTNSCSTGSPLLVHFVQFATMVLSRAFAAASLASVVAATPLQPQ ARQYATSACAEVAASVAGQPATPVPSVDAKLAYDCITSVPLHKDEALHLIEGVVPYFR WQSSTAWLKDPPAEYAEKIQPATDVWGGLEKIKSKVESEEYDNEFEFGFDLYTLLQQT HDGHFVYVPDVVGTVFNWARPVPLVSVSADGKELPKPYVYADVLAESYGNATFTPSAI TKINGQKATKYLEDWSQRGSLQDRDALYNNVFYELATVSLGPTGSGIGTFAGSGRGKW VYPGPETKLEFENGTTTTYTNVARVLVSLNGVTDGESLYQKYFSSQATSTIAATPSNS SVATTTASATPSATTTSIPAPGYPPPVYKEQHNLIGGYFLEDDYSDIAVLSVPSFVSI ESAEVDFQQVTEKFIVAAKAAGKTKLVIDLSANGGGTILQGYDLYKQLFPKGIGHAAF DRYRAHESTKLLAEYSSRLADVLPRELVDPDVNETKYALESDVIASPSNYLSDLNASD LNFPSWEAKFGPFEHHGDKFSNLFHWNLSDVLTPYNSGGIWVHGYGELANYTSVPQPF ANEDIVVVTDGYCASTCTIFSELMRQRQGIKYISLGGRAIKGITQAVGGIKGTNNYPW TYIQYLAELTITNLTASAEEATKLNATELGDYWDNVVFERQAPGTSVNVNFRDGIRDT DETETPLQFVYEPADCRILYTKAMTVDVTAIWKAVADSAFGGESHCVAGSLGGYRTGG RRAKRELTVQDKAMSKRMHAWRRELRAEQYPLDVYTDLKGMDLTRRGIMWP CC84DRAFT_1213875 MGSVDEAVQDCARVVHTDTQAMQTQHPYITVPPVINGTVNAMKA ALHNVLRIDPWSEDAVKATWAPPPYDGVQRKLNVYSASKTEGEQAAWECMKYEKSGAD RNSVLPT CC84DRAFT_1256381 MAGPSAPVDQAPAAADTLTCKACRRTLATITADGELRPHGALDE GCALCKDMVKHCNEYEEVKGYFKELEHRRDDYRPRQLALEVVHEAQMNLGNFIQAVAA WGDSGDGHDDEDEACGGSAGEQHNRVQEQEQTATPPADAQPHRKRILSPASSPPRKHA KRPRLSERQRRVSFDPSVVFRDAEAASKRVDAEFSRNSEGYSRGRYTAPEGTEWLDTS GNSLRETQFFGVQKRGRKWVPTKEGMEMDEEWEMGSGEGHAEEEGSGGIAATGDVDEK QEHPQDVQMKCVGKETETTPSRNIPLPYRTSVGLSDPSSDPPGPDTKPSSEGAADIQI VERPSIFGDGKPSAPLDED CC84DRAFT_1256382 MPFLAKDTVPIPTKDILSWACEGPEYDQDAPLYIDAANPSTTLS ARQTKSLVRHLIAGFRAAGLHKGDTVLIHSFNSIYYPVIVLGIIGAGCIYTGTNPSYT PAELEHAIRASEAKFILSEPELLPALRVAARQLRLPDGRIHILDSTSKADQTSPTPAE YSPWRSLLSHGAADWATFDDEQTSKTTTAMLCFSSGTTGLPKAAQISHYNLVAQHTLA FEHNPRPYSIKRLVFLPMFHVSTAPMCHTSPLRAGHAQVIMRRWDVDAVLSHVPAFGI TDLVLVPPMITALVSHALPAREKRQRLKGLRWAIAGAAPLDAAMQARCQTLLPRGILT QIWAMTETTCLASVFPYGEPDATGSVGRFLPNLDVKLLDGAGADITAYGVRGELAVRG PTVFRGYVGVPRARDFDAEGYFRTGDIVWGDAATGKWYVVDRVKELIKVRGFQVAPAE LEGVLLSHPGILDAAVVGVPSPETGSELPRAYVVRRVGWEDVSEGDVAEWVQERLAKY KRLEGGVRFVRAGEIPKTASGKIKKQELREMAGREIGAKL CC84DRAFT_489393 MGACRSRSLVRRPGDTSFPLGPCQRVPKFASPFAVTTHRSMSGN NAGVRNLRAMFESQNAASSSPEPRGRSPAGSATSDANRPTSKVRASFVSVEPHAAGAA KELGTTKGVSTNTPHAQRRESFSVSGESSDVADLKQAISEEKEERKKSIAVDEAVPEQ AVETRESSRAPAPLREPAGKMPNLGSIMKGSDFPEPVAAAEQPTEEAPNVRPGDAQVA PAAHEKPAETQPETPDKVVTGAQEEAALKPADPTDEAAVAGGGALPAPAEVLSHPTSS EAAPQTPKITEPTAEAKETPRTNGRASTRKPAAISTAKSPARSARGTSAARSPLPKSP LPKTPTASKPTPAATAAKSPAPPAKSPAAAKSPAPAKAQLKPAAPREPTKPVAPKFTA RAPAKPSTATAGTTATAAAKAKPAAAEIKKISTKAAPSAPSKTGTATTGGFVKPKPRS PTRPVRLPSHLTAPTASSAAKHGEEAAEQKPARKPSTVPRPAPKAAAPAAKKPAPRAS LAPSTARPASRASTAAGASDGFLARMMRPTASSASKTHDKPESPPRQRTTAKATTKPK APEGLATKAKKKVEEVAAKAKDVVTNGNHEERHEEKTEEPETATTGPAATEPIAEKGT DIGTAPVPTEDTAAGAEEPVSDMPTATEAEKTEHAAVESESPKAQEEAVF CC84DRAFT_1084803 MSLPMEVARLVLRQAEEGAEEEPSPGHCISSNAYDGRMGIRISS IFVILVGSMFGAVFPVFAKRNRQLGIPESLFFVAKYFGSGVIIATAFIHLLAPANEAL GNPCLTGVITVYPWPEGIALMTIFLMFFLELMTMRFGNFGGEHSHDGHTHGSAPHDSV SLEDRSKTVGSEGDQSHGHQIGEDHLGHTRDHTDNSELGSDFEARGIIPETYAAQITA IFILEFGVIFHSIFIGLTLAVSGDEFITLYIVLVFHQMFEGLGLGSRLAMVPWPKSKR WTPYIMGIAYGLSTPIAIAVGLGVRTSYPPESTTTLLTNGVFDSISAGILIYTGLVEL MAHEFMFSPYMQKGPISRMLWAFTLMVLGAGLMALLGYWA CC84DRAFT_489456 MRAFMIGWRWIETPHTGECSIACVKPLIAHGTLEKGLELVRARR RQLCLDGFPLRSFGDAMVSWRQNGGAHLVDVSTWDSAESHHGGADRRCLCCSLPAGSG SLEAGYVPVPLTRIIRREAPRSGVLMFPRVLIMYCPSIHHVRARQPLENMYIRTVHVV SIHPGGDRVYAANTAPPSSMFAGPVDLPGSL CC84DRAFT_1067486 TATASHTSLPTVFPDPIEKQFVGDDGKKTLWVIFVAMTIASAAF AAMSWRVPVQRRLYHVITTIILIIASISYFAMATGQGVSVHTTIVRHQNDHVPDTFTE VQRQVFWARYVDWALTTPLLLLDLSLLAGLNGAHITMAIVADVIMILTGLFAAFGSEG TPQKWGWYTIACIAYLVVIWHLAVNGRAQANAKSQKLGSFFLAIAGYTLILWTAYPIV WGIADGSRKLSVDAEIIAYAVLDVLAKPVFGIWLLVTHANMPETNIDLSGFWSNGLGG EGAVRLEDDGA CC84DRAFT_1161488 MTDAAIDETPISPIRSLERRDSLEKHLQHRPDPQDLKNRHILLD TNTAPALQAKALELERQRATDNLKKGLEHRPEREALVERNILPDSNAAPALQGHQREL EKNMRADSLEKGLQHRPSPEELVKKGILEADENPLKEE CC84DRAFT_489785 MASNPITADAGAGARFLYKVIKNDAMKEDPPQIYGWRTFMMACS ACFGGMLFGFDIGTIGGVLTLPAFMAKYGLSADPKFKKQNADLSANIASTLQAGCFFG CFLSSWIADKWGRKTALIFNGLLTIVGCVIQTCSFGSIASMYVGRCVAGVGVGGASMV VPLYIAENAPRAIRGGLTGIYQLFIACGTMLAFWVNYGSILHLKGQSTYMVPLAMQAL PAVVLVGCMLLNKESPRWLAKADRWEDATKVLARMRHLPAEHPYVRAELKDISDQLEH ERLLVGGATLKDLLREMFTIPGNRKRAFISIGLMICQQMTGTNAINYYAPQIFKNLGL VGTSTNLFATGIYGVVKMSTCAAFLLFAADSLGRRRSLLWTSIAQGCAMLYIGLYVRI DPPIAGQAVPPAGYFALVCIFLFAGFFQWGWGPVCWIYVSEIPAARLRSLNVAIAAAT QWLFNFVVARATPNMLATVGANGYGAFLIYSCFCFSMFFFVWFLIPETKGLSLEKMDD LFGVTELVKRIEEDREAQAAAGTIDLDNKEAVREERREVAA CC84DRAFT_1172959 MSAKVANANRSFPLHPFHSNPLQSRDDVVAAVASLLEPLHGGAS PKHSMVKVGATGTRFDETAAQVEGYARPLWALAPLLAGGSTYAGTDKFVAGLVAGTDP ESEEFWGYMEDQDQRMVEACPIGYTLAIAHKDFWDPLTDAQKKNVETWLGSMNDKDMP NTNWLWFRVFANLGLAANGAKWDTERMEKDIKQLNTFYRGDGWSNDGPEGYRQMDYYS GSFAIQYLQLLYAKLAADTDPTQAEEYKSRARQYALDFVHYFDPEGRAITFGRSLTYR WAMVAFWGSVVFADVELPAPLSWGVVKGIWLRNLRWWTTQQDIFQPNGLLSIGYSYPN TYLAENYNSPGSPYWCMLAFAPLAQPVSHPFWQAKEEPHPFGNDRIARPLPHPRHIVV RSGGHSFLLSSGQSCHYPLKNTQAKYGKFAYSSAFAYSVSTGSYTLEQFVPESSLALS DDGGELWKMRRALHGDSEIETHDGGPVLVSSMKPWPDVDVLTYLVPPAQDTPNWHIRA HRVRTARPLLTAEGAFAVYGSRAADGRNLINLDGSIALDKAIPEGCTADGTSSLAVSP RSGAVGIAELVRGERAGSILLADANSNLEHARTVLPTVQGALEAGTTTWFVSAVFAVP ASVEGWEQSWEEGWGKRPVVPTWLRSKIEGRWD CC84DRAFT_1193977 MPTITGIELLTAATPNGQKISILLEELGIPRHQRSSAIIDHSRG DFAVFESGAVMLYLVERSEMLQWLFFQNAGLGPMQGQANHFVRYAPERIAYAAERYQN ETRRLYAVLDERLQRRAYLAGRGQGRYSIADIAAFAWVPWAPWAGVELDAFPAVKAWA ERIEAREGVKRGLRVPAGEDQIERLRRDPEVEDPFKGWVMMGQSEVREKHGGGS CC84DRAFT_1161491 MPIDRTSNNPARPLKPTLATTRTSKTPVAPRLAPAVASTPAART ARSAAGTTPRVHTAVYEDVTPVKAFISSNVTPRSSSRKSRVGGSANSTPSGTPSTTPI ASRPASTVDYPQKEPGPGYGQAGYGPNGFGGAQRRPRSTVGTSNHQVTSTPRLPLSNI YSNAPEPGRARGPSGSSMFFHANDARTTPEPQQPPQKKSPVFFYANGDQDASAPSPTA PSPPLSAVGRMQAESKFFHANSLPDHRDSPPALTPPPISASPEPWASLHPADSQHALR PPSPAKENIHLSYRKGASQVIRPNLQTRNSALSIISGFHGSDVGADNSTRRSSVTSAV RFSHAKSASLSSIDSVQSLKKNSAHDQPHITPSPLHNEKRVVSTGSLPESVAAVPTET MTAMSALPSPNGDSPTKLGPGGKSMLEHMNELAANARRERKVLDLEISNNSLLAINRS LEREMRKQKAELRRFRRMSRAGRFTADTISSLEEFSAVGVSELGDLSDMSDDEPDEVE SEDHSDSSFDESAMSEGALAERDEAHRLRDEKRLRLDLSKHRELLADSAKMNQSLKRC LNWTEELIKDGQKALAYQVRVSDVQLGGRVLEPEEQPEADQDEESHGLLSPWSPPHRE LNPMDGSPPSNPDRDSGIDIDGMKSAISGLQSFISPLASPL CC84DRAFT_1081999 MPLPPSPVAPVEAPNDSPTRPQPSRTPSDEHDTLKYHLLGPSLT KAGQDSVDQQKVSEIIYNASKGSKFFNHEEVRDRVLTDKINRILAKKRQLDKVNLSAE LRRADDYLAELELGRDLTQVVIHIDCDAFYAAVEELDRPELRHVPMAVGKGVLTTCNY HARKFGCRSGMAGFVAMKLCPQLICVPLNFAKYSAKAEEVRAVLALYDPRFESASCDE AYLNITQYCEDHHMEPEEVVSQLRAEVHVKTKITVSAGIAANAKLAKICSNKNKPNGQ FNLARDRQTIMEFMRSLPTRKVNGIGRVFERELDAIGIKTCGDIYAQRAYLSPLFGQK AFQFLMQSYLGLGRTRIQPAEDYERKSVGTETTFRELGSPDSLRQKLRAIAEELEGDL KRTEFKGRTLCIKIKLHTYEVYTRQMTPPFAIQRADDLYKYGLPMLERLIKEMPNLKL RLMGLRCTHLISTKKPGIDFFGRQRAMATAKPEVRNETNEKDGEWETWPEEEFEEAAR QERDDEMNELEKLSQEYNERQRPGNDTKIHEPQAVHEGVQEESWTCPICMLPQASEDA AFNTHIDFCLSRQTIKEAVKETAPTPASSNPQLIPSKHPPAKTKGKRGRPKNDQSGLG EKTREKRRAFFTMPTGPTS CC84DRAFT_489832 MPSSGPTTKVTCEVVFFAIISRRASLSLQSGRRAVALLLSCSMG RMHLRLLPTPRMLQGNSLRSIFSFFFVVRVVLVGVQVVQVHDEEQDEAHDHDDVEDDQ REHGDMLHADDADAVAIDVPLCSASGVHEQQQGTLRQQCCYSKIEYDFTALHLSMLCG GRGDFLPRVLFFLRCVIQH CC84DRAFT_1213887 MARYSFAFTALAATGAAAAAAQGTAYAQCGGQGWTGATTCVSGY HCQFQNDWYSQCIPGAASAAVSSAAAKTTLVTLVRTSAAAKTSSAAKTSVAKTTAVVA KTTAVVAAASSTVSTAKAAAATSSSGKTQYAGVNIAGFDFGCYTDGSCGGSYSDPGAN GIAQMKHFATDDKLNVFRLPIGWQHLLNNVLGGSIDATVGAAYDKLVQGCLATGALCV IDLHNYARWNGAIVGQGGPTDAQFADVWSKLATKYASQKNIAFGLMNEPHDLTMSTWA TSVQAAVTAIRKAGATSQMILLPGTDYTSAGTFVANSGPALLKVTDSDGTTSKLIFDV HRYLDSDNSGTNAECVTDHVADTFVPLATWLRTNKRQAILSEVGGGNTASCQTDVCAA IASLNQNSDVYLGYIGWSAGAFDTSYVLSLTPNGSADQALLTKCFSRA CC84DRAFT_1083772 MDAHKEEVARISERVQAFQRSSTAFRIYHGSTLSTRESARQRDS IIDTSRLNHVIGFNTQEKKVLVEPNVPMDALVDATLREGLVPKVVMELPNITVGGGFA GTSGESSSFRFGLFDRSMRGIEVVLGNGEIVWATSDREDPHRDLFFACAGSCGTLGVV TLLEMELIDAQPYVELEYKAVTSVKEAVDVLQEAEQDSRVDYIDGILYSMTSGVIMIG RLQAEPIENRVRSFDKPSDPWFYLDAEQILKCIERGGKSYKQSIPIKSYFFRYDRGVF WGGGIAFKYFCVPFLDLTRRLLDPYMYSRTMIHALHRSGISSQAIIQDLGVPYESAAS FIKWTGEKTGFWPLWLCPVKPPPRDECSFSMANVDPDKTVLDVGIWGMGPRDPRRFVA LNREFEAKVAELGGIKCLYAHAYYTEDEFWRIYDAEKYTGLRRKYHAGSLPSIYDKVK VDLQGVAGPRSVRKQETWGEWTSRNFWRTWPFGGLYGVASATKGLVIQSDFLLKK CC84DRAFT_490135 MDNHDFAVERIRSRIVHFYNTKTAFRVYHGSTNSTRHANFDRAS ILDVSALNNVLSIDPATKTAVVEPNVPMDALVRETMKVGLLPPVVMEFPGITVGGGFV GTAGESSSFKYGFFDRTVLSAEVVLANGDVAHASSTDNQELFEGLRGSFGTLGVLTSV KLQLIDMKSHVEVTYHPTTSISSAMSQLRAETASATADYIDGILFSPTSGAIITGRLT STPSPTLPTRTFSRPWDPWFYLHAWRLASSPSPTTECVPIQDYLFRYDRGAFWMGSYG FAHFRVPFTWLTRLLLDYFMHTRVMYHALHASGHTDRYIIHDIAFPAPNAAEFAEYVD KTYGIYPLWLCPVRKDGRSSMGHPRSFSGEVPGKTLGAVYEGAYINVGVWGPYPSDEA EYVRANREIEAKARSLGGLKWLYSRVFYTEDEWWSIYDKERYEVLRAKFNAESLPSVW EKVRDRGPRREFGTGWKAWVKRVLWKSEVARGLYGVWKAMGGGDYVLSKKGKTA CC84DRAFT_1184570 MVDLTTIHASNASLPTHLTAVFVGGTSGIGLYTLLALARRCKVP NIYFIGRSQQAADRILADPHTLNPNGTYTFIPSDVSLIKNVDAVCAQIAARESHINIL CLSQGTMSVGQETSEGMHLIASLILHSRIRFTVNLLPLLRAAPGTKRVVSIFTGTKEG PLTAPELQMRTVKSPLKARGQAASAVTLLLEEMGRRAPEVGFVHTYPGFVSSNIGRDF GVVVKGLGWVLERTVGKWLYIPVEESGERNLWLCTSGRFAGAAAGEEKGVEVAVGTDG RAGSGVYTIDEKGESGCEAVLQVLRDMRVQKRDVWAWEEVRKEFLRITGKESM CC84DRAFT_1184571 MAAFASLGALRLAGFATANTYSNFSIPIEISSRQGVFPNQGRNY FAKILEGYATVQGQYEISAKYCQPDDGNASTIQIFYWDLAYNNYNYSYVKVANAAGYS TLAIYRLVIGNSSHGEPINKIQAQLKVEALNAVTTLLRAGKIPEIKKRYGKIIHVGHS FGSIQSYWLSALYRNNTDGLTVPAWNLHSARLNQPLFFADAPNSKLRAVFSGWFKNSS LIQAHQTLLKVSHCDLTANQLVFLRYGAYDIGLGVITEQTEQPVTIGKLLTTGGAPAE DSFGGPVIIFTGEFDEAFCGLDCYATGGSNTGHRINVHYNSTAGNEYVQRWLAANGPG A CC84DRAFT_1172968 MKFASSIFLAALGLFNAVRGDAVPWERISKNDSMLLILDLQVGL YQVARDWDPTLYRENILAHAELGKLFDLPVVMTTSAQQGPNGPLPKEILEMYPDAPLI KRQGEVDAWDNAEFREAIKATGKKQIILAGITTDVCTAFLSLSLRAEGYSVFANIEAS GTYSQLVRDTANSRMQQAGVQLVSMFAIVCDLMRDWRNVPGAKEVLPYLDKYLPVYGY IARGHAAAIENGTVIPGEASLV CC84DRAFT_1213894 MHYIIPSLFLSTAAAQAVGSLPSLSILKLPQIPVLPLQGVPDVL AGNGYNSIADSLSKAAQSIGAHTDLTTVANAKLTGDISVNPTPNIPTTFDLVKSVGGA SATLWQLAYDLENKVCSIATSINQQNIAAQQSNLAVAIQAQVDALTKLQQTLNTTVIT VQTQAVAFSDAEKSILTSAILALVGSVVASAAPIQALATGLANVGITSISSVAGILTA QANALAAFASKVNFVGL CC84DRAFT_1172970 MSYRIDESVISNFLTNHTHALRLSALPLDPLSRQCPVCRDIYHA QDPAYVHPLLPADTPEYPVQVHNRGPCSHILGRRCIERHVRAGQPWSHSCPLCREVWF PAPNSARTEIVSTLDNVLGALERLEMRDEASSHEIENVEQALENIRELLYSQRWI CC84DRAFT_1213895 MEETQHREKGIRFEAESLGDPITVLDAAEAEADSARCTASFRTL VHFIKFNVRYLPIDAGSLDGGCPICREKTSKSQEGMLQVDLPACKHIFGADCFERYIQ RSYTCPMCREIWFEKRLTPETPSTQGRSGQVVIEVSITSDEAADSLLNALQNESGEMV RRLLGEQIAELDENEGMLSSSLQLLGMNLIPAVNLASFSQ CC84DRAFT_1237439 MVKHKSRESSSSSGGTPYEGTLRNTCKVNYSDTEAPEIVDPGRG VDPPVDVGASEAMPAQAAYIVPVPGSSKNVPRGPINTWVVERESLDYLNHAHLTAHLT SLLASCTHRASLFAAAIQRFEIYLQFFVSTCASAHPAGTADVLATLRAATHMGDEVFL LRRDYTIAVTMLRYFVAGLLQRLFQGSEVRDRDTMAFNELSRVYEEAEYGIRDTIEDF AHGKAEGGVSERLGVYMEAFKRRGEILGAQQGRLEGLARDCLVEFGKGGVGVEDIAAV PS CC84DRAFT_1213897 MNAPIVALDWLGDMSVPSILPCRTTYLEEGEKEVERSVLNSSFT HYENAPSEEREEDSGTVKRKAPSPERSDARSPIRFDGGRDLLSPGPEVSCLGRRRSGI LTGLPIQFEADLEPVRGRSFLRPRIATETFKDPSDASIPRRSIPAATTNPTSIAVQEA LRRRDARDVFDVFFSDALRVSPSRSPSPSSSVYSSSTGSEKWMTPPTTQKPTGRCNPV GYRYPSAISQVQPSRSAMNTKTSSPVSPNFSRPLRSVVAQDYSLPPSRKVSFADRNFP WEKDDDDCKPDRRKSSLAERSPLPAPPGQHEKRDFSFEAGLHAQETRFEQKFEGKQRR QARGDIARLATRKREREREQRAIRGSRTSGTDEPKLRQEYQRLRQEMALLREEFRALR QTLVNSRR CC84DRAFT_1213898 MTHADQLPASFSLFIATPRAIYAHSGAFRKRTLFECTSDGIVNA RAAKDNSSLLAVADSQLVILHDPARRGDRKYALKSYEGEPRLLVFSPDSRTLYFTTTL STSIQAYCIPTGELLPPPQIHPSPPTILSISSDGNILLSASSAPPKIYLQDLRFGGSA SVSFQPADARSSAVFATFQRYEGRNPSYTSFFLGFQDGTLSLYRLVLPTRRHSYQGVY LNQTQAFLLQPTRLGCIKKLHKPAMGGVTAAEFIPGYKARAISVGHDGRCRLVDFKGR GRVLRT CC84DRAFT_1213899 MRSSQYIPFLLPFAIAWPYTKHQGYGTSSTQLHENLQDAWYTKY TKDNRSEVNTLSTKNRLVTPNDPTSVYSCVGPKAEDFPGQDEWLTFDQLWEINEPVIE KANRASTYNDDLQAAIKEVASDSKVDARLILALIMQESSGNVTIHCTESTACGLMQHR GSHPFSPSSPKSGIKSMIEDGVYGTPQVPGFLSYFNCDASTPAPSELTWVNTQLIKGN PYAAAHVYNTGHIDDDTLSTDRGKSNYYAHDILSRLQGWNGWRGGCEKSRGCDGLGFE DRNCW CC84DRAFT_1172974 MVNLYFQPDAQLTPQDRMVLDILQKDYDRHKKASGNEQQPSEDP DDFGQKQARFDSASPEDAEEAQEQALAHMKELNNPTSDQFEPTVFVMVDYPNITNPIL RKYIIEPYVRWGRSAVRVDTDVVMLTHLLLYLTTSIPSAIYLFYNFHWWHGPLHSIMQ LYYMGSYTLMMHQHIHMRGILNKAYSAVDLAFPYIMDPLMGHTWNSYYFHHVKHHHVE GNGPNDLSSTIRYQRDDVWHFLHYLGRFYFLIWFDLPRYFLRTRKTELALKAGACEIA SYAFYYTLFTYVNARATVFVYLVPLAIMRLGLMIGNWGQHAFVDETEPDSDFRSSITV IDVASNRYCFNDGYHTSHHLNPMRHWRDHPIAFLAQKSTYSSEHALVFYNIDYLMITF SLLAKNYEHLARCLVPMGDQMNLSIEERAELLRSKTRRFSEEEIAAKWGKQFARLK CC84DRAFT_1184574 MSDTATPSLNTPFKRSVRRVALACIQCRARKVRCDSLQPACNRC CVDEKECEYQKSRRGGRPRRPITAPIQIAAGEPHSPTHSTNNFALSTDSSSLGLGSSG SSTTQSACESLESKSPETVLPNGARLTKTMVDQLLTQYYTYFHVSHPCVLPRWALETR IATDTGIADFLLPILFYIGSIFAQSVDSTSLANAAFEAVKAGQLNSTGSTPNPYFIQA LMLYSIAVYWCNEPERGRELLDECINGAFILGMHQKSFAEENSDGDPVLEESWRRTWW QIHVTDIHIAGSTHSYKGLSVKFPITTELPCEEEGYETGIIPTPATLKNYNAREFSDM EFSSFAHLIGFSQGLCRVLATRLYNDTESARTTCANADTMMTAWCSLLPASKRRLLRD DGSVDELLFKATILMHTCIVDLHRQLSNLRYSDIESVSKCAPPPPPESNDSIKEDAPM HTSKVLYAVEKLNSLLTLPTKFSVHTPFIICMIANMTIAHLSACRYIFREPRLSLERD KIRLNMGVLKMLGEFWPAGQREYKTMGTIAREILALKEEEIQIPKETPILPLDTLDYN FPDFEVNWTCDYFATNHGPYSFDVPVDCLV CC84DRAFT_1161501 MPPHKKVYSAPQADDKTFATQQEFLDSLVPVPVESVDGNSRKCA HCWRPYGESNPGEDDAEAPVRFRCNHVFGEQCMRSLFAVRDPVRVDLKPLSFAPGSKG ADLGSRLSAYVESHGVEKSALLSGGNRATDFARLIREVMPTVQTLTCPTVLGPGFDLL GKEWMVLVFQMFSQSDSLLDKIELMENAIIVNSDSAKHQMYTFPPGNIMIAPPISVSS PYSTVMPSEPGEALSQATPQMNHISPPHLTWAQEEQKTKKKQETASTTSTTWKDALFS KSKLEIPVQKHKELQEQEELLQEKSESATADEEAVHSYGSPVIPPTMTQIAPYLFADP LAAARDQHTELQEHTKVFVKHLSQSFAKVYEAYERNQYEQGVAAGFQPPVKKLKLAGL NKTSSASPETEFDDIYYHRVRHSVFVLTAIAHRYSLSERGLEVNQPYSYEFHATEETD EENIEDDGFVDAERAPAVVAQKTVLVKRKICRNCCAEPTPYVQSLATPEYVFWPDNKK IPDNCPKCRRILFNKKDD CC84DRAFT_1237447 MCQIEGKVATYERNGEFVATSKSLAVATPAPPLHHLQTATPLPS LLHYVDNDLLRRRAEYDRPPNGQAICSACGKHEFAATIKSTYLPLTCGCWMHYRCFIG NVAYQKPHLRRHPKDCCPACGTQLFIWEGIVALTLAERTNVLMPDVKFTPHEAYADAN TGMYVVSDKTQYESDCALISALIQSHFLALFEPAAPESRFADGSPDLTACYYAVLADL DYYGCPRSKWLAFSREKKQDGEVSVGFLLFGMLVALKMRGFLNVYHAAVVETEGWAEF ENIREGVQRRILADVRGEGWSWGA CC84DRAFT_1237448 MGRISNPKHSNGPNLAMDSFQLARNASLAIRVALGSDWNSPGGA LSTVADKYILKRLDKAISSADDEQPDWEAYILIPLFNSCLSTYTAIDIDSKFVTQTVA VILLAYDIIRQRNAVPTQTDYNFIESTLDKFLTPESLVIIREVVEAESERYSGLNIDF GKVNGLFVLEALLKEAIHRAENNGIVPEGATVKLMADSVKTQVPTASADEGFSSTPGL QTTTKRDVHLQYYLKSGYALEVDSVTSIDLHNEALVLETSDGLHVSIEEGVIVSEVEG KSRTVDIRAPQADGFELSATVVIPPDNSYIIEDVTSVERIDKMADWAVFETLKATIWV GNGAYMYERDGETVKVKNLFNICSTA CC84DRAFT_1113024 MLRASILRARPALRPIAPRVRPQWQQAQRFYADDKKSLGETSIP NPAPTVTPSTSAPVENATIPSSDIPRAPPAPETSASRSAPVVQPATLPPTGPGTASIA PDPKSAPKPKRKRRLGRFLLYTLLLSALGYGGGVWYSLVSDNFHDFFTEYVPFGEDAV AYFEEREFRRRFPGRAGQPRLHPQVTGESKVTIPGNSGLTSRLASEHSTDLAVKGPHV SATDDNAKAAHDRSSPSPKEAKSVPATETKPAPTPAPAPAKQIAQIDHLNTPQASEPI VQDVVKIVNDIITVINADTNRDTKYNSALEKAKSELSKVVSDINLLKANIQKTAEEQI NAAHTEFDGAAKELVQRLEHQMKVQETQWKEEYENERERLAQAYKERTRSELDAAQKV YEQKLKNELLEQSIALQRQFAGSVRDQVENEREGRLGKLNELSSSVQELEKLTAQWNS VIDANLKTQHLVVAVEAVKSALENQIVPKPFITELAALKEIAADDPVVAAAIASINPA AYQRGIPNSAQLIDRFRRVAQEVRKAALLPEDAGVASHLASLAMSKVLFKKSGLAVGQ DVEAVLARTEALLEEGDLDAAAREMNGLQGWAKVLSKDWLGECRRVLEVKQALDVMAT EARLQSLLVE CC84DRAFT_490343 MGDPSAGAHLARDPCLYPMDPMEMSCFDIRAAHVPTIPAPRRSQ DAKAAKRRGASSPPRISLAGYTNGKSYWIVNQRCRLQPTQESQLEQQMHQSTCLNLNA NVNSAESDLWILPDFDDWEVDNETPQQKHLPGAKKQSAWAPLVKRAASSNRERLRRRL EGDGWDFVGGKYGEDDAALKEETEASEGSQESVDDEFDVVVLPVVRAAC CC84DRAFT_1161504 MAAGLPFRDINVHSSPSHYAFSSPSSPSAPTLVVDRPSGDLRLH DGKLLGSKRVSSIAGILGMIKLRLDKYIIVITKAQPVGRIKGHMIYKVISTEFLPLRE RPLHDPDEDTYLSLLKTLLKSSPLYFSYSFDITNSFQRQAHTDPSVPLWKRADDRFFW NRFVQSDLIDFRGGLNTGYGHRHPSGQQPEVDPFILPVMYGMMEIKNTSIKGTPLTFI LITRRSRVRAGTRYFSRGIDENGNVSNFNETEQTIILNDHASGGLGGYGSNQNGAAGG NAGKEIQVLAYVQTRGSVPVYWAEINTLKYTPKLQVRGVESAVPAAKKHFAEQIRLYG DNWLVNLVNQKGREKNVKEAYEQMVDYLVNSPAENTEGDQITSEKFHVIEPQQAKTTF DRIHYVYFDFHNETKGLQWHRAKLLMDQLAPYVIKHGYFRGVDMPGDSGRVEVRGHQT AVVRTNCMDCLDRTNVVQSMIGRFILSRMLIDLGIIREGENAEDDQAFEFLFRNVWAD NADIVSRSYSGTGALKTDFTRLGIRTKQGALQDLNNSITRYVLNNFADGPRQDAFDLF LGTYLPSDSGIGGQLLFADRRPLFIQAIPYMLAASIFLIFVGMFTRRAPEAAVWPLRF IIVLCVAMAAVSGNFIWSNGTLYVNWPKLNRLPYAIESVQDTLSRVSHNPVVGGLVGT KHERGKSDARLLGLEEGKKRI CC84DRAFT_1113030 MSARPQQGGRPGGSRFAQFKLVLLGESAVGKSSLVLRFVKDQFD DYRESTIGAAFLTQTIALDESTTVKFEIWDTAGQERYKSLAPMYYRNANCAVVVYDIT QASSLDKAKAWVKELQRQANENIIIALAGNKLDLVTESPDKRAIPAADAEQYAREAGL LFFETSAKTSENVRELFTAIAKKLPLDQGGPRNLRPGQGRQSVNLGRDAAQTQGPAGC NC CC84DRAFT_1172982 MAPLAVFVCLVCLSFLADALPAIPKSSTTWLISNFSLTLSPAQN STSLLFSFTDPSPASPTNLTCTSNTTATTQACGPNRDVLFKVSEDLGEVVLRRRMSS CC84DRAFT_1213910 MPRLDRLSNIQDDVECYCLDLRVYPEVSQCPSSPILTVESAQNL AQQLDIPLQALDHEASARSGIQTILGSGNALSKKQIVCGKGDAVQREFPSAFEQPHDP EAHEKFVDRVGSSSILLLLLVVLGNIVWKRFGRRRRWYRLLSQRELSRGNDEASKEEK PLHENEGNGTGWGKTS CC84DRAFT_1161507 MQFYRTLALAALAGLVSASPIAIEGDSIAVRSGPSLDIKAPIVD KRGAQGSGLVEDDDFEIPDEPDTKRAISVDDEDNFDIPDEPDTKRAVLIDSEDDFVIP DEPDTKRAITVDDDDFDIPDEPDTKRAVAEDEDDFEIPDEPDN CC84DRAFT_1213912 MYFKSLAAFLPAVAVALPTTTPIVHRVAKAIVARAPPEANVVLK SVTASGTGCSGNSASFVLGIGDGATVGFDNMIVDSTQIDKTKRCVITLDLQLDSKWKY TINKATNVRGYVENDGGTYKVAYTVGGKTSDVSNSIPSNPSGGNWVDTSTSPGITSAY GGGVANIDILLRLLPAGTQVATATIDSLDIQFEYSK CC84DRAFT_1139283 MGSTLLFLTYAVFATIAYTLFVCIGRVYFHAFAHVPGPILAKLT NAYSAYHAAKGDTHVMIQRLHEQYGPVVRYGPDRILFNSRKSMEEIYGRHENLFKGRA YDAMRVQPLPSVFNAASNELHSRRRKIISQGFSEQAMRASESKILRHVGNYCDVLFEP SNGKDWGEARETTQWSAFFAIDLIADLTVGRSTAMLTSPDNRVYNPARKANFVRMGLA IQWPEAFCSGMVNPIKVGEVLFPKIAKLGKEWHMLLGSWIASRLTELRSEKATGESDM ITAIAQYRDPDSGEGLSDGELTAEMTTLLIAGSGTITTGMTSLLWYLSRYPDEYQKAV QEVRSIFKKPQDIGMNSRLSRCTYLKACLQEAMRVSPAPTAPLYREAGPGGASVCGIY VPEGYEVATSIYALHHNEAHHPDSFRFDPSRWLQDNEKVAATKAAWAPFSVGDRNCVG MTLATNEVLITMVTILWHGDFRIADDAKLASIGAGSSRLGPGRQREKEFQLYDTFGAS TDGPYLQFKRRETI CC84DRAFT_1237463 MIMHEQVFTVLALSIITVVTCTVAHKLILHPLAKHPGPLFSRIS GWPNWYHAAKGDRHIWLLRLHSKYGSAVRFSPDSMSFNTLAAVENIYTSREANVRKGD WYQCVKDSAGGFESTFTARDKVRHALKRRILAHAFSERALRDYECRIKRLTKEWLDYL VTEGKGSRTVDFAAWANYIIFDILGDLCYGKDFGLRYSERDRYVTELLPRTTRIWYML GYHPWTHLLRYLLFKNSLTNKLGRLFVRENSNFREFCVAALMWRMKMGKASDKDAPVS QDMFQYLLKARDQETGEGFSMGDLGAESVLLMIAGTHTTSIAIAAIIFYLTTHEDKLE KVAGEIQKEFLSIEDMSYRRLAALPYLRACINEALRLCPPTAGHLQREALSGGTVIDG VRYPEGTNLGVSAYALQRNPHIWLNPNQFEPERWLQDDGTFNQRSQPRLIAFSSGPLG CPGKQLAYMEMSFVVAMLIYHLDITLNRSEGCNVDYVIRDCYVGQSRGPRLHIRTRE CC84DRAFT_1161509 MAHHPTAKPQLACEYTATSTTITKLSCHFNDTYDTIIQKFRLQV PLLDISRMRAATKDKEISQAVEASGSPSGFVLFAEYNHAGWMRHFTIHGKPLQRAHRF TFGNPLFALPVLQHSIQAALHIPLDCCFIEELDRKRTKMIVTLPTTFLGSTEVDVDAA RHALAEIEGKLLTLVQQLTPQT CC84DRAFT_1184580 METERTGFNTHKEPHPSELLDAGTLNSNELSIRQLRTAIPSYCF EPSLFWSFFYLIRDISYSAILLSTLWYCLTLPQVEQSSLLYYTLVCSYGVCQGIVWTG LWVIAHDAGHSGFSKIPLLNDTVGFVLHSFLLAPYFSWKSSHRRHHIYANHIERDLNY VPPQRSEYARQIGAALDKIDEVGEDAPVVLTLRIILQQLIGWNWYILSNITTPDTAVV KKGLSVWRYSHFDPWGGMFRDSEVTAIILSDIGCLSTISGLYVLYRYLGSFELLFWVY VVPWCVVNHFIVMITFLHHTHPDVPKYTADSWTFLRGATATIDRDFGIIGTHFFHHIS SDHVTHHLFSSIPHYYSRTASKAIIPLLGAHYHGRGTFTYNDLKTSFRDCQWVEEDAA RDRHFGIGDGNGREKRALWYRKGISPAPENAFPGAPGTSVSTAGHASRHSLHDSLSS CC84DRAFT_1193992 MEMICAVYHLSSVLAAVSAIDNGLQKDVTWDSGSLLVDGERIIV MSGEFHYQRLPVPELWLDVFQKFKANGMNAVSIYFFWSYHSASKGTYDFTTPGKDIQR LFDLAKEAGLYVIARPGPYCNAETNGGGLALWGSDGSMGSLRTSDETYHQAWSEWIAE VGAIIAKNQITNGGPVILTQVENELQETKYDPNNTLVKYMIQIEDAFKEAGLIVPTSH NEKGFRSKSWSSEYNNVGGAVDIYGLDSYPGGMSCTNPNSGFNLPYTYYQWFHDVSPS QPQYLPEFEGGYFQPWGGYFYDTCQAEHSPEFADVFYKGIVAQRATLLNLYVAFGGTN WGHSGAPVVYTSYDYSAPLRETREIQDKFKQYKLLTLFTRVSEGLANTVMETNGSAVA TGNADLWTWVLKNKETSSRFYLAQHNVTNSRSITDFSITVSTSGGNVTIPDLQLAGRQ SRWIVTDYSIGKVTLLYSSAEVLTYGTFDRPVVVFYLREGQTGQFAFKDAEKVTFKTY GAESGIKATESGDGFTYTQAKGSTAVLFSNGVLAYFVDIPTAWTFFAPSTTSSPTVKP DEQIFVFGPYLVRSARLHGDTVNIVGDNANSTTIEVYAGKKANTISWNGDKIKTTKTA YGSLTASITGAEDRQISLPALTDFRAADSVPEVSPSYNDKNWIVANKTTTLSPVKPLT LPVLFSSDYKFYTGAKVYRGYFSEKNVTGANITAQGGVAAGWNAWLNGQFVGYHPGNV SLQSTTGTFSFKGVNLTDNNVLTVVTDYTGHDQTSTGPAGVENPRGLLGAQLQGGTFS QWKIQGNAGGEKNIDPIRGPMNEGGLYGERLGWHLPGFDTSKWTKASPVTDGVKGVGI KWFTTTFTLDIDRDLDVPLGIEVGAPSGTIARVLLFINGYQYGKFVPHIGPQTRFPIP PGIINTRGKNTLSVALWAQTEAGAKLSTLQLIQYGKYQSGFGFDKIDGKALQPSWKDR SQYA CC84DRAFT_1256411 MPSNHLNSSESTPLLHRIVPAHVHPDGESGRRGFHLGHFLNVSW RSGSTIAKYVNLLWLFVPVAITLKLATPGLPLLVFAFSYIAMIPVANLLGFAGQEFAR KMPKVSGILIETSFGSIVEIILFLVLLVKHNSAEGSSEHGNLIPVIQAAILGSILTNL LLCLGACFYVGGLRQASQKFHASISEVGTGLLCVAGFGLLIPSAYYTALKGSAVPVAI GHHTFTEAVLQYNTLKISQVTSVLLIIAFGIFIFYNARSQHSIFDEVLEADEHHDLDR SEDLAKPKFTFTECIVALTLSLVLVTLLAVFLVERIEDVVESGVPDQFLGLILLPLVE KAAEHLTAVDEAWDGQMNFALFHCIGPSIQTALFNAPLVVIVGWMLGKDMDLNFEIFM IVLLVLSIVVVGNFLRDGESNYLEGALLIIIYIIIAVASWYYPNPDVATSNGLANSS CC84DRAFT_1256412 MAAHAVVASPIQARSPYAVKETHFAPREWTKLDRANGNKLVQLQ IGLKQGNMDGLLKHLDQVSDPDHARYGAHLSADEVDEMVRPAKETCDQVEDWLREAGV GDVSYNTAKDWITVNLPIDFVEKLLDTEYHDYKHEDGSIISRTTHWSLPRHLHGHIDV IQPTTSFFRGAANAATYVKTIDKVHEEVASLSLANGQYEPTEISKVCNVSSVTPECFQ TLYKTKGYKTKAAHKNSVGFTNYLEEVPIRPDTELFLKKYRPEAVSSAKDFKTYSING GPLNDGPLTEQELADDKSHEANLDVQAIAGISYKTPIVSYSTGGRPPFIPDLTVVEND SEPYLVWVNWLLQQRSIPNIISTSYGEPEQTVPRSYAERVCKQFAAVGARGTTLFFSS GDRGLGGTNKCYSNDGKNTYKFLPAFPASCPYVTTVGATKNFEPEESAYRAARNVSGV FRDLYSSGSGFSEYFKQPTWQKDAVPKYVKSIGDLYKGLYNPRGRGYPDLAAQGLYFA YFWNGTEGTISGTSASTPLTAGIFALVNDALIASGKPTLGYLNPWLYKKGYKGLTDIT IGFSYGCNVQGFPVTKGWDPITGFGTPIFPELVKLAGGKGVGY CC84DRAFT_1237488 MKVFASAAALFAIPLVAGAPIESKRQVDQLLQGVTGAAGNLVGG VTGAAGDVVGGVTGAAGNVVNGVGNTAGGVVAGVTGAADDVVRGATGAAGGVAGGVTS AAGDVVDGIKNTTGDVLSGVTGTAGQAVGDVTGTAGNLVSGLTGTAGNAAKGVGNTAG AATQGTGGLLSGLLGRDVKDLTEVEKRQLDSLLGGVTSTVGDLTGTVGNLAGGVTGGL TGGSNANAQGGAAQGAGAGSSGGLLSGLLGRDVEELSEVERRQLDGLLSGLTGTVGDL TGSLLGGLTGGNGGNAAAVTGANGDSSGAADASGAGGVGNLLSGLTGSLTGSGANAGS TQGTAGTGTSGASNASAAA CC84DRAFT_1139297 MWLLSVDSLELKEFIGTDIPPYAILSHTWGAEEVSFVEMKKKKY RDQAKAKAGFSKIGGCCARAKKDGYNWAWVDSCCIDKRSSAELSEAINSMFPWYKHSE ICYVYLSDVPGGLYDYDTLANSKWFTRGWTLQELLAPCKILFFAQDWTVFGQIGNFNS WVSRITQIPEPFITGQKRLEQACVSQRMFWASSRKTSRPEDWAYSLMGLFGIHMPIIY GEGLEKAFVRLQQEIFSTTPDQSIFAWYISGMTTFRLLANTPACFRNSGNVRRLGQEI QRSKAENSSFHMTNLGLQITLPIYSNKGNGFKKQREATLNCQVEDKNGNPRQLSLALS YLDSEMGGRVIYMCRRPDTWTFSSKMGHPTSICIRGIGYDASEPEPPPAPPRGALSAG NIMEQCLSIISSATNIDKKTLEDGLTFSEIGADSFALVEVMFLIKHNLGVEVDMYDFY ANPSIGMFICHVMLEWEGKT CC84DRAFT_1203021 MARTVSQSAGILACLCQVSCCRNAPSPSPWTSALACLAGHAMNT PPRSSVSPATAEGAIPNRASGDMGTWRQVQRTLSIARASATQRCRLPIDPSTASQEQS APPLPNRDLRPATLDHAQRLTTPASRPIARRRLVASVAAQRLVAARTAAVAGCRRADR ARQDATAPAATTLAPRRSTRAKESVKHARRLVGRFLFATAAPPAAPSPNTTTTRPPRR PGGRRLWRCSIAHQQSGLATLTTPAPDAPTLYQRTQPISDDTHDNDPPAFEPYISSLQ QRQQQAAEFFRAQHNYSRASSSEGSAAHTLRRTPNIEDVRQRYRTPPADPAPEPQQRT VRFAAEYSHLSPGPSDSFRARISDPQFEPHPALRISQRTASAIHFVLEEAIRTPYPFT PDLAEENASMAELTAGGNGRAANAGARAGGPFPVTKEDRPNIVTPQMIMNNRRQREAR REAEEERKAQEAREERRRSAERRAQAAGVAGAPMNPDAGIQRQPQPQQTYDSGYGSYG PQGASARQPAPPAAATLPPSGRAQDTTSGAQSNRPRANSQSQQQPRPVQQPSTTQAGS SRRPPPAQHARNPSAPAASAQPVSSAPAAASALPGASSSSQQRQSTVSTFPHAFERWE TLSSHWEGLTSYWIRRLEQNTDEVRGAPLAQQMSRQITDLSAAGANLFHAVVELQRLR ASSERKFQRWFYDHRQEQERAQEREAQLENTIRDERAARNQAVADMERLSTEKRNAER SVQEMKRELQISKEEARRAWEELGRREQEERDRVFSLREGQPTMIGGVQVLPTAQAMG RHGSVRSHGDDTYGASSASQGIEQHYSYEEGQSPTDTDPFTEGRRHEPEAKPVAQGTY VPSGAGPSTLYQPGYSQAPEQPQIPAPLRPQQASSGHQGPPAPQQPFSKDPRTSQPEA FYQQPDVYLHRDSAASPEDERSTILSHPEEDPSDAEDEYAIDENGNYILDAAGHRVPF RALRQQQQQDSDDEDVSEDRRRELEHLHRYGSTATTVYGSTATMGTGSGVSQAPADYS GDGYGSEWVGMRHHHPTRLSDVMEEDERSRTSPSRASQASRGWLRD CC84DRAFT_1113055 MAGFFTKKAPEVPDHQSEKERPARKSRLGILTADTDEVPGSVIL LSSVHKRNEPLGLQHAHARTSSSSLPSPYGPAPRSASAGSRGSARSRKLRQQEKKRTK DGKFILEPQPEDSANDPLNWNQLRRDAALISLGLYCMVGGGMTPVLAAGFKNVAETYD VTVPQVALTTGLYMLGLGLGSVVASPTAILWGKRPVYLVAIVLFCLASVWCAYSPSYA SLVVARIVQGMAISPVECLPSATIAEIFFLHERAYRLGIYTLLLLGGKNLIPLVSAAI VQSMGWRWVFKIVAIVVAFCFFLIFFCVPETFWDRTPHARGRSSKRARSRSPGARHHF HLPSRQSHRKPDGHASVPLTPSSPVSPAASPTRPPRVHRVGFAFDPASQTESSIAATN PDHDYFGSASHTASPKDVPIPDAASYAIHSDLEKQQHHHDATTDHALATAGTESHTDT DHASVVSSIHYTDFYREAPRKSYVQSLKPWNGRLVRDTWFKVMIRPFILFAYPAVLWS SMVYALAVGWLIVLSESVAEIYQNHESYNFTPLQVGLVYVSPFVGGVLGTAVAGKISD IVVRFMARRNDGVYEPEFRLVMAIPIAISTVIGLMGFGWSAEERDNWIVPTVFFGVIS FGCSLGSTTSVTFVVDSYRQYAGEALVTLNFTKNVLHGFIFSLFFTHWLAASGPKDTF IAVGGIQLGCMLLSIPMYIYGKRARMWTVRKNFMEKF CC84DRAFT_1083214 MPPLAQAKAKHATNDLKVKKTKSRNGCGRCKIKRLKCDETAPGC LQCKRRNVACPGYEKTLKWSTKYEVFQPTHFAPVPHKNGSTATASKASSKEKSLQVTP DVERHFEALAAVLPAGKQTDATHAETHQQSPPPMLVGSLTSSEDSTPEPSDGSPPEMD PLPEPLFFDDPLDLDPLMMDGFVDVMDMPDDDLTFGPLDGFEIDPGPSECVNYNTEAL PQPANDDIGSSPRLSRSLLLDFYRLPSPSSSSTADDIESVLVQHYFKEVCGRFSCFDS HLNPFRTTIGRIYQHTPSIYYGIMSMAAAHLANTFPYMAQLGVDMQRKARDALLTELP LAQNDSISCTKAFLSTMLLGTTTGWHDNNILGEEFLSAARSLILPKLLNNAEGDGGKQ RETQFFEESLIYWEMLMGFVNPDAMTFAPSGLRSRPKKIPSARKPDGKIMPHPWTGIA PTIMILFAEVGRLVRRERMRDGNASIDFRRHHENLLNAATLEEDLLAAEYPTTDEIAE LGDERTSKQDFVAVAEAYRCAGLLEIYRVFPSILRKRLGTKDSHSGELADFNTFPMPR FETPYEDTDTKLWLNSLAMHILNLIDSIPSSSGTICIQHILIVSAASELRFVSSVDFF DVYANDTRVCQSREFAVKRLQEYSRRLPAKPFRGMIDLVKEVWRRQDNGDSVFWVDVI DDQGYKSNPFVR CC84DRAFT_490830 MKGRKGTVQVARVSIVRKGHACIGSCEACRIFTLSCVAALISQY IHTSKGCSTEDRKVYKMSWVSLLVPAPGRRSRGTRTVCRMDKVAWKRRWDRVAWNSRV EGPGNVAGKCRTGQQCRVGGVSIAMDGCRMGIVRHGRKIVMGIIGENRVGRVGRQTSP VMLAR CC84DRAFT_490789 MLSNTFLSALAGALFLSTATALPSRTHCRCTITLADASPSPPST YHDSLENPDTLVASTSTPPDLCVALGPSLENLRTANPALYDSYISKTDAQQLAAATTA QKPLSTTILLRLAAQQGFQNLGVVLPGAPARDGGERIECRAETGGEEAWSAYQVSWVT LVVLQVVVVLVVVACVAEGVMFGMRWMAARTPTALITLPLPIPGRPARLRLSGEERRL LAIPVLDQFDMRSPGVEKKLRVYASPGWKAQRYAYIEDEDDEFNRPVM CC84DRAFT_490849 MRPSRRARLGTAPSLTALSFHHHHAQSRAPRCHNIRPSPVDSSW RAWHPAQQLTAAHCPLDMQRATSLKRCNATRSFPTPVPVPVSHLKLSPPFKHGLADRP CPPTQTHMSLLLTRLVTSAAHARRPKPFSPPAAV CC84DRAFT_490856 MISTTLPVASPFVPQTQRPIPISNRSSGLQQQALTILKDVAADN LKFYMSSLEQPKITLPRKPKQTISGVLQTQQHVYPDPQLTVHSSFAISPLPTTQSAPR RKACVCLGIISLIVYRRPFPGPLAATRWPAAQSNTALSKISAPLLHLVCRLSHAVCPW AKGPVAHRAPGAGPRVR CC84DRAFT_1213927 MTEQQSELTQIWEQAVDEYLNKSKRGSVAQRWKVPITTQDDLNK LIEQHESDFLRFRKSRKRFWDGLMATMGQLQNLGNVAQAALQLSPFAPAAVVLEAGLF LVSSGAAVADTYDSLETLFRRVRDITDRLGEYLKVSLDHKLQQVVIKLLSSLLDVFGE AEAAIRRGRGKEMMRRVTGKENTIQVALDRLDEWVQTEIALITAKTFATTQRIDEKVD NERDRLLLRRSLCAEVASDNEAFGKNIEASRISRSGDWILKERLFDKWFQREFPVLWV LGKPGTGKTYLASRIVSHIRQNSGLASFFYIREGMNTQHDPESILKAIAYQVTGLYGA YREQAVAVCNDGDSLLLPESLWESLFVKPFNTGAAKPLFVVIDGLDEATTKNQELIVK LAKNLSDMRSKTRKNPVIQLLLLGRPDLDYNVSNVWRGEKRRPKILHIEPSMSRSDVE RFIKKGVTEGIPLLQKMRPGPSKRLRREIVKTLGDSSDGMFMLAKLMLAEVKDMNKPE LVREALSKPPQGLDEMFRRVVARLDVTGGFDKQDLNELIMWVACAKRDLRLGELDLVL KLRDLRQNGIVGLEDELRTRFGSFFSIVLRDTEVESEDEGEGEEETVSVAGSDTTLAD SVSKDSDPGDESDAEWEDERQSDNNLSDNDLDDYESDDDTPPQFFTATVKFGHASVGQ HFRTASIHRGIGMDLHFAQAHIALTCVGFLTDNIPKRKQKPWREPDLFRYSSDYFLDH FVEVDLDELEITQPDLFESLSKEVSYLFNDHDSLGRWFHNVPDEQRFMCQLFSENVCS KLRQCSMKTNTHNKDTTESLKKESSTSDSVLLEPFAHCVAKAWLSDESCDSMVAVLFL QGYLFLRDRKTFGQWTLPPNRPFEHIAEGISPEQIRKMSALCGLERNMHFYLALGSTF AKIRTSQHLLAAVDEFEHAIQLTEYPEWIWLAYKKKAKVLSRLGESRDAIVAASKALD LLPEDRFYKKKKLLRLIQDAYLHLGNRDAALAAAASAWECAPSDPTAAFRLIYTAHKT GSYTETVKIMRSALESSNGAKFLGRIIDQMVPQRYTTEYMSIACAKIGDLDLAKDAFT AVKSEARDSGAEEIMAAADEALAQLYFGFYHDEEKAIDLWEDIIRNYPSTRPAFEASY ALMPWYFTKGKEADPTEAHTWLSKMEHLVAAIEAMTPSPSMSLAQYEVAALLGRWFAE QGETELARAKIHPYVKASICNLTDRDDSNDYDAYHSLARALLCFGHREHAAIAWAFTK PIQSAEHLLGEAGQHVPNANITTVPAVDTEVATPFSWLGRCDGACDRPEVVVKSFSMC EICVDVAFCDECEQKLRDGMGTFTICNPAHPFMEIYPPRGLVTKDAEGYKVHLEEEKV VSASEWLAMVSREWIGE CC84DRAFT_1237515 MASTTKLDIDFGAMIFGKEGEEQVRTSTLSECAAILDTFQKHGH TGLHTSRFYGGGTSENYLADLKWQDRGLVMDTKFFPNVTHLDAKSMRDGLSTSLKALG GAENLDMWYLHAPDRSVPREETLRTVDELYQQRKFSCWGVSNYRYMSWEIAAICEICA RIDYRRPEVYQGVYDALKRTIENELLPSFNPLAGGYLTNRYHRGTEESSIKAGSHFDP NKMQGKMYCARYCNDEFFGALEILGAAAKQEGIRESEAALRWMMHHSQLDKNKKQLKQ NLEDFEKVALPEVVVVAFEQGRDLCRGVTWKHNH CC84DRAFT_1081866 MATNGKSGTGKKRVLVVGAGAAGMSCAHHLANHRDKFDVTLIDS VDYCGGQAFSIPIDKERHGAGWLNQGVQGGGYIFHHTMTMFARQGYHADPVKLHVSFG KGDQFWSNVFPTRLLERHQKEIKKFKWMISFVRMFEVFFALIPIKILMKMFFFSDEFT NTVALPMVALFLGTGQYAPDVPAIILERLITSPTYGMWYPGDKLSVASNQPPMVVFPR FEHFYQSWREDLESKGVAVRLSTELTQVVKRDKNGVSVNLIKRMPQPDAHNPNSAWVP QESRNGPTDADAKEETEHYDELVLCVLADTAKHILGRSGTFREKRVLGSAKFADDITV THWDSDYMKKHYENFYNEEQSVKTLSGVDQSTRVDFAKKMFKPMYYIKMYPQDLSKLE MCFDCTNYQSQFPPEVPFDRHVFQTIYLNKNCEKLWSIDEIDENKIIRKDWWHQLCHS WTHYAFVVPWMWLLNGRKHTRFAASWTLVNAHEVAVMSGIAAAVDLGAQYPEDLERDK FALLCFRLYYLLTYGKWYRKKAKPEGEGKDWASGEYGSVYKGPGVASIERLTWREENG IKEHEANDVQRILE CC84DRAFT_1237528 MFLKAAVASIPLLGSAVASPLNQPPFKPSDQKVSPARGPSFIFN ETSPTVELDFHAESVQAYALQETYDASNWFSKFSVQNIADPTHGFVNYVNQATAQSKG LYRTQNNQVYIGVDSSTVLNPNGVGRDSVRLQSNRAYTHGLVIADFAHVPGSNCGSWP AFWMVGPNWPNQGEIDIYEGVHLNTYNQMTLHSSPGCVPVYNQNGGQTGTHVSEADCG AGGGFNGCGVQSNSPTSYGTAFNANGGGVYATLWTSSGIKVWYFAARDVPANIRSGNP DPNTWGTPQANFAGCNFDAKFSNMNIIFDITFCGDWAGGVWGSTSCASINPSCNAYVA QQPQSFGDSYWLVNSVKVYSV CC84DRAFT_1161520 MGDYTGTEGIDFAAHPTAQQLLSRIQDFFDEVENLTPGHALEAH LNKSYGPGNPIYDDLCALTRKGLEEGWVANIEINGPNYRRSKIALPSSSTRYMSITTV YMNSNTVFKGQYHSHPYGEINCVVQLDPGAELMGMQGWQGAGWTSPGPGTHHYPEVRG GALIALFFLPAGRISYGAKPGDPQPHCV CC84DRAFT_1237532 MASEDTVTAVATTERVVDDARTPALISPPESKTPPTATHKRNQA DFAQDRNSEPVDASALSKALEHFERAGRVQERPIASPSRKRQRIYGDRLTSGRFIPNR AGQDLQASFSLLHDDASPATPSRSAKRAPHNELHFQRTEEANRTYSAVLRQELFEGSV PQALPQSLSPTDSANLRRSGRSHTPPAHAATSLPAPNLTPSTPHKNFFNYSGQPTPSR TPSSRHGINLNAQSDLYSLSPIKLNSQRMLLSPQRTPRAVSKVPYKVLDAPDLADDFY LNLVDWGSQNILGVGLGSCVYMWNSSSGRVTKLCELTDDSVTSVSWIQRGSHLAIGTN RGFVQIWDATTQRRLRTMTGHSGRVGALAWNEHILTSGSRDRSIYHRDVRQPEQWLRK LVGHKQEVCGLKWNNDDMQLASGGNDNKLMVWEKLNAEPTYKWSEHQAAVKAIAWSPH QRGLLASGGGTADRTIKFWNTLVSSNGPSSASLAAASSAASASGPGDVDFQQSAPANL IRSLDTGSQVCNLAWSKNSNEIVSTHGYSQNQIIVWKYPNMQQVVSLTGHTYRVLYLA MSPDGQTIVTGAGDETLRFWNCFKKKERTGSLNNTMDKWGVIR CC84DRAFT_1161523 MSTKPSLAAAEDFLSFVNDSPTPFHAVKSSKDRLEKAGFKQIKE RDSWASALQPGGKYYLTRNGSSIVAFAIGKNWKAGNPIGMIGAHTDSCCLRLKPVSKR QSDGFLQVACETYGGGLWHTWFDRDLSLAGRLMVRTEQGNIESRLVKVERPILRVPTL AIHLDRQEDFKFNKEAQLFPIAGLVAAELNRQGKSAEAAKEEESKESPVEPLAAPMQR HHTYLVEIVAEEAGVDPSDIVDFELVLYDTQKAVVGGLNNELIFSARLDNLMMSYCSV EGLIQSLASSSSLEKDSTIRLIALFDHEEIGSRTAQGADSNLLPAVIRRLSVLPPSEG SHSDKSYDKLDVDSATVYEQTLATSFLISADMAHSVHPNYPAKYESQHRPEMNKGTVI KINANARYATNSPGIVLVQEAARLAKRGSASPASVKEGVPLQLFVVRNDSSCGSTIGP MLSAAMGARTLDLGNPQLSMHSIRETGGAHDVEYAINLFDSFFEHFEDLEKKIIVD CC84DRAFT_1161524 MSQPKFLSGDKAGIDEFLDRFDVFLFDCDGVLWSGDHMFEKVPE TLEMLRSKGKRLVFVTNNSTKSRADYKKKFDKLGIPAEVDEVFGSSYSAAVYIARIMK LPAPKNKVFVMGESGIEQELESEGVPYIGGTDPALRRDIEPEDFTNIANGSMLDPNVG IVLAGLDFHMNYLKTAIGFQYVQRGAQYLATNIDSTLPMSHSFFPGAGASGAALEKAV GKTPVSFGKPSQAMMDAIEGKFKLNRSRTCMIGDRLNTDIQFGIDGKLGGTLAVLTGV SKKEDFLADGAETVPSAYVNAISDLLG CC84DRAFT_1161525 MIRHDYSRVEPKRRAYIDPKKRQFAKAEFAQQNYEHRLNFYTLP PTAEITLEEFETWAINRLKVLSELEACSFRNRSQEETAEYMTSILNKYLPLRSNSSRG ANLQEERKRDHYSHFILRLAFSSTEDLRRRFSHLETILFRLRFREDDPRERQDFVRTL DLEWEEVGEDEKRELRGQMLAAIGSKKGEEQDWFKVDWERVPELVSQRKVLLKRGMAY VPAREQTSLVVAEFTKRLDEQLELTARALPRLDEDDRLAPILAHLSQSFTAPEAAYSS SDANIDGLSAINAGAIDTLSQNFPLCMQNLHMTLRANSHLKHYGRLQYTLFLKGIGLS LEECIIFWRRSFKLMTDDKFHKEYKYNIRHSYGDVGGDANRRGRGYTPYSCQKILTEA LPGPGQTHGCPYRTFTVENLTGLLQRVGVQDRETLQGVREDVKKQRYHVACNRVFESV HKKEIKKVKDEGSWSATDLDTIVHPNTYFKRSWLLKHLGEGNAGIVGGDKMEE CC84DRAFT_1256426 MPSWKRLIRFESTDGKTLRGEPILPSPDFDVGTTTQETGLKAKV IEVANNDIFDPATKVTDQEVTVKKLLGPVTTDEVPIIRCIGLNFIKHIQEGGRTLPPY PSTFIKANTCLNDHGAPIVIPKLAQDNQADYEGELCFIISKDALNVSEEDAYNYVGGY LCGNDVSSRKLQRDPKLAGTVPQWNFSKGFDTYAPLGPQIVSTEVVPDPSKLYLKTIV NGDVRQSETIDDLCFKIHTIVSYCSQGTTLKKGTVVMTGTPSGVGYAMKEPQFLKPGD VVEVNINAIGTLRNTVEYA CC84DRAFT_1081489 MATNGVNGTVETVDDVIKGASKYNAVPLWPQMVKYNPPKPNPKC IPYIWRYDDVRPYLLKAGELVKEKDAERRVLMLINPKREGPYTTDTLYAGLQLVMPNE TAPAHRHTAFALRFVIEGNGGFTAVHGQRIQMNRGDVILTPTWNWHDHGKDGSGPMIW LDGLDLPQFQHFPVHFVEHFQEKRYPAENVDSGNSPIVFPWAHMKEKLDSDLGVWAKQ EYRKADGSYVSKTLGPSAERIQAGKSSRLRRETTSCVYHVISGDGHSIIDGQTLRWKQ GDTFCIPSWYPYQLFAGEHGTLYLYRFDDKPMIEALGFYRNEGAEDGSQ CC84DRAFT_1084549 YPSRSLNFLKRLQGAPQRFDKVPNLARTVKLRIIVAGAGLGGLA AAIALRRRGHEVTVFEKAPELGEVGAGIQVPPNSRRLLMRWGLGPYLKGKAVEPDAIR HRRWQNGEILSLTKLVPEFEEKFGAPYYVIHRANLQRAMYQLALSLGVRVRVNAGVRS YNDEASSIVLENGETHYADLIVAADGVKSGARKVVLGGQDQPPQQCGYAAYRAMVDTD LIRADPEISWLLDVPGQNLWIGKGRHVMAYSIAGGKSFNMVLSHPEHSDPSTWNQHTV LEDMKRHFEGWDPCLVKIISLIRTTLKWPLLSGKPLRRWLSPSGSLVIIGDAAHSMVP YMSEGAAMAVEDGAALAEVLSLIGSRSELRSALEVFERHRILRTGQMQEASLVNGKLW HFADGPEQEARDTAMRSAMSGDIDENPNQFCDPVTAQWTYGFDAEVEIREAWSKNRAD VSKL CC84DRAFT_491027 MRRLPRGIAPSQGLSDLAIFLSATNAPTILGCANFEISHSLQKP RPFLTSSKCSVPLHGMMKINLVEHRFVESSNT CC84DRAFT_1161528 MSLSVLPTELRQMIIEEVLTTPLSPPSVPSEVRGQNWFYPNEEN KRRYSLDADGGSAEQNPAIAFNKQEPWGLQYLPLLLINKALNADTEDVLRRVGRHVPS TLDVMLEDDGNFKATWLLVPPAATETERLDITIRTLKCMKTFGAEGSENVPLKRNANM SVGRLLQRITSIGLSPYAKDRQTQGTQVRRLRITIVTPADGKIGETEDERAPLAARLS EYFSMGYFEDLAQRVNGIEIFVDDRKAYAFSSAKSSFVMEWSHKWRRDSAMED CC84DRAFT_1184600 MPTSPTRVDAHAVASSSTLDPNLLAPRDALYGTAVSAAWSARKR RERARSSDRRREGQFKKLLWFKQPFPDNYTDERTFLDHLQRNPRLQPYEFWSLMTDAT VIVQHVASVAIFCCCFVAIYMERVSPISVVSWASLGTFLAWVLWDYWMVQEEARTTTN PTSVSHEKPQQPHDDVTASGEAEQAEDPSDGKEQPTAGHSPYPPNVDPVSSLSPRNQQ RLATARSALLIYAALLGLSPILKSLTKSTTSDSIWALSTWLLFMNVAFFDYGSGSGAH LPASISTNSALMASTVLASRLPSTTHVFSLTLFSIEVFGLFPLFRRQLRAKSRNGSIA LTVALVTTAGGAVFATLTGGGRGAWIAGVILGSIVTFLAMGICSWWLIGLQKYKNEIY GPWDPARPIIRRRWD CC84DRAFT_1070058 ITPPTNLQLQSPLLRLPAEIKNTIYSYCFDAVEPIVDAIVGCPR PEDGIAPKLGVDILQTCRRVYYEADRRPLFAQNRFRFSTVDRVRCFFRSLDQYELYRD SVKDIEIDARNVHSDHPGIAREWLHYLAWGEGNWGKILGSLRVDAPGLKCLRLNFESW PRIPMFRAELWNLLRSMLMQVEGLERVVIIGASKGRGMIAHAPWSPVHFVGGDDV CC84DRAFT_1161531 MGATQLSMGELQPRSSMIMSLGSQVSYTMAARPTGSEPITMGGY ADLPPEQRPGYHAASPRGDPRSPSGPNVSYSQQGHVQYSTGQYNYSAAPASVSQAGPV RAPSQQGGFQYASAPQNITFTAKPVVPTNPPPPVRHTSQAASPPYPGQYAMPQAPHPS SAAQQQAYPTAPMPPPPMGRHSPQPGHQRSASHAGPTTSYGGHQVVEMVPGGAKAGGS KPPPSPGMRPHSLSVSGGASGGLNQRMDRLSVSGNRPDIQTIVPGGFPGGGGMPPPSP LLEAYHGTYQSISPMPHAMMMDDDLDHLQPLDSLSPRGSSASFHGGHRRTHSSSKRSP SPARKSKHKDKLALEAYKAYGHVGDDRDRSRDHSRDRRGDKEKRKVKIYDAEEDALAL VDALGQRTIDADTIIDILPVLSHDQMLELRNEYKRHCKVQGRGINIAKHIKLKTTGNF GKIAYVTALGKYESEGYWANYWYQSGNARRELLIEALMGRQNHEIREIKDAFKDKRYG DSLTKCMDKELKADKFRKAVLMALEGERQEESDVWPAEYRNRDVDTLYRALKAREGGE SAMLGVVVMRSDNHLREVLRTYERKYQGNFARDALRKSNNLVGEVIAHILNGVINRPS RDAMLLNHALTDLIEPPSSSHDGPRGSSSKVSSTSKHERQQRYELLISRLVRLHWDRM HLQRVKMEYDDKYGRVVEEDIEEATKGDFREFCIQLCQTGR CC84DRAFT_1256432 MEIDTMDKISPPDHDNPAPKPSRRPLTPEEYETIGFLSAFAARI ALFVYLGVQCAYSWRAYIIYKMLGWILPSRLRSVLMTMWFFFQIMAMPGTARMTKIVL EARFDVLPWSTALWEVFWFFVGIAPWSIAVWIVYSFVPDLGLFGMQKMVMESVYGAST YLVPTAILFFVLTKQAPEWWNSMLERGGVLLKSLLERCIGLLLTTMEWAVRSPIFGVA AYQYDAVQEGTFRLLRVKRPWDMTPFECELVAFDLEAKIPEYTAVSYAWGPDPARPKA LGVNGRRLQVTESAYQVVHGLTPEKGERYIWVDFICINQEDVIERANQVKRMRLIYAK ASQVTIMLNTVTPVDYDDSDRVTHHLKKLNANLEHSTWKLDEQVAYFQKVGTYRVSAG WEALTRLFQREYWTRAWIVQEIALAQKIIVVYGDNELPWKEIASFARAFHNPDNNSAL DVMGTMFGGGSVPISYVMKILQIDNMREAYRANGVDILDLLFHGWQFNATDPRDNIFA FQGLTREEIPPGILPNYQQSVLDLFLNVTYFLMARNDRPLWFLKLAGRGFGDRSIHSR FCSLEDEELPSWVPNWSELAIRAQFFPIALPQFGPKLLDIDPTGKVLLLEAISYDTIV HTTVHPMMKDVPAMIALELKGDMIRRLVDIGKDFKALHEAFAQFVPEPYPTGIPREEV LWRVLLGETSSDPDLVAYNTRCWALLGKQAEFLDVLIPHAPDHLIAPTEMSQTLEEVK NRLAEYMMSSADDEAVRFHAREYAKFLGEDMFKASTSFMAAMGRHSAGRKIAFTRRGH VVLVPPLAREGDEVAYLVHGETSFVLRAMGSEGSRKLYELVGDSYVHGVGWDDFEAGR EELRPIAIA CC84DRAFT_1113085 MASPIPNRGSELYAIDIAFLAAAVIAYGLRVYVRTRMIKSFGRD DYLMAAATVAFIAYCSSSMLGVHYGTGRHRWHLTVENYAKGRHCWWFCYLFYCCSMIT SKLSIGFFILRIAVKKIHIWIIYSAMMISVVAGLAFFFVTLFQCHPISYFWNDYSQSG SCVNIEIIIALGYLYSCFSIISDFTFAILPAFLVMGLQLKKRTKIALIPLLAMGCIAS AAVVARVPYMKQFRSKDFLWETTDIAIWSTVEQGLAITAGSLAAVRPLFQMILTKLGL TTQRSTVPLTPYGYGNRSGSHAFRERRPSAAKDLDLYTLSAEAESGTVRDNSTDLVIN DANKELPKPPHWYETQMKKIKRSSKMMPESKTIGDNESEKSLRLKESKSEHDLTPSRT HSDERSMQIMVERSFFVTDAERRSYVEQQDHKG CC84DRAFT_1161535 MSLSAFIPLTGRCSCAALSYTLTASPLITHICYCTWCQRESGSI CAVNSIIESSNFSYRLDPTVSQPVALIPGPTPSGNGQTVARCPVCFDGLWKYYGDARF VTYVKAGTLDEECFKRVAGGERVHIYTSTKPEWVDLGREKENGVGVFEEYYVRETVWV QEALERREKMLKAVEGVGS CC84DRAFT_1184603 MSTTEPPTYKPDIPSEPVTQTTENIHIELTPHALDSLAATRFVR SPSAGATVLFIGTTRDTFNDLPVSSLAYTSYAPLALSTLHNIATTLLAKHALTRIAII HKLGECPIGEESIVIAVSAPHRQAAWRAGEEALEETKKRAEIWKLERFEGGDGVWRAN RDGVMGVRVGEGEGAA CC84DRAFT_1184604 MTRSTAPPVNAPPRPPPEPAYESTPITSERSLRQLSIFAFGAAS FLAATAITRRAVYKRHLRVKPTFYAPNTNPHEHFSPLHDAAQALNLATMHCVSLATMG LGGTMWAFDIANLQEARQRLRGRLNYDTIYQPGDAVPDSIMDLLVAAQETASTEDETN NSDTPEKSR CC84DRAFT_491196 MLFSNALILLTSASLAVAFPGGDGYKTTTKPPPVYDTTCSAVYS TKSEVYDSTKYITSTAYVTKPWTETVYVTKTETYTKPYTSTGYVTKTETGYKTEYKTK VYPVTSYVTKTESYVKTTTNLVTKPTTSVGAETKTKLKTKTDTKVYTKTKSEPTTITK VVPCTTSKVITSTKCSTKGGYGTGW CC84DRAFT_1161539 MPPRETSPLLPKPALQPVSPHPIDADRNIAPEEVYQDAVAGATG GDIERQISNGDASKHQGMPDVRKRMKYIFPAICIGVFLSAADQTLVVSTYGTIGTELH ALNLTSWIATAYFVTLTAFQPLYGKLSDIFGRKSCLLFAYVVFGLGSTLCGLARNIGE LIAARAFAGVGGSGMTTITSILMSDVVSLRDRGTWQGYLNIIYAAGAAAGAPLGGLLA DSIGWRWAFMAQGPMCLVAVAAVALVLHLPKNDDSHWLQKLTKIDFLGAFIILVAVTG VLVGLDHGSNVGWNNRITIAGLCMSPLFIIFILVEKYIASHPLAPLRIILNRTLFACY LCNFFSFAGWLSALFFIPLYWQVIADLSASKAGLLLVPCIICGVTGSLCGGIYMKKTG KYYWITVIGYSNLVLGLAIVLLFAGLVKEYIPAMVVGTCITAFCNGIGVTTTLIGLIA NAKHKDQAVATACSYLFRSLGSVFGISMCATAFNQTLRKTLETALSGDENAREIAERV RSSLAYFRNLEPELKEIVRECYSKSARAALAVSLGLVVGSAVFAWFIREKRLGK CC84DRAFT_1237568 MFSSSKMADIFTPLRPKGNAAPRGEDAEPTQTNEATSPYQTAFQ ANDVLFYTASSDDNICARCRSLDRTSLSGPPFPLATPSVQFLNPSTELQPTLHEFLRE SMRPSCTICVQLLQLLDIRGDRPDRLRIWWNQYRAAPGGSYVHSCRIDAPKGYYEEFS LVNVHVGAEREDQGRKMPRDTPDYAAIKRWLRYCHQQHPLCATAPNQSTPSLRVIDCK ARSLTIIPPSCPYVALSYVWGIAGRADHPALHLPPTLPRTIEDAMEVALELDVGYLWV DRYCINQVDQEEKERLFAMMDVIYRNAELTIIAAAGNGPEMGLPGVRPGSMMPHEKLH VGIHSFSVVPLHHSELRRSKWNTRGWTYQEARLSLRRLLFLPSQLALQCPSSVHLSAL HPPLADPTTSFTPTHTSYTSLAPFRHPISSQGSSFVWTLRTDLYTYYERELTYSSDAL NAFQGVVNSYKHHAELKGVLAHFWGLHIFALPHLTAQSAFLAELAWFVVSRSGYFFSA PEQPAYSREVAKFPSWSWAGKMANDMSTCTPRTALRHKEREGRDPFEAGTSTRLALAD DVAITFTHAEGRQVGVEEFVQRQYNYLSFHPSFSITAWTVKGSIRTVIPLDRHETFRE EGIDGGYEELDLDYCVEWARGEGSVVVVFLGAWVNVDEVDVCEYDGDGYETGGDRCCD VHCLLCEEVASGTVRRFGLWSVGVDNHGEVASTQEVLRSLLKMRVILPNGQWERRDIR LV CC84DRAFT_1213952 MASTLPRLPIFEAIKKHDGKSVAVIHGLSGRTFTYGELVNDVAA AKDKLQRNAGGRSTAGERISFLVENGYDYVVTLLSILAAHAIAVPLSPTFPAHELRYI IDQSESLMLLSSDKFQDKADEVLQEGMQTSPINYKQDKIMMGKTDDYVTLEEPASDKG GMMLYTSGTTNRPKGVLLAQDILTAQSLSLLQAWEYSSSDVLLHVLPLHHIHGTVNAL LTPLFAGSTIEFQFPFNATAVWERLAAPFLPNPNPAKKPVTFLTVVPTIYTRLLASHA SLPAEVQAAAKTALHPSNMRLNISGSAALPTPVKSAWTELSGGNVLLERYGMTEVGMA LSCGLSFADRADGSVGWPLPSVQARLVDTETHEVIEVGEEVDPASGRERHGEIQLRGP TIFRTYWRNPEATAKEFVDDADGKGKWFKTGDVAIRRAVEGAGKSSQTWARGPLYFIQ GRKSADIIKTGGEKVSALEIEREMLSLPQVEEVAVVGLPSEAWGQKVAAIVVLSAEGK TAGRGGRPWSALDMRKALKEKLANYKIPQEMKVEESIPKNAMGKINKKQLVKQVWGEA LEANGAANGAMQVPSGSV CC84DRAFT_1213953 MAQSTTPMEDLTEAFKPTTLEIHNDSHLHSHHKAMQGTHFRVAI TSEKFKEARMQPARHRMVYALLKDELAQEGGIHALQLKTRTPEEEERQKQKEQAE CC84DRAFT_1161540 MTGGYSSHGDETTREYFHHSSGQRVNTDIVLVEALRSQYRNLDL VIVPERGTDLLAYASAGHATLTPLEDPVRDHVYGGAVKWRQYIPPARRLDPSPGVYAE HVVFGKYLYKWKDQELIVYVASGRDGVSSYPDITNQYVLTNDTDKVDELIREVTLWGQ ELRNEVWVFDQGFWQKSYELWHSVQKSRWEDVILEEGMKKQIISDVENFFDGQETYQN LKVPWKRGIIYYGPPGNGKTISIKAMMHSLYQRGIDGDKKLSVPTLYVRSLSSFAGPE YSLQSIFTKARQVAPCYLVFEDLDSIVNDNVRSYFLNEVDGLKSNDGILMVGSTNHLD RLDPGISKRPSRFDRKYYFPDPDYAQRVQYAKYWQGKLKDNDTVKFPDDLCPAIAKIT DKFSFAYMQEAFVASLLAIAVRGSDDDDAQQVAYNDMQALQRALAQTLGHSDLDDLVL WEEMQKQVKILREEMDEKAQAQASAVPAPPQASAAPAQTLYQSSQYAGQASDQIPRRQ ARSYQDELDAMIHDPLRVSAERLNEALRRFGI CC84DRAFT_1161541 MSLPCSPTPPSAPSRQDCSADATKSLGLHVAAPSHHHASQSLDM KLRLLSQSLFRIRDRGI CC84DRAFT_491377 MATAQEHNDVTAGLAGTHPSHQPLNDSSTFDHATAKEGSHKERK PYDYGGNPLAHIATNNPDYRLTAFGGEFQPGLYRAPKSNFANPAPLGLSAFALTTFVL SLINVGTRSIGEPNLVVALAYGYGGLVQLLAGMWEMAVGNTFGATALSSYGGFWLSFA IILTPGGFQIGAALEKSSPFPFYNSFGLYLMGWFIFTTILLICTLRSTVAFFSLFFTL DLAFLLLGIGYLRATETGPNESCIKAGGYFGLFAAFLAWYNALAGIADSSNSFFVIPV AHFPWSEKGREARGKPIDSSAAHTA CC84DRAFT_1213956 MHLKIKNAFNTLPEELHASHNLDFPDINVWVDTHSGHFWIKHRS KFPVTGIPVPNYGLVSERIYPLSPLVRSVVVDARCPKEIQKHKAEFLARPDNKNCLLR IYLGRHSRDTPMAARNARLRDFPLHLDEMECLKLDVKMFAYTAAQYYKTDFFMITTMP EAFINWVVEEGKKRSAGGCLDRWIAFPD CC84DRAFT_1213957 MSSNRQHSSATPSGTYLPAVEPPSPTADAYWPSADTSSHSADSS PHPAAPIYPYLPPEDSDRTKRALKKNAMRLFARDFGFRPAEVSRWLFPDCKMTYRNDL DQWHAKWEKELFDSAELIRDEQNRPHAFLTAFTDEVFQIIVDPLRTSIKIMELVMIEA WRSMYCEAFVTAAEVMYVTPPPKTGKRGTQPRIKYAAVYDAVREVPETFPDRPQLEHI PAHPLRASAAEMLSFERRKWQDTYGAKEYHRMADGIGVKNTP CC84DRAFT_1203044 MSGEAWLYLLAVLINAVNLFLQVFFTIMYSDLECDYINPIDLCN RLNTYIVPEAAVHAFLTFLFLINGYWVALVLNLPLLAWNGKKIFENQHLLDATEIFRK LNVHKKESFIKLGFHLIMFFFYLYSMIVALIRDESH CC84DRAFT_1161545 MAGYGKGAGRVPTGFAAETFISESSFREGHRALEPGANGKKRKR ENKGDLSVVSGAGAYKGPWARYEEERPDAASDEEFGSDEEVEIVYEEDELEDNPTAPT KKLAGTDYADTEAASETSEFVGSQQYDYQGRTYMHVPNDLDIKLTGERDDIKNFHPKK LIHTYKYHTKSITQVRFFPGSGHLLLSASADSKIALWDTYHQRELLRTFSGHTKSVND IDFNPSGTQFVSASYDRYMKLWDTETGKCLNKFTSGKTPHVVRINPSSPHEFLAGMAD KKILQYDTRSGEMVQEYDHHLGPVNTITFCDEDRRFVTTSDDKSLRAWEYGIPVPIKF IAEPYMFSMVRSSPHPSGKYIAFQSSDNQITVYSSTDKFRQNRKKSYRGHNVAGYAPD VAISPDGQLISSGDSGGYVCFWDWKTCKMYHKIQASDAPVLAVQWHPRETSKVITGDL NGVLKYWD CC84DRAFT_1213960 MSSSSYSYGSSYAQDKSKSYASRSSSPRSTTSTSSSTSSSSLYA HRGHSTRNNRYGSKPGSAVIHNGGGQSTGPSSTSAGNSGYYQ CC84DRAFT_491499 MELHGLPNDILYSFRLLIESGIIHLLRTVVYPFLAKHKINQGRI ARLLLRHIGHCMGYHRSAHHLQCWAFIFAPPFFFATLPKTVRSRYVAISGLLSLSVIG STRYRRW CC84DRAFT_1161548 MTGPEIPIPAEFASKLQFIPAKDTRTDAEIIAALNEHVPVTSEK NIWTYWHAGVDAMPSWNKRTICDWVRLHGSEWSVRVLNTIPDHPNHALNWIEPDQLPE TFVKGTMTGPYVGPHSADFLRGATIYRYGGAWLDVSCILFRSLDKICWDQLADENSPF TISAATQFDTIMANAFVAARKGDPFIKAWHELFVHLWKDQNDWTGIVKSPLILSFLQN LNFNQSEAAGFHWKFSVDPVTVLGYIGQVLSWCRVASLQEPNGGFDGVDYYAKHVLCF DALNEIWAAEKTVGFDGNDLHKVFTTRLDADPESKEYKKAYETTWRLLTESSLQKITH GKNLTTDIHCGVLLDQQEGSDCAPGTFGELLRYGRVHFEQTREKIDYRDAHRVEGDKV LRKGLLEE CC84DRAFT_1237577 MADIESNFGNIIKHEKTHQDGGRQAWLTVVGSFLVYYSSFGLLN SFGFFQDYYQNEHLRTISPSTVAFIGTLQLALMNVLSTMAGGICDAHGSKGLYLSAGL GTSIALLALSFCPQGGLWQVFVTQGLLLGVTAGFSIQPACTVVVQHFEKRRARAMSLV STGGAMGGVCYQLLFTQLQPLVGFPWTMRIAAIKVLACYSAALLISKDRPSGAKIRLG SLVDFDGFGDAKYAVLALGGCFANFGLWVPGFHINSYAIKIYPGQSIGKYLISLMSGS SIPGMIVGGLLGDCFGRLNIIFLMTFFSGSLCLSVWLLESSFLVLALFASLFGFTSGA VLSLLPSVVSQIVPDDKVGARMGAFYSVISIATLTGAPIGTTIIGNDPTTREDYRGLI AFSGSAMLVGSLVLFAGRVLQSRDLRERW CC84DRAFT_1139367 MSSTESQDRNVAWFTERPDDSQLSTDARHLLERYSGIPAKDVVD HVIKIRNEAWKIFPYPCIGQFRFLDMSMKHTKEYPELLERLSKGQRLLDMACCFGQEV RQLVADGAPSENIFGCDLRKEYVELGYQLFRDHNHLRSQFLTADIFDESSPLVELQGT FDIVYAGSFFHLFDYENQIKVSTAVAKLLRPEKGSMILGRQIGAVKAGTHDHKTNPTG KMYRHNPGSLQEMWKKIGDDLGMSFSVDASLHVLATDHFRFHTDDTRRIHFVIRRE CC84DRAFT_1256449 MKTSLFLGAALAAGVNALAIEARQRPRPTSTQAGIPDVSQCGLD SFVGHTSEALLLCSSLLKGGTATETATVTAGTTTTIKTTVIITLYPPTTSSSGPGRPT TTPKPPTSTPKPPTSTPKPPTTSNKPTTTVPTASPTPTPSAGCGIVGYTKDTAAYYFD SSGTKNTFAACSAACKADSQCKSFGYGEANCMLFTVDATSNTNYNPTSPYTFYDVSCP SELPVRKRQVQISVGLGISSLSSACSCLITAGPGAITKTTSVTITSKFTATQTLTRTV SLLPGDDGRFI CC84DRAFT_1113120 MPAKCRDRERVASSGVVDIPALPVGAIRGNLCFRCFSPSDDILK CSGCKRAYYCSAKCQKLDWKLMHKKHCAIFTTINNVEEEKYQASRTWNEYREFLLMMV RVIRNAAPKDEDLRHIIQAQAYCATCRRSAVQLASRKITLNRCKDCRLVFSCADCSPT PEHSASICAAFQNFRRIENFRINFFEDTGKATPITCTQFPRETHKPLANATGWFDYYT KISDKQQIEGRFKRDFSSVEEDFDRNGSDNEKDEAERMLMFLLYSTDSLTMPLTIISA LEDLSWDRPHLTIHMLGATDRELTALANFEELLHLMPSVKSLHITAIGPGIPGPVNGT VIAKQSLDCCPPCKVDGRQRSISLYKGVYHEFVLEPTSEKPDLVVLFNSGWIDGDDTK SHWEPTIKALIDEKVPALFTTYNFEEAQHEQKRFKELGARFVTEVGENKWRGLVPTPE FIDEENGMWFNNAYRYVVQGKQ CC84DRAFT_1194020 MRYTPVTVLLLAGLSDARPRPQFNIPGFDLPSFVLPAGWSLPTG GFGLGTGSGGVGSGAGFPLPSFGSLPGATGAASSVQATATPTSAATQPTSTGSAGGSE TVGSDCTPQAGSSNGFGGTENGVTDKNCCTGLTVIFARGTTEMGNVGSVSGPPMFKSL RSKLGDGKVTIQGVDYPADAAGNANLGASGGPEMAKLVKAAISQCPDSKIFVSGYSQG AMVVHNAFKQGISASDVTGALMFGDPLKTQSISGLSTDKLKEFCATADSICGTGDNPQ GSHISYGSVADEAADWIIKASGLS CC84DRAFT_1161552 MSRHICGCYYYLPAVRQRQYLPQVRLDAHTTILSTVSRTVLKQK FINASKDDLEEIRYAFPLFDSVSVVEFVCRVGDRTIYGLVKERAEARKTYEEAKERGE KAALLEQLPDAADVFTTSVSNISKNSSVEVSITYIQELKHDAEVDGVRLTVPTSISPR YGSYPGKLMEQSAVDDAEGISLKIDVCMTEGIPIQKVMSPSHPIEVSLGRLSTSTSDE VLSLSKASASLALNTAQLEKDFVLQVVAKDAGIPQAILETHPTLPNQRSVMTTLVPKF NLKSQKPEIIFIVDRSGSMSGNISTVISALKVFLKSIPVGCMFNICSFGSNHSFLWPS SQLYTQDTLAEAIKHVSGFSADFGGTETLRAVEACFKARLTEMQTELMLLTDGDIWYQ QQIFSYINAQTKSGNARVFPIGIGGGVSSALIEGIARAGRGFAQMVGNNEKLDSKIVR MLKGALTPHIKDYRLELKYDDNSVDSVTDSLHVNLRFDDETTNEATAKPISLYDTDAV EEHPKANESTDIFAGLPKLDRPKILQAPHEIPPLFPFNRTCVYLLLSPSAAGATPKSV VLKGTSPQGPLALEIPVEIRTAPDQMIHQLAARKAIQELEEGRGWLTEATKEGEKPGE MTPIGERYPAKTALLQQREAVRLGVEFQVGSKYCSFVAVEANEAEIAKKRQQAIDRCM SSGSDKEADDWDLVDDTSDGLESGYPTKEGMRIPLPTPAGLAQAHGIVHASAGRPGLR LAESKTRDMSSYYGDAKRVMSPERRARKAERSRIMAAAMSAPIGLREPPSISAAHTMP PSQRARVVKDLFGSTTQPEAVESKLPSGSNKSYKGAEAEEEEEEDDQDEDMGFDLFDG GTPPPSVGGGSGGARFLKSTASSDSGNHTANRFIGGNVSSSKTKDNDSSFGNEDEIDI VDKGTLLETIVAEQSFEGSWASISMSLRKKMGINSGVYSKGVDNLVTSEYSLDRGLAE AALSTAIVVVYLREKLADEEETWELIVEKAESWLMDRLDEGTWKAAWATATGIVKA CC84DRAFT_491543 MSVREAIISELDANRESYITFLQQLIQAASPNPPGDTTEAARVI QDYLEDHGADSEIVAPLPHAPNVVADFRGGRGAGKRVILNGHIDTYPVEKPDAWKNGP YSGYNDRSIIHGRGAVDMKAGIAASVIAYTILRNKTESLKGSVGLMAVSDEETGGKWG TRYLLENCGKPSRWVGDVVLNGEPGGLQSIRFGEKGTLRITFTVEAQGLNGAYTFLSR GANIVASRLIDKLLDLENLEPRLPEEIRKYFDSRAREIADEIMGEGASGVLLKPTVNV GTIHGGVKVNTVPEECVFQADIRLPIGMEASTILGLIDQYLQDFPQASYKVQEAASNP ANFCTTEHPFAGIIAKCAEEVMSIKPVMLAGLGGTDCKFYRYRGIPTYVYGPSPKGMG GSHEAVSIDEFMAVVKTHTLAVWDFLNHE CC84DRAFT_1213969 MDDKTRKRNESDDDEHDNAVSERCRPEKIRCITTMQTPDVSPRQ DEDLVNAGGGTKSASVSNKLGVQHAAADDHNLHARAQESIAGTGPSITRSNIETGRDN IENRDSRGELSWRELPPATYEANELCRTEKYKRENMRFEKVHDGALARSFAEGRPLLM YWEDSKLVRSMRNRRPRTVCETPETAATLKNARNQAPLEGQGRKISLSSVFPIRPPAP EDEVDSLNLDGSGLWPIGNASADGSSTYLPEPRIGRPPLAPVPWPRITLPVRLQTVRR STSHPAQGRSPRALRELYLSRYHAACRMEEKMSEEDMSVFVGFDTEQ CC84DRAFT_1082654 MKFATVAATALLPLAYGFTKDDYDSGRVMAKMMEAKESAWAKHK AAGEYDNKKWNGFDKKRPNKDVIKCKNGKAEAVKGDADQTYKCKDIDMYDFKTHEELG SVDGEGSGSWGWTHKGRDFIAIGQTDGAAFAEVTKQGKLVYLGRLPAQASPVIWREIK VSGDYLVVGSEGVNHGVQIFDMKKLLKIDPKKPTTFNTSTDLTGLFTDYLEVGRSHNV VVNFENGNAFAVGAQPRNSTCASGIIFIDMKNPAKPTSPGCASADGYVHDAQCVIYRG PHKKYWGKEICYGYNEDTLTIYDVTNKKGTNAGKVISRTPYKGASYTHQGWVLDLNWQ THLIMDDELDEGEIAPARTDPESPAIDGFPVTYIFDISNLEKPVNTGYYKSSVRSVDH NQFVYDGLAYQSNYQAGLRVLDVSGIPRDPTGGNVKEIAYFDVYPGDDDLPGGGQAIW DFGTWSHYTFPSGWIVVNTIDRGAFVVKLSKFKGRGHGKRWVKPRTIR CC84DRAFT_1213971 MAEEGLSSAICIVARFLARWRIQATGLGWDDWTILVAYILLIPS TIIAQLMTYKGMGQDIWAVPFDNITAMLKYFYIEQYIYQCVVVLTKISIVLLYLRIFP KEVSKRFQHVSTGVIVGLIIYGFGFIIYFAFQCRPINYFWNQWSGETEGRCVNQQFAV YMNSAMNIVFDLVVFFLPVPKLMKLQVRSTQRKIGFILTFLVGLFVTACSMVRLSTLA NIGKVQNATYHYNAISLWSGLEGDVGVICACMPSLAGPILYFFRDVLGVKLTSFTKSG TNKTMNVSRSRITGNKSIARLPSTTASERDSRNDMGSPTAGGIEKTVVTSIYNLPYGY SSGDDVELIEQKPGHERMGQWNA CC84DRAFT_1194024 MSLESPFQLKQTNVSRPRLSHSESQQSIAESQAASEGYYSLSEN SSSESDARRLRHATPPSQYRTPAESHEHLQNHDDAPIASLRGVGVQRHPPPTTDRPVS TIAEERPDTQASRQRPMDSESMATTPGLDTTPYIRFAIDQLTRDEEVRGSRMYPQGHE DATVVSDGEPEETDYPVERIVSDESLGYMNQDARTQERLSRYMPQRQSRQVPHAQAGA TQEKQPYRERAPEQAQKDVFIAHDVPHAPLNFVPGILRPLWLILFAFVCLLMLAALIF CAIYSNRQNGAGLWDYRVFGDNRYFVFEYLPTLLGALILLWLIQVQVALQRVVPFTAM ASDQYHPRSEAIFLQLYPTQFLLPRMEYFRAGQPVVGVCFLIFWLFQWTIPLLASSFN VRYDLSRAVWRWVAVQGVIWTVVVLYILLVLALLVLLVVLRKPTGLKWDPRSLADIVA LLERSNITSDYSGAETFEKRDFDNLRGRSDRLGYWSTTRRPNDIFHGIGEEGVPTRRY SIEDGRIREKGGERTYPDPADERQSHRPSDFSIRMDIRSPSVRLRYLPWWLRTSAVLL WIVTAMVLLVAFLVISFVNSAVRLGFLPQVFARTNAAGFSASNFLYSFVPALIGHILF LILLTFDYTLRVLRPYISLASKGGATAETSLLVDYAARLPLSATFAALENQHYQTAIL SLVSLFSLAIPVLAGGCFWTQYYPNSDVVRVAAELPGFYALCFFLALYGITLLALWPS RRLAALPHRSTALAEIISWVYQSPLLADRAFNRPQTKPELVARLMGSAYAERSWAQSV TSLIRPSRDNLREQSARRGKKQGEKAGDTHPGKTRYGFGIHIGRDGLEHLGIDRVRRG GERSGREMVIWEEQQRKSWVSTA CC84DRAFT_1084398 MPGATQPESRSPSPVPPPPIADTPGPRAQGLINIYNQAVDATLK KCSTSNFASCFPTVPAETLEHLRTQVLNQLQRTWKLNFDEIMAKRNVVQLLNSLDQCI EDARLRKKRAEANGGPVETPVPPHTLPPTEIHLAHLMPFLEAQAAEMSTRLADTQQAN TQLLSAVTAQRAEIEALVHGLEDVIQDLETSAQMMGQEEVQGLSKEMRELEKEMMK CC84DRAFT_491566 MSAFGRRYNLDASSRLPIQHCRRSQDSDHTSFPLSLLFSRAPID SRRESHQFRQSSYTLPLLDANATLTSKPRLQEPKRKAPRAQFPRSVPRSQSTVNLHNP VSALPPAHSI CC84DRAFT_1081776 MKLTTALSVAAAASTVNGAALQPRHYTFPSIGSSSAWQYVRQTD NYQSNGPVTDVSSNLVRCYTSSNRGASATQSVAAGSSVTFKANQAVFHQGPIQFYMAK VPSGKTASTWDGSGDVWFKIYTEKPSSSSNGLSWASLNQASFAVTIPKNVPSGEYLLR IEHIALHGASAVNGAQLYISCAQLAVTGGGSGSPGPLVAFPGAYKNTDPGLMVNIYSA RSYTAPGPAVWTG CC84DRAFT_1237598 MPPITLWFLQASRSIRIAWLLEELGLDYEIRFADRMPNMKAPND FKASSGNPLGKFPSLKDGDITVYESGAITEIKVLQWLHAAEATFLLHALAITYVRWNI PSSAAEQGVLEQMEAGLAVNVQKDLDWLETELSGEGGKYLCGERVTAADTMMVFSIDF IFATELGVGGREWPNIMRWLKACKETDSYKRAVEKSGYSLTAKRPEV CC84DRAFT_1256457 MVTVPSHTLQERLEGLAKLQAGTLDNPYSISHRLALANAYRGLG YPDLAAGDAYKALLLVDECAEEGEFHEEALEAATKDYQERTGSLDEDTDHVVLWARSS CLENAYEILIPCLIQCGSLRSAAGFLKRASRSFSDKVVLASYQESLDSKLRLHPNFQD VDLEPISIEDYPDKGSVRRELYPWNRHEPDRFSSEALQFLNNEMEQVAPKLEVKVAEL PILNEASSQCVDVTYVKQLGIFAKEDISPGEEILTEKSLLTGISRLHDFYCDACSISL STTSNDEQPATLSCEECEEVFFCSQECHDLAQDNYHPSLCGVVTEQKVKPSEVADVLY TRLLVRAMGLAETQDVHPLDLKEVRFIWGDYHGLDLTERCKLDSDPFAAVPQTLAFSF EANILRPLHILEKMDVNIYEQSHRYDTWIFNTLYAKFRGTASGRQGLDGRPEICAVHP MWCLANHSCDPNVAWEWNGTIRFWAREQLVEYERQVPRQPGLKKGEEIFSHYCDIRLP VQERREWASGALGGLCVCPRCVWEDAQRTH CC84DRAFT_1161557 MLPTVAELVAHFLRSNGYTETLAAFIDEAGLPVDTGSGNPDFTI EQILQEKKTFDTSLNFERLGLEDHDRKWRSIAPSRPIVVEPSPRSNILSVSPISLALP SQPQVQTYLAATTADRRLHLIDPGSSSYSVVRSYSTFQDSPILDLTVIHSRFLLAASM SGKLLLFDTKTEQILDQRKDHGKYVVKIATWSMDSDTIVASAGWDAKVFLYRISTDSA ELRLGEPVATISLSTVPETLLFIRSPETSRPILLLTCRDSTFVYYYAMKAEGDHESAI SLIGKQNLSPHSNAWVAFSPADLQVNPVDPSVVAVATSSTPHMKLLIVKLLLPPEVEA SSDGPESALSQPVTQASQARADRLLQAREEAAILVNVSTMAPQTAYSTPRLVWRPDGS GIYVSSDDGIVRGFEAATGRLTASLSAHEPGSKIRCLCAGEAIFENGDKQGEEFLLTG GFDQKLVLWRTG CC84DRAFT_1139398 MSLPGARKDVDGDYLLVVSGQLRRAPYLADWKAFKDHIRKVVKE QPGWSNVCEGKIRGDMQGWCRLKDKEDANSVYSAYSRQVVIHIFATSLRTGYWDLLKC NCNRHFGVGERGHSPGRSGIDVNAVNQVFGKRESAPAPYQAVPAQPSYQYANYYQATT YPQPLVYQYPGTPIQPVYSQSSGGLPVNLSRGAVITETRGIFLQGLNYSVGNSELATL LNNAGLRPERASVHKDSRGASKGVATARFSTKAEAEYAVSKLNGTTHAGKTIIVRMDT NSTVLGSLEPFVVDGTNKSGY CC84DRAFT_1203058 MRFLRSLVPSLLVSSAAIVSAASSWSFEDATVTVSNKGAGVGAG AKDKLSATSPLSKSVSLGATDTLKLQLTTVDGKKATRPHQAFLTLTDPATGVEESFLL NVKDSGKGSLNLAHKDLPHQFLTSSKPLPASIVIGSFGSSTAYKAKVFDLNVARDPNV PLAVPEKPLRYAAEKEIHHIFRDDPRSPPKIITLIFAAAVAAALPALFIVWGTLGANA NHLSKALGNAPIAHAVFFGSILAMEGIFFLYYTTWNLFQTLPAATVVGFVAFISGSRA LSEVQERRLAGLR CC84DRAFT_1173040 MFNRLREGFRQERKMQPESESVRSVDDGGHLSRRSSSKVVPGLP RPLTFKRMSSEKREKLLEGPVSPKQRRATSLHQRRGNPPDRIKRDQDDKGNDVYNGSG RDQEEAYETSSVHSAVSTARSDLEAVLADWSGRATCDLATFLEDYEAVMDNSPEDHAR GERMIALGAMGDGSEVFEISANSILKCRMGCIISAVEYLHSQNIRHKDLKPSNILLSA GRVFLTDFGTATDFSDRTSSTTEGIERGTPKYFAPEMAAYEGSGRPADIFSLGCVLLE MHTIQQKQRLHTLRDLRAKHDKSFQANHEAIVDWLARPVERESGVDRQIKDTIGWMLS KNPAERPTERP CC84DRAFT_491653 MSYDPRIAARYGAREEEDGGSKKGSVRAARKALQEKQGQLPDRS KIIGLPQRPNQRLAPAPSRSAAPVSPTPQWPLTNDADDALDSRPGLLQPNRGPPPQRP PRPTSDELPIQQLSPQYRDSFNSDDPFSPLSGPSSRPLTTSSAHSEASSLGEIPDFPV PEPPMPAIQPLPRRIPSLGPPPSSRRGPSSYYTQMSYVSPIAEEAETRSNTIRSHHGS FASSMAMPANEDLYYEDPFVRSDDEETITSDHGRLSPGSDHDDKSGLVTPAIVRQASL GRRTKPSMMTIRSVENSSVKRKPSPPGGEKEWEGGIAGAALAAKDGIGGNGPARLREL SPDDASLDSPTYSDHKFRDPEKDMDPEKDGSMAASAFRLQGSRGASFADRPGRPRPPR LDVDAVREAEARGSLTSLPELIRRATRLAANLDRGKTASRLGLDFWEAGAPEKKHHSN SMGDMLSQFPPPAQGTPLRGGTPDQKRLSKWPSAGEYGPDSTTSDRKGERRRRRCCGM PMWTFVTLLVVLLFLVAAAVIIPIVLVVIPKMKSQASTAAQDTQGTGTATATSNPAQS TGSTGSGSGSSGNQNSQCSGIITCQNGGVAIPNADRSCNCVCINGFTGKTCATQGDSG CTTTSIGSTANNATVGSGIPRLIESAQSDFQIPLDSTRLLTLFSGLSMSCTAENALIT FNGLASRSVSSYIPILIETSTTPSRSLPLLDQPHPEPAALQHRQAIGNVGSANENGNA ASSPSATVSTKSAATTTIPISSNPTALDFARLGVLLSLQVSGKLDTAANAQEAIQNFL SNDRQGGSKSSEVDLDVFTIDLVKFSIKFDNGTTVQASVPTGTSS CC84DRAFT_491671 MASSESGEKSVVLASSTRPAPGVESGGGDDERQGGIRDSLASTR AFGAGPRRWCRAWRRDLGSGESLDCRTQAKQRHPESTSQGWLRCRVIGGTDGETRAMH EGSRFCSTRPIRDGAPCRVLCAQLSSLGVVTIACAQRTARPWRFGIEMSDCRSQHAT CC84DRAFT_1161561 MPILVKSGHIYGSADILPAQFAFGERKLVRRRLDFLHKRRGVAR GTLNTGPRKPVILWTLVLCSALAGSTLAKSSSSQARVTHVEVTDITHVSCSGEWTYNL AGELRDFP CC84DRAFT_1184643 MSGALQSLSYFFRLPFHIQWRTFLVHLPRFVPLSILHLTSPEGP QPFIVALPSRKNNTIPLYVFVPPAPVPLEREEGVRIPVENGEWKVPVVLDFHGGGFIM GSPLEQAPYAAMMARELGAVVISVSYRIGPFHQFPAAIHDAEDVLSAILDTDQVSKAS KVLRNEIQRYFGMTIEEVKKKKKNKKKKKNAHALNDIQKITLDPSRLAISGFSAGGNI ALNMAIWVPPCPQLFSSPATASGTGAQTPDISRRPSPADTFSPLLPPVRAPTVLDDIC QPWPSMLPPEKAQPRLLPLLLFYPSLDARLLPHERPMKDMPSALNPDDKKPQQTRMPG LFSIMGPTYLPKKLRAHPRASPGLVDPKNVQKNAAILLVLPEKDTLAVQSDVWVDKMN GSGWNGPVRFGDGRDNDWNGREGNVGATPSGTNGGMEVWHAPKCRHGWTQFPVAILGK HEKEERRKVFERTLDFVKANWKKTLPTEQLGNMNQELRPLDIPPVQADEGASSGAGAA SSS CC84DRAFT_491712 MDVYLEHERELVSRVQDDVVESGEFTKTLGARLLREDAGSKLVI NFHGNAGHLALGWRPSIYRSMSGIPRTHTLVCDYRGFGLSTTTNAPHRPTEPGLITDG ISIVAFALHTLHHPASRITLLGQSLGTAVTAATALYFTDPTSPLLPSSLPQSPSPKHP ESFAAIVLAAAFTDLPTLLRSYKIKGFIPILSPLQNYPKIASFLSSRIEDTWPTLSRL QALIPAATHASAPLHVTILHARNDQEFPFQIAETVYAPLETLLLAEEGASSVSERRSI QGGERVSRGAFAYRRVETNDGERSVEVEVVRYGGHSEGVGWGQVSLAVRRAWRRTGAD GTGKEYTGDEI CC84DRAFT_1161563 MPATALYMSILIHQFNLLAPYPSAILKCALSDSSMYHQPHICSL HASARVQLPLLGSWQWVKGRVLQVKLTEVVSRLPLTHHQTRAPSYSSQRTYTAALSSS TSSRHYASRYFTAPTNVALIAEMSTGSHQNDTAPNLAILPPTDLTGSAKKQPRIAASQ NEDFPAVKPSILDSSFPVLLPYDEALFMQQGEFDALVTELVGGRKDSPIKRLAKVETG YDPVHKAPFITGLEKHAAHDRTAEHLAKENEALTENQDVTYISSKDPLVDLFHDLGQN TSSDQLKSLLEDAWTKDPLTTLKIIFNARSIHLGKSSKIAAYKALGWLAENHPHTLLT NLVWLTRPVIEKKAPGASGDTDVKMPDENDHPESDLVILSQPTKNITSEDFDMIDAEE AIPTNEMAINIEGAHVSADEAEAESLLKAHDVRFGVSHGYYKDLLNILVFASNDQLKF DGDPSALLTQKQDRARGWRKRVQWDAAEAKAKRKLWRNQQNERVTRKLQEDPFYRALH LTVARIFAWQLQEDRKLLDSGEKSHLRNLSLAAKWAPSFGEFHDKWTTVSSSIAEILA PRLTKYDSKGSNTERETYLRHAREHFRREYTSPLRKALAVVERDIAAQTFSNIKYDRV PSIAMDRYSALFAKKDNEHFSTYIKNVAAGKARISGATLLPSKLVAKARSLRGISGAS GAAAVAREVVDEQWKTLVQRIKDSGALSSSIAVCDVSGSMMEPQLPDHTTPLDAAIGL SLLVADVTAPPFGGGFITFSSSPSYVSIGGPTDTRGLIEKVRAMEKAAWGMSTNFTAV FEDVILPMALANKLTQDEMVKQIFVFSDMQFDAALYGHERWTSSYIRIQRKYADAGYE MPKLVFWNMADSSTDKPVTMNMQNTALLSGYSQGMLKVFLEGGSFEAEVDKVSLDEGN GDGTVVAKQDEKEDPLALVEKAVGHPAYAMLKVVD CC84DRAFT_1161564 MAKDAADTPFFQKRKSEGHIVVRDPPKFDLESYISNYDGFTRAD RLRHIGSHSTYLAVDAYRTAIAEAKRGKNVKLYCDLVEELAQIAPEDPAALTDTTWAT EKTKKVEAEKDKLEHELKSYKNNMIKESIRMGNEDMGHFYYAAGEYSNAHKAYMRMRE HCTSPKHLADMTLRLLLVSIAQKSWLTVQSHIAKLDSTQVKGDEKAKLEPIISACAGL AHMGAANYREAATAFINTSPAFLTAEPVAGITWQREVITGNDIAVYGGLCALASMDRS QLKDRVLDNNDFRNFLELEPHIRRAINLFCASKYSACLEVLENYRTDYLLDVYFSKDL SNIYGLIRRKSIVQYFIPFSCVTLDEMAAKFQTAGGDYATIEDELEAMINSGTLDARI DLVDRLLISPPTNPRYTVHADALAMAQSYDHTLRLRLTRLNMMAAGFEVRQDKGGPKE GGLKDNSFGEGISAGLRGIGSKMGFS CC84DRAFT_1113170 MEQPAPAPVAVANYQHAQEGIFSGPPVHLARGRQARDPQAKTVS YTVASLDAFETSLREYLANPPEHPDHTDCQLSFWIGTSFLVAAPPDAAQPPSQSQSQS QSQSQSQLQSHAQSQAQAQTQPRFAPHDASQAYSSTRAGSSSGANGILAPQYGAACPG VPDPATGLALDFQQPQASPSSAVGAPPSSSSSSAGKKTEHKISMSILEALQPMPDTRD KMKKQRAIAKCCVDAIQRCDGYRYSFHNCWESREDDSYRFSYYCNDSLLNKDRAANGK GAKLGKRATKPVYDCKGVLSIKFSATKQALDVFYKHIPIHKTYEERAPPPRKDSRRRK FLEENDPDTLKKLTSRPKPPRPDLPLESAQKAKKKRRTDDQKSSTSLESDLRAQSLRS LLELIRPDPAPEHSPPEPPPPPPPTVQQQRPVPPPPPLQSAQQQTQVQQQAQPATRKR PRNSCDVCKVKKTKCDGTRPVCQTCIDKNRQCFYTVDQTPDERRPSAIPDAVDPILTH HAQTAPTELSELERMRKELEDAKARIHELEEEKRRASTTPAHTPQMAQQPRPQLQHQS QSQSAETLPQNQTHPHPHPHPHPQAQRDQKQQQQQRHHSRSHSTSLHPQSHPSQPQQS QTQQPQQYIPTPPPVPHTHSIPSPQQAMQQLQTAAQQLQTPHNTHTVNAGHAGHGHPS SHGAGAYFANPYGMPSGQGVVRNAGQAQSVQPGVQVQGVGTPMGVGGMAPAEQPYARG FANGEWAAAGYYGYQSGTAPGPQGQEDWGGVRAQGVFRQV CC84DRAFT_1081188 GGGNPSKVTKASGARGPRAGLTFSKGGVAITGSGRKKRKNYPVS WGCPTDKMKMKKRVLCVYDGPRRLWKDDMMLDQEFEVRLKLPDGKSYVTDLDVQTLKR ADALHDATPEEKGPWTPDNIIGGPHHPHVTAELQHQQYLI CC84DRAFT_491791 MVDYVPYTTANMPHDFELYSHQEQQLDFMPTSQPFHPSTSYSMD PTFNGAFEPQDLQFHYDGIAQGVKATFQQYTSPMASPHSISNSFQEQPPVLSASSESG ASVSSSAMGSPSQFNEPWNPLSAGLGFAQGFEYPGMADAVKVPGCVGESNSISSKSAS SSLQSCPTPTSDPWHPNVFRSLATPASARWSGSRSSAFAGRRNSLLSNEVHPGDIVDT SLDSPFIPTSPFSQSPQSQPSSLAKTESSCWFSFDTPSLAHSRRPGANADREADPSLT QFGNPLAFMPPSPYPEIRTTQSPYFGVIEHPPSPALSNISSHSRRPGSNNLKRGSASP FLNDRFQPYPAYNGQRRQSNASLHSHHSGTSRKSASSYELDEETREKGLCPLPECGRV FKDLKAHMLTHQNERPEKCPITTCEYHLKGFARKYDKNRHTLTHYKGTMVCGFCPGSG SAAEKSFNRADVFKRHLTSVHGVEQNPPNSRKKSPTGRKPYSGSQQEMAGTCSTCSIT FANAQEFYEHLDDCVLRVVQQADPSEAINQRLLTSVAEDKNVSETLDRNGLSNSIEYT VPNYDEEEEEDEDEVDVDDASDDTYGARRSRSGKGSIKNKSH CC84DRAFT_491827 MNPRLRLDRARRPHRGQTSPQRCGEGEPRRCTTLHLVFATMPDT VGAGGRRRMAGPRCESLDAQAGKGTRIGTSARRRAAILHVDHRFCREPRPAIHCAGAA AALIRRALACLWRRPMAQRAPAAHSLAAPGGLNIPSAHTICPKGTREALRAMSRAPSF CPHRSVPAPCAAPLPERCCSVPVTNPLHSRASCLRPHRRPASISRSTSLRRAPPWPLS PVNHGRAPWTLRPPPANHLVSC CC84DRAFT_1213988 MAMPMLKRCSTGFTRAEPTPISSSAVDEPRSSRTLFKQVSFSKA LQFTFIKQITSPPGQKSHDDTEQITDVSNAAINAADLEKDTTAAERKARKAHMATKSR VQSLRDFFSIPSPAFSALEDIEKEEYQAWHAYARQEKEMRQRYEEIAADVEVMLRGWR VDHSPPHLSAPVLTLVTEESAVNKSGTYHSGDCELPPLSQDITWNAITLIPSPSSSVR CQDDEGIYSGGNGDSGLGDGGSGDSGSGDDKSGIGSPGDGSSGDGGSYGDRGNGGDGE QPGTKGVKGVKGGKGKGEPGDEPWLPFYWPPDRPPSSIGDGVRGNGPFWSGHTYDYAH GHGDHAQGHPFGHGHGPIGKRFAQFARFKIDGSLSAYIQELDLFTTLT CC84DRAFT_1237663 MTDTVFEKSHSEHVEQASSSHDGSGHVANIGTDAHGFTADAEEL PKGYYYSPFFLGTTVAIGLNLMGSTGGFALVAPVLGQIAVSLDAASSVIWLSLVYTMG LAVGLTLVGRITDTFGRRWFFIGGTALGCIGAIVSSTAKSINVLIGGQVLVGLSASTG YSYAFVIGELVPVKRRFIFNAIIFIFSFPTAGFGAAISTAFILKTSAGWRWAYYLLII LNGLTAILYGVFYFPPTFHQKHGKDHVSKFLRTFDWGGLFLYTAGLVLFILGLSSGDT LYPWVDARVLAPLIIGVLCLIALFVYESYAKLSQPLIPMEFFRNRGWVASMLSLSLGA SVYYSQAIVWPQMTANVYAEGRLMWGGVVSSVVGIGITLGEIIGGGFAKHLGHWKLQC LTVITLGTLFLGLAALCKPDTPGMAIAFMMLATTFVGWNEALVLPICTIVIPDQAEIG TAAGVAGSSRSAISTVASTIYSVVLATRTATELGRQVPAAVIRAGLPADSVSAYMAAI AAGAPESLLSKVQGLTPNVIAAGTEAYRYAYTDAYKTIFLVSLAFGGLAIIASFFIPD VDHLMGGKVAATLSGREKEKYTDRTA CC84DRAFT_1237665 MPPFPKRKLGKCGPEVSALGFGAMGLSASYGKVEENEVRFKVLD RALELGSTFWDTSDVYADSEDLLKLWFERTGKRDQIFLATKFGVTIENGKPTMRSDPE YVRQACEKSLRRLGLGKIDLYYCHRVDKITPIEETVSEMAKLKKEGKIGAIGLSEVSA ATIRRAEKVHHIDAVQLEYSPFALDIEYPEIGILETCRELGIAVVAYSPLGRGFLTGQ IKSPDDFEDGDFRKYAPRYSKENFPKNLKLVQKLQDIAAKKDCTAGQLSLAWLLAQGP DVIPIPGTKKIKYLEENIGSIKVQLTAEEVKEIRAEIEKVEVTGDRYPPAFQSYSFGD TPELSP CC84DRAFT_1237667 MGEPANLPYIRVQLCIKKKDEVSDKFFHDYWKGNHVKLALENKK FVDKVIRYNQFHTSPSLKAQAGEFKIPVPEYDGIAEVWVKDIETWKEIATDPDFIATI APDEDNFIKAPIHIMLGYDNTVIGDAVKNKL CC84DRAFT_1237670 MKGVAESRDRSRSVLRIGGVSGGVFDRFRSIQDLAKDPSIHAVL FGDWISEISMTFRGNERASRTPDAEQVAFEMSFISALKPAIQDIAKNKQRVAVNAGSC DPEAMAKVVQQLVKDHGTDLKVSWVTGDDVTTQFKEMLKSGEKFPSLPSDTPIDQWGV EPVCAQAYLGGVGIAEALRHGADIVICGRVADAAPVVGAAMWFHNWGREDFTELAHAL MAGHLLECSSYVTGGYYTGFKKELLQSRNCTNLGFPIGEIESNGEFVITKEKTAGGIV NVSTVTAQLLYEIQGPLYYNSDVTARLDGIHVADEGPDRVRVTGVVGLPPPPTTKIGI TARGGWQAEVHFFLTGLDIAEKVELVKRQTLESMGEYQKEFTTLTFNVTGSVAENPKN QASATVDLRIFAQSKNPDIMSAGSHKGVAPDTPSFAKWVIENCLQGYPGGTPAMDLRQ STGKPFFEYWVALFPQNKINHEMHTFNGMTIPVPAPTVTAMYPRQQVSYDTSSPLPLD SWGPTVRAPLGHIVHGRSGDKSSDCNLGLFVRHADEWDWLRSLLSVGKLRELLEEEDT GKKIDRFEIPSLNAVHFLLRDHLDRGANSSSSYDILGKNVCEYIRYKKVDIPKVFYDR GTI CC84DRAFT_1237672 MAVLNWDELRFAHYNPGQSVAISSGVLLFIYIIANAIYRLYFHP LARFPGPLFARLTPIPATWSLLRGRIPFYVKACHDKYGPIVRIAPNELCFDNESAWKD IYGSRGLGHKNFQKDPIHVGSIAAVHGASTITMAGDDDHARQRRALSHAFSTKALLEQ ESIVMKYIETFSQKMQEFARGDGIVDVTDWFAYTTFDVIGELALGEPFGCLHNEDFRF WVPLISESIKAGAIEQATRRVSQTGSPLQRFLQWCIPSHVAESRKQHLDYSKEKILKR METKTDNRDFLHYVMKQQDKGDLNLGEVIVNGALFIIAGTETTAGFLTGLFNLITRAE NKHILDKLTAEIRSSFQSEDELHFEDLAKLPYMTAVIEEGLRMFPSAPIGFVRTVPEG GDTVSGEFIPGGTTVSVCMWAATKSERNFQDPYVFRPERWLDRENNQTDKLSASNPFS LGPRGCIGRNLSYMEMRLIIAKLLWHHDVEMYGSNEVWNPKNEYENMFVYNNWLKPGL KLKLTPRRI CC84DRAFT_1256468 MPPLHNHVFNHVAISVPDCDKAIEWYGNVFGFRRLRGDRMTDRA EAQDAPIFKIYDSKLHKVKIAWLGTGNSVGFEVFEFIDPPNKPSPDFEYAKTGFFHIA VTSPDVDAAVARVLENGGDQVGETVIMGPEDKAAYVRDPWGNVVELLSCSFEHLMANK CC84DRAFT_1256469 MKDIASKTALITGGGTGIGLALALQLAAEGANIIISSTSKERLA AGAQKIREACPNVKVLDIVCDVSDRQSIKQLKDEITKAGLQVDILVCNAGVTTSGDYH LHREEDWDWVYGVVLNGTTYCIQAFYPDMVARGSGHIVINGSQAGMVPNWVSLHGPYT SAKAAVHALGAAMRPEAATHGVGVTTVVIAGTQTEIMKSERSRPERFGEPLNVKVERR AARRVPPSEVAEKIVTGIKEDRGWVATHPDLKDRTKQYFDEILAAYDQ CC84DRAFT_1237680 MPVDYDLKGKVIVLTGAASGIGYETSLLLARQGAHLSVADVNEA ALKEKAAEIEKVSTGKVIYTTVDVRKDDQVNAWIAKTVEKFGKLDGAVNLAGVVPKVI NKERVEDLNNEDWHFVLDVNLHGVMHCMRAQLQNMNEKGSLVNAASICGVIGFPKNAA YTASKHAVIGLSRTAAKEVGDREIRVNCIAPGLIDGPMQQASVKARGGEQVWKQQIMR RGTPQEVGALIAWLLCDDTQYITGTVQIIDGGWVC CC84DRAFT_1237682 MKAAQWDPAQQKVVVNEVPKPTPGPGQFLVRLASASLCHSDLMS MAMPHEKPITIGHEGAGYIEEIHSTAENKGFKVGDGIGFTYIVNYCDDCEGCAVHNNH CLTKKSRVHGFDEPGLFAEYAAVDATSCIILPQELSPETSSPIFCGGITAFHCVDSCE LKPGQWLAIIGCGGLGQLAVQYAKAMGFKVIGIDINDDILSTAKSQGADAVFNSRSNP NFFEEAKAVMNRGPNKGADAVAVFSAATAAYKSAPPLVKLGGIIMVVGLPAAGVTFDA LDIARGTYRVKGDSTGVPKRMKKAIDFTAKHRIKPEIEIHKSLDAVNEMVERMKKGEL TRRQLVQFV CC84DRAFT_1139423 MSNLNISSLFSVKDMIFVITGGGSGIGAMFAKTLATNHASKVYI LGRRIPALESVASDFPKIIIPIQCDITSKDSLSAAVSRVETESGFVNCVIANSGATGP DMYGLPKDRKPSLEEVQKYLWETPMADFTQAYEVNTTACFYTLVAFLGLLGKGNESEV AKIIGVKSQFIVTGSIGAMSRRPGMGFAYAGSKMAIIHIVKQLSTMLADWRFDIRANC FCPGVYPSDMSKGLMGDNDLTAEGSISPEVVPLTRAGTAEDAGGALVFMCSRAGAYTN GNVLISDGGRMSIVPATY CC84DRAFT_1256471 MRAHIFASVLLAALASVTASSHKNGPCTGKDGVQGVCISTKSCT SGGGSYISNACPGLPDDVRCCTKPSCQKAAQKGDCRFVDKCGSGKTTITGLCPGPDSF KCCVPEGGTTPKPPTTPNPTLNLGQKILKKAQEQKGVPYHWAGGNCKGKTGGGFDCSG LVSWAICQVTGRDLFKEGLRVTRSMYCASEKKLKYKKVPFSKRRAGDAVFFGGKCDCT NNPGGIHHVGLMMDDGYNMFNALKTGTKIRKDNFKNWSEKACPYVIRF CC84DRAFT_1082485 METLLDGLNDAQRSAVTSPASVLQVLAPPGSGKTKTLTARVAYL VNHERLQPWNIIVCTFTIKAAREMKERICGFVGEGIEKKLILGTFHSVARRFLVRYGQ EIGIPKNFGIADTTDSKSIIKRIIDSRQYLVPPDQARGRISGLKARNVSAEQFAATLK KADEHEFRNVYFEYEEQLRSQNLLDYDDLLVRCVELLQKHPQCVSSIQAVLIDEYQDT NNVQYELMKLLAQARGRITIVGDPDQSIYSFRAAEIENLRKMRRDYPDSSVINLEMNY RSSGCILTTAMAVIEQDETRPEKPLIATHCVGEQPTLRHLATAQVEAWWIVDEIQRIT TLSAGLLNHNDYAILIRSAALSLSIERALAKAGIPYRMVGGLRFFDRAEIKLVLDYLR IINQPESNDVLMRIINRPSRKIGESTVKSLVKEAEEEKTSLWKLILAIAQGRKKARSK IAKPAQLGIETFVNLVLTSQKKLQQEDSCDLLGLIDHVSTKINLEAYLKSTYKEDCKE RWANYEELVSQATQMATSVANGEEVADDALPIVEGIEQRQDTAADILSKFLANVTLSS AVEQPNGEEVSQVTISTIHAAKGLEWPVVFIPAIYMGSIPHSRAEDHDEERRLLYVGM TRAKGLLDLAQILGRACPLATEVQTARSLVERIEDDKYPYTRDEIDGTEPWSNGWNAT AEPWAKRPKVEHSTRELGGVKYDVVEERYPGGGYSKSLTNGGFTSARDVRALQQEAEN ARMLASANASTKHIYSEVNVLGTSSKPVYKEVNRLGTNPPQTKKSKPRAAGQGAITGF FKRSASSMSEEQDPIPIPKPQPLLRKTPALFTRPLADVSNRQIPRPHHPYQAPSFPTH TLNTGPMASKPRKTSATEEPSSKHVLLSSSPTKPDNEPIVTSSEDKDRTSEPATSGFR PATTLHTTSMGTLQGGSGGGVQRRTLGARRSMQGWSVKHKAMPRPKGS CC84DRAFT_1184641 MTSSFEKSVKGGTKIKLAAPKSKYVEHILVATHAGEAGVAEIFR ALTNRLRDSTWTIVYKSLIIVHLMIREGEPEVTLKFLAKNPRRTLAINHFTEVQTQGG NIRNYAEYLLCRAEQYGATKMDYVRAGEGRLKRLNIEKGLLRETESVQDQIKFLLKCE PFHEEPENEITLTAFRLLTMDLLVLFHVMNEGTINVLEHYFELSKPDAKRALDIYRTF VKQTDLVVQYLSVARLHEHSTRLEIPKIKHAPTSLTQALEEYLNDKDFEVNRRQYLAE REAKKSGGKTTNGTSKLLSDSRPAATSTTSPTQASAPSKPPDVSLPLIDFFDSINDNQ QTMAQPQAQQYPQPTGYQQPTMQMPQTADFQQQQQSFGQPDGQSTNPFAQMQQGMQQM NLQQPQQSQLQTQFTGAGFGGYTPQPFSPQNNLSPMSQNGMQNFAQQPAMAEPLQAQP TSTNPFRASMLPPAQAEPKLLGNPTGASSPLGRSPTNPFAKHTTGGFQSSLSPTNEGA VASPFSVPQIQYPQQTLPFAPQQQQQQQQQPLQPTPTGTNPFARGVSPVQGTPSPQGG LTVHATGSTNPFRQSAFVNQATGQGWQNTGSGTLGGMHLDAVPTTSVFPRPGQQQQQQ QQQNYLG CC84DRAFT_1184642 MSAPEPTPEEQKRLDAEAKAKQDAEQAALPYKWSQTIKDLDVTI SIDAKYKGRDLDVKLTRSHLKVAIKGQDPIIDGDLPHAIHVDDSTWTLETVPGGKEIA VHLDKVNQLEWWAHVVTTAPKIDTSKIQPENSNLGDLDGETRGMVEKMMFDQRQKEMG KPTSDEQKKLDILKQFQQQHPEMDFSNAKMG CC84DRAFT_491936 MFSYHSTRTRERSPLFDDHDDDYYSARRLVRTNSKRAYDDDDDE FDDYPYTNNHKNKPSRALTIRQPSQLEKYNVWSRPASSSPKHTSSKYYRSSFDNDEDD DRTVRYKYTTTRYSPAHTHTHTRSDDDSDREREFRLKVKATFGRPKSSHSSERKAMAW SGDMFRTREKWVDEDWETRERERRRDSLFDDERVGEKSVRFRRVKRTKTEEWRPLNGF RR CC84DRAFT_1139435 MLDKIEVVGPGYLLTGKSRSSIGPLDGGTSLLSEGAAFKRNAAL KPNSIKQQKAPLTQIHARSYKVDHTLPTLKGWDFPIDNEFGEMVAARVNSTEAWPREF SQICAQCRELNFLTAGFVFDEEMTILQKRSETCTLCRLIHEAAQLGADAKSQRTRIER QSSKLVITSNPLPVLSIFRGPDLKIPLPLQLGFPILPNPRSSAFFAILNLWLSDCDIN HQDCHVSTKVFPTRLIDVGTYTDSRLCLIETYEEQPTDHRYIALSHAWGDVNRYPTFN TVRKDVSGAKRDVESLKERIPYEELPATFRDTVDSTRALGIRYLWIDSICIIQGPDGD FSEEAKKMEDVYSGAFCVLSANRAQNQHDGFLKPRPQPKYATLTGPGGHPYYVCQAID NFAEDVLEGSLNQRGWVLQQRALARRTIYFSEAQTYFECGGGIRCETMTKMHNNMADF LGDPKFPTKVMRTESRALKISAFQDLYQTYSRLIFTRDDDRPFAIAGIEKRLQIAYKA KGAYGIFDDGPGGALFHRSLLWKRSEEDMEDSRGATRHQSGAMRLINFPPERNIRVPS WSWMAYVGGIEYTDPPLGSADWAKDEISPSLDMTFLNAVIRDFNLAGRLKDEAHLTYD IERTASDSRRTQCVIVARSKGEKYDRDKTHYVLLVTEERELMDRGEKVYKRVGAGFMP GKYIALHVPGIPAKIY CC84DRAFT_1084953 MDDLELELEDLDSALPESDTLTARILESLCEGTASLKSSNGHVP FLPEGKIEHVFTKTKLTQELRRYDRSRVEKLGKNPCSDTYLNELSDWIYQHGKKVLAI TLYCALDEADTMVAMGMFRKYNFGDNELPVGEFEEAPDHILKAFPQIKISSIGRNKTD IDSTWEREAVVLKEINKLGHPHITPCIAAIRRGDGRYFMFPWADGDNLRDFWDRTHSS PLTPELVRHAIGQLCGLAEALEQLHNIERNSSIHTKPIFEIPELERPSDSVFRIAGMG IAKKHIARANFREESTGPMFTTRRYEPPEATRVQSRLSDIWSMGCILFEYIIWLLYGN TVLKEFSREIDMDEGRYYETRVGGPHPEHAIRSVVRRWMEHIRKDPECSQHSAIRDLL DIVQNKLLVV CC84DRAFT_1214005 MDERRESIAHLDEWRLLVDVCRIFACKHSWTARSPNEVDKLSVK RQAELFKVNIDEIGVHVDTELVLGADARDDFILAIEALLSNLESLVDEKRLPMKGQAH LLHRFPKLVALKTMLDRGEPLSIDNFGQYLHLSQDARSFKRTRFAVQTFNISSRVLLG DTRTVSLQAASADTSTLAPVYHPQSSFSPSFWNGSKRLFDHLVVNVQKCDEKNVKKQE HKLLLRLKNISDYYGQDGMHPRISILLASCLNPKSWHQTICSIPSQSLTKSETFIPNI CLKAREMFLDERLFWIELCNEQFIDKSDLVFGTPLDHPPTEHTKSLSDLLAEKIVSDR YQFRPEDKRIIAFNLAYALLQFYEGPWIQTLWTPDHIHFMYEKGTSTVYDIRQPYISC ILSNEPPQMGSLDSAHKYPLMLSFAKLLLELEIGEPVQAQERRNGASSVLQPLIAYCE TKSKEQLDRHYAKALIACVKFQQFLSEEKERDPGTTCRDVILHRIVHPLEERLNESPK TAKWNSRNLDLKTGIPVQEPLDEDKVSNSISSEGDKRFRRIQPPFDPPTIPIDDCTSK NIERAAQIRKSGVWRRLVVGIWQMLFSDEISTKTFDYLNSTVSKWLLYKPRYDKPIKI AVLDTGLDGDHEDFQRARTTTFTGKFGDEPNPMHNEDPQINRIRSYRNFCTTEQACDH NVTDQDNEDTLADVQDFDGHGTKVAGIILRLAPDSELFIAKVCIGGERKLPAEESKFQ KPEPDVVAAAIRWAIKKGVHIINLSLGFRNYGSRLDDLQRALEEAAKARIVIFASTTN EGYHEKVAWPASDANFALGVSASVDNGGTKSSFTGMHCPHGANLMVVGENILSQRLGG GFTVCNGSSFATPVAAAIGALVMAFIEQLVCRKNREEARSSINPEDIHTNSGMLKVLK GISSRPEQHYWTLDSQLFWADYEASSSNDEGKAREFAWSIIKKALHRGGSR CC84DRAFT_1161575 MSQQPGGVVGKMLWLVPRYVEEHGTLMRLGAILTDPTDLESACN LDRIPVIPPKARRNATPHVRNYVHTQLSNRNSVLAKAVPELPYFQAGLSADATWKHDA DTTLEAMDIKAEVFIPDKDFMREALSCDAVIAEMRKCFFKKSFYMIVGVATARNLTLN EKLGSERRIAASAHAQATGVAGAGELGFERERTATAEGNLAVTQECDFAFRLREFVYS NISGVRDKGNYGESAMFGEGKSGKTAQQEDVEEFPEFLFFMDEDEDASDVLSLPIEDN GPNSL CC84DRAFT_1161576 MTARAPTLRTLLRTTTTLRPATQPARPFSQLQNHSRSRSPSPPP PYAARNVTFLAAHSWTRFHTPYTYSSVRTFASTPRTSAFVPQPPSSSTAATKIDEVME EITELYGTARDEFEIAAEETEKNTTYAADDRAAAREELDRLLEYYGGVLEGDPGVAEE VKRRVGQRVRELEQAVLGMEESVTHGD CC84DRAFT_1139438 MGFKRKRSVDESPMSVSSFAGFATPDAQSPTPIPNGSSGMMDVD APASRGTGWDFSNLGRIKSSDWGLRTRKRVRDNRPDEHIIHAETTINKLFSAQRNQPH AEPILSDTLPAQQAPVIQKSQKSTLHAFWKIPAPPVQLPFAQMQPQREAEAPRCEDCD TLLEKDAIDMDVDMDVDGPLDRCSLACSDCGRSVCGKCAVVTNARHCLQCATSARNPK RWW CC84DRAFT_1161578 MAPAIRDPSHAGSWYTDDSATLSQQLDGWLSKVPSLTTPIGSAS SKQGDVSIPVQKARAIIAPHAGYSYSGPAAAWAYKSADWANAKRVFLLGPSHHWGLPG AATTGCSEYGTPLGNLTVDTELVGTIRKEWNLKTMSRQQDEDEHSLEMHLPYIYKMLS LHNSAFKSDASSVPLVPILVGATNSATERDLGSKLAHYLADPTNLFVISSDFCHWGSR FRYTYYQLPDGSAREIRSSSEVKKDYPIHESIKAVDFESIDAVESGEHKQFLKQLQET GNTVCGRHPIGVFMAAVEQASGLQGDGKFRFLRYERSSEVVSVRDSSVSYASAFAIL CC84DRAFT_1161580 MNFGNTIAKACSTFALTNIDDLFVLVTFFALAATGKTTPLKITI GQYLGFTVIIAVSMIGFAAAMVLPPEPIGFLGWVPMMLGVWGVLGLLFSHKQEPDEDV GEETEQAEQTGKRDALKQIGSVAFVTMTNGGDNISTYTPLFAQAKGAEIAVYIVTYYI LLGAWCLVAFLVMKQRHVVALAERYARWVIPWLYVGLGVYIVVTSECYPWSIGKIDDD LSSKPGSVILGVVTAVVIGGVVGAMVWVRLRGRAARQAAGEGGEEGVTTDGELDGVTP EVQPDKQQECSVDDDLRYQAGVPESVGSEHAAPVPKVSGAEAISK CC84DRAFT_1113198 MQALALPAAATAGIAYLNGRYGLSYDYGILSSLIVAQIRARLAE RNDKLNLFYELEAQAKSSNRQRPWIISGHSGETWSYAEAYEIVLKYGTWLKSKGVQKE EVVAMDFMNSEVFIWVWYGLWSIGAKPAFINYNLTGAPLFHTVRTSTARLLLVDQNSQ ENFKESVMAEHGFATVPATAGQQDNQAVYGFESDPGQIPQKVQQQSNLTAPQTQSGED DSESQTRRLELIFFDKRLENYILSLKPTRVPDSERGNQQVGSMAMLIYTSGTTGLPKA AIMSWGKAFTGSKFAQGWIGIKSTDVLYTSMPLYHSSASVLGVGPVLRGGAAICISQR FSHKTFWPEVRASKATIVQYVGETCRYLHSAPPSPLDKQHHVRAFFGNGLRPDVWNAF KDRFGIETIYEFYSATEAPSGLFNKSTNSFSAGAFARNGTLTNVLMGASLPIIRMDPD ASPPEPLRDPKTGLCQVCDWNEAGELLFKLDAANISQKFQGYWGNSKATSSKILRDVR TKGDAYFRSGDLVRRDKEGRWYFIDRIGDTFRWKAENVSTAEVADALGKHPAIEEANV YGVQVPHHDGRAGCAAVILKNGTNKPDAATLKSIADHARGSLPAFAVPLWLRVTKQMH TTGTNKQQKHLFQKDGINARAVEKRGDVLFWLDPEAKTYTRFTGKVLKRIEGREVRL CC84DRAFT_1256483 MHGSCDLARLGELVLEDGGDVDGGEVEIAEGRLERLLHHLGVDA AAYDMFRDLVWILLGIVIPDAVIYNLISLFGCRPIAASWDLSLATTAKCLDQLTKYMA LSVLTIIIDVFELVLIPIVIPLQMSKC CC84DRAFT_1139448 MSAIADNNDGPVETVQVLFALYPGYNTLDVAGPLEVLSRSLHNP KDKASKAFKVQFAGTAAAMTSVQGLTIKADMDYEDANDELEDFDVIIVPGGEGVFDVL KNKSEPLGLLSKYVELQEKNPAKERTVLAVDIGTLLLAQQGMLEGLAATTHPDYYTRL EQACQEASSRDLSMRTDVMEERYVVNNARFDLGENPDDNPYIIRKTRGGDGDNTQGGR RKSIARKGSNAWQESRRRESIARRAKLPLGGMRIITTGGVTAGLDASLYLVGSLVSHD SALEVARVMQYSWVKGVTVDAIDV CC84DRAFT_1203082 MDKEEIKPATRIQFQGDEETGRVGRERDAYRHDRRLSRASSAGS LSIHSAGGGTRTVQPETALPITYRTLSIEVDEARDQKQEIVKKAKERAGVELVDLEWH TISIDELLRRLSVTLGQGLSPNQVARRLTEHGKNKMTKPPSGRFAKIMGYFFGGFGSI LLIAGILVFIAWRPLGNPPAKANLALAIVLIIVFFFQAAFNAWQDWSSARVMASIGTM LPDQCIVVRNGSQESISAIDLVPGDIVIIKQGNKLPADVRFVETSSDAMFDRAILTGE SEPCPATTEATEDNYLETHNIGMQGTHCISGSCIGICVATGDSTIFGRIAKLTSEPKT GMTPLQKEILRFVLIICVFITCVVILIVILWAAWLRKDYPDWIDVPLLIVDCVSCAVA FIPEGLPIALTTSLTIVANIMRKNKILCKSLKTVETLGAVSVICSDKTGTLTKNSMVV TDIYAGGEEYTPEAARDLMAVIRSENNIAALSKKREDVMEDLRMLSGLCNAGEFDAAT MHLPISERKINGDATDQAILRLSEGLGSVAELRQTYKKVFEIAFNSKNKFMVRLMAPA SQDAANKQCTLMIKGAPDIILPRCNSVVGDHGEVLPLTDAQGQRIERIKDDWSRQGKR VILLARKNTVAPFSSSPEKEVLVAAREGLTFVGLLGIVDPPRDEIPSVVNILRNAGIR IFMVTGDFKLTAQAIAEECGIISDSASVDDISALSREEKSGFSRRAMVLSGPELMTLN ENQWDQLCDYEEIVFARTTPEQKLRIVKEFQHRENIVGMTGDGVNDAPSLKAADIGIA MGSGSDIAIEAADMVLLDSFAAIVAAVEYGRLVYDNLKKTILYLLPAGSFSELWPVVT NVAFGIPQILSSFLMIVICCFTDCAAAITLAYEKPESDLMLRPPRSPKKDRLVNTRLI FHAYFVVGLLECFLSFTMAFWYMERKGVPFTAMWLKFGEYDPQYNTDYINQTANEASS IYFVTLVVMQLFNLLATRTRRLSIFQQPPVFNKKTQNLLLFPAMIFAIVVVFIFCYPP SVQEAIDTTTIPVEYWFFPVAFGIGLLMLDEARKWAIRSYPQGLLARLAW CC84DRAFT_492243 MHPTRNFALTSHLALIGGHCATTGLLKSLVGGMLGVTAHSNRNE YSTRINGFRFCKVCCPHSLRSSSPVIDNRHDLCVHHRRCNT CC84DRAFT_1184654 MLRFACSQLVVERTDPLVNPGMKYTPHLHQIVGGNSFNVSMDPN NDPAKLSTCTSCSFVQDKSNYWTAVMFFHHKNGSYIRVPQTGNGGPQGKLINKGGLDV YYIPSGKTTAFKKGFRMLAGSATNTDDNKVSKGNICHRCWTSPNENTFVGAQPCSGTD TVAIPSDPKCKMIRQTIIFPTCWDGKNLDSPDHQSHVAYGQGSGASGGGACPSTHPVK LPQVMYELMWNVTNFSDKSQWPTDGSKPFVYSMNLGGAAAHGDYVFGWEGDSLQKAMD NGCNLNNACPKAGLTTQSDAQYSACTKQQQAPEDVDGWLKALPMGDMAIKA CC84DRAFT_1237730 MKVTAFGRPSTGVQPRAEGTITYVDQETGFTFSEYKAAFSLSAN IVFRFALPSNAPSGPYNAVIQAVVPNQLGWIGLAWGGNMVKNPLTVAYPNGQKATVSS RWATGHSTPMAYTGATYTLLNTGNKVNGSHWQFTAKCAGCTTWTGSSGSVRISPTGSS RLAFAYSTGKASSPSSNTSTIPVHDTPNYFTNDFSQGQNPNFDALLARNGVSAAREGV VFVDGPL CC84DRAFT_1214018 MLKRSWHSKRRATLSKNDPSFGEVLHSKQLTFLIGPEETPVVLD AGAIARLSKPLNCLDFQRIFEFSYRGTYTNPKPERFSNEEVDTCKDAFRLADPILTIH KDDVEDDIEEPAEPLEPSEPSLDPSVFEYAWSPKHAFWTFNIHSGARFKYPGVSQESK SWRENFAPVLLAYARLYAFAEQYLVPALKEIALSNLC CC84DRAFT_1113209 MSDYESDGEDFNPQPEVDEDDVAPRNDRMPAIDDDEDDAPEEAG EENEEDDEDEEDEEDEEDEVVTRPKKRKKLMRRNQFIEEEAEVDEDEDEEEDENDDFA DEVHPDDLLEATQADLDDRHHRELDNRRQAEQNMDAEEVARAMDEKYRRREMMSARRS AAGTVPTSQPTVDDPSIWVLKCRPGKEREVIMSIMKRIDERLRYGRPCHVYSAFERGG SMSGYIYVEADAKQDMMAIVDGVIHLFLGTQPLSIEVKERPDLLRKRKRTTLEPGKFV RVARGPYKGDLAKIYEVSENGISCTLQIVPRLDYGLNEDANAADGGKRKRPAFGRVTD RPPQKLFSEADAKKRHASKMRMIGGGNQRTIEYKGEQYVGGFLYKEHNVNAVSAENVN PRMEELQWFATPTTDGADGMDLLAVQAAQKAGQSGSNFVAGDNVEVYDGEQKGLKGTT VTSKGDIVTIRVTEGDLKGRQIDTPVRTLRKLFRDGDHVKVIGGSKYIDEVGMITKIR DDKITLLCDSTQTEITVFSKDLKRAADSATIGPNSQFDLFDLVQIDASTVGCVIKVDR EVLRVLDQQNEVRTLLHTQVSGIVGRNNRAVATDRDGSEIRNDDSVKEHGGENRTGKV IYIHRGQLFVQNREYNENSGVFVTRSTNVVTMAAKSGRAQVGGPDLSGINPALQQPNG GPGAMAPPQTRTFGRDRMIGKTVTVRKGPYKGLLGIVKDTMNDEARIELHTKNKQISI KKDLLTVKDPITGNSTDLGGKFPNRSRGGYGGATPYNGGGGGRTPAWGGASRNGPSWG GATPAAGGGGGGRTPAWGGGGGRTPGWGGGDGSRTAYGGATAYGGATAYGGATAYGGN DGSGTSYGGFNSGSRTPAWGAGAASSSSKSTSNLSAPTPAAYSAPTPGGYGAYSAPTP GGPPLDAPTPGNYSAPTPGNYSAPTPGDYYTTPAAAPTPGAWGGDEDPRYD CC84DRAFT_492313 MCILNLSNELLREILDHIDPEKPIGPDRRAYLSQESFELPPDPD PDQSQDIANFRLACKRFSDLGAVHQFSRVTTRFSRKGLKRLENIASQQHLAEHVKKFS YMVPFFYVEGRARVRELLPALPQNLGTLDVRHFVQKVKEQKEIVETEEDARVLNKAIS AFTSLQHVQILRLQDQQDGMLISYIREHDPLSTLVELRWAPACSHSTKTIGAALLANK SPCSRFSSPMLSPQSVLGAVNDPPSTLSVLAERLTCLELHFDDGTDLDNRMRALSTLS RAVFSAAKNMQALHIGFPSHRPLSLPLEEVFHNIKWDKLLAFGIQSWRLDADEIIAFA QRHREKLRGLRLRDVLLKDGSRWQDVLGFLRTDMPRLDWVSLRRIDYEKNFDEQLVMG VEVPDDQFMASDSSSDGYSDGFDPHEDEDDDVIHSAYQHSDHDDQESIDSVEEDSDAD EPEQGGDIHFPPMSPDTPASVPWCNCSHTDSVEVLGDNGVVVTYSQRKFWEKWVVRKT CTEHCNK CC84DRAFT_492284 MPPIQQPVSVRALLLLPPAPSPPTYAALKAAYSAPLLTVLQQLA RSPERAHGRAILEIALPCPHLYGRLDAPRGPLYAATESLVAGLYKLICIIAAQNAIDT EDAEGVDARIILVAYPRNGRLDQPASDSTPEHELQGPAVDLNTLAQSPRSWDHIFSVQ CEEGEGLLKHFLALSGVARNVQRVRGGIVTVESTPPSESQVSPVNHLSVAVGGTFDHM HIGHKLLLTMFAFALGRRHSHDDQAPSVLTVGITGDELLKNKKYAEFLESWHDRQRGV HDFLMSVIYFGQPDDNRIGVEELNEPGPNGHAVHVSYPFGLLIKYVEIWDPFGPTITD VAITALVLSLETRGGGKAVNSKRLEKGWRELEVFEVSVLDASEEERVDETFQSKLSST EIRRNRSERSQSQK CC84DRAFT_1161588 MPFHGHHHQSSAFTPSQGLGQAAQALGSIGAPAGNLRVATSGTT NAGTDALMPGSGSVQSPASSGPHAGGHTAPPTAGGLSNPPPQQQARSFEPRRAATNEE LHINTMQSQSSASMYNSPGEYGAQNASLHHAAPNTQYGNSSAPPVPGSLQPGAQQQQQ QQQQQQRPGAATTYTAPSAVPTIPQINTVNANSQQYTLPTRTMSQNQPQHSSSHTYSR SSPAGLGPDQKYIPFSNTPESSKYAAGTPAQKYYPATPSGAASNSPLGLADIRPRANS NMGEEATGAGTLFVENDRQPSNSSYLTPWPAYAFDWCKWNVHGGNGAGKMAVGSYLED THNFIQILDTHLVPQDVSSPGAPQYGLEYTRVAEATCSYPVTRILWEPPSTQKQSTDL LATSGDHLRLWSLPQSTGPTPSNTITRTSTVNTREPPPQKLTPLALLSNSKTPEHTAP LTSLDWNTLSPKLIITSSIDTTCTIWDIPTLTAKTQLIAHDKEVFDVRFCAGSVDVFV SCGADGSVRMFDLRSLEHSTIIYEPSEKPPGQGDKDSPGGRMSPTKAQQTMSYAPPLL RLAASPHDAHLLATFAADSNLIRILDVRQPGQALLELRGHSASVNSIEWNPSRRGMLA SGGDDSLVLVWDLLHSQNGAVIGGDHAAQPPTPASAHPPNGGQGAAAQGSGGTMGQKG PYASWRCEYEVGNLSWAPQSALTGSGGEWVGVCGGRGIWGVKL CC84DRAFT_1237746 MLFKSLLIAGTASASCLHGLYRRAEGEVEIPHFGYEDTFGPLNW AALAPENEACATGKNQSPINIDSTVSLATEKPILDIPQQAVEFENLNTTIEVVVNGTT TFGGNQFGLAQFHIHTPSEHRINREYFPLEVHMVHKGIADPTQIVVLALMFEMCTAGS DPLIASIAPHLPAITEPGSRTPIETGIDFSGVLSLLETSNIFQYSGSLTTPPCSEGVT FLAVETPLKISVPDYNAIKKIVKYNSRLSQNTLGGENIIAVAAASGGAVGASNETAPV AEEAAPATPVEGAPMPGSTVAISEISGSPMASPLVGVVQRAPTSLPPRKQSRITSIIQ NRQNQTSCKFYSLVTHASSTLAASSQHRCPRPPHLQADAKQKLSLEGKTRIQSPFPHS PAPEPHPAYAQTCRPDALSASLRQTTHTTTPTKAKRKASSPRAKNFAPTPTLPARPDA ARRFKAKVESNVNTSSPSPSPRPCFPFPRASPTPPPTPTPQYPRTHPPHSPALHCRST GPTRTKLSPYLGCVVVSYQDQIGGTPNTPSHRWRTTQTRSRGAITASHPRKR CC84DRAFT_492443 MAPCTRTNDLTGAYTDQARVLAEISTWRNGQYYGPLGKNSRNPA RSACFKSGGEAVQVGIAVEIVRYPFIPPMGFARFDRDRRPRVLYSGNSENIRSQERGE AMPGAETSWKQLGHWAEARARSQTPCSPRAGRLGSSRLQVTARLSPGSERPTRLPDPP VRPQAPPPGSPLTLRTVSP CC84DRAFT_492465 MGNQQSSGKKDKGDKGTVDGVSLERENYRSFERADTRESTRSIR NSIRSKIPVGKSDSPRGSVSNLPNDVNAKPDKSDAASMKSTNSKGGIRSRRGSTASAQ EAALNSAAMADNVPDDPEPPPSPVHGATVGTGTHKHVDEAQKTGEVDQVSDVGPTGVP LPLATAQPGESILQKKDQPIPRVEQAPPANDGAGSPMEISALKAIDLDDMIQRLLDAG YAGKVTKTVCLKNAEIMAICSAAREVFLTQPALLELSAPVKIVGDVHGQYTDLIRMFE MCGFPPNSNYLFLGDYVDRGKQSLETILLLLCYKLKFPENFFLLRGNHECANVTRVYG FYDECKRRCNIKVWKAFVDTFNTLPIAAIVAQKIFCVHGGLSPSLSHMDDIRQIARPT DVPDYGLLNDLLWSDPADMENDWESNERGVSYCFGKKVIMEFLQRHDFDLVCRAHMVV EDGYEFFNDRILVTVFSAPNYCGEFDNWGAVMSVSAELLCSFELLKPLDSSALKQHIK KSRNKRQSMLNSPPASQYPQSY CC84DRAFT_1161594 MSDLFVELTAPNGHKYKQPRGLFINNEFVKSQSGETITSINPSN ESEITSVYAAGPEDVDTAVAAARKAFKDPSWRDMDTNARADLLYNFAQLIEKHRETLA TIETWDNGKPYQVSLNDDLGEVISTIKYYAGYANKIHGQVIDTSPAKLAYTVREPLGV CAQIIPWNFPLAMAAWKLGPALCTGNTVVMKAAEQTPLSILYLASLVKEAGFPPGVIN ILNGEGRKAGAALAQHMDVDKIAFTGSTATGKEIMKMAAVNMKNITLETGGKSPLLVF DDADLDQAVKWSHVGIMYNQGQVCTATSRILVQEGIYDKFVESFKEYVSKASVVGDPF KDDTFQGPQVTKTQFERVLSYIESGKSEGAKLISGGEAFKDVGGKGFFIAPTIFTDVK DDMKIYREEVFGPFVVVSSFKTEEEAIERANDSCYGLGAALFTQDITKAHTVARRIEA GMVWINSSNDSDVRVPFGGVKQSGIGRELGEAGLEAYTNKKAIHVNLGSRL CC84DRAFT_1184664 MSADPPFPDASAPDALINHDRSIEYWSSVSADTTGMLGGYPQTS RIDLQSSSNFLTKLRRAKSTLPPKQPLPLLDRVADCGAGVGRITKEFLLKVSRRVDVV EPVKKFTDELVQSLGSGEWAGDGDSGAGIARGQVGEVINLGLQDWEPEVGAYDVIWNQ WCLGHLTDVQLVAYLRRCKAGITQAEEGARSWIVVKENLSTDFKGKDIYDEEDSSVTR SDEKFRHLFKEAGLKIVATELQKGFPKELFPVRIYALRPE CC84DRAFT_1203091 MASNTNQAPPGEPAKADIALLESLRTRLMSLVWILEQMKKELMA NPTAPPDWPTIQRSISAVSNTITSIQSFINKDPETHSTFRALHSFPIPPFPAANESLG TIIEAILSKEPGAKEKDWILDKIIKAAEFAHVPSDWDIQAKPPVDEQDEDMDEGGEGS LKLRRQKANLDEDQLADLWRDVYDIVNEVAKVSNQNQEEDDSSASGDEEDEEMEDVLE TGTPVQGVGGEKEKKVKEEQPMMSLDTIHRFMSTGSTFR CC84DRAFT_492555 MMTSEGTLKQFWNANGKSFNWSDLPTELKEHVIQFCIIMAPKHP DHFHSNTRVDRLSIIDKHSCELVDRLSQWKSLLRVSTQVRAITLRLCHNGSLVSCVQT LYLLVLTL CC84DRAFT_492550 MTSYSLPNFSSRISKLEHFSQIAEPDSAPLHPDGSRHLLAVVYR DAPKIYPELKRYGDFSQGVRKIDISFDFISFYRFFKVTAGEFGKDCQPRCTLTYNVFE RMPYLNEIIVRLPSDRMKTWVNKTHQMERPLFHITSPCPRMIYRILYERIAEALATYE NVSVRNFLSETEEKRFWRKIKLFDAPETVQY CC84DRAFT_1194058 MPSTLTRAISPPPRQTKRRKQSETAHATRQRQNDANHPLSTPPE HTLAAVEAGRVRIEGHLEYFKERLSKVCRPVEEGVPNISIEDWARLYKGCEYECGNHF VVHQHNHPVSGVHYDLRLQFSETSSMSFAVPKGLPGNPNSKSLGRMAIETRVHNLWNH LIESASTRTGSLLIWDTGKYTVLPRKKSSNRPPSLQTTDEDSNTDLEDQSSREDEARN ENERLIDAFKARYIRLRLNGARLPKDYTITLRLPSAKNVSKPRPAKRKRPRKPKAKNA PQSTDSEPEDTDKGAELPPEDAQDIDTDSSEDAHIRLTNAYPGSTNSIGSVHQRAWFI LLDRASSGFVQDSETGKWVSREGSGFEPFLVLGRDVERSVVTGRLAREVEGDEGVEGF VGRGGWVGITR CC84DRAFT_492581 MRLSPTRILCAAILLLTLLLVSFVTRLLFGQRGFDILPERPDAA AARARLNSNAPVVQYGEECAPFRAGALDDVTIVLKLGAGQVATQLPAYFARLSRCTRN VLLFSDRKGEYGGFEIVDALANLRPEYKYNNPDFDIYDKIQHAVALEEKTTEGWRLDK YKFLPMIELADARRPKSNWFLFLELDTYVNWDNMQRFLAAFDPKTPYYFGSPVWPRKK PVFAHGGSGFVLSRGAFNKLMARGRMFAENHRSPGTHLFGKDVRNECCGDQVLAKVLK ESGISIRGYWPMFNGESPSSLRFNSEQWCEAVLTLHHLSPDEFASLERWEAARPQRAK PLTFETLFPHIEPALQERRDDWTNLSEDITYSGKKTAGKSWEACRAACSRVHKCMQYE HVGDTCRLSRSIRLGHAQPAGSQGKATSGWMTSRIEAFKTKHGKRCKGGAHFPHANPR CC84DRAFT_492582 MKIYTSPTQIDIPTTLSLTQLLHTSTTPPLPPSHLIASDSLTHR SLTLHELRTNAGRLANGLAKTFRPRDQAHWAVILPNSCDYVEIVHAVLWTGGVVCPIN HAIVAADIAHGLTVTRPAFAVVYGPVVSKVEEAIALAVKDLQAQGVSWSPPTIVSIVE RAASSSPQYKHIPDDFASFRDPLPIPHYADTRQRLATIHLSSGTTGAPKGVQLTHHNF VANVKQLEAHDAPQFHRGSAIVAFTPFAHIGNTTFPLFFGPYMGIHHHAMPVFGLEPY AALVDSVKPTIFQGVPAVVLALANSDITTRFDFSRAEKIDCGGPPFKADMLARLLEKA PWRINQVYGMTEAAGYVAYQRAAALQPPTAVGPLLPNVSAVLRVNAGADDAPEGGPGE LWLRGPNITRGYAFNAEANRAAFPHDGWYNTGDVCTISAAGILSVVGRTKELIKYKGF QVSPAELEAYLNSHPNVAEAGVAAVWDESQLTELPAGYVVLKAHVAGEAARKAALVAI QRDVDGKVSGYKKLRGGVWEVQRLPRNATGKVLRAELRGARSGLSSLEREDRRVKL CC84DRAFT_1184668 MPSKRPIRIGNASGAIGDGIDQVYRLARDGEVDAITADYLAEFN IAWKAIELTTRPDLGYEPNFLDQLAWQDGAAAQLLARKNIKVVHDGGALNPKGLAQKT DEYFKSLGIEGVKIAWVDGDNVTGLVKEGKLGKLMHLDQRGLELGELRDKVLAANVYT GMAGVIAALEAGAQIVICGRCTDASPVMGLAAWWHGWKSTDYAQLAGSLLAGHIIECG AYVTGGNFCGWREIESLHHVGYPIAEIARDGTCVITKPEHTNGAVTVDTCKAQLLYEI QGPFYLNPDVTARIDGAQLEQIGKNRVRLTGIVGTAPPPTSKLAICLLGGWQAEVCGY AAGLDTREKLALMKDQVMREIDPKDFSTFSMEVYGSSPEDPKSQQECTVTLRMFAQSE DKDAMLKFKRAIFYNGMQGYCGLHLAMDWRTMEPKPYVRYFPSLVPSVGVQLTASFVD GRVVNVPPKTKEECAEKVAEQLNYDPEAPASLSSFGHTARRPLGDLVFARSGDKGGNA NVGFWVRERAAWPWLRSFLTRERCVELLGDDWKHRYSVERCEFGRLMAVHFVIKGLLQ EGVSSSSVLDGFGKSVGEFLRARHVDVPVELLRAEERRRATMKGKL CC84DRAFT_1256499 MTAAKDKPTKRPDGRYDNVDFTKAVGFKLPTVKASYLRRDVLLF ANAIGCTKDELHFLYELHPNFSCFPTFPINLPFKQTDPDVYDFFARALLTDVPGVPPF DPQRSVDGERGIEILAPIPVSSAGLDLEISPQIVGVYDKGGNMIQEMVQRVVDARTGT VYAELTSKAFGIGQGGYGGPPGPKTPSYSPPQRTPDAVHVFQTTPETTLLYRLCGDYN PIHADDGFAREGGFKGAFLQGLGTWNIAAHGVLRELGGSEAGRLRSFKARFAKIVYPG DALETRMWRVGEKTVEGRVLEEIIFQTVVQEDGRVVLSNGFVELWKKGLESKL CC84DRAFT_1161599 MSFPTATPPPPTPTFLKLSFPAPRVLLIRMDRPQALNAMSTAGQ WEMHSVWAWLDAEPTLSVAVITGTGRAFSAGADLKEWNGSMGDDADPSKRMGNKPAFT PLSRRLGKKPVIAAVNGLAMGGGCEFVVNCDLVVASEDAVFGLPEVKRGVAPIGGALP RLIRTVGLQRASEFALLGEPITAQKACEWGIVNRVVKKEEVVDEAVRLASKMAENSPD AIICTRAGLREGWETASVERAVELVLDREWAELQKGENIKEGLKAFSEKRKPVWKGSR L CC84DRAFT_1139490 MTTPRTKNPMPEWIESPHPHVQHSLITFPAKHILQVTINRPEAR NCLPVEGTIELGALWKWYDAEPELRVAVFTGAGDKAFCAGMDLKQRFDILDTGDIAHD YPPGQFAGMSNRAGKKPIIVACNGHAHGGGFEAILNADVIFASPNATFALPEVLRGVS ALAGALPRVFLLFGNHRAMDLILTGRRLSVEEAHAWGLVKEIVPQEQLLEKTLEYAKL IASLSPDSVIISRMAAREAWETGVSRATMRGQELWAENMLRSENAREGLLAYKEKREP RWKRSHL CC84DRAFT_1139495 MDRRSSDEKRPKEDHVVEEEYASSDSDVLSIKDAARGDNLPENY FLSVAFIGTVVGLCLGQIAAYIFLILPTNVLSFINEDIGPSKNIAWVNIARTLAESTM FLVSGRLSDLFGRRWFFIGGNVICLIGLIVGACAKNMDTLIVASAVYGLGECIQLSFG VAIGELVKNKHRPVVMSFIFATSAPIATFGPKIARAFVQNPSLGWRWTYYLNIIVVSI AIILLFFCYHPPNFELLHEHKSKKQQMKELDYPGMFLWTAGLVIFLMGISWGGGIYPW KSAAVICAIVIGAACLIAFGFWEAYGNTKFPLMPMKFFRNRGFISLVACATVASMFYY SAVLLWPQQVSVMYTTDINYAGWLSCTVSAATALGQIAAGGLIKFFGKTRYWLILSAF GMVAFVSSCASLTPATKNMGIAFTIIGPFFVGFIELAALSLAPLFCKAEEIGVSSGML ASIRAAGGSIAVAVYSTILTNRLSTTMPEVVGGAAIAAGLPTSDVPAVLAAVTAGTVA KAPGVTPSILAAIGAAVPTAYSQAFKTVYLASLGFGGIAIIGSLLTVDPEKHLTDKVE RKLHGMGVKETADIQHVERQEKVDEV CC84DRAFT_1203096 MLSNLAASAPASILQSNVHSTTMHSPKIYTSPYPAPVVPPNLSV SQLLQLYNPDDVEGDKVICEDDWTGKRITYAGIRVDSARGAYALRNYVGVNEGDVVCI CAPNSVDVVKLIHAVLWCGGIAVLINPLSTEYEVAHCLEVSQPKVLAADSDTWPTLYG AAKRQDLTRLPTISLHGAQSPFDESCSADSLFDQKASLPAFDLSQRDGREHTAVVCFS SGTSGKAKGVELSHYNLIASMLGVRATEPNYWSASIRGVFFAPLCHIYGLVTVALMGT WVGLYTMLQKKYTLPSYLELSARIKATALRILPTIAVQISKQTSFDLSRLHSVKYIMC TGAVLPTPTIQHFRRHLPNAPVFQGYGMTETNITMLKPESAHRVGSVGKLCAGIEARI VDDEGRDVEDGAQGEMLVRGPSVFRRYMRNVGATRETFDGAWMRTGDVVRVDKEGYWW LTERKKELIKYKGNQVPPAELEAHLNSHPCVSEGAVCALWDDAQGTEVPIAYVALTAE AKASKQDRDAMVEDIRQHVDSRVAAYKKLRGGVVVLDEIPKSGNGKVLRRMLPARLAR ERKGRL CC84DRAFT_1203097 MPNLVVVAKLPFASSAAKETARKEEGTYTSRAAFDAHMSIDPVK DMVGWLTSNPQNIDGEATVTISENYASFTRPEVLKVADPWICYASIEYKEGKRAEALE PWKHVTSETEKNETETLSYSILKDTGHPETIKTIEVYPSQEYFKEVHVPSEAVQENAK KYGNEIRLSLAHVFLRYQAGFLGR CC84DRAFT_1256504 MTPPVENGVNASVTNGTNGTNGASGSQKRPIYVAGCSGGVYDRK RAIEDMAKNEPHVDVITGDWMSECNMTLRGSDKRDKLAKKQLSAVGAAYEPYFLEEVD PAIPWLAKNKTKICVNAGASDVEGLAEEVKKLVAKHGVDLKVAYVDGDDCTDAALELY RKGENFPNLPAGKNIQDWPFEPICAQCYLGATGIATCFAAGADIVVCGRVADASPTVG AAMWWHGWTRENNIQEVASALMVGHVIECSTYATGGYYSGFKDLGVNDTDMGYPIAAI EANGEAVYTMEKGKHGLVNIQTIASQLLYEIQGPYYYNSDVTAQIENVKLEQVGENAV RMSGIKGYPAPPTTKVGITAKGGYQAEFHFYLTGLDIEEKAKMIERQTRAAMGDYVKE FTCLKFQLAGAVPEDPQSQNEATVDLRIFAQTQNADLLSAGAMIDSDRGSFARFCIEN LLQGYPGSTMAPDMRTAMGRPFFEYWVSLMPQEFVKEQAHLPDGTVVDIPPPKVTQEY DFNQPSYNTRNPVDLASFGPTTRAPLGYVVMGRSGDKSSNCNLGLFVRHADEFDWLRT ILSVEKIRELLGKDDEGKNIDRCEMPNIYAVHFLLRDHLDRGFNATSGFDSLGKNLCE YIRCKYVDIPNRFLERGRI CC84DRAFT_1214039 MASTSPWDTTSPASGGGGQQRTTQDVENLEKKRARDRKSQKAMR ERTKWNIQCLTQQVEQLQRALDIETREKNDLYNRFLAMSEENDHLRVQKAALQLRLLG NGQSPPDSAASPTGLAPYEAIPMNTTPTCMSDQILQTFVERRWEAYAFQTAGRLEYYP DKPDLSALFDGRPNRQVDETSSIVGDIVRSYTEIDTLPKKVGVHYIMSTLLKWEVLRT KASYQAMPEWLRPEQIQLERPHASWIDRIPWPKIRIYLIEHPEVKFDDFASAYSVSFG IKWDYDPNLVILAAANDEHGNILINPIYEEHLRQLKNWTVGDNFRRKFPEMTEIIDSY AKEEKHAGGFPEEMLNPVPLPRYAI CC84DRAFT_1184675 MKRSGDRRSPRVLGNRGPASGAPEREDKETRRLIRKIDLRLLPT LAVIYAFALIDRVNLPNARIAGMDEDLGLSIGARYSILTMIFFIPYVIFQFPANIIIR KLGPAVWLPSLVVCWGATTIGLGFVSDWTQALGCRIILGVLEAGYYPGCVFLLSCWYV RFEVQKRFSAFYLLALLASGLSNILAYGLSEMKGIQGLNGWQWIFIIEGAITIALGFL GYIFIVDFPDKATHPSLITRRPFLTLPEARLILARIQRDRGDAVVDKLTPSLILRHLR DWKIWEFAWLYFLNNVVTYSFGYFLPIILRSDMGHSVAMSQILSFPPYVVAAVWMFST AYVADRFRMRGAIIILNCATAVVGVCMMAFLDNADARYAGVFLGVAGANSNVPSILSY MHNNIVGQMKRSVASALLIGGGACGGIAAANIFRQQDAPAYVPAMATVIATQVLSILH VLKNFVVYSRANRQADKGERVLEGQVGFRQTL CC84DRAFT_1161604 MADGQHPSLVPRWTVAVHAVQILFAIIILGFDAYGIKYIAYNAL IFSLVVCLCTMAVGAYMIVSQTILPKMYNVYVALAVHVWLLIFWLVDLGLVANLAAMW GRGDYCFTTSYGFGSTYCYTKRDLIKRDYTTFGAYYGVLTAGAIFAAIQLVTWALTGV LLVLAWNKNRTAGTSANPNVPPQYQNNGQAVPMEKYDQPAAPTPGQQYGTPQPQFASP QPQYAQPAQPQFTGAPYAQDPVQRQDTVSPVSHAGGYAHNPSVSGVSSPQHTGQPAYP PTPQQQAYPQNAAEVHTDPYNPNVPELSNHR CC84DRAFT_493038 MTDYMTQPGLSLPPSSRQDIVSALLNDYGSSFEDGSSSPYKSSP VSAFKELPPPPPPERSRARDYSNGNSSPAVQKMNTPFRLRVDVPASPESPKGSSNSEG SPSRIVSKRLKRRSIPRSLTLTVSNGSTAELPKSPTIASQPEPQNPFHTPVEEKALPP PPPEKSTRRQPSAMGNQNSTARSPRKDSLHSQDGKEDMEPVKRKPLPKFTSLADLGNG PRGGKGGPMPQPRARKQSFEADTDEERGRTASRNDTVEPQPREYAPPKLRDQLTPMDA PRIVNLLPPTPTDESTTPAPPPSSKKPFGGMGLPSNPRHRKGKSDTGFDVLNSATSPS TPQLPAPPQTITPGPTPTPRKIETMGNEELVDQAARFSPDVSNSQRRPFSFERVPTSP PPQLKEAARDDASINAPLPPAQTQPRTAVSVSPPTHASPLPVPPPAFPPPRSASRQPP PPAFASLPSQQRSTTSDDTDTGMSSDDAPDTPPFVPLTEAPRPVSGPPITHKHHGCYS QHATFVWSRNDFQPMACMICAKNDTERRWACVWCYLRICVECSVELQKTPGRRLQSVL ERRAAEATYAGEAYEDDDEPALHPGFGVQGAPAQVNGVVGGNAINGERVDFS CC84DRAFT_1214043 MPPVYYGDATKTTSAPPSKRSVKTDPSASGDFQIPTAFDSALSN NFTNNCATFFKTMLHSETVTSCHPFSLMLRTSNALFKASKSFIRITQTLEATCAANST QCTTGMNDLAIQLLTDDACKVDYDNNNPQVVQAYNGLISYEPMYLASCLKDNEGDYCY ANAVTNTTSEGVDAIPFYLPLGVGMTGGARPTCNSCLQDEMAIFSQFAANSTQPVSKT YSSAADTISMYCGTAFVNVTAAPLKGAASTSTVAFTPSISLFIMLFFFLFQ CC84DRAFT_1161608 MASSVPVAIVTGGNSGMGMGIVERLVAKGWKVAIADINPNAKFA AQLGAASSFHKCDVADYDSQAEMFQQVWDTYGRIDALCANAGIVDKSSIYIFEHRGSN KIPPKPDLSTTDVDFKGVVYGTQLAIHFMRKNSTPGGKIIATASVAAILPHETYPEYA GAKAAVVNFVRATARILKAKENISINTVCPGIVHTSIIPQEMVDAVSPDCMTPVSTIV AAYERCLDDASLYGRVIECSTDKQFDLEPPTLANGHYSRRAVTVWDPLFKMYHKEASG LPDAIP CC84DRAFT_1161609 MIVADMQPRPTHAAITAFISSLLPPKPTDVNLLYHVPRHPRYTP DTARVDQIVLSVTPTPGVYDLIGYAPPSGHRPRGETVPRLPRTLCFLHRPFALDRRRV RRDTLVLASHTSFDEHLTVGWNVALAGLLGVDAGTSECVQGYKEDPERKMGIVGTTAT TAVALEGVLREQFGAFEARLGQGGDEQVRVVAIMNAFNPGEVGRVLELAERRGWIASK EHGGGKQVLYLTGQPRESGVAAAKEYGLRVVCVGHRAAEDWGIRYMSRRLREDFPGVD VKEVYEEEEPTLKEVRRGERSRE CC84DRAFT_1161610 MKKEIGDTKDVLQDIVIVVSLVSTLAKSFGSAGDLYRKLKKKTK NTKKGLKEDIKQEIEEHLSEPEGPARREARSERGNNHHRRESRSRSRHPRDKSCDSDR ESIEHSYELVRAEYDRGYHRLGEKFAVGDLITRNQLQAQIILLQQRLLYTYEDVILHS DFPRHTPSYHLKELVHTTRTARSAVIDALTMQYQRMLPALGPTAPDHLLPGAYPSPPT PTVHTPDDVLHDHHTKTLQYQHHHRPSSRSPARSPSKSRTPHPSLYCSYALTLQRTPT LTLPAPFLPDGTNRCPSCSTHIPASPNKAWEIVKASSREGALDRTFWVGTRFLAKCHR EGGGLACILCSRWREADTVCGVAEALVEHIWRDHGVRELEGDEDVGER CC84DRAFT_1066698 LLWLAKDQWFLIAMGLIILIASQVQVPSSQQPIKRTIITYLSVS VIFFINGCTLSTRVLLDNYKKWKIHFFVQLQCYLVTSAATFAIVSLCATNPSFMDPWL LIGFLFLGSAPTTMSSNVVMTRQAHGNTALTVVQSVIGNFLCPFLTPVLLQMYLACGA WYTRVLAGSGGGDSAYAEIYRRVFKQLGLSLFLPMALGQAVQYLFPAATKKVFVDWKL IKLSSVALLTLVWQTFDQAFRSDAFASVRPSNIVFVVFINVALYGVWLGICFVAATIW LDRRDVIACCYCCPSKALSMVVPLSAVMYIDVSPVEQSKLQIPAIIFQALQVAIGGVL TVVFRRWIRPFEQDGEEEE CC84DRAFT_1161612 MTAPPSLHADRRQLIWQRVYKELLKHAKPDSRFHYDFLSFTPDF RGSSAAVDRLVQLPCYKAATTILATSDGSLEELRYRALKDGKKVLVGTYRLRRGFVLL SPQRIAEAELQVASWLDGMERPGIGRHLSLAQLQEEGIKVDLCVFGGLAFNTQGVVVW EGQGLFEVQWALLHDIKVMREQVPVVAVAHDCQVVDEAELGLERIKPRKAAEVQCDYI ITPEKTFEIKDAVKPSSGVDFDAVDSAALENIPPLQELKGIRMMESIMKKGGFKEDKK AEQGPSAEELTGIEMMEKIMKGFADSISPSK CC84DRAFT_493124 MLVAFSLLAISLSFLFLKRPPSTWLAYLRQNAPPPTVGTERKPS PPTADREEPAVEPPDSQKRRPSESSSSEGTPKIAPKDSANGAVPTFTLAAHESSDEDD EDNLPPPSFPALNSAQRASAPSPTIAMPAPPKVAPMAPPVRPASSLMPPPTLKASNLR ALPTPTQGLRVPTTGPLPNRGPVPNRGPPASNGGLMPTATVKTPNSRGKVLLSPGHSP LDWANLQRSGANLAGVPSLIRVTPAMLKENNGRKGKPAWSSYEGKVYNITPYLPFHPG GEGELRRAAGKDGRKLFMEVHPWVNWDNMLGECLVGIMVTEEQARPTSSLEDMD CC84DRAFT_1139523 MRLLYTATDGRFEWTRNYIKKKDIPNYAILSHTWMDGQEVTFED LKNINNAKDFNSPSSEGYRKLRFCAQQAKQDDLDYFWVDTCCIDKSDSSELQESINSM FRWYQRATRCYVYLPDVEHDTLDEDGKLAFKQSRWFTRGWTLQELLAPTSVEFFSRNG RRLGDKDSLRHMIREITGIPVDALHGREISEFTIAERFSWTDNRQTTREEDAAYCLLG IFGIYMPLIYGEGKEHAIKRLKKMVHEDITSASVGESQKERLGKICKWLSAPDPSINY HKALKQRQAGTGTWLVEGEQFTRWKVGVASRLWLHGIPGCGKTILSATVIENLRQHCG SDTSMATAYFYFDFKDAQKRDPDLAIRSLLSQLLQRAAIIPKSIDELFASCENTGRLP TLHAFLEVLRLTVQELGQVYIVLDALDECILRPELMDVIETVAGWQLDNMHLLLTSRK ERDIEKSLEKFILDKDTIRLREDKVDMDIQRYIQQRLSDDKDLAKWNKDAAIRQEIET ALMGGARGMFRWAVCQLDRLGVCRNRAMLRKSLATLPQTLDQTYDCILSAIGEEDSNY AMRILQWLTFSQRPLSVEEIAEIIALDSKRDQSFDRDEVLEDPLEVLNICSSLVTVTV VMTKRIVALAHYSVQEYLLSDRIRQGPAKKYGMGKVECQVLITVSCLKYLAQLPQPLS EETLQTFALALYVARFWSHHLRETEDEEGVSHLALNLLLENKTAYLTWLQLSNPDWPE DKPNLEKGAESIAAPLYYAALLNLSTITKMLLDQDAEINDQGGEFGNALQAASQAGHE AIVRTLLESKITDVNAQGGYYGNALQAASFEG CC84DRAFT_1065126 QAAAMKGHAQVVETLLDRGAEVNAQVGFYANALQVAASEGHAQV VQILLDRGAEINAQGGYFGSALQAASYGRHQHVVKILLAN CC84DRAFT_1113284 MAEATSAQLPEGIFSLLDTDLYKLTMQCCVLKFFPDIAVTYSFT NRTPDKKLNRAAFRWLQAQVDKLENIALSDEEYKFLKSTCHYLNDQYLHFLSNFRLHP SKQLKLVFKPINDTGSDDDLGDFSIQTEGLWVETILYEIPLLALVSEAYFKFVDKDWS HTGQVEKAYEKGSKLLQEGCIFSEFGTRRRRDYHTQDLVLQGLRRAEADGAPKGWQGK LTGTSNVHFAMKHGLMPIGTVAHEWFMGVAAITNNYEQANRIALEYWTSTFGEGVLSI ALTDTFGTPAFLRAFKEPIPEITTAATTLASAAATTNPNNQTLAHTEPPIQAGIDGAT KQRRTYAEVFTGVRQDSGDPLDFVKMMRQFYDEQGIKAKKTIVFSDSLNIDLCLKYKA AAEKEGFQPTFGVGTFLTNDYVQESTGNKSVPLNIVIKIASAAGRHAVKISDNIGKNT GDKSTVEEVKQRLGYTEKTWAGVDESTRWGTADQKPTS CC84DRAFT_1161616 MSYGNGGYQQMGYGANPYDQREDGGAQGARFNNYAQGRYDDPSA VEMQPYGQQGGADPNAILNECREVDRALDQIDSQLDNLERTMQAQATSATPNNTEVTN LTTQIMAGYRALVTRVKNIKSKPESGNPRNAPQVGKVDRRLKAVMNRYQNVEKKFRQD QQEAIKRQYRIVNPNATEQELQEIAADSSNDGVFAQALMNSNRQGQANSTLRNVRERH EAIQKIEQQMVELAQLFQDLDTIVQQQEPLVENIEAKGEEIHENVVQANTEIGGAIEK ARSARRKKWWCLLICVIIVIIIAIIVAIVVSINNKK CC84DRAFT_1214054 MSHLRLLPLFADLMALFALIFASPLAADVEAVNGSEPAPDANGK LCGYSAIQEGGFVVELYTNPCTDADQAEHFQTIYNKYCTLCIMFTGRGCTGDTTFVGG VMQDSKYIAPSQSYYCPWEKTDIEAAKKKYGIE CC84DRAFT_1139536 MHFSVLTAIASVAALCSAKAHHASSIHPGPFKVVKFDHPGALHS FADIERIRLRVKNKDEPWYAAYTHLSTSKLAQSTWKATPQTVLVRGPPDPSTNLTQNY GDAYRDAHSAYQLTLRWIVTNNVSFADAAVEILNAWGTTLKSINGSEDRFLAAGLYGY QFANAAELLRIYPGWLKEDQRAFSKMLTSVFASNNHLFLTEHNGKPDFYYANWDLCNI ASLMAIGIYSDNSTMYNWAVNLFLNGLPDPSVVVNGALPYFSIANFTEEGSKKTLMEM QESGRDQGHATLCTALLGVIGQQGKNQGLDLYGAFGNQILNSAEYTAKFNTNHSVPYT PYRSWEGILPVVSEKSRFAVRPGFEAIVAHYADTRGLNASWSKAYRDYVNLNITAKVE GGGGDYGPNSGGFDAFGHGTLLYRISGE CC84DRAFT_1139540 MVDGETLKYTTGPPVEIDKATNRRLFWKINRRILVIQLVTYFCQ SLDKGTLNFASIMGIKKDAHLVGQEYQWLGTILYIGILCGEWPQNYLLQRLPLAKMLS VNVFIWGAVVACSAACSNFASLMAVRFLLGFFESCIQPAMMLLTSMWYTREEQSLLNS LWYCMSGVQLMVGGLLAFGVSHFTDGPIKNWQLLFLVLGLATCVWSFAIGYLLPDSPM AAKCYSEDEKRLFIERVRHNETGIKNKEYKKYQVKEAFTDPFVWCCVALITVANLIIG GLGVFSNLIIRQFGFSLLQTQLLNIAQGAWLIIVMIGSAQACQHSGQNCLMMILWTLP AIAGTIVILIVKPNPKNSGGMLIAFYCTQFFCAQGNMIISLITRNIAGQTKKGMTMTL VFIGWSVGNLIAPQIFQEKDAPRYLPGFLVHIGIYGVYIGLVIATRFVLMARNRRKES VVSEISHELAFEDLTDTENPNFRYVY CC84DRAFT_1084094 MAKSSRSNPLDKYLLNLYTPSVEAKIWSIAAKGLQQSSPPTLYP EYTKPGGTHYIYRKADFWTSGFFPGSLYLLLERKKKHSHVLGQAGDLWAGNLHPLSLE FACKYWTESLHSNALLSNTHDLGFMIMPWAKVAYELNHDLRALDTIERAAQTLFSRYN SSMGCIRSWDNCVTKKYSFQDVNSEFMVIIDNMMNLDLLFYAASKTGNADMFSAAVQH ARTTSRTHIRADGSTTHLVVLDPRSGDIRHRLTNQGHSHSSCWARGQAWAIAGFAETY HWTHDEDFLHISQRVANYFIEHLPDSGIVPWDFDAIEHETTSQPSDSSAAMVAAYGML LIHEGLVHLGQSSNYLREALKLTRAVCAYQMNPEASWVVSEIVMDTVECGSVKKTAQE ASVGIGDTILTGATINNYEYAPRRWANHGLVYADYYFLLVGNKMLEMGIDLCGILV CC84DRAFT_1139543 MEDCDPLDVEVSEAENDNAKKDKGTGPACQPCRTRKSKCSRQMP CSQCVKNELTCVYDKEKNKPGMKVGAIDRINRRLDALENMFLGQGLLWQRLWNHVQNT DSQATSSSPLRDALCDQTDQLKQNLDALGRKRCSGNSEALGLSIAHKRQRTSAEDDSV SPASRSDTTESGYKLPTELVDSLVDLYFVHIHPWIPILHVRQFRGKMRIEAERKKLGI ILRAITATCIRFSNDPRLGDLESRSKLAKSNHQAVILESMESFSVEGLQALIICAFDT IGSGRGPSSWSIVGSMARTVEQLQLSIEEDADGADSLSRRDTHALVKRIAFLRPCQTW SEAEERRRVFWNVFLMDRFCSIATGWNVCLTSADVKRRLPCEGALWGEGRPLETPTPF FGVSDPPGTTVNSLPTARLESADQASLGGFAYCIEATESLSLVTSFFLQQAVDVARAH DLQVWLMRFKQLDLRLVQWKIFLPERWREACALNADGNMDPNLTLAHITHNTAVVLLH QGIAYPPQEWQLIPMKLPTSSSAETCMAASVEVSIIAEQFLLNTQCLTNPQFAFCLFI CGRMLLAHSFYYEIPLPPAFNSLVQSLKVMAQRWNGEYSTLKTNLASKFAIRLVHARK SGLQTLDLRQAAYSDNPPDLDVNASSGTLASTEGLFNGTGTGSHALDSMSEAFPMTID QVETPDSITLAFPPLPLAFQAQSSSKNQTAMSSPTLESTKQASFAHAHAGFAQDSALE DLASFLDYPFLPDQRVSTFSHSMG CC84DRAFT_1084733 MSNLQVSGRGPIPRSITYDKSFDILARLAESEESSDDAWVPATA YTTRVADVDTTRNEFNTYPVAVASLSVTPRRNLHLRICYKAGQVETASVLPASHNIVP TIEGNTITFSLDRALDLMLEINGDKWQALHLLVNTIDDGDPKGDEEGVWYFGPGVNKS SATEKISDGMLVVPSNTTVYLARDAFVTAQIVFANVENSAIKGPGFICRDDYDASLSS RPRELAGGAILIERSRNILVQQVTSLRSFGFSLPIGQGRDIHIDCYRSFSSHGNGDGI DLFCCQHIVIENCFLRNSDDTIAIYGHRWEYQGDTEDIRIRNCTLLPDIAHPIQIGTH GHPEVPETLSNIHISNIDILDHSENQLWYQGCIAINAGDANLVQDILVENVRVERITR GQLFNIRVMKNAMWTTAPGLGIRNVTFRNIEFNLDKSKTVNPSQILGFDASRPVENVT FENLKVGGSLIHDHMSKPRWYLTSDFIPMFVNEHANNVTFRLGN CC84DRAFT_1256524 MDTIGDFQYMSPTDYSKGQVPWSLQPEEFSAIIDTEQERLRECR RTWLQLVDTRIASYDLNGIKQSTQSSPLAKILSTPSNSSASNRVFQTTELLERILRQA DSSAHLTALGVFHTWRDVALYIMKPSGAKYDFARPYPCPPVKFGDRVPRHLNWLPATA EELEWVVSDVLLDVIWGAKRGNVFRSVARFEYPNEPNIIETATLVESLWLQTRLPTRS LQ CC84DRAFT_1237796 MGNPLPTADIIEPITEWLKTCDSRHIGSCVESNTSSTKFSSRPY RLIDVEEQCIVRGNVQGEYLALSYVWQDDTMKTEDQQQFQLSLDNADSLTAPNSMRQY ISQLPKTIRDAMELTIAIQKRYLWVDRLCIVQDHPRKEEEFMRMDQIYSGAYMTIVAA AKHGMYCNRGGTVHDPALASPNDWFANFEIPQHLRIRQYYGIVSRSKWAKRAWTYQEY ILSKRVIFFLDTRIFWQCECTVWDKDHLQPKQDMEAQTVPSSTSGHTRSFSVPSWPDF GLYADLICPYNGRDLSYDKDGLSACLGILNRLAPAFPSGFLFGLPRIYLDYALLWQPL SRQLDTPEYCKRGEPMELVAISRGSANGKDLRHSFEERVFAMSRYRDAKAFRPVHDDE ERWIGVEYITPSPGESHHRVVATGDYEIDLKVSDNEYMKDQVYDFYNVLWVQHGGDGI MYRAGCGRILTEYWEANNPVDTWITLG CC84DRAFT_1161622 MRLLNAFTLELEVFPDPIPESIPYAILSHTWADEEVTFDCMKDI STAQTRVGYAKILSACLKTQDHGLEYIWIDTCCIDKSSSAELSECINSMFRWYKNATV CFAFLFDLPASDNSVELSVLQKCRWWTRGWTLQELVAPTNLVFVDESWNFVGTKSDLA VMIESITGISRWVLDGQKSLSSISLAKRMSWAAARETTRVEDKAYCLLGIFDVNIPMI YGEELKAFIRLQEAILSKTTDLSIFAWKARDDSKKHRGILAESPAEFLHCGFVELSDD QFRFRDEINLTNRGVKIRTPVKFESNGKYIMDLHCYDESLSTGPSQRLGIYLQRALDT YFRYLPHETALGGMVPSVRVLRPLFLASTADEEIGDSLAKEKDCRKIIFEFPSTTQNY MLHDFRAVPETYWNMHERYFSIGALSNFDGFVRFSITSRASSQWGTTTEQTTGFLVVC DLTSGPDFRLSLYAQTGLQSSSKPPEFIDPFNEIDQYGPLGDAFSLSVLRPGEREDRA VSIIHRDYRHNYTVLAHVSTTLSPSIKVRIEVSSSPEDASFNRGPRFGVPEPPKRDDP RIHYGRSTSSASASASASASASSASGAPWY CC84DRAFT_1161623 MVSGVNLALEKLITYFTKLMLPDDHTPSLYCVATAIRPSVRLSW FKTQWKAHPVWHKAAEKSIRDVYKQYLAAEKLDDGDLDELPEVPRTRKVPGAYASDER RLRTMLVDDHLLTGKKGHKRQKLTSMYLRFTMIVDVYIRKVSRYTVCLVTSCRTLGIV SACNGRTPTGSEHSL CC84DRAFT_493246 MLRWQSGSQRFVLMLPNISTREILSPDPLVDAEGDVDSNSGETA WPETDPAEMQETDLLCNREAIDGSQGSECASQATESCLLEHSVALIASQMGESSAQEM DQGMDQALASALGPELSEASPTEAPMISTSDQEPEPRNNAVPLLSQVASADSAANEQN VRSEQLIRTAILGVLARTNVQAYRPVQGSERTHLLSRTFGCSI CC84DRAFT_1237797 SASRTSIHSCASENSCCAPKPTKGCNSIAITPCPDACCDGSKSL AGVDTCCAPKKIDLNEAVEEIRSPPADPELGLSGKEHVVLSVSGMTCTGCETKLSRTL ETMSSVTKLKTSLVLSRAEFDLDMGAQTVEEVMKHLERTTEFKFERISNRGSSLDMIC DEDATEIVKSDWPSGVIEIRAIDKRRVRIDFDPKVVGARELLGLVWPCPLKLAPPSSD PALDTGARHVRHVGYMTQLSILLTIPVLVITWAPLPDKEQKQITFASISFAFATLIQI IVAGPFYPKALKALVFSKVIEMDLLIVLSTSAAYIFSVVAFGYLVAHRPLSTGEFFET STLLVTLIMIGRFIAALARQKAVESISIRSLQTSMATIIHSDGTESSIDTRLLQYGDV FKVPPESRIPTDGTVISGASEVDESMLTGESKPVEKSKGSHLIAGSLNGSGVLTVRLT RVPGDNTINTIADMVDEAKLTKPKIQKIADQVATYFVPVILAITVVIFCIWMAIGIAV QRKSGPEATIQAITYAITVLIVSCPCAIGLAVPMVIVVATGVAAERGVIFKSADAIEI AWKTTHVVFDKTGTLTRGQLSVTRMEILRSAPGTPGLILGLVGDSKHPVSIAVATYLK SEGVAPIAVSNPKSIVGKGVEGAFAGCTLRAGNSRWLGLTDHPSVQPILAQGYTAFCF TFNDELAAVYGLQDSLREDTLDTISKLQERKIAVHVLSGDDDGAVRAVTEQLKIPAAN VKSRCTPADKQAYINELLLTPDVRKSKRNTKQSIVMFCGDGTNDAVALAQASIGVHMS GGTDVAKSAADIVLMRESLAGILVALTVSRKSMHRIAFNFSWSFVYNIFAILLAAGAF VRARIPPEFAGLGELVSVLPVVAAGILLKWVRV CC84DRAFT_1084155 MAWEEPRKTGMQYRRLGNSGLHVSVLGLGGWLTFGGHVDSELTF SCMKQAYDCGITFFDTAENYTAGKSEIVMGQAIKKFGWNRSDIVVSTKLNWGAVNGEV LVNNHGLSRKHIIEGLRASLKRLDLEYVDIVYAHRPDRLTPMEETVRAFNHVIEIKGW ALYWGTSEWSADEIAEACGIAKQLGLIPPVVEQPQYNLLARRKVEGEFQRLYSRFGIG LTTFSPLKFGVLSGKYNDSPEKPPAGSRFAEGQDRFVNSMRDSYGNDSWVEMIDSSRR LKPIADKVGVTQSQLALAWCLKNPNVSSVITGASRPEQVVENCQALQVLDRLTPEVLA EIDGIVGKIDLDPARQD CC84DRAFT_1237800 MGNNDIEIAPTRVSEDAGSLKTPTKVGRGELADITPPHETYEGR HRYDPTATWSDTEERRVVFKTDLFLLSWLCVMMFGLQLDRGNLSNALTDKLLDDLKLT TDDYNNGTTIQLVCFLAAEFPVQFLTKRYGFKYILPTMMFGWGTVSWAQAWMHDRTSF YITRALIGACEGGFIPGVILFATYFYKSKELAIRLAAFWSTLNVARVISALLAAGILE MRGVHGKPGWFWLFLLEGLLTVVIAIVSFLYLPSSPVGTKGVLFRKGWYTEREEVIMV NRILRDDPAKGLTDLQEPATFKDIKAAWSDPAMWGLYFLGLVAYIPATPVTGYLSLTL KRLGFSTFNSNMLTIPSAALQIITMLTLAYSSDYFNERTLHIIFGEFWIMPLLVALLT LPDGGREWSRFTLITLISGYPYFHPLISSWISENTFDVKKRAITAATYNVIVQIGSLI GSQIYRKYDAPYYKQGNKVCVAICALALVTMAVQRQVLVYLNKKKDEKWRAMTSEEKA LYQSDLDARERDGNKRLDFRFAI CC84DRAFT_1237804 MPSVKRVHLDDQNASDSSIVGGSPSARSRLLKPLLYTGSLDSFK NQDLTPVIGREYEGLQVTDLLTWGDDMIRDLAVTISQRGVVFLRDQQVTPQQMKELML RITELSGCPESSGLHVHPLTEEGSELGDQISVISSEKQKKGGGLTHQLSDVSRFASAG WHADITFEPVPSDYAMLKIHTLPATGGDTLWASGYEIYDRLSPAMQQFLEGLTATHDA KFFLNEAERLGNPIRKGIRGNPLNFGEALTAVHPVVRTNPVTGWKSVYVNKGFTKRIN GVTKDESDLLLGYLFNIVTQNHDAQVRFKWRANDLAIWDNRSMWHCATYDYHDPRAGD RVCSLGEAPYLDLKSKGRREALGLM CC84DRAFT_493295 MALDTRASLEATKGASAIEPIALDISTPSSIIEEDEHLLGPFPT EEEWATLPRVAGSIPWTAWTVACVEFVERFSYYGTSAVFVNFIQKPLPPGSKTGAGFL KKPGSGALDMGQRASTGLTQFNQFWSYFTPLFGAWLADEYWGRYKTIQYANLVAVIGH ILLIFSAIPQVITKPNTAIGIFSVGLVIMGIGTGGFKSNISPLIAEQYKNRKAYVRTK KNGNKEIVDPATTAARIYIYFYFLINCGSLTGSLAMVYSEHFVGFWLSFTLPTFCYLL CPLILVFFKKHYKLTPPTGSVFGKAFKLIRLAVKNSSRKNIWKDEHFWERVKPSALQA NGKDVPSWMSFDDAWVDEVRRGVVACKVFVWYPLYFLAYNQMLNNLVSQAGTMNLGST PNDIVAKLNPIFIIIVIPIMDFIVYPGLRKAGIVFSPIKKITAGFALSSLAMVTACVI QYYIYKMSPCGKHINALSKTRKDCNADISVWVQVFPYGLIGMSEVLASITKLEYAYTK APTNMKSTVQAIALFTSAVSSALGQALVSLSEDPLLEWNYGAVAVVAMFGAVGFYLTF RKADKQEDALNALKTSAYVGNKTGDIENEARGEVTAKNEKHEKSGLN CC84DRAFT_1184694 MAKILDIWGRAEGFALMIALATLGLVLMACANTLAIFCAAQVFS SIGFAGVIYSIDVITSDAFHLRNRGSAFASPSSPYIVIAFAGLKASEGFYENIGCPRK EGRVMARETQRTTLQSIWHYDKEVDALGCFLFVCGLVVFLLPFSLADSAPNGWSTGCI IAMIVVGFLFLVLFGPWEALLAPHPFLTANLLFDRTVAGACLLSFNYQIVYSCWASYF SSFLQVVNIGFLIRKFGYFKWLLWVGVPLYVFAQGLMINFRRPDAHIGYLVIVMIICQ QLAVLALLIVIGTIGGAVGNTISGAIWTNTFSQALTRYLPEDAQASVENIYGSLDVQL SYEWGSPTRTGIQQAYGYSQQKMLIAGTAIMSFSLVWVCMIRNINVKNIEQVKGVLL CC84DRAFT_1113308 MAAEQQKRNIVIIGGGIIGSTTAYFLSRHASFNKERDSITLLEA TKIAGGASGKAGGLLALWAYPSCIVPLSYKLHQELAEKHGGVDRWGYRAVHCGQIDMY GILHKSKGPSSTDNDKHDSVSLEKRSKKALGLLRAAGVPKELDWVAAEGLKAYDEMGT PSTTAQVHPYQFTTSMASLAEEQGVNIIYGSATNINQESEAVKSVTYKPRDGGEEKTL DADTIILTAGPWTKTVWPAAPISALRAHSVTIRPSRPVSAYCLFTSIDLPRGNARRSK TVTPEIYARPNNEVYACGEGDTLIPLPTSSDLVEVDEARCQDIVDEVTSISEELRDGE VTAKQACYLPNVSRGGGPMIGETKVRGLLMGAGHTCWGIQNAPGTAKCLSELVWDGKV KSANLSSLDPRKYM CC84DRAFT_1203123 MADFAPPAGPPPPKVPAGYKAVWNEQYSEYFYVNIYTKKSQWDK PTEPVYPPGEGPPDGPPPSYAGGPGGAPYSSTEKSRLPSNNPYGQQQDISEDERLARQ LQEEEQARARAHGEPPGAGASNSYYNSAPASGAYPNPGGASAAGLTSGYGDTSSQDRG KSKGGFLGKLLGKASGASSHSQQQQGGYGGYPQQQGYPQQGYGGYGQPMGGGYGQPMG GGYGQPMGGYGGGMYGRPQRKTGGMGAGGAAALGVGGGLLGGMMLGEALGDAGDGGGD GGGDYGGDGDMAGGDMGGGDF CC84DRAFT_1113312 MADLAANGALETSLNLTPDEKRAFQYLFQQADSEKLGVITGEVA VKFFERTKLQPAVLGEIWQIADTENRGLLTSAGFCQVLRLIGHYQAGRDPSPELAFQP APTLPKFEGLSIPSAPAPAAPVPGPFAQPPASNITPQVSGGGPIRVPPLSPAKAAEYA GLFDKSGASNGLLSGENAKQIFEKARLPNETLGRIWNLADTEQRGALGVTEFIIAMHL LASFRTGAMKQVPTTLPAGLYEAAARRGPVPPIGRQDPIPPIPRQFSGQGPQRTHSPL RNQYSTPPQSVQATGSDWAISAQEKTSYDNLFNKVDTTGRGFITGEQAVHFFSDSGLP EDVLAGIWDLADINSEGQLTRDEFAVAMYLIRQQRMKDRAPLPTTLPPHLIPPSMRNQ VRQAPLSGPEPPPAPPLSKSAADDLFGLDAFSAPAPPAPLQLQQTTGGSAPFAKPFDN DPFASKTASPTSPQPFQPSPRNPASTFKPFLPTSSFGQTLTTQSTGASAGSGPPRGPP SAMDDLLGESDPEINKKLTQDSTELANMSNQMTTLRNQMQEVQNKKGATESELNSVNS QKRELELRLSQFKAAYEKEVKDVKSLEDRLASSKNETRKLQQDLAILEGTLKDLQNQH HQARTALETDQRENNNLKERIRQANAEVSSLRPQLDKLRNDARQQKGMVAINKKQLAT NEGERDKIKNEMNDLNKAVEEYRTPPAGPTSNVASPATSTASHNTNPFFRKSPQPTEN TMSPSGFAQTGPHKDFDSVFGSFASPQTSAPPTSFRADSQSQVPSFSAPSGQSVRSSE GPDVPTPSTSPPLSSYQESPRAGEPPAPPESRQFGSAFLPLRNDLPRSDSFSSSVKVS APASRYGGPSATGNETPTISAASPAATPVQEKPAAVERSLSSDTQKTETDNFNPSLFD RISTASPVASITSDTKSTTRGEERKDTFSGFGGPLPNKDLPGSFPRDTTSPLQQVPTG GSSFSEQSRANGRGDPFPPAASEQPRPGQKVDFDAAFAGFGSSRQNTEPSGTNGESNG AATKFNKEFPPIEDLSRDDDTDSASEQGFADNFTSASPHHHRSSRGQSQAPQPNTSSA GGDASHDDLYAPPPPTTRLDSNVGGLPSPTAQKSPPSYESSVPHRSGSNQFPPEFGGL LPSRTDPTAPPSSQSPERSFASPAGGHGAALFGGSSAAKSMTASPPPADTPSSTVPSD AYHSAVSQPPSDTTAQPQPKSAFNDDFDAGFDDLTDAKEADDKTDDDLFASQHREGFD EFNPVFDSPAASKANTMQSQQTPTGKAHSGEDSFSDFEHISRSFGQPAAPQPAASSQD WDAIFSNMESSQDKGSQQEPSDFSKSVFESLDRDEGSGSLKSPTMPQLGRAISTGTEH DDPILKKLTGMGYSRDAALGALEKYDYDINKVCHNLPDHSIGPRVK CC84DRAFT_1081671 MTTGRMRRLFSSSTRANLQTPAGPSTSLTAPRLLGLRQYQRTIT DVRARQASVSRTWFTASATSLHPAESGGDGPPNERNVKLGNTIRILHERLPTLLVSPL PQDILAPNISLHLFPSSHPHLPTVSGKIAYTAALWTAPMAWGRVPVVGNVKLKIISER MIKSGCTTTSTAAQNERLIVKWETCKSEKEHGPVSEAVEKITSIINRPHKEEDKFSGL FLFEFDEQGRVAKHTIEHVEENGQWDKTAKVISVTDWLLGRAWGRREEAVPSLAYVKS TKPQQTHRHNGCR CC84DRAFT_1161632 MAPRVVFTGGSGKAGRHAIPELVKRGYEVLNIDLTDFPDKDANV FTLKTDLTDSGQCFNALTTHFNFSGYEGPHIPGPPDAVIHFAAYARNMLVPDNECFKG NATSTYNVIEAACKLGVKKIIIASSETTYEVCFGQGDLDYTSFPLDEEADVNPMDTYA ISKLVGERVARGFARRFDADIYALRIGNVVEPHEYAQDFPKYISDPKLRKRNAWSYID ARDLGQICDLCIKKDGLGFQIFNATNDTITATFPTRQFLEQFAPNTPITREMDEWEAP LSNRKIREVLGFKEEHNWRRYYNPE CC84DRAFT_1113320 MTVPSASEAVAKVANAAAGTKSSAKEFKKESTAARFLGAGTAGI AELMIFHPVDTTAKRLMSNQGKISSASQLNQVIFRDSAQASVSGRFFSLFPGLGYAAA YKILQRVYKYGGQPFARDYLAKNHGDSFDRAFGKGNGKAIMHATAGSLVGIGEIVLLP LDVLKIKRQTNPEAFRGRGLFKIIADEGFGLYRGWGWTAARNAPGSFALFGGSAAAKE YFYKLSDYNAATWGQNFVASIAGASASLIVSAPLDVIKTRIQNKNFENPESGFRIISN MMKIEGPTSFFKGLTPKLLMTGPKLVFSFWLAQTLIPAFNKIM CC84DRAFT_1081848 RLPPRYDYLFKLLLIGDSGVGKSCLLLRFADDTYTESYISTIGV DFKIRTIELDGKTVKLQIWDTAGQERFRTITSSYYRGAHGICVVYDVTDMDSFNNVKQ WLQEIDRYATEGVNKLLVGNKSDMADKKVVEYTVAKEFADSLGIPFLETSAKSATNVE QAFLTMARQIKERMGTTTANTKPTVPIGQGQGVQSGSGGGCC CC84DRAFT_1203127 MSVTNGISGGLRWLANELGLHTMSSAGRDVYIIILARYLRLMAY GAVALILALYFEELGFSDAQIGLFMTLTLLGDVCISLLLTLVADGLGRRRTLLLGALS MAVSGAVFALTSNYVALLFAAIIGVISPTGNEIGPFRAIEESTLAHLVSEEKRSDIFT WYVVLAVLGTSSGLIVGGISVDYMQNLEGWTELDAYRAMFWIYTALGCVKALMTLVLS DKCEHGAHEEQAEGGEREPLMNGHGGNDNSSPPPSPVAKNKAKWWDVFSQISKPTRWT LLKLCSLFFLDSLGSGMVPFSLINFYMDRKFKLEKGKLGGIMSATWFISTVGNIWSAS LAKRLGLIQAMVVTHLPSSIFLALLPAPPQLSFTICLLVARAVLNSMDQAPRSAFLST VVLPQERTAVMGVVNIFKTLSQSAGPSVTGLLAGRGHFWVAFVAAGSLKGTYDLLLLA FFAGRVHQPPETGLVGQIGANAVEPRTGDSNTLETGLNQAPETNPDIPEDESDAGVTS ATRITSQAEDGAVARSPFGPVSALRIVPDTAQDINQDLVQLSAHADVLLAVGFFHSHR LLWTPNSPPLDTKLASSHCHHRRTSPIGASSMARALQTRRHRILYLDAYDSSSNSIIA QVEQSIDAEIVKCYIDSDPDAWIVPEAAKAHAATPAAFIEYARTFDGVIAGPGPGSAT CDEDVGWMRVLWKLQNDHMVPVLGICLGFQSMCLAFGASIEKLSEPRHGLIASILNNG SSIFSGTKQLSATQYHSLHIMLHHRIQTKKSVRYPAELWEPTEECPELQPLAWDFDNK QNGAILMAARHTTKPFWGVQFHPESICTNSEGVRIIKNWWTEATSWNRRRDMTTLPIN DLAHHTASNGASQPKLSSIEALAMRLVQGNETSRATVLDVQCATTGSGRLTVSDVVEL FDSPRGEAIVLESGLHRDLRPMEAGTGRHSIIGLIIPGETMRLHYYTQNHVMELRDGH DQVVQKWNTRDPWQWVKGVMTHLRSMLGQKPKTTTWAPFWGGFMGYASYEAGLETIEV HSTQEAECPDICFAYITRSVVIDHQLKKLYVQSIRSIDDQPWVSESLEKLYDAVGRKS LESTPNPTPLPRPNPFEHQDTTMSTYIASCTRSVVHQEDYCASVVSCQRHIADGQSYE LCLTNTNKILARRPRICRLSKSEDNELSWKLYKRLTARNTAPFSAYMRLHNVHILSSS PERCISWDRQQIAQCRPIKGTVSKARGLTAIDAHNILSTPKERAENLMIVDLVRHQLH GVYGAGNVHVSQLMQVEEYETVWQLVSVVEGIPSSIASRQLQTPDDSWLDKTDLASPP TSHNQDAEQMLGFDAFTQSLPAGSMTGAPRKRSCELLKALEHGQRRGIYSGVLGYLDI GGGGDFSVVIRTAVKIDAPNAASAVEDVWSIGAGGAITALSHPQSEYDEMLAKFSSTA AAFEEDNGIEEVEKETDLRGIWSRLMEVEEPDDGEDHVLPSAPLLLRTLQDFQAGLLS GVMRTTDGIVRGRD CC84DRAFT_1073601 WVLMHMVTHPAHRGKGAAGILVKWGIEQAERDGVPAYLEAGVMG RPIYERYGFIQVGELLEVDLKEGG CC84DRAFT_494595 MCPWQQRPRRSTGNIGGEWKSRAEDAKIHPNVEPDMPVCHSKKH AQMHYPCGRARSTSAAGRSGCSFPELLTPPSLSSALTIILCCSSAVYHCQIPWSASYS VGNSQSAN CC84DRAFT_494140 MTAEAPDPRTFTSWEDAFQYPIPVVRKLEQQLRSNADENREKLR SLVGASYRSLLDTAETIIDMEVRMEHVEGTLARVGHNCNSTTLDRITSSAVRLDAHIR SRDQERYTFASQLAVLRSSPVVMTRLMHSAGSYLSIAKVLVISRLLHKALSQSADKPP LVDQLWERLLSARRRLIKRIEKRMGTSEGDYADLVESMCAYALITSSTPTDVLHHFHK IRLENSVKLLQHGSDELAKHGTSALRLCIQTCLDTQAIFPRRLAESLAKLKAHPLIQD HDVRALHELNLDIHDRWIGEEARNYTPWPRHDELQRADAERILHQWSRQAISAFLKGT KDALENETRLKEVSSLRQDLIETWIMSGPRMAGVKSANVLDDLRDMMNNHLEDIVRLR SQTLKTVVVELSNVLNSPTLTQSSGLSLWGTYPKSADISNGAQAFKSTLVNIHQGRDN SVVGIVSAFDAWADSILEVKSIVKSMKEARWDDTFADDVDDSDDDDLGDSKQTLLSDD DPRLLEEATQEALAHALQNLGKSFGQIITQVTECDDGIDVLKICFVLRVTREIGERIP KLKLQDRATLLATPFTPALLKPLHKALASHVAHPSMDAYAKALATGLKSKTDSHILWE GNPALPAQPSPSAVRYLQSMTKAMAAYGNDLWAPLAVEEIKSSAIHSVLAPLKTNLDA IKQLAAAPAEDQKNEKINGDSAGAGEQKMDTDAEEQVNGKKVVATAAAAELRDGKLKQ AVFDMLYIQRFIGNPHHTPDAIDEMVNDVDRAALDENSRVRLRKSAADYAKKTYLLFA LLA CC84DRAFT_495120 MSTEHADSEASVVAASPDQPKQLPFPPVTKQHILNCSYHSWHPK YRAITPKARLVPLPGAFLDYLRADGIVLPPEDNDNPDWSDADSGIFSGADNEGDDEGP DQDPSTHWRDTHEAIEAVIEELGGKVAPKLNWSAPKDATWIAATNSMECRTPNDIYLL LKSSDFITHDLQHALDDTTDGEGDAEIQYHLVLRKWIVLNPSVEFRCFVRNRKLIAIC QRDLNHFDFLFKMEDKLRQTVQEFFDVRLRDTFPDPNFTFDVYIPPPHDRVWLVDFNP WALRTDPLLFSWMELLTLEEPLLPEAEDASQEFEVDEDDKVVELWQPEFRLVRRDDPE AYGFSTPQYSAHKLPRDVVDASRGGEGMMREFADQWKEAQRLAEQQAKEESEDD CC84DRAFT_1214081 MSSNVGLSTPRGSGTSGYVQKNRSLLKPRDKAAPYSKDWDSAKH RQRQPDPEILEHDRKREIEVKVLELRDKLEDEGLDEDEIDDRCDGLRKKLEEERKAGK GVGPDARSLKSHQVHDLAQAKIEESERLRKALGIAANYEEGSHWRKQEERLRESLVQR EREDEEQLQKAKEARRYRDDSE CC84DRAFT_495544 MHASPASESRLLGYRNAIPFARPGTLRATIANVNIPLSARHPMR KQPRLRRTDKFARNDLQSPTHHHLRAIRTLPLGCTAPAHVLLAMVHARGVKDGGCLRR IGPRLSAARHCVRRGGLHHTRNGAAARYASWCLPIAILDDYGSLNLFDSSDKRAILAH GDLPAMYACPATHIKSPRPSRKRSSLGWPRRGQACGRVLR CC84DRAFT_494137 MCDMQTDQASYQAITFHAYQKHNMGPLQGTALSVEEAPQATQGC QEVRRGQLCLAYLAREDLNSPIALLPCTTWTSKMGCSTRVIERRRPSPRRGDTGHGGR ERLVCTLRFHWIRWAVGFSRTPKVRLPIPPTSSLADSSREDDAQHRWLPPVQFVCEVT APCLDAQMPGSSP CC84DRAFT_495545 MAVLSEYNYIMAIGTFFALLDAYNNGANDVANAWATSVSSRSIS YRQAMVFGTIFEMLGAITVGARTAETIKNGIIPGTAFKGDAAVQMLAFTCALAGASTW VMWCTRHSAHVSSTYSLISSIAGVGVATVGASKVQWGWNKGKGLGAIFAGLGMAPAIA AGFGASIFMLIKVVVHMRRDPVKWGVYTAPFFFLVAGTICTLSVVYKGSPKLGLNKKP AWYVASVTMGTGAGVALLAAIFFVPYVYVKVIKKDPNVRWYHAIMGPLLFKRPAAPEG EQAIVPNYAVVQEDDLPMTSTRSSSLSDEDLKKGTADDTIAPVDSEKAAAHAESKQLT YQELVAEGEARFHAKLRQKRGPIGWAMRLLHDNPMGAGEIYERKNIGTFFKRLPAMIT VGLLYGLHYDIHAAQSGIHGTPEGARMERVYAHAEKYPNEVEHVYSFVQILTACTASF AHGANDIGNSVGPWAVIYHAWMYGEASTKNAPVPIWQLAVLSATISVGLITYGYNIMK VMGNKITYHSPSRGSSMEMGAAITVLLFSQYSLPVSTSMCITGATVGVGLCNGTLKAV NFQRVGLLVFSWIMTIPVAGTIGGVLMGIVLNAPHFKS CC84DRAFT_1161641 MMRQAEMLAARYQTPRPTQRPSRTLLQPTSLTAVFLLPSHHPPA LNQTPPLLQLKTPRLCLLNASLTPVLAPHTRHPSAHNPYTLCTPILAPRPAPIPKPHV PPISLSCSSKSRQEQRKQAFLAVHGRGSAWGPGKVRLRVHARTRRGNVVLGAVRWVLV EAGAPMGW CC84DRAFT_1184707 MTQQSTQSSRAPRARSPHFPPHNAPRVWFLTIGSSPIAIALARQ VLDHGDYVVAGVVPQEFEKREGQSEDFRAFLEDVKHTERWRERLRVVGLDGRVVGQCQ AAIAEAVEAFGRVDVLLGNTSEAVIGAVEELAQSSRTITLVQDTFETNFFSNVNIIKA VLPTMRERKNGHIIMLTGVTGHLGTPGLAMYCSSQWAIEGYCDSLAYEIAPFNIKMSI VQANMEVNVLTNKMTSVPPMREYLQAENPAPIARDIFSNLLDKLDRVNNPLPYPEEKS PTDSISTTSPDATTVHEPTMGDLLSSDTITSLYAPLPPVVKASLIAETVHALTAIGGH DNPPARHIVGLEGVTAVKEKLKTTSEELEDFIEVSNAVDIARQYDPSSMASLDAGIS CC84DRAFT_1113350 MPRPINAVQYQRHAIQLISSVLNTRANASPFTLILDDLNQRAIP FLQQLVIRALSRNINVVIVSFESTRFQPAVRNVSAWGNRTAATILADIEQALSDRKES LVIIDSMYDAVATKNIDTSTLFNLVVMKYTSNLVGVYHTDVLPEPSASGADAYAPQPL ELLKFMATSIITCRSLSHVLAAKAARERSLAEPTFGMQSGAEGIVQCLHANDQRGIVL EAEFRRKSGRPESETYFLRPGRDSDFHQPVGPQQLYGTLKQEFVILLEQHPAFANKDA VGMVVAADDEMETSFNLGLTDKQKAAREGVVLPYFDAQKQEGGEGGRILYDMGEEDDF DEEEDEI CC84DRAFT_1173134 MDELTTKMSKTAIPPKTMVLKRPDISLNHISEFVTHIPPPVDER TRIIAVCGCVDFETAYEVFDFDEAWLVYQRRLRLQALAPEFWCSSRVLDHGRRAPASG DKIQPIPTCVENDTLGSSWSHISTLPMISFQQRWESLEEVLPTADPTSWLNRDPSASS EFSSSQSSASSDWDLSDLFHRMGSMPAAWTLVKRQAQQYLDSHPGSDESANNKIHGFL RMLLDGRAPRTNANLRAVEQVLAFRISLSAIVTEMVQVSGLGLPGGKVCSEFSIGGWE RLCNKKPDHNISKAYDIAADLIYSNVLPDPATREQGERWNKPHRYIAGRHCRQSKLQH HSRTGADSGQTARGDP CC84DRAFT_1084999 MSSSNEVLRGLLQATAPSTSRSRSEDDHSPIKANDERTPLLTAV ASAPLAEAGEAEVSANAAHHGAESDEAKSLDMTQIILLCYTRVVEPIAFFSIFPYINY MIEKTGGIPKDSVGFYSGLIESLFSLTQMFVMMFWGIAADRFGRKPVLALSLYGVAFC TALFGLSQSLWQMVLFRCLAGMFAGTVVTVRAMLTENSTKATQARVFSYFAFAGNIGI FVGPLIGGALERPAVKFPSVFGHVQFFNDYPYALPGFVCSFVGLSAAVLVTFNVKETL HIHQSKKTSAGPAMTTWELLQYPGVARVMLIYNYCMLLAFAFTAAFPVFMYTPVHLGG LELSPEWQSIFMALGGLSQAFWLLLLFPSLHKRIGTGGVLRFSAIIWPIFFTTDPICN IFLRHGLKIPFWVLFPFNNIFGSSVAMAFTGVQLALNDISPSHESFGTLNALVLALQS GIRAIIPAASTTLYAIGVKHHILLGQLFWVVIVPVAIGFNFMLMLLPEKAEGRPKPSQ QEDEETIVR CC84DRAFT_1081660 MNNPKFNDLLKWGIENSEVSRNDPNAPKNPNTQLDPQTLQEILS GMSGPSDADMMKYKMEVIQHPEATLEQKVIAFEDFEMMIQGIDNANNLEPLKLWTPLI EQLEHEEADLRKYAAWCAGTAVENNVKAQERCTDSSWLLLLGALPTLVKLATEDSNEA VRKKAVRALSCATRNYQPALDAVVDSVPATFKPEKELDATDMDSVDILIDKLRTDATR AR CC84DRAFT_1161645 MEEEVAALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHHGIM IGMGQKDSYVGDEAQSKRGILTLRYPIEHGVVTNWDDMEKIWHHTFYNELRVAPEEHP VLLTEAPINPKSNREKMTQIVFETFNAPAFYVSIQAVLSLYASGRTTGIVLDSGDGVT HVVPIYEGFALPHAISRVDMAGRDLTDYLMKILAERGYTFSTTAEREIVRDIKEKLCY VALDFEQEIQTASQSSSLEKSYELPDGQVITIGNERFRAPEALFQPSVLGLESGGIHV TTFNSIMKCDVDVRKDLYGNIVMSGGTTMYPGISDRMQKEITALAPSSMKVKIIAPPE RKYSVWIGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF CC84DRAFT_1161646 MATTRAVDKTYEVVSAPFPAPSKTHTTTVAGHPTTATTLYFADK ILITVTQNGRLAHWVHVPLDVSATDSSLTSLSHDRDSDTPPSDLLPMHQLTATTILGG TVPELDVLAQTLATNIASAIKTRNERELRTVVLGTGLDRSMKGRAEFSELVGLVLDVL CC84DRAFT_1139608 MPKFTPRQRKHKVIARQNQNAAHDESSADANATEIIPEEQRLRA EKKAALKNELVAESKGKMSGKKKKRLDKYIDTKLKKEENLVLLKKLASTKMDTSGLRS TKNMGKNVQKKRERVAEGPEEFHSEEGEGSEDEEVRERPRAGKEKAIVPTKASFETQK AQTAPSAEAKPVGSGGLFGAGLKRPLEVDESGKPVIQVRKRRKGTAKPVVIEEEVPWE GFNSDEDENEDESDSEPGSASEESEGSEDGGSDSASNDSEEGSEDESSSDTSEDEDDK SARKERSNAFKAWATAQRNEAIGFVPTNNLTYAVPPKPKNFTPRAPESDPLPPELETK TATDATRKAYSVAVERTPEIQEARLQLPVVAEEQKIMEAIHNNDVVVVWGATGSGKTT QVPQFLYEAGYGALDGPTPGMIGVTQPRRVAAVSMSKRVGDELGSEKAKVAYQIRFDT STNAKTAVKFMTDGVLLREISQDFILTKYSAIVIDEAHERSVNTDILIGMLSRIVDLR ADLAKKDSKKNKPLKLIIMSATLRISDFTDNTRLFRQGPPPLLKAEGRQFEVTNHFAR KTQRDYVEEMFRKVCRGHRKLPRGGMLVFLTGQNEITHLAKRLRQTFTSTQGKEVTTG KVQISPEQTSLETEDIELGTNKKDYEDDDGSDSDDSIITGLDDDEEKDEFEDKGGFNI EGEPEPEELKIHVLPLYSQLPTNQQMRVFDEPPEGSRLIVLATNVAETSLTIPGIRYV FDCGRAKEKKYDLTTGVQTFEVGWISKASANQRAGRAGRTGPGHCYRLYSSAVFERDF DEYAEPEIMRTPLEGVVLQLKSMGAPVLGFPFPTPPDRIHLQKAENLLLYLGAVSLDG KVTKLGHELSLYPLNPRFARILVMGVAQNLTAQTIALVAALSVPELITPENKLGLREP PPADPSHIRTEQDNVEAEERSRLRKAYNKALAKLAVNAKYSDCIKLNNAVCAYAYESD GDAFCEDMFLNAKGMREAGQLRQQLTSIVRAHRPTALSAYQAKLPAPTSSEINVLAQI CAAGFIDQIALRADLAPIPPELPRKPKTAIDVPYLTLFSSTSQRASKDDPVRQYVYIH PSSLLARTPPAKLPAYIIYSHLQRAAPSTIDAVATPKTRMHPLTPVTRPQIINIALNT PLLQVGKPKGKIVEMGREGGVERRECEVEMALQGDKGSQGWGLGVKRVVQKRDGVTKV WSVERVIG CC84DRAFT_1139611 MADVKKETWTTHWRLFLACGVMILSPFQYGIDFGMIGGLQAMVG FLQIYGYRTPSSPTGWNIATERQQLISSLMTLGAFLSSGTAGLVANRFGRRACLWVAC LTCCVANIIMMTTTHIGALYVGRLVIGFANGYFMTFSQLYIQESSTAKFRGLFLTGFQ FFTSFGTLIGTIVDWATAKRPDKSSYLIPLGLIYIVPIFLSVGMLFIPESPRWLILQG RYDEGKKSLDWLRPDGHDTASEAAEISAAIEREKEMSSGVGWVDMVKNPVDRRRTLLA VGAVSLQASSGAMFIIAYKAYFLTMAKVSNPFAMSNVLSCIGILAIIANSLIIIKFGN RRVLLMTGLLVCGILQIIIAIIYDKQPGTKSTGRIIVALSSLYMFSYNGLIATYAWLA GGEIPTQRLRSHTFGMAAAVGFAGAWLTTFTAPYFINPASLNWGPRYGYIWFPSCVLA ATWVFFFLPEVKNRTLEEIDEMFEARLPARKFGAYKCVGVLNTLDADARKSIEKERTV EVLMNEKVQTETSVAKE CC84DRAFT_1256551 MDSPRSPTFGGFHEHLAVVLYEGDRDVQALDRIAEHTIHPLNQV FIVVNLMTAFTILGRDFFVSTVVALLTVAVIVAFAYIFWTFMAEATEATPIPTESNPE APNNDVPTSALTSVQPTPEVSVVEASTTKATIGTVAKKTVLLMEAPPTAIKSTLEAPS TDVATADVKAPIADSSTTKVPTTDPPTTEAPRKDPRRCLAPHLRNKQNATSTAQPRRV TVQPRGTAQSRGVAQPCTNDKARSSNKPRAWSKPTPSAPRRERQILSGWGDIQNAIRA DDIAITAANEAKADSMKDVPWGEWTTNYLSHAPRVSRTG CC84DRAFT_1081913 MPRISTSALRKAYAVDALLPRLLPPCRDLRAAQNELRWLREHAD HVADARRAQGDTVSKSALLRDLVKQRASGKPLQYLLGTEFFGDLEIRCRPGVLIPRQD TAASVQHLARLVRKAQNLPSELRVLDLCTGTGCIPLLFHHELYAARADVELRALGIDI SGKALQLAGHNHSRLRGDKTLADKGSIHYMQADVLANPFADATRGRGRIAVSTALRHA RLPHIWDILISNPPYISPSGYWKTTTRSVRGFEPKLALVPPPTAGNSGIAQGDRFYPP ILDIASEVEAKIVLLEVADLGQALRVARAAQALRMFDGIEIWREQPNEPDSPSSEVAG FRVLGEGNARSVICWRGTGAAWLGKSERAPAYEDVAFPDVSHRARFRTGPVSVVHQGE ALRPYWVKHLNEEQKAVKHNVWRDMETNAARERGHSGAPEKQELDTTVAHAEVLPKVP KKRGL CC84DRAFT_495773 MLPNTLLNTLLLAASTAAQFAPLHTSSRWILDSNNKRFKLRCLN WAGHMEAHLPEGLQHQPVDTLAKWVADQGFNCVRLTFSIDMALDQGLRVSDSFSTLAA RTGAPADAVTDLWSRVKTKNSWIETISVGEAFGHVVDALGSAGLRVILDNHVSKASWC CNLEDGNGWWDEAPGYVDSNSRFFNTTNWVAGLRAMAQFSANHPAVAGIGLRNEIREI PVIQGRDAWYDYIVKGAHAVHDTNPDLLIAMGGTLSSTDISFLRTRPLDRAPFGDKIV YEWHHYTFTPNWIASFKSCSIWKSVTVGGTSGFLLQQGKDYTGPLWLSEFGFSQAGGP PERHGIETQEDYDYLTCLVDYVKGNDGDWALWAIQGSYYVRDGTVDKDESWGVLKSDF SDWRNPGVRDVIADLFKVTQGP CC84DRAFT_1083825 MSKTIAVIGATGAQGGSVARAFLKLDGWKVRAITRNTSSDSAKK LASEGAEVVSANTDDEASLVKAFEGATAVFAVTNFWEHLFTGSSPDESGEKEAEQAKK LARAASRTSSLEHYIWSTLPSAKGATNGKYPVPHLDYKAEVNDWIQSDLPDLHAKTTY LVLGFYPNNFANFPNLKPFELPGSWGKWVQVMPTPPSAVIPVSGDINVTPGLWARQII AKPELTKGKFVDIAPEVLSFGEMLKIYGEVTGRQTGYLQVSVEDFTGVWGIPGKEIAD QFTFGEQFTGGWGSIGSISKEDLGIKDDEWPGFKQTLESLKDQL CC84DRAFT_1161653 MFPFTNVLTTLSSLPASTTPDTTTALLFPSFKRIPAIPNTRDAY AAFATAYLKARTLHPAHAGLNPDQQAALTRDESFATRLPPAEPIAKPTVLICGHGGRD KRCGVLGPLLQARFRQAFAERGIDAEVGLISHVGGHKYAGNVIVYLPPGLEGNAWAGS GIWYGRVGPDNAEDIVAKTVVRGEVIFELLRGGIARDGRDIARMLEPAKEQEGLKLRP KARARG CC84DRAFT_1173144 MRTLRLHRSGCQRAWSLPPCASFSTSLRRRGGEVQTTKAQENLD TLTPKWERYWRKDESGLPQLREKAAEKAYILPMFPYPSGTLHLGHLRVYTISDVLARF KQMQGYHVLHPIGWDAFGLPAENAAIERGVHPGKWTLQNIDAMKQQMKLMGGHWDWDR ELRTCDPAFYKHTQQLFLMLFERGLAYQAESLVNWDPVDETVLANEQVDANGNSWRSG AKVEKKMLRQWFLKIKEFQEPLLKDLDALAKDGMWPERVLAMQKNWIGRSEGTKLSFD IETEGESPTQLGPVEVYTTRADTLFGAEYIALSLSHPIVQSLAKEHSALQDFLVLAKD FPPDSKEGFQLPGLRAKNPVADLVNDHNISKTLPVFVAPYVLDDYGSGAVMGVPGHDA RDFTFWRQPKQSISGSVEFNSPVKFVIHPEEQSLTSSETVADSRLDQPLLNKGFLVSE DGQFNGLSSDQAIGAIIGRLQEAGRLAERTENWRLRDWLISRQRYWGCPIPVVHCDDC GTVPVPRSDLPVELPDLPASFFQGQKGNPLAEDSSFKDCKCPWCKKPARRETDTMDTF MDSSWYMFRFLDSENATQLVAPEKANAGMPVDVYIGGVEHAILHLLYARFISKFLATT GVWPEGSKVLGEPFKRLITQGMVHGKTYSDPSTGRFLRPHELDLSNPSAPVIMGTEVA PKVSYEKMSKSKYNGVDPVATIAKYGADVTRAHMLFQAPVSDVLEWDEKKITGVQRWL ARVLRLSQASWFPPHIQELGRFRPPHDLNVPVFDLLAHMAEWEVIKPSNAGGTDEERL LNTLDQDEMALLSATQTTIASVTKAYSETYSLNTIISDLMTLTNTIWDTPHKSARTPW FKWYATIHLLRMLAPIGPGVAEESWQRLISITNPKVRGDQQHPLRLYHKGQRPGPSIF TFGWPKADLDAVPKLNPMAKCVVQFNGKRKFQLDIRKVPLSINSNHTDRLKRYALDEM LKTEEGRKFLDREAGPLWKEAGTQEAGPDFPEIPMGMNMVVVQRCKLVNFVSPKLPKK EKEAPHARAQDAQKPTLDAGLG CC84DRAFT_1113389 MRDSLELASLASSSHASQRTSIESSSAGARSSQRLSFDDEDPLS ELNPAGDNRPRHNRSYSVSSAFDFAPNLFPLSSTAQGYTALGAPSNPALDPSNALNGG SLEKNKTLTYLNGLSLVIGLIIGSGIFSSPSQVNRNAGSPGASLLVWVVAGVLAWTGA ASYAELGGAIPLNGGAQVYLSHIFGEWAGFLFTWCAVVVLKPGSAAIIAIIFGEYVVS AVAGVEAVESSTWINKGIALAGLVLVTLLNCVSTKLGTRSADVFMFLKFFALTGVTVI GIVVAATGFSYNGPANDDWKKQGWFEGTSTDVSNWAVALYAGLWAFDGWDNVNYVTAE FKNPARDLPRVIHTSLPLVILCYVLANIAYFLVLPTSIIESSNTVAVAFGHQVFGPIG SLILALLVSGSCFGALNATTFTSGRLVYAAGKEGYFPAIFGTIGLGKSHRAIRLHSIN AGTSKSRIPRKMVDWFADADAGFFFTPIAAMALNALLTAVYIFIGSFDTLVTFYGVAG YSFYFLAVLGLIILRVKEPELERPYKTWITTPIIFCCVSLFLLSRAVFAEPVQTLLVV AFMVAGLVIWFAWVGRNRTKERSRFRKVGEQDDEKVGWRFWRRWRA CC84DRAFT_1082058 MAPPARNITLAAVLALLVPYTSASYAFYVGRDLTALNATLVGGT GEEVSSHWLQIFPAANHTSNATITVGVTEDAVLPGKLIQVPQVNHTFRYMSMEYSDFE GFPAPLTNGGVNEKGVAVRDVWANSRQELYDMTPDPQIGLQYSDLARVVLERASTARE GVEIIGAMIKEYGYADYGGNSHLIADANEGWVVWEFSGRKGLWAAERLGTDVVRVLYP GYIEDFPVDFQNSTDYMGSSNIVSFAVEQGWWSPNGSEPFNIFHVYGYQVEGENLTAR DGGNKYMSQAALEEATLAMAPVTEADMRQRVRDPRISDDEAGYGQVVSLFQDMDPDTI RIWNAPTGSVAAPFIPWWLGVESVPPEFGEHRYLTTGASSSFLNPDFQLQEAAQFAGR MFKRVLYYMCSNPDAFHPLVTQMYTAFENQSTSDLDWVEKSAQTLISSGERDAAKSLL QFYSHTRAEKALDLGRSLTDALDGYIKLSGQWENPVGSQINDAGEGAETVNCLVGFDP DQPAWKQPSNATKRRRRTTSRRNVAKHNPVPVQQSIIS CC84DRAFT_1161658 MAPPITRVVLFKIASPADQAKLFEYYRPLAATATKDGKPYILSA RAGPTFEDQRRQGFTVAAVTEFKNEEDMKYYDEGCETHAELKKFAKSVHEGAMMVYFR DVLAP CC84DRAFT_1161659 MGEQEGPITAYPSTEIKPQNQEGGPGLDKNMDPYANWTQLEFWD DNGKPYLKEYEGRGLLEGKAALITGGDSGIGRSVAILFAREGADVSIVYLPEEEEDAQ YVKKKIEEAGRKANLMAFNLRDRKNCEKAVEGHMKAFGKLNVLVNNAAMQEGCEDISD IDLDVTEKTFQTNVVSMFAMTKYAIKHMKRGDCIVNSSSVAAYMSNPQLLDYASTKGA IATFTRGLAQQQAKNGIRVNAVAPGIIWTPLQPATKNVSDDAMKGLGVGACPLNRPGM PIEMATLYVTLASPFGSYCTGEVLHGSGGIEMQG CC84DRAFT_1085065 MDEGRDGSEQREKQPLKEGRSKSWFDGKFHRPSTVPRPDGKREL REKDCWDKLAYSWPTWKKWMYLSSIASIQISMNFNTSVFPSAVNPIAEHFGISTQAAR VGQMIYLVFYSFGCELWAPWSEEFGRWPILQLSLFFINIWQIPNSVAPNFGTIVVCRA LGGLSTAGGSVTLGLIADLYDPEEQHWALCFIVLSSTIGTSIGGVIGGPIERFLSWRW NFWIQLIFGVTVQAVHFFMPESRSTILIDREAKRRRESGEDPNIYGPNELKSPRISLK EAGKIWLRPFEMFVREPIVLFLSLLSGFSDALIFTFLEAFAITFPDNFGFGILATAWA FIPINASYFFTYFLYWPVFWRDEQIKKKKGPDSLSPERRLRPLLLLALFEPIGLFGFA WTCMGPPVTHWIAPMIFSFLVGLANFAIYYSSVDYMIAAYGPYSASATGGNAFARDFL AGIAAMYATPLYTNLSDTRPSEYATTVLACLSCLVVFPIYIFYWKGPQIRKASKFASI LAEDRKAEGVRRLSKADNLP CC84DRAFT_495861 MATGKRNTGTDLPFIVSVGQAKVDPAKRKFIRSHVMRGKNRTKE PKPQVNSTDRTGLNATSSSSSESQSISRWSCSKFSGIRFADTIEPAFVEDIFTLLSVS SKAMFLLDQCIIPNEDGFLQAWISPLLSDPAYLHVACVTSQAFFDNYAGRTPSAEARR QEFTSFDKSIRILSKRIATNDRSEVLAESNLMTVLLLSGYSYARGDHKAAHQHNVALI KLVKLKGTQETLRYSPKILVQEIVRTDFCICYENGQRPALFTYEAIPWPLILPPTDVI SSRDAGHIESRLDPKLSSVWAAMSHFCAMMNIAARNPTARVTAETFLQAMSSILYRLL HLRFEEDTLNETFRLAILAIAAPTFLDWKTVYWLNGHFTLVWRQALETVLAESTFTPR DRVWLLMVGTLSFAHDLDFLARLIDGLRTLTEHCEILTWNALRELLGSYMWIGTLFDK PGAGVFEAVSADTGKTLSLTRRYNGEDEVMELLDTRPYSKNLKHPSRRNDREYKIRV CC84DRAFT_1161662 MYNNNNNNGYQQQGGYQQDSRQGLANEYYSEGQGQQYGGNQQSY NQPPPQYQQQTLQYGQQPQHHGGYNSPPPNESYGQRDNRDPEYGAPPSTDGQETSRGF LGAAAGAAVGGYGAYKLAGPATGHSKTSGLVGALGGALTGHVIQNGASHWKDKRDEKK EKEKWEKDEKQNGGRRDSNEHRLGGNFAGGFTRSSRDVRLDAHGEFNLHAQCRREDGS WQGSTLSLNRILENDDGSFRWSSGRPSGGSSAITVQAGDTLRAIAARHNCDFHELARH NGIQNEDMIYPGQRLEVPGGGYSGGGGAANFGASARNVRLVEGGQVLEGELRRGGDWV TSRIVLDERIGNKNGCLELV CC84DRAFT_1139645 MSTGHRGGGRGGGGFRGDRGGGGFRGDRGGGGGGGFRGDRGGGA FRGDRGGGMRGGRGGGPVPQNPKIAQVEDALLPAAKKTLDLSTLKLSEDYPTRPGFGT RGAKVLLTANYVELIPPSNLVLHQYAIHTSPEAAGRKHKRIVQLLLESAEVSPYKGNV ATDFKSTLISKTKISDSNQINVDIVYRSDGEDEPGTGASTYKVRLLWVKSLSIGQLTD YLNSTNLSNAVENKHEFIQALNIFLNHYAKSANNLASIGSSKTFSLSQNIARADLGSG LEVIRGFFSSVRTATCRVLVNVNVSHGAFYKTGPLTGLMGSYGTRSTASLEKFLKLVR VQTNHLPEKKNKAGQVIPRIKTIFGLARKDDGHGLPHRPKVLSHGAGAKDVQFWLEGD GGPNATKAKAKGGKKEGPATGGKYISVFDFFRTAYNRVLQHPELPVVNCGNRQNPMYL PAEVCTVIPGQPSKAKLDSRQTQEMIKHAVRKPWENAASIVQQGIQTVGLDEKSNILL KSFSLQITPGLVKVPGRVLNGPKVIYKGNKPTETRFGSWNMINIKFNTGATIADKKWS FMMITWQGGRDPFEKPQLEAVMHEFRQGLITIGMNAGVPMSGNRIPITHAEDPALDAA FKRAQSAGLQLLVLILPEASIPLYKHIKTLADKAYGIHTICCVAQKLAKDRGRDQYIA NVALKVNLKLGGVNQVVEPKNLSIIDQNKTMVVGMDVTHPSPGSASNAPSVAAMVASV DKYLSQWPAVLRVQKGRQEEVDAVGEMLKSRLDLWKTKGKHAALPENILVYRDGVSEG QYDMVLGKELAQLRRACEQVYPAPDTKKGLPRITVIVCGKRHKTRFYPTTEQDCDRSG NTKPGTVVDRGVTEARNWDFFLQAHAALQGTARPCHYYIVHDEIFRQIYAKQIPPPFQ NIADIVEDLTHNMCYLFGRATKAVSLCPPAYYADLACERARCYLASLFDSPVPSTAPS QAGGSIAPGGVPANANDVQIHPNLKDTMFYI CC84DRAFT_1203152 MPSRCDLLGNNHAPVRTNKSGTLRQTRLIIIETPSLHTMLPEER FNLVAGLESRHKFIRNAVAIHLGEDIDDDSENDGSPLNWKIHLSPEGAERTLFNQHLH FTDARSFSIAPSSKITLGDLHDELRLPPELQLRVIDFCSDDRMTLLQLMQTSSFLRAE ASKIFFSDPHTYYSVDASWLLTGGQPGHIDYSMSFLRLVQNIEIDYGVDADSIISPRA GDWWFPQVRDAAAERLWKVMKEKFPNVKRVVLYQTSARHSMYHRPAQHSRHQENISPW LRRLLESCPPGIDAAVFIAVAHGSPVFRRGRIRANNSPMHIERALYRISNKGEMKKVA PRRPYRTVLIPMRVFSGPVGHFEKVKYLDGRIECQRRGLGLTMIEAIDQYDFAHNDFF GPSSCPMRYCNERFTQSGQWALHAALEHVNDLIPGRQFEGWPPELRQKLASRNVELLQ MKQRNDFSLKRIQQEWDEATEDERMKIKKAWMEQLGTDPNWDTGVPAAESPLWRRFES WMRERMRAREASEVLRRDTGECAPTCPCRRETAWKRFKGWMQSWWTRN CC84DRAFT_1214108 MADIWSGDILLPDQTAIKDITLASHVTASLLVASLRFLAIVDSA QIPLYLAAGPSLEVWTTSEDTEEWFSSVFLSKPAAPRDAQASRWWTCARAQSPVGVLV QVGGSQLDTNGPRITEILFYGTVAAPAHGALPTPPSSSPDHSHAILDREPLPELKVHA LPLSSDLLHKPALLENPPLSPSLSTLEPRTHVEPQFLPPAFTCEQTPASPKRKRDIFD EATQARKKARSKGGESIAAAAARGQESQRALGHRKSLSIDTKALSFPDSRPGSANALA RPSSRPLSRSPSICSDIRPLSRKGVPESQAKRSALSQVATVPLQPEEPTTESRNKEAF SRVVMTAMRMHGLQQRKKNKSRRGSLAPGIQIEEQLSEEAAAEEAAKDEEYKLIYHQT YKSAVLAFRKHMSSKPLHSQPDRLRDVVERLLAIFCADPLAQPLPGDETTDPLATPGR QHLGLPGSTHSRASPFDMPSGARQNATKTTVDGARHTGSPLSRRKLENRVLTG CC84DRAFT_1113410 MPPSNPPRILRLFATIFGTIFIGFGTAYTFYPRLAYPSLGLPSP TTSRDADIVDAIMILFGAKDLFVGASILAATWMGNRRVAGALLVLGSACAGVDGWVVK GMTGEGEWNHWGYGGIMGVVGVLMVGVL CC84DRAFT_1113413 MARNSRWPSSPLRALTFALCAQLSLVDAQVAEIEKASNDSLLWG PYRPNLYFGVRPRIPKSLMGGLMWTKVEDHTVQHTLRHTCEQHELDGYGWDEYDVRTG GRQTIHDPANHIDVTTEFIKFPGGQHGGSWGARIKGTPRADAPPELKTTVVWYNTLEG LGSLVAEGDVEETGAEGDVVLKGMTPELGEFEVKITSGKGDHPQSNHPSFQDKPLDRT LVASSQIPPEALWQSKQVLFAQLKAEIDTLVPKYGEENPPPPAQVYTIRHQPGHGNIH LVQKVFEGAFEFDILFSSASAPTAITSEDLTVQINSVTSGFSARFTDIFRPQTPFVKE RYEEFSKSLFSNLIGGIGYFYGDSRVDRSDDPAYEEDNEGFWEDAAEARARNQAQLEG PSELFTSIPSRPFFPRGFLWDEGFHLLPVIDWDVDLTLDIIKSWFNLMDEEGWIAREQ ILGAEARSKVPEEFQVQYPHYANPPTLFMVITSFLDKLDAVKADTSNEKVRAEKSYFM QLANREAALEYLRKLYPLLKRQYFWFRKTQAGDIKSYDREAFSTKEGYRWRGRTPRHV LTSGLDDYPRAQPPHPGELHLDLLSWVGMMTKSIRRIAVYLDETDDAAQFLKFEEAIV KNLDDLHWSAKEKAYCDATIDEYEENKLVCHKGYISLFPFLTGLVDKDSPKLGPILDL IGDEDQLWSEHGLRSLSKSSEFYHTEEDYWRGPIWMNMNYLAVQQLLNVAQQKGPQQA KAKQLYTDLRKNLVRTVYESWKETGFAWEQYNPETGKGQRTQHFTGWTSLVVKIMSMP DLEGGRTRDEL CC84DRAFT_1161664 MADDRRGGGDRIRERRDRDDFRDSRNGGRDRANAPDSRNRSRSP RRDGGDRRGGYRDRSPPGRGGDRGRGRGRGSRGRGGWDGDRGGDRRQYNDRDVRDPRS NREPPTGPRGAPAGPRREFNPGGAPKAPADSCAAASPEADEDVKMEEQPQRQRPEGMD DDTWEMAQVMGFARFKSTKNTKVPGNDKNYGVRREKKMEARQYMNRQGGFNRPLSPSH G CC84DRAFT_1161665 MSTDKITFLTNWHATPYHAPLYLAQSKGYFKDEGIKVALLEPND PSDVTEIIGTGKVDLGFKAMIHTLAAAARNFPVTSIGSLLNEPFTGVVYLTSSGITPD FTTLKGKRIGYVGEFGKIQLDELTAHYGMQPTDYTAVRVGMNVTKSIINGDIDAGIGL ENVQMVELEEWLKATGRAPEEVQMLRIDELAQLGCCCFCSILYIGNDAFLRANPEKVR AFLRACKRATDFVLASPDAAWKEFCDFKSAMDTPTNRKIFERSFAYFSPDLQNVARDW EKVTRYGKRLGVLEEGFSPNYTNEYLGWGLEADSVDPTGDQKRMVKLQQGVKESGGFK RLENAAKTIVGAAAVKEV CC84DRAFT_1256572 MGDVPNGSLPSAAGGDMAYPVSNGHGDNAKEDELFGPGSRKTRL CTPSPPPLFTFGKADSRTTWYRQATCGQNYVQLVVEREGFPNGAVIADRLDPRLLFQV APGLQKRLEGRRIFVPPASCLDEETIESMLFGLLRCAKQGIPVPGPVEKKPVGTIAMH CVLVFFEMEKEARDLETKLWDMLQQVKLTPMDVLWIWDTFSGRVQSEPYTAPFAHEYV QMMAWQILNLDAVGMLDDDVRHLIGLEKEPKYFTQTIEARFKTHGLGKDALVPESNTK VPVLPQTTKTETWGRTANDIVDGQKDNSGPAVAKQAGKPSIKDETKSSDLAKPTAGIK APEFKSVGLTDVFKSPSLRLTPFETPDHSTTKTVPAPPKFNFSRASTNILADSGAAPR STAQANSKRSGMFPGNAKDERQNNMGLNALKNDVTPAGFRFKLDGSNASNSIAPNVTA TPSSSSSGFRVTAPPQSQFGGLGQPPVSTPTQATAPSSTSVTAVTLGFGSSSATRPAT GAPSLPSFMLGASGGPTFNPFGSAHAPDTSTLTLPTQSPAPKKFNSFHTPNTGFQSAV PSQASPKSGFGGGAAASSGDSQNPFPNARNTFNTPTSNASFKGAFGTSASNPVSNAGS FGATFNSFAQPAPNTAAPGNTGFSVSTDFAGQLQQSTGFGGNFQSQNSNETPFSNSFA SLANNGGADGNRLTFQGNSGGGAGSSQSGAMGGMVRRIAKATGPKRRR CC84DRAFT_1062999 MSYMLQFPPSLLPKNITSPPFVLFGINDLDLFSDLPTNIPLALV LHFAPVLRKWVLPPPQELSTCAIRMSLRTPYVGINILADMELEGLRYILGRMMQLAHV KIATGKYEMFQMMPSLPVSISIHKAWMALELPPRGIEALYMHIQITLMIGPPVTLFEI KGVWQNFPVDSPIQREMGLNFVRNYIDRLYPASESSAVRHWYLETTERWSFFRELEKS SPAFG CC84DRAFT_495990 MSASSSATANEHADAIHSLGTNTASAPAQDQLDEVTTVNDGDPL GLGQHKRANVTQRQMEVDYPSANKRHMKKFYTRQNALIDQFLESGDEERLAALDTLEN GPKVKFAVNASFVVNFFLFVIQLYAAISTGSLSLFATAADAFMDLVSSIVMLITSRMA ARPSVYKYPVGRTRIETIGIIMFCCLMTTVAIQLIVESGRALGEGASEHEQLHIIPIV FVGVAIFCKGSLCIYCFFLRRYPAVHVFFIDHRNDIIVNAFGLTMSIIGSRVVWYLDP LGAILIGLLILCSWAANAFEHVWLLVGKSAPNEFISKLIYLVVTHDTRIQKVDTCRAY HAGQNYYVEVDIVMDEELPLKVTHDVSQTLQRKLEGLANVERAYVHVDYENEHDIYEE HKPLYEITERRSIKQRVKALLSRNKEQAS CC84DRAFT_1173160 MAGGEPDTPFWAIAHPPTVNDAVGVDCRMCRWIGSRGAGRVPTN VVAMWPRPEVCKRRRLRGGSKPEAGRQRLPRARVRRAILSSSRCLRLAATAGEQCALG RAAVQRVGSGRSCGRAKRSAAAWRHKTAPQGIPTTALHALRVSEPPGQASACLCPS CC84DRAFT_1256574 MPVDAIHIAAVFIVFAASISGAGFPVVAKKVKWMKIPPGAFFFC KHFGTGVLIATAFVHLLPTAFQSLNDPSLPALFTEKYPAMPGVIMMGSLFVLFVIEMW LNSKTGGHSHGSADGHEFAGGAQQGVENAFNNPIKRVQSYDSQVTMAYPADKKGPWVE NTYEGSNFPFGKQDDNELEVKSEMPPWFIVFYEQYVRQRDEMMSMVNRAMPALPNYNQ PPPSDVEKQQSYFETDVEEAPVDPALLKKLSSQITLIEGGILFHSVFVGMTISLTADG FIVLLVAIVFHQAFEGLGLGSRIAAVPYPKGSWKPWALVVAFGTTAPFGQALGLAVGN AFDPESPLGLILIGTFNAISSGLLLYAALVDLLAEDFLSEEAQHTLTGSMKKKAFCYV LIGAAGMSIVGAFA CC84DRAFT_1113426 MSQQPQNDSTPPGAPQDTASKKRTHPDDFKRAYKACINCRQRKA KCILDTTPEGELKPPCQRCKREMRECIFRSERSWVKRRKPGEARDEDPPTEHHVNIPS GTNGAQQISNLHRASTSAQSMSPHDSVHTRRSDMMSPPAHLRRISTAQPDLSHSVMRT VVSSGSDALNLLFEAAHHRDALENQARVESQAQDTPRSGTSYNLGPDGQPSSPFTFKA QPVQMSQPSPETLRVWTTCRFVQMGWFSAHEAVTYVDLFFKNCSPLSPILSDFYSHHE NHWSLIALDPFLCVTILMISSRYNVLPGVGGASRGYFVHDRLWEQCQRFIMKIMLGQV KLSKAQSRTIGSIEALLLLSEWHPRALHFPTASDGWDCELMIGANNTTSEGVQILEGD TTSSRWLEDVIEPAKRSDRMSWMLMGCALSLAQELGLFEDNGNVERDQQSYPKTSPEA LVQRRIRARKLLYVFIEQLSWRLGCTSMIPQSLNHALMEKLPVNSTTGAPEEWQGFMS AWVELTKLARSVSDTIYPSTSTTRNLLRTGRYIGLLEHFQPLLTTWRKKYLDPCKLKV GLHDMLCIEYQYVRIYTNSLGMQAVVERTLAETDPDGPQEEILPLNLEPRDYDFIQEV VDGSCQILQKVVQLYESGALRYSPVRIFLRISASSIYLIKALSLGSRNQKLQNSLDIL DAAIRAMRSSTLDDMHLASRYATLLDLHVAKLRENFVVSARPPRIPSRGASLENNTTA GMDFLNNHNAGTSGIASLANDVHGLMPDDDWLALPFDPAMAPFGLDSNPSFQQGFDDG TLDFIWNLPM CC84DRAFT_1214118 MAPGRISPPGSPIPSEPSSSDISAYRGYHHVHWYVGNAKQAASF YVTRMGFERVAYRGLETGSRSVASHVVRNGHVTFVLTSPLRGLKQSSRFSEEDAKLLH EIHEHQERHGDAVKDVAFEVDNLDAIYSSAVSAGAESISAPHTLADSHGTVRLATIKT YGDTTHTLIEKPSSYTGVFLPGYAPAPSVSDSLSKFLPPVLLSNIDHCVGNQDWDEMD NICDYYERVLGFHRFWSVDDKDICTEYSALKSVVMSSPNDVVKMPINEPAHGKKQSQI EEYVDFYGGPGVQHIALRTDNIIRDITNLKARGVEFIKVPETYYAAMRQRLKSKGMRL EEDFEVLQSLDILIDFDEGGYLLQLFTKHLMDRPTVFIEIIQRNNFDGFGAGNFKSLF EAIEREQELRGNLV CC84DRAFT_1161670 MAISTSTTTLSANDARILNALFDPETLPSSVAAAQSTSTIDDSL PPHPAIPSSQLSTLEAQQNELVRHITSSSSISSVEAAIKQQDEIVSSHPSYPSAYLNR AMLRRMLLESSLPKEADASIFSSPEPDLDALFSDLSRSISTCLPSSSSASTSVSTYQA RILRTAYSHRAYLYLKAAELGIEWRGKGKSELEEAASADFAGAARFGDEVAREMSVRT NPYAKMCGAIVRNALREERRDMLG CC84DRAFT_1161671 MSRAISVAAVGAAVAALASQSSSRTFLARWSGVNTPGGVWRLLA VIFALMNFKNLPFVWHLRFFKAYWYQIFIQPTPIPPHALFQPVITQTHAPLLEIDFNM HKSNSTYFADMDISRTHLFTSIVRNAIRKVSEGGFHMPRASNKQASVSAATAGLGAAE GTNAPTGGNAKYMIALGGVQCNFKKEILPYQKFEMWTRLLSWDRKWFYLVTHLVRPGV GKPASFSLQPWRKSKRVQGEEVDPEKLKGAVFATAIARYVIKRGRLTIPPEKALFDAD MVPEKPDGWVYAGEMEGEMNGKANGDAEGVLPEKGSVDWNWDVIERERRRGLRFAEAY SELDGLHEVWDGGKDGVLGEFGDLSLLNR CC84DRAFT_1113438 MFKKKPNIKPLSPLKSSERRRTADQIIADFQIPLPVETTADPED KAASTAGLTALRRSLLPENALSARFTTTAGPDLKQVSGTVYVGSHEGTGEEQRILWAK VGEKMYPTVYTLWHNPGIIPLLYTPSFVVEKLQGGADLMTPGLQRGPPFPKRATKGAV VAIASLERPTVPMAVGSCEIDVSSLGTVQGMKGHAVSTFHWTGDELWSWNPSGKPGSE PPAALQGWDDEADDTALATQTAGIDLQDDQEGGVALTADPTERSEAEKAQGIEGEDAP SLKDAVDIVDDQELSPKEIDDAFRDAFLFGVRYHMDHNRNHPNFGLDFPLSQSKVMSL LVQPFLPTYTPARTASLQIKKTSWKNIRKFIKYLDKQQILKSKEQNGNETVVMDIDFT DRHIEAFVPYRLPKKDAPTSSGTNGKPPASLSAESSVGQKLKLVFLLRPKEKLAPIFH VTKADPRGLYTPAELKQVLIAYIEAENLVSEKNKRLIKINPQLADALLGSSAADNAAL ASGAIPRDALADRMVAAASPFHTILRNSEDIADSKPKAGAPPKIQILIETRSGNKTVT KVSGVEPYHIAPQPLADELRKTCAGSTSVDRLQGSSPKNPVMEVMVQGPQKDAIIKAL EKRGVNKNWIEIVDKTKKKR CC84DRAFT_1082641 MDTQEIELETPVSNVFSAPSVYRPDVLAGKSYSHFSPIPSQIAD DMTREVVLGVDEAGRGPVLGPMVYALLYLPLELQQSLLAETHHFDDSKVLTPEVRSNL MRKLCTRETDLHAACGWATRLMSARDISANMLAPSQYNLNAQAMDATIDLIKEVMAMG VNVKEIYIDTIGRPEVYQKKLEMIWPTVRITVAKKADSLYPVVSAASVCAKVTRDAAL DACYEPYQVAADNAAVKDKSNGEGVVAWGSGYPSDVRTTTWLKQNMDPVFGWGNECRF SWGTAKELLEGKNADVKVDWPVDDDGNGDMRMTDFFASRNEAGGDELVDWFGKRVTEE MEVF CC84DRAFT_1069019 MCIIIPIDHTPCDHTIAIWQHCANAPRSVFGHKPCSHIRQHGRP IITRKMCHNCGGPRFFARRGGLAARGSGSGFSMLSQVKEDLNEQYDSGYQSDLIPEAE DEADDDDLSLSPRQSAVLTQRWRDISRQRSSNIPRSSGQKSNWRPNLKRDL CC84DRAFT_1256582 MPLLNIRSALNGTAVCGGAGKEAQEMGHAKSYKCSEIPDTTKKW ESLPHATLARFRARGEKHVRDIADLKASVNDLDDQLANAYTLGLTCLNELTETLERVN KKLDAAYAEVQVYYMSIMTLRTGRDLLQGYSSEEMLPAFFPAPLNAGADTDEIVETMC RDEPVCLIVIPELGFNVIVVSTEQVLVYHGHS CC84DRAFT_496119 MMNLFRLLGDLSHLLSILILLQKMKSSSSASGISFKSQFLYLIV YITRYVDLLWTFYEPKSLYNTIFKIIFISTASYTVYLMLNDYKPTHDPNLDTFKVQYL IGASAILAILFPYKYNFTEILWAFSIWLESVAILPQLFMLQRTGEAETITTHYLFALG AYRALYIPNWIYRYFFEVPRFWDPIAVIAGIVQTILYSDFFWIYYTKVIQGKKFNLPV CC84DRAFT_1139689 MAYLAGWKDILRPIRDGYRHFFPTPDTGPTPEEREKQRRLDQLK GFTYFDTFDQLVAWTEADSDPIQKANTPLLARPPPVGESGVQNANVLICHDYSGNYHD YESATAIGLDEEAYCCQYLQYVESFIYFSHKLVCVPPPSWINTLHRNGVKAFGTLLIE MQTRDTESLLRSTTRMENGQERKDFPLAKRLSDIASHYGFDGWLINIEKPFPQNNLEL DPFLRQLKELIGVNKELIWYDALTKDNRISYQNGLNDKNIIFAATCGAVLTNYCWTEA NASASKDVASKHSLPIDKVYFGVDVWAQNTVDFGLPRTTYPELKGGGTNTGIAVSKLA EMGLSAGVFGPAWSFEHFPGHGREAERAMWEGKALWDGATCSCRGEASFCHPTNMDEA ITRSATQYPAGSERFFYTDFSRAFAIHDEREAQRLYDGKNMHSQLGSQSLLPNLAPAR AYNGQTLIDAVVKIGRFSHLSENVEPLLSVRGASHNSDLASKDQIFERDLPLFKLNMP ADGSLRLYISCDSLGCSSVYLKFSSGIKFVPIRGSDLQKLITLECTVKPDQISYENVR LQELGVRVRSRGFRKPGDSFLNIYKISIVPHSHPDLGQKYSIGNAQIEKRGQDDTEHW RLLWTYTNTLGDDEKSALARSEIPYSKVTGPFSYFAMQIDGVDLGRAYALEHVLPNAF VESLEGGFKVVLIGVGFDGKEISRLEQECVLPLA CC84DRAFT_1184747 MADLQDLLALLHGQGSAGNSAPPQHPPHGYQQPSVSSPIFSPSP GGPQPHHQSAIMSPNSSAANTPGPEQAGVSQQTPQQQSTQLLNLLRFNSQPSVAQPRR PSQNMQSLDTPPAHGRAVSASDLVAGFTGGKSPQAPASAASLAARPEPASASSQHQQQ DLLMRLLNPKPAQSDTHSSRASAAAFSPPPVAPQPETAVNDVARDLAAAAATLETALE NLPTDPTAASSAASPMRVFGSEGPGQSQFEPEPTRSNDAPRFTYRNPFEQLEAASPRN RTPVPEALKAPAPAPKMEILKHKHGLDGSASASSGEERPQSQHKHRKLSPANAPHETV AEAVSELGEQIGKEIEETLEAQAAKELARMPAEEVEEIEEAIIETASEIKKELDDEAN FKALEKEVSKPIAASLKQVANDIAQGDVADSWESAEDSPSKEEDQTVIVYRFPMRAFA SITVQDLQKPRILFSPDLVMDVARLKKEFDQIDRSLVTASKNFIVYAIVKKGGFRIIR QENGDYRQVFEHHQERLFNVALCVGGEDSSQQSVESVLGIGVNGTVFWASVNPVRDSD FDELDKTGLVLPPSPSQDDNTSGGQLKTRAKPSSRHPEFFAIGRGKAIHIIWPRVADG FLRSNSRIVHTEKYYKERSLKILTGKAGKDFTFSEDDTVIVSLDKAGRMRFWDIRPLI DPVVATPEHEKSVEVSETMLEFHTTSANAKSWPTSVFFFDKDKPFNKGIALRYVMVGM KQNHAFQLWDLGLGKAVQEINLPHEKESDAICSVGFHPKTGIMAVAHPTRNSIFFVHV SCPRYNLPVMNQATYISRIVNKSSDRGQQLPPVNATAIMTSITEYSFSSKGQIRSLHM LNEPAGPTDVDDPDNTALFELYVMHSKGVCTLRIRRSDLGWKKEGEPMHPKEAQDEGV ISIAPLKPAAPPVDDSVTSGDTPGPKSVSDRSARETLKKESSAASRQSMTPEAAMRAS TLAKVESKQDAARAAIINGSEKSEKKKKKRATVESASQVSTSSRVPPPPSYAQAAQST PSTKSPAAPESTEPSSSKASDTEIPEWAKKLVGQLPQQSPAATFDSKKLGEAVQAEIS KSLSQYTDSVSKRLDDERRAAKAQNSANQEAVLRLVSSTLSENVEGQIRKMVDQNMRE VLLPSLLTQTNSTLEKNLGNTVKAHLGPQLSKEVPEAVSRTLKSPQIFQSLSDQISKK VAISLEQTITAGVGAVITPALANVTNAVENKLNEQVRHAQIQHQNDLNKIHQLTETVQ TCLQTIQAMAASQAELQNQVNTLQQHIKGMSQVTQVAPAAPPAAKQRTAEEIEAQDIF DLLSAQKYEQATMQWVQSPNSNELFDNVFIKCNPGYLGQISPLLILSTGAVVTTSLQE NLVERLAWLDTVLRNIDPNDPEVHDVVPKIMEVIKERLTHAYMRLNESSPGDASLRRI AGLVKRVGDLVPRPSYPSSHVGSYVSRS CC84DRAFT_1161677 MVNFGKIILGARALQALLSVVVLGLMAYVASWWSSHWRAMSPVE VNFMVFSPVWSLLALAALVVVPMKFSSLAASSPGKFGLLALEILTMLYWFGGFIALAL FLRDRICFGAVCDVAKAGTALSAVNWLVWMGSVVLSAVMVFKRETIAPMLKEPKVEMH QGV CC84DRAFT_1113457 MSRTSVFTTCTPLPAGLTRQSVLDMYHAHTEMIELNPLVIERFK CKAPSYAPAEEHHATWYTIKDKVNYLPGGLASSSVSYHACFHDLPDGLQTHVYAPLGL DIRAKWTVGGSLPGEPKAPAEMGIGAPREGLYIREDVRMKCNIMMMGFVKKTFKESHS TMVDRLVEKAHVLESRQANERLNALKNLAPGERMGHGSIFIAPPPGYGEERKSYNYDD KPLPQPRESVYSDGSARSSPGLSQASTLTSQSEFPQKSPQFHYQMQRQRSQTQSQAES YNPYQDQRGSLAPSQWKPGHSHSHSQDCNHTSGPQFSVGQQPSPGQPDPQAQTLPSLS YLPSTVYNPHASNARDSQYQAYQPPRSAEYLHPPPAELPAHMQDSPTLPRNLRDDRDK HLAELPA CC84DRAFT_1194137 MSARQGLSNLASLSVSHVALNPVVTGALLWVLTKGPASVRDRLI NSVSALRNPDTRARVTKLLRWLLALGVVRTVNTKLNQLALNAYRTNSEKKRWKWNEEV AVVTGGCSGIGMLTVKRLLLKGVKVAILDIQQLPPALQGYAGISFFACDIADPGAVAS TAEKVRATMGSPSILVNNAGITHPHTILKTSPEYLRKIFDVNLLSNWYTIQAFLPDMI KKNKGHIVTVASMASYWSVGGMVDYCATKAAVLCLHEGLIQEVRQKHEAPNILFTSVH PNFVRTPLADPYAAKLKGVDLLEPAEVADAIAGQVLGCAGGQIYLPSSAHRATLVRGW PNWLQELLRTRLARTMLSGTD CC84DRAFT_1083020 MPITPEGLIIEAWSQGYLVGSLIIMTFITLANMRRGVLLHKMIL IELLFGIWQGFWLFPGGQASSWWLSVAAIPLNMSWFLHNIISWMKIKPFLSRFWSLVF LYTCLLSFPYWVIEIYANFSYFNGNSKWFTRTRPLELLFRDPWWIITTVYLFTIIKTH YAMTIRDIIRISPRFGIMLASMIISISFVICDVCAVTGALRIGGASTGINPFWKLAFV FKCLTDSVILDDFKMALDRLRAFKISRLGSYSADDSDRRIRNDNNLVQTWEKAEREAR ENQRNLSDMGTSSDGHDPHEKGEGSGRFHFPFQNSHPGKQKEPVSRPDQSFFQQGEQY RDPLSMVRSAFDDDSGPSSVQSLNATHQPKKQNQGGDQTWFDETDPTDTRDVDVLELY ANAQRDVEGQRAPQSPVRKDFPRRSHTTV CC84DRAFT_1173176 MATPNDQPDMNLIVKLLEDIQRHPPAFTVKTLLAQHYVSIGWFD AAVDYIAELKREAPGDAEMKPLEEFAHATKAENGVNSGNNSRKDLVEGYRGLRQKAKG IINDLSRLQAQQRQIGTPISGLSARIQAILVGQVSSGIVTVRPAANPQLVVRAIQGNP EKGMDIVIADLQDDIYYQRAQNWSRKGNASHAENPLRAWLFMHAEHENLRRRLNVTET NYAWDLSEPVQAITANGGVMRNPLGRDMFTPKDGRRILMSPHGKPLDQIQARQPEYSQ GVSPETIQRLEELADVMLGEEERDQMTSRREIGDFQAYFTTLLDRKQKTVEAFRCPAY DTHTGDAKATELCIHKAGKFTTQVAAHLMKKWGELGPPKGCVVI CC84DRAFT_496428 MLLLQLITPLLLLTASVAARGPHTRKKTPWGADTLSSLYSRTST PTTSATSAPPTVVYPAPTTLLDNRKYKRTLAKSRTVESPTTPPPPLPPVRTGAPPTIE NGEDEELHVNRPEVEPKVEQHGPSTPQAQSATPTPSIQLETTIMVYRETRSASKTPAP PPESVDRKQASDDWYLEKLKRLSCWLSRNCDPSVQSYSTTSLTPPTENAQPRDFITSF TPLTEAATSNVPRPSEKPKVKPEALRASGKDKPILDRTLCKPCIDIMRRCMVERVQAG GAMDDATYRKCMRQLCFFDERWPARCKAGGRCGDSFDCPKSEVLLRDWDEDGFYR CC84DRAFT_1173178 MALQDEWTLALAAQHIAWAFSFCATCLAVGCVPDLGKWTGFIVL LAPASGALYTSPNLSPNKYFNDTYSRFVIILFSYMVALCFMPGSRVSVTKDKTKELDT SWRAGWKRAYNARGIGLAWENPYLWPEQKSTIVFAKPSSETYKDKPVEAPASRHALAT RSKWSAVLIHCVYLLINYVAMKLYFEYRVSATIGGFVRSDLSPEKEGILRRLFLQSQP EGLVTSPVTVRELQIRLLLAANKFIPDVLSLSAFHDLLAIIFIATGIDQPWEWPPLFG PVSEAYTMRRFWANFWHRLVYKSFNFHASTFTKALRIPQGTSFSRILNNCLVFVLSAI MHATVTWLYGGKCAWGRGTMVFWCIQPLSFVLEGLVQYNWKQYRKSKLWWMNASVLAA FERVAGILENRL CC84DRAFT_1161686 MKFFAIIAIAFASVAVACKDDNIFCAPGMGACNAPDANCAKKRS PFTVARAILHADAEAQINADTE CC84DRAFT_1139706 MAMSMRAARSLCRTQALSRARLPLRVTSQPPRPVAAQRTFCSAR RLYDSSPDVFPSLKEGPAASYLSTFQQKAKVPQTLTEKIVQRYAVGVAEGKTIRSGDY VTLQPHKCMTHDNSWPVALKFMSIGATKIHDQKQIVMTLDHDVQNKTEKNLKKYNQIE EFAKKQDVVFYPAGRGIGHQIMVEEGYAWPGTVAVASDSHSNMYGGIGCLGTPVVRTD AASIWATGKTWWQVPPVAKVNLTGALPDGVTGKDVIVALAGLFNKDEVLNHAIEFTGS DETLRTIPVDERLTIANMTTEWGALTGLFPIDSVLHSWLRARATDAAMFGAQSEYKDH HQEQFQHERIDKLFTSTGIVGKEAGEIFKPALAADKGAVYAKELFLDLSTLSPYVSGP NSVKVATPLAELQAQDIKVNKAYLVSCTNSRASDLHAAARVFKEAAAANGGKIPKLPD HVNFYIAAASIPEQKASEELGDWQALLDAGAQALPAGCGPCIGLGTGLLEPGEVGISA SNRNFKGRMGSPDAKAYLASPEVVAASALAGKISGPGWYEEPANYAGVRRGEGDGIVE EERMMTIEDMLERAIKQAEEQIESAESAAVETQSVNPPDAGSEAETLTDILPGFPEKI GGEIVFCDADNINTDGIYPGKYTYQDDVTREKMAEVCMENYDPTFGNIAKAGDILVSG FNFGCGSSREQAATAILAKGIPLVVAGSFGNIFSRNSINNALMGVEVPKLANRLREVF SSSAPAGSQQNMQEPSQNRESLDSPPPAAQAQPSQSKQLTRRTGWTLEWDVRRSTVNV QEGPDGKKWSVKVGELPPNVQEIIALGGLESWVKKEIGSTG CC84DRAFT_1161688 MVNRSDVNYFGAGPAPLPTVVLEKASQVLLNYREKGIGLCEISH RSPEANEILAEAKQALSTLLEIPDDYDILFLQSGGSGEFSATVYNLVAIWVEKKRVKI AAEVGDDQEEVLKRLRKVVDEELKLDYLVTGSWSLKASQEAARLIGAQHVNVATDARK ANGGKFGVIPPEDQWSLTPRTSGGGAALAYFCDNETVDGVEFPAFPKSLEGDDAPLVC ADMSSNFISRKVDVRKYAVIFGGAQKNIGNTGIAIVIIRKSLLPPHSTPASPDLLRQL NLSVGPIVLDYPTIAKNNSLYNTLPIFDVWVAGQVMNGLVNTYGTKRIGGQQEISDEK ASLIYDTLDKYSDIYRVVPDKTVRSRMNICFRVHGGDADKEKAFLAGAEKQGLLGLKG HRSVGGIRASNYNAVSLEGVRKLVAYLEDFTKS CC84DRAFT_496478 MEGWGLRHPHLDVRSHFNMTLNTSAVRENPWTSQNETRTLIGSL KLAQVQTLRTMHLTLGAFSLGLALLTVHRIISDAKRAAALKAPLKKVRFAALRNVHPA ETFPLVLATGAVIQQVIFVAVQSTSLSSVLSNQCRGLAMITLPAIFLLGIITLVFGID MAMRALKAERFAPKGKWTTIICIGFICFLTLMMWMPTVVWPMYNRCFASLIWFPMRYE LLMMVVLVILIGMFLILAAIISIQLMRTTKVDPNQRIAASRMCYYLIMSALLYTLVLP VEVQAHRKDFNSTYATSRIAEVALFCSGIVIAFVHLFLRVNATRLVIKPMSEMHANAK QKRPKLRLFGPSDLEMNISGPLSLQGGRRPESRQGLIDVGPEKNRFDFEPGYFDRPQR PLTPASARSQGPIDPTKWPLPPDPVQTGFFAEQKDGSNGLHRRNKSNYSLFPTRAEDV PRLPATVYSPDKLAAPQSRFSQLAMRRQSRKGSISDAKSITDVGEAFSFLVKPPPLFS GRHNRNQSTDSSATVQIGLRFSLAPAILGAATYGQDPPPVPPLRRDESQTSVETLGLP IQNPSTNTTPSDLEGETLQAVAFPCPLKPQGATLGSPAKHSPGKTAAFPILSPATYLQ DQREKILPPTPRSAIPGPPPALQPPAPLRTAPGLSGLRMNPISPSSTLSPTSPATPSI HASRSNSLKSSTSPSPTARIPLGAGTMARSPPANGWI CC84DRAFT_496508 MTYKAACSLKTVWMLHTTNIAREHVLLLQGCLRRRPAHYRSTDK PTALTSITCTSSSSALQAPPHSTLASPSTPRFIPCCHASASNPAPAKSGTPPQRRCDA PDTARKRAFQRARGFFEDAGRDGRSTCARRCVVPGRDGDVRARWLMRPEA CC84DRAFT_496509 MYGFRLQETSLTVCGNWHLTTQGRGDVNFSLRILTTRIQPTGVR ILTLLCWAELMDWAIEDVRTGTMVIVLAPTTGQWLACFALGLINRKNSYWDLIGIGVR CC84DRAFT_1139713 MARLWLVSAVLALGQLASSDRYPDCVNGPLAGNDVCNMELDPSE RAEALVAAMTIEEKLANMVDHSQGAERLGLPKYDWWNEALHGVAGSPGVRFASSGNFS SATSFPLPITISAAFDDGLVQAVGQAIGTEARAYANAGRAGLDFWTPNINPFKDPRWG RGLETPGEDPFRISKYVEALLKGMEWSGKDPGPGDKRQVLATCKHYAAYDLERWNGVV RYSFDAIVSMQDLVEYYLPPFKQCARDSNVASIMCSYNSVNGTPACANDYLMTTVLRE HWNWTSDNNYVVSDCNAVHNIYADHKWVKTAAEAAGKAFTAGTDNVCEAGGWTTDVVG AFNQSLVSEEVIDRALRRQYEGLIRADYFAVFRDEVVGPRSYGPEKVNTKSAQSLALQ AAVEGTVLLKNDGTLPIKLAKNQSVAVIGFWANDTSGVAMLGNYFGKPAEYRTPLWAA QDAGLQVNYATGPASGTANYSAAIQAARDSDIVVYFGGISTAFETEDKDRTVIAWPEV QQTLLRELSALGKKIVVVQLGTSVDNTPLLLNEHVNSVLWVGYPGMYGGPAAWNIITG KTAPAGRLPITQYPANYTAQVPMTDMSLRPSSKNPGRTYKWYSQAVQEFGFGLHYVNF TVRFDSRYANHRYPSTQHFAGCSFTSRKDRCPFPSHVVQVKNNGNVTSDFVALAFVTT TAGPTPHPIKELASYKRLTNIKPGEERSVPLGFTVGNLARVNERGDTVLYEGEYCLVL DVPQQDKTCFKLTGGDVVLDVWPQPKVGNATLVR CC84DRAFT_1184756 MLLPLFGVAFVGLAAATCYEPSYALPPPEYDQDHPILKDAWTNL HDVLTIALGDPKYNSTSISVEVTSSKQSLWEFHHTARARNASRPDIPQVDGSALYRIA SITKAFTVLGLLQQHAAGNLSLDDSVEKYLTELKGPQNGTLPWKDITLRSLASQLSGI PRELAQSDMINAGNMDQITGEWGLPPVSREGLMTCDEYSDNYHSPCTASDLIRSVKAD HPTFAPNQQSTYSNVAFELLGLVIANVTNKAYESYIDEAIFKPLEMGRSTFSNPPDSE GVIPLWPHYWDVDEGNPTGGIFTSSNDMSKFLRHVLTRYNGITHALNWMHPVSPSKDL HSFYGMPWEIFQSDRVLTSSQRAVRFITKGGGLPSYTSQIVLIPQYDLGVTLLLAGPS DFFETLREIVTIELARAAEQIAIATLEESYAGRYIAADPRLNSSLILRVDHRGLVVEE FISNSTNMLQSSLLRSLGRPDDKPWYLLLVPTLLYRDEENQKGEIWRLQIASERPKGE TDVWDDFCVNDVEGPWYAGIPINQAVIWKGRSGLVYDIDLEGFRASLVRIFAHGHAAS HVEETEQMEL CC84DRAFT_1084406 MHVTYLAFALCAATVSGAPASGTTFLQLLDLLPRQSNVTCPQTP SPMPKAADLPAVKTMPDPFLYLDGKTKVKSKDEWYQCRQPEILKLLQEYQYGYYPDHS QETVTASRSGTKLDVTIAAGGKSATISATLNLPSGSGPFPVIIAIGGIDNNSYLKAGI AVVTFDYGKVAADSNSKTGSFWTLYNGKDIGVLTAWAWGFHRVLDGIELKVPEIDAKK SGVTGCSRLGKAALAAGLFDKRIAVTMPMCSGVQGAGPYRYSLSGQGENLENSKSGAG WWTSSGISQFVNHATQLPYDAHTIVAAIAPRAVILEQGASDQFTDSKGTATVTFPAAK AVYKWLGAGDQLGMSIPKGGHCDMGGYADVLPFVQKVLQGKTTSRNYDDLGSWKAMPE AYPWATSLPAGR CC84DRAFT_1082460 MRTQVEVPIYNDVERVAATDMSFGAILKGTAANPMTTFEKKAAL INVEIDNFGLGRYQICIWFLCGFGYFLDLAWSQGVGLIASAVYQEMNVPPARQGDIFS LANAGLAVGALTFGVLVDIIGRKWAFNLTCLITSIFGLLIAAPKYNYEAVCGIYFLAS LGLGGNIPIDATIALEFLPQSRRNLVALLSLWQPIGVVAASGIAYGTAAKYRCDPTLP ACNAVGAGEACCSVSSNMGWRYEVIILGCVTLIIFFLRFFVFTFHESPKFLLARGKEA EAIEVLHKIAKFNRAPPPALTLEMFAALDEMDSNTSTVSVEGPRTRKEMARKVGRDIG KELKRLKGVFANKLQCFTFILLAVAYMGDYWSFNLAGAFLPLILLRNNVSDGRGSVSD TYKQYVIIYAPGVIGATLALVSVQMPLVGRKWSLVFSAICQGLAMAMYTQVKNTAGYV GLNALEYIMQTYFNAVLYASAPELFDTTYRGTVSGMLSCLGRIAGIVAPFAGASFLAD QSSGILWLGAGGIWLAALVMVFLPVEMRNRQMF CC84DRAFT_1256601 MTRFSTLLALGCATLGAARKPTLEERGIPVDPSGVRTITSPQGA QIRFKQPGKDGGICETTPGVDDYAGYISLDEKTNMFFWFFEARTDPSNKPLTLWLNGG PGSDSLIGLLQEHGPCNVTEDLKTQLNPYSWNEESNMLYLSQPVGVGFSYETTETDSE GRYSLVDPDTANTTHAAAVGAWHILQAFLELSPQLDPDIQNFTFNLWTESYGGHYGPG FYNYFYQQNEAIKNGTVQGCELRMDTLGIINGIIDEEIQAPYYPEFAVNNTYGIKSIN DTIYTFMKTAYYIPDGCRDWIQNCKYALRQDAGSEDTYFYCSSATSICRSMVEEPYYS YGGRGVYDIRHPYDDPTPPDYFMDFLNLASTQEALGVNINYTSSNAPNVSYGFQTTGD FVYPLIDDLEEILGYGVRVAMIYGDADYICNWFGGEAVSLAVNYTHTEDFQAAGYVPF LVDGVEHGETREYGNFSFTRIYEAGHEIPYYQPAASLEIFKRVLQGLVIPDGSKAITA DYATNGTAKATHTEAFVPLPPSGTPSASASAAI CC84DRAFT_1083035 MLLPEQPENVAESGYRLLRYCVGLPKLNTLERSVLFDAACLRKT DRSFDKRLDIIAALTKGGRHVEACESAVAHFVLASFDVVPRQSVDAYRASRKASGSKK SAEPAQELENLAQLYQYTIDLCKFNSKVFSDDDLEHLLTKVISICQETSRLPDIENAI RLFDTIITYVHIPNRCLRPLLELLCAIHRQLDDLQKQTWTTLSNLFKSHVGQIAVNAL LRTLNEGPVRKNRDSSAYRGTIQVLQQLLLENGRNGLPIVPMSLLFPALKASMHNPHK TQEEFVMDLIDAVLSDASLKDLLLSEVDWTELLDIILTCAERGHERLRAQSEKPTDTG TPRSGSISRNALSNGAGSVVSNGDEAGEVISNLDLAVPEPRIETLSRHSEDRVFKILT KLDGISSELDFVQKDAVMELCMRLAHCLSDTTTENVIKYYVDERYLHPSNGKWLEASR SMVSGIIKDSSRPRSLRIMAIKSLRETYNTVEVLCPSDLVLQCGTLLLNNIEDEEDVA VLKELVDFAVEVADRASWDSFSDIAALLKRRMQRTAALHHSSESIAHALSASFQLESD RALGSSCNVIATGFVRLFIRSVPRSARKTRLLYEILRHVAGTDGYESDARLTVLRLLC RLRADSTHALFVNQSSEGRSLAAVLCRTEETAATSATDNPPSQSRHDEQSPFREQRRV SGSPLPPTNKPLTRFSNSAGRVSKPVPPLWMYPGPKGLPEEPSSDVSRVVFSHINPEF YPLSDDILDMEITLWLELAISLLQKSPDWEVYSYVLVHLGPQLSNQSLVRSCIPQLKM LRNVLCEQIRNSSFQEPPPHTLLKKADVAVCLFHLLTVLISYHDYFEKSEEDDLVKAF IHGITNWDRTSKWCIHALSVCCLELPLSVSKSLDIVVQKMSQIVTKPATAIHILDLLT SLARMPELYKNFREDEFKMVFGVSFRYLQHIRDQRERAATSAALHNNHRSLRHSGPSR EITSSPDAAAKQTKPVEDDLPQYLYSLAYHVIAFWFMSLKMEDRPKLMPWIARSLRFT DGMGRQAELEEQGQVIVDMMNLVCYSDRDETVPDDNFAKPGDGELWKKSWIVGHSLVT IETAARTGVSQIINRRPCATRCLSVRPLLAPPPRHQVALTFGLAAEAFESSSYIGILP EDIFQTFYASLNSTYDPPIVLPDDDMTRRAIATFDRISAVDSYKVGVIYIGDGQTDER EILMNDIGPPAYTSFLSDLGTLCRLKGAKFNTGGLDTRNDQDGEFTYCWRDRCIELVF HITTMMPTNRDDGMTYPNKKRHIGNDFVNIIYNDSGLPYNFDTFPSAFNYVHIVISPE SRASFVDRRLDSDPEGKDRYYKVQVMTKPGFPNISPAAETKILAGKHLAAYCRLIAIN ACVFSAVWFIKDGGESVSSWRNRLREIQRLRERYGASDTHVFTSPSSPNNQQLQQGLS SPPSRENNLASQFKRTSVVTYISEGTNRSSMTSSSHDVAL CC84DRAFT_1113489 MATDIPTLSTLLEASLDPRQNKQAEAAISQEQTKPGFSLRLLQI VAGDSFPSTIRLASALYFKNHIKRHWVDENGAHQLPADEVTAIKRELIGLMVSVPPNL QAQLGEAISVIADSDFYERWDTLVADLVSRLTKDNTTVNIGVLRVAHSIFKRWRPLFR SDALFTEINHVLTQFCEPFLNQLQCVDSIIANSQDNPEALKGAFTELDLIVKLFYDLS CQDLPPVFEDNIAAIAGLLHKYLIYDNAALHTDSDDESGVLEFTRAGIFEVLMLYVQK YEDVFGAQLPQFVQSTWQFLSTVNLETRYDILVSKALQFLTSVASTQHAESFNNQEVL VQIMEHIILPNLTLRESDVELFEDEPIEFIRRDLEGSDNDTRRRAATNFLRTLMQRYQ DLVTSTAQSYIDRYLQEYTKDPQENWKHKDTAVYLFSAIAALGTVTAGKGVVSVNPHV DVLNFFQTHIASDLEGESVSLILKVDSVKFLYNFRNQLSPDLWRAAFPLLVNHLGNSN YVVHTYAAIAVERALYLTDENTKASVIPRDQVVGSSRDLLTHLFKLVTKDTAPEKIQE NEFLMKCVMRVLIFIRDGVLPIDDLVLGYFINILKIIRHNPSNPRFQYFLFEGLGALI RFAAPAHNELFETKLAEPFQLILADNVEEFAPYVFQLLAALLETNPSGALTAYYQSLA QVIIQPSVWETRSNVPALVRLLTAIILRDAQTIVANNQLEPILGIFQKLVTSKANETY AFELIETIVATIPVSALQQYYPTIIQLMITRLSSMRTENFAQRFIAFYHFISSREGMG ADFFIAITDQVQHDVFKGIYLTIVLPDTQKLTRPIDRKTAVVSFTKTLTDSQAFVDRY PKGWNLTTQRLIELLVNPPKVSKGDDLIADADVDEVSFGVGFTPLNTCKKPLRDPFPE ITDVKAWVGQFLKDANSRHGGKIVTFVQERLDPVTRQALQEYIQ CC84DRAFT_1081854 MADQRTDPVYHREGQMYAHNTTLSSIAPLSSLPASTQPLFKPPP DTTKTPYILTSPSTIFHAQGGGQPSDVGTITLTSPTPDGNDPKFTVHQVRKVDASAAI LHLGTFAPEEPRFTDAEVGLEVKQAVDVPTRVLHSRLHTAGHVLGLAIHLLSRAGEAG LPRDLRDGKASHYPGAAFVENIGLIPGDAKARIQDKVDELVAQDLGVAIHFWTGEEAG TKCISGLDGAAVGEGDEVRVVDIGGLGSYPCGGTHVACLKELGRVVVRGIKRQKGISK VSYEVVDA CC84DRAFT_1161693 MANRRTKATTIALGLACAPFLCCWIIIASCCCPRRLHPHGESGE KRRFEKRQTMAPRPLPVRPPERALTMRRRTAEPESEVQPQTPRGVTRNQSASRLMQLP LELRQIIYRAAIGDSVMHMVTKKYKLGHQRCKAPTIAECPEQYSFFSPDNVWSPLGEP LDNPPATDGDILPLLLTCRQIYSESIEYLYSTNTFSFSDLDCLRYFSSTILPHRWSLV QNIDIEWCISWPIYDPLAQALLISRPALYPPHDEATWEGTWRIIGQMPSLKWLRVRLM YFDGFRDSACEEKLLAPLRQVTLPRTFTVHLNWAGEEIEGAPFRAIRPGTRESLFPDE EWA CC84DRAFT_1161694 MTSPPHEHIDDFTAHEWCNELLSDPTITHISKRHIPDNRKGVSN TFFARTLFTDDAIRAFLSLYRPGKGHTREVDNTIIFTGSAPIHDTPHAGRYEVEAKRQ QAKEDIAFDLADPSAAEAIILVSIGSDIDGGVRRLHGGVTASLLDQVMGTLLSYYYEN TSATSELNVKYKKAIATPCILKVRAKLVREKGRWVETWGSVEDGRGTVFAEGSGAFVL EKVGVAKM CC84DRAFT_1161695 MWYLKDKIAFPNPKAFDPYRCLTADAHAVSDDRLRNRFYIPFSR GANVSLGAR CC84DRAFT_1161696 MMSNHSHLLIQIQLDFISLTIRLRHHTRMISPPNKTLPYYCVRI LKWGRINVPIEWGNTLDSQRTRQLWAHSSQAVKRAFLRSIVVLSKHRKLWVISNGRGG HDTRGDVAGYSVRGRDVGGETCKMNAYNHIVGLPQLIFPMCTFRPRSSTTSHY CC84DRAFT_1161697 MSNYLNNLLTTTTSKYNTLRRTLLSDEADGDTEDDSHIARALRA YYTEKGRPFPQWLPPDPKAPQAAPVQMVSSAGRGYGQMGQQQPMTGRGGGLGDLWDSP SQAAPQQQEPMSLRRAQGRGGGGLRAHNSLSPEPQMQGRPLPSQRAGSQQSASSFRNE LAPQTTGGSATSAQDRLKARLWGSGKSQNPSSSQNPSPTTSPAPSGGMRSQYDRPQAG GGRAPYPDDRGSTGGYSGGGRPQNYNMSANSPWSGGNDDPYGPSYNSAPPQQPERRRM GLPNGPRMR CC84DRAFT_1113501 MASSPTSTRSPPSPSASFYDLSDDEEGEYNTIRHTESGRGVKLL YTKSKVYIHPTPSARDNIPGFIALVQQKAPVKNNDARPSTSSSKSEHASSLLLAWLPE TSLGDAYDTYVKVDLSDSSSPPRQTYLVPPPPTTTSHASSIGTYAFAVPVSEVYSLLV RPPSIGWWFGSVVVNTRAGDSFPALFFHDSECQSTIMQRKKLAKESFDPFSDGGGMFW GGDEVLRWLKRYVHVERSGADNSIYLIEPTEEDRRAVGRNAPVSPKAKKDDGKQGEAS SSKDQGKRDGGMDPVTKALKEARWSFLEKLSQVTTFTRRTAQAVVENKNLPPQVRRLI QNPEVQTLQEEFDSARLYLARWAMGIAEQSERDRNQRIWTAKDVLAMEESDVGDFEIL DMDRMSMADRRKPVTLEEWKGLFDRHGRLQVTPDEVKERIFHGGLHPDDGVRKEAWLF LLGVYDWNSSEEERKANLNSRRDEYIRLKGAWWERMVDGYGSLEAEEYWKEQKNRIEK DVHRTDRTIPIFMGEDIPHPDPDSPFADVGTNVHLEQMKDMLLTYNEYNKDLGYVQGM SDLLAPIYAVMQDDAIAFWGFVGFMERMERNFLRDQSGMRKQLTTLDHLVQLMDPKLY VHLQSADSTNFFFFFRMLLVWYKREFEWADVLRLWEGLWTDYLSSNFHIFIALAILEK HRDVILAHLKHFDEVLKYVNELSGTMDLESTLVRAESLYRRFQRTVEMIDKKGNFPSA PGMRQRKPIEGSEGPSDSNAKASTSATENAKQPTVDADARVISPELRILLSRKIEKLE KDDVVKHGGGVGS CC84DRAFT_1214152 MPIDSFAGPSCKDLVEFMKSVKNIPAKHKDGFEGMSKKAIETVC DIDALHIWESGDDIDDFLHLTQVIVKLKIETEKHEGRRKKEPAMVIISSEQAAGRNGG DGFERICEVVRNLLMSGGKKHLNDTVCAFGAVVVVRGIDYTSSSDNKAAVKRINTAIE RVFKMQRPGVKQVVWHHGPILSLLLTWIDNTTSDLRTALTAISVTAALDLTSGVKPSP LGKPNKQADLQTLEDYAKRLDIPVVFVDPTSQLISYEYLATYMYYWAYYIHIFLPSSI LRPHFYAALDALVTFCFRLRGASDSTYGTSAVRMVKEHLSASTARPWARTCIDASFYT KELCRGTATDAQIHNAVQLADSPFSLLNPTAGYPLPAFARLPLCPSDNPGETTGKAYI AARVSFTLLTCTFRASSSSPFYILLPREGRDVDRVTAWIQGSMMGVLERLRRDKGKDA VRIDNKETHMYAEVTKACKWALDGCRGKMPEGVEGKVKFVREKVKRGTFCYVSGMVKE AQVERSRSRRRSRSAGGW CC84DRAFT_1173193 MSDTNANFGATHDEPSVVMDIDPPDMTTHQPEAIHNQSEGFAPN VADNVMGTPLPDVAANASTTEVHGLVPVSDETTEPVVLPQDTEWIEDHAYQVVTVRAK HDPCLDKAKALAVVLLEHYYPADQGYRVEHAAFPLVQFGGWRTWIYPEDCYTATKRKS DNMKAGVKKNAEPVYETWAGNILADKFHRIFPEHIAGYVALNEVQYEDGSTGWAQHTY LAIMMDDLDTGYAILMLGPRFEFYDYHAKPAWEEKPWEHYLGPNPEDADYDPDLAAED ETSFFSHLGGGDVDSDAWIVDIRSKKPAETLAAVDKLFKSVVGRDVEYRDGYALPGPK I CC84DRAFT_1173194 MFATGAKSVGRADLHSPASSPRSSPDPDVTEFLRSRAQSEFIFT NRENDSGEARDDAAESDEEAELVLFAGPSKAGDSHKIRLTSPEASGEPGMRVQKPRSY YFADEITSEREKEYVAAAVTGADVLDIAKIPWPGCAVPWKVRTITSSGLKQIVLVGHP SVPFEVDEQTKKRTRKGKKSRIALRKKMQATNDKQAEQARLAKEKEEAEREKRTRRNR EKKVKRKAREQAKKEAAAGPGDPTPASPGSET CC84DRAFT_1161701 MTVSHQLLRTGKFTQDDIAVVDPAEWHHYQPGWTLVGGGLKNKE DLRQPLTKLVDPKLKFYNEQVGAFVPEENLITLSNGDKLNYEQLVVAPGINVDTSTIK GLPEALADPKSPVSTIFKYDTCDKASRNIKDFKKGTAIFTHPAGAIKCAGAPQKIMWL ASDHWRRTGLYNYNDPASSPINISFATGLPVMFGVPKYSATLEALRKERNVEGLFQHD LVEINGNTATFARPDGADKVTKKFDLLHAVPKMGPYAFVKNSNLADQAGYVDVDQATL QHKKYFNVWSAGDASSLPTSKTAAAITAQAPVLVSNLLRSMEGQDVQAGYDGYTSCPL LTEYGKVLLAEFKYGGVPKETFGKLFGIDQGTPKKAFYYLKKDFFPWVYQNHMVKGRW GGPNGFIR CC84DRAFT_496702 MAHVPENHQSYSNLEVVETDGQDTFKYKAPEYASGPIALDPHAS PEVVPGQGVANQPPMYSASQPTEVDIDKEVVSSPGKRRRRLIILGVLVGIVVIVGAVA GGILGSRHAKRASEDATPTEAPQPGNSQDRQVLSNSRIAAVNWTSGDDNGVYYRAVFW QAVTNDLMASIWESDTQKWTKVNLTLNGSMDVKNAYNEQYVSPKPGTPLAATVRLHNT FPKFATIALFYLSNQNTVEQMSTDTITAKTGWGMCCLSSMSGRKTAAENSQLAAFTVA CKGSQCQSGGAGDWIQVVYQAANKKVVRLTQEDWTNQSLVTGDDVQSGTGLTMTSTGS PYSNDSSAFVPKMYLQTPKELQEKLWWPSSGSSEWKSTPHAAH CC84DRAFT_1238011 MRGVAIALVGLFQLATTTDTAVKVKWLEGAPKINSGTTFGLPWA RGKHLANATSFTASSGELQSWITAFWPDGTIKWTGHAIPASENTAEEYTITASGSGNG TARLSKRQTSNSSTIQVTESSDAISVNTGKIAVSFAKSGSAVVSSIKTLNGKIVGQNG RLILKSQSGIAEEGDASAIEYSSFFSKIYNATVSEESKIRALVTVQGIHHAEAGSHKD WLPFTLRFYLYANSDAIRTVHTIVYDGDAKSDFISGLGIRFDVPLSGERLVDRHVRIA GTDGGILHEAVQGITGLRRDPGEAVRTAQYNGEKTPPNSTWDTKTSTRLQWIPTWNDY RLTQLSPDGFNIKKRTKEGQSWLKIPGGTRSGGLAYLGGATVGGLAVGLRDFWKKYPT SLDVSNAASDEGSITVWLYSPSAEPMDLRPYHDGLGEDTYAKQLDALEITYEDYEDRY NTPYGIARTNEVFIYAFDSTPPAESLSTLVDHTNEPPVLVAESEYIKETRALGSYWNV RGAPSNSSTDTATIESHLDFLIKFYRDQIEQRRWYGFWDHGDIMHGYDTDRHQWKYDI GGYAWDNSELSPDLFFWNQFLRTGRADVYRLAEAQVRHGGEVDSYHLGNFTGLGTRHG VQHWGDSAKQVRISTPVYRKTFYYLSGGDERTGDLVHEALKAEQAFVLVDARRKVRDP SVIYKPDPTALYLNFGTDWAGVAAAQLLEWERRGPRWEEARDKLIETAKTFPKLKFGF VTGEALYNSEDGSWAPPPTDPNNNGTITVSHLSAVFGVLETLDQLFEHFPEISKAFVD AWLDYCYYYGASKAEQKARYGKDFGSLNLRQGHSRLTAYVANKRNNATLSQRAWNEFL NDNSADELSPDDPWATVRFNGSEVLTPVDEADWITTNAAALYGLAAIELIALT CC84DRAFT_1173198 MAPDNPVQLSKDDLDINVPDFVNRSGVFPLHDVYGNSFASIGSI SNFTFGKFRVCYDQNRMPTPYGLGNARIMTNHAISDLAFVHALQGKMAVQETSRQGIP VAPALDLTAFQNSYFTRGNGTSREEATMPLTASIVPLMNQKPQITRNG CC84DRAFT_1139750 MTLSISSATPENSVAYINGRVYTINNAQPWASGFIVSPDGRFTY IGGNDDIQNTAKELGLVTVDLKQRFVMPGIHDAHMHLLYSGMMLTSDVDIGMESTSET IAEEIQNGMCRCEYINARQDWVMAAMYSNPGFPNGVPDRKYLDEKFPDQPVVVVGGAG HSHFLNTEALKKAGYDLENEEDVQGGKFFRREDGSLTGELGETAATKAMIAMPKPSLA QVKRVLKTAIHAANKAGVTSCQEASANTLLLHALHELDQEGGLRMNVATHIVHGPEFI AHESKDTLHPLIQKAHEFRSQHVDTRFVKILLDGVPLPPLFTHCELDSDQKPNQDKLL VLDAAEAIKKYDSQGVTVKVHCTGHGATRMTLDAIEKARAANPNGPRHEVAHCSGVHD DEYARFRQLNVTAEMSPAEFFVHPFTANSEGLMDWNFRKMLNADVFLTIGSDWGATAD PSLFGPVSRVVESVGNGSKERGGEALCRMLTLHGAMAVGADKEVGSIEVGKKANFIML DKDLSLGDFDGATVLRTYFEGECVWDSEVS CC84DRAFT_1139754 MTSPTHPNVDLVPGTEIMNSDGREKKALIPTPSNDPRDPLNWSK KWKLMVMASQWLFTWISVTGALSIAPMFPLLGQEFHLNNSQLAFLTGITVITLGVAGF IIVPLSNIFGRRAVSLTFAVLIMLTCIWQALATSHRSLLAARALNGVVCATSETIPVQ MIADVFFLYERGAWTGVYFTGYFLGAFLGPVMSGSIAAHHGWKSFFWLETGLSAFAII LIFFTFPETKYHRDNTKGYTGATTPESNQSQAAITEDGSGQSSPDPRWKMFVVRDTWT PVKVFFNPIILWAGLMLAGPADLVLFFNITESVMFAAPPYLFSPQNVGYTNFAFVIGA LLGLASAGPFSDWVAARATRKNNGIREPEMRLPALWFFMFITILSLILGGIGYQRHWG WEHIVVWGYGFAGFSVTTVPTISITYAIDCYKPISGEIMVVATVFKNFIAFSYSYWIF DLAHSSKDGWITTAMVIFACTVGPALFAFPLYYGLGKKIRTWTRNSDVHRMEQVI CC84DRAFT_1238032 MMDTIASSEVGNLERTVDKAASSPSISSSPESSSLERPRTYSRP RRIVRSIQRHIWDDPDKSKEEKWFLFKLDLFLLSSACLGYFSKNLDQANINNAYVSGM KESLKMYGSELTYTSNVFTAGYVVGQMPAVMLASRVRPSILIPTLEIIWSILTFCSSS VTNVSQLYAIRFLVGLCESGYFPVMIYLIGSWYTKAERGKRVALFYCTAALASMFSGY IQAGAYSGLDGVRGRQGWQWLFIVCGVISLPIAFLGYFFIPDFPETTRAFYITKDEAA RQCARLVEEGQKPLGHNPWNRKKLLRIAKQWQFWVLPMGYFFVQTSFPSEQPAYALWL KSTGHTVYQRNVWPTGQVAIGVVVQLLAGMLSDSPLLKGRRWPAIVVMQAGTLFGAII IAVWNVPDTLKYVAYYFTYFAAGVPGPYFAWYSDLIPHDHEMRGFVIAISNMFSYIMR IWWTNAVWRTIDAPRYKAGFIGASAMGVAMILLTVLLRVLQSRDDKRRTDDGAPVEET ETKV CC84DRAFT_1238036 MSITAIEATKVQTDAKKDYSQYDFANLEPYVHPPETKEDLPWSE LVTLDLEDYARPGGKERLAKQLEHAFYVKNFGLTQEQIDQQFTLAKHFFELPVSEKEK YEINYAEADYNGWRRPGRSLVASARDNIEVFNIPKFIDDFKGKYNYPALLEAHIDEIE VFQRALHANVVLPLLRLFAIVLQLPDEDYLVKQHTYQKKSEDHFRYMIYYPRTDEEWA AAKNGATGGHTDLGTVTLLFRQPVAGLQILGDDGNWTWVQAQPGTITVNLADTISHLT GGWLKSSVHRVVAPPQDQREFKRTGLLYFARPHNDTKLLPITDSPVLEKEGVKPRFDK IVTMEEWVKAKQTLQLNPEIAAKRWAERGDGKVEVLAGFHDQKYKE CC84DRAFT_496745 MKFLIVFLATAAIVLSQDTVSATGAACEPHGDHWHCPSGVPEPT IPPAAEPPETGASATAPATVSTANSANAATTEASTCEPHGDHWHCPSGVSEPITPPPA TATGEDHDHDEDDHDHEATASTCEPHGDHWHCPSGVAEPTFLPAETTSAASTTGTASG ANLAESAAATSSQFGGAGVAGRPGDIVQMAAAGILGLLVL CC84DRAFT_496750 MANHMIAWTHSRRGPPDEVLTLSTLPVPALARSNQVRVKITHCA LNPGASIIMQLLPFIFRVSTAIPEMDFAGIVDAIGIEVPPERDLTIGRRVFGSIPVSQ HAGTLSGALGEYVVVDHQAVVTSPEGLESHELAGLPIAGSTAIELVQAAELKRGDSVL VNGSAGGIGHLALQMCREQVGETGKVVAICSKENLDWVKRLGADEVIDRTAHCPLHEH LKVMFGSSRFDAVIDAAGVQELFNTCPEILKEGKPYVTVGPRPGSYTILGMLSMIKSM AENLLWPKILGGVARDYRQVTGIATPKAMADLVKMVQAGTLKVHVGTLVKIEQAKQGY DKLLKGSVSGKIVVEVSQ CC84DRAFT_1256617 MITAFCLTVLWAGVGAFPSKGEQPLAACAEPVALNASTNIWLSY TLHPHSIYRPYYAAAAGIVNDTVLKDKAIKVAETGTFLWIESNDDILKIDQAIQDVPC GNVLGLVINGLSHAGCDETIGETPGAKGTKRQSESYLESFIAPIARRIQQYQNTAFAL IIEPDILPFVVRNPYYKECTTSQLEYRSSIPQALKALDLPNTITYLDAKHAGWFGWNP NRTPYDTKNIARELTVAWERAGGAALKQFRGVSVNVKSYNSWDMSPGEAFRDESAVCA DLFNKARNEQRYVALLGQSFKAINSTFPLHAIFDSSRSGVQSIRQYWHDWCNNKWAGL GPRPTLELQRRVHARDPNFDAFVWATPVGFSDGTSEVGGLGWHANCSSEVAYIPMPEK GAFSQNYFETLLRSSRGVKSRGFGNGVVGGEQGVKLERRCDGK CC84DRAFT_1084542 MTDIHPFTLSIPDARLQHLQEKLALATFPDELEDAGWEHGAPLA DIKRLVHHWRTTYDWRRHEASINALPNYETPIDVDGFGHIDIHFVHQVSPNANAIPVL FVHGWPGHFLEVAKLLPLFRDSEKNGGPAFHVVAPSLTNFGFSGVVKKKGFGMKQYAE TCHKLMIKLGYEQYVSQGGDWGTFITRTMAMLYPEALKATHINMVACPPPYKNPLALL TLGFKYLTGTFSADEKAGFERTQWFQDHGFGYFKIQSTKPQTVGYALNDSPVALLAWI YEKLHDWTDSYPWTDDEILDWISVYWWSRAGPAASVRIYYEALKGEYTTERTYKTWIP NVKLGLGYFPKELALLPKSWAQSLGPIAFASEHKSGGHFAAFENPEGIVGDMRLMFGK GGGASGVVENKHGY CC84DRAFT_1161704 MKGDLLIWCGPLLIGGPAARAGSTLGLGRRCRGGGRCWPERGKA PWPCLTYSPHQPHIPDPPSTPQRSCRGSRHRHARARDHRPPQAIHSLHARARRACCTT LGPVGTHSLLAPVPRTQHYRQDRCGAPAPDLEPAWPVARRRKQRQLITEHAVAHDETV RENTSQAVHLNYYTTSRVCSGERPTSQHSSERRGLSASMSGEHPCRAAAKTMPRDPDG TQDERSFDSLVETLLLILVPGRVS CC84DRAFT_496797 MRSCTSILLASALALGAVAKPLEKRVMVTEIDLEIVTVTKYVTA GQPAETPVSKEEISTPAGYQTPKASSTLTVTRSQAPTKASSKSTPAPKPSPSSPGYVV APAPSSSKPVYVQPSTASSVYVAPSSVYVPPTSSAPRPSSTPAAAPSSTPVYGVEHKS GDDQAYLSSGVDYKDAMLWHHNRARANHGASNLEWSSDCESAASTAAAYCDFTHHVVD GQGQNLFTVSGDAYNATAGITESWYKAEADIYGAFDATPNMDTFHEWGHLTQVLWKKT THVGCVTIDCGSKMTINGKSSTLNLYTVCNYSPPGNYEGEYAANVAAPINSYQGFSWA D CC84DRAFT_1139765 MSSGDHGGIDPLDTSVIRSWRSIITLVVFVLTNIIVLFPFHVPL PVPVVARDAFFNALASLRVIAPRKGSARSPNQKLLWKRFPMNFVTAPLIADLFLLAIL AIGRSEVHDGTVGADNISPLDIMAFFLSLAYIAISIDASGLIRYLAFRVLKWGGKVGH RLFFYLYVFFFILTAFIGNDPVILSGTAFLSYMTRVSSNILHPRAWIYTQFTVANMAS AILVSSNPTNLVLAGAFDIKFINYTANIIVPVVITAIVLFPFLLYIVFADESLIPVSI KMHELPEELRNKKPVNPNIPNARANMEEQEEEHMNDETGQLLSLEEIMNPFLDKKGAA FGGVIMGMTLIAVLALNAASSSSGEHPVFWVTVPAAVILIVFDLVLGWLNRKETREIA RQGRAQLESKKEQKERLQPVQESPLLEPSESDTIAEKGAVESDKTRPATSTAGKERQH DKTPTTVEEGKREAPQDRTTLSSLIAKSWRWLQETFPTSTTVLAHLPLALIPFAFCMF VLVQALVSHGWVAVFAFGWDHWVSKTGTVGAVGGMGFLSVVLCNFAGTNIGTSILLSR VVQAWQTIHAQPGAPPISDRTFWATVYSMALGLNYGAFSAAFSASLAGLLWRDILARK HIRVSRLDFARVNLPIIAISMVVGCVALIAEIYIVRSSEPYDR CC84DRAFT_1161707 MVALLAPLASFSGHCFDASSHEAQKHRGVPRASQPLCHIRCCPS WTQPQPLPPGAMPALFPTPELADLAVRATGNATDGLRVVCAWPVSGQYGPGSRVLYYV LVAACVLARNVVWLRKACLAAALLLPAVGSLHALVLASLHVNGVVDMDIYGAFQVCSI AILAAPLTVRLSKTYFYDPGRNLIFLWTALILAGLLALAVEFYRVTPIICPEQVPGGS NFPYGITKCGLSCDENDPKSPYSPLRAGPAANIGVIPVPTTLTFNTGMLLATACCVPA VVSLMFTWDKILALHWKRRFGHSEETQRNRSIEPIEGTNGATEAHMDGINGAIRRVLG VIEIPLFSSAILAILIAGEINFFSHQVRWETEPIASVGQWGPIAGTVLAALGSLYVLA MTGGEKQLGTPNSPAECACGNHLQRQPTFTLEPDSDGSPSQISLDDHGVPIGIRRSHE TFVTDSTHPRRSTDPGGRRRVAEMLQKAGDKLGSAAHNKYDAKQYNNSRAREFPEIPG EAARNPELTELKRQYSLRRDVVLREENSRAVSPSPSNLTFPDVDLSPNTSPVLGQGGF SESPERMATRRETVEASSPTRTSFPDLERGRPPARRATLEVPSPTRAAFPGTDCNAVP ARRATLEVPPPTESSQSSANRDRDRTYARRSTLEVPSPTHRPAL CC84DRAFT_1214169 MRSLPTELWEQIFSHLECPMPIDNWWYYGSQYDVSSLKDLASLS LACRTFQHIAQKFMYRTILAEAFSQEDSKVRDENKRLLARSMVQNPRLAAHARNVCLD SLRNGEDVECESLLRRADVGEREKRWLKMAMMKETKIGWNGRLLALMRNLQVVDVAVY RDAFDIAGMLSGRSDVEKIVQTIADLRETMRFTYSRRLEEEQLLRAIPTPFTDETLSD NALPHLKELRIRHRDSESGSISIADIEAVLLHPGLERLYLLGFDWTSFSLRKTRWPLH PNPAIRILDLRECFIDATGLRDVLTRFRNLHTLHILFGDSRRDDPSFDTDREQFDLEV DLSAMGAVLREHGQSLVSFELHTTGYSSYSSTDGQVDSLKEMTSLRHLKIAECDFTGH RNRWENDGVLALEDALPAGLETLYLHYDDRWFGPCSPDRGLFVMLGRTLRSGRFSELK EIKVERYLNDSTKELEPEIEGWEVRMTEAHFRMGVSSSGCMRLLIELTPVDD CC84DRAFT_1161710 MGADIVANPPPSASGESKSSRKKRAKAEAASATPTLPTADKATS ELGANSSDVAGKANGGEDNLYIRELQKNVRNVNKKLNAMSKVTEIVAANPDVSLDELV STRKINADQRAQYQKRPALQSQLAQFEEQLAAYKKFEEELQSKAAQEKEILQKGHSEE LVKLRDTLKEEAALEVKKTFREQFLTLSRFLRAAAARRQLPEDDSNELTKAFEGALLQ VYGGDPSAVAAAEKLIEGSHDSVPSTDGEILSVTYAQVKQAALEEAPFAAEEAWADDV AQSQPTAPETDSPAAISTDPTVAHAGLTEIGTTTGTVTGAELDTSNAPAASSVDAGAA NQAGGDWDKPGPGSDDPLAESFEIVPRNPAETETPAAPAAVNVTQSWADDTPEAAPAA PADDGFQSVSHNRGRGRGGHQGEGRGGYRGRGRGGPRGDFGGRGRGGRGRGDGFRGGP RGGGFRGGRGDSSQQ CC84DRAFT_1161712 MEAPAPAPAVPAPPEVLPSVETPTHQDAHDDVANKPALTPPTSE DMDKRDERLSSELSDIDSDDGEDIEPDHYWDGGKIPVFKPTMDQFRNFRRFIDKIDKY GMKSGIVKVIPPTEWRESLPDLSEAVKTIKVKNPITQEFAGQHGIYTQANIEKQRSYN LPEWKAVTEEAHHQPPVKRGERRRAAAEAPSRNRSTRAQTNTATADASPAMKRGPGRP RRGRAAKKEEVDDDDTASIDVPPTPKSPGPEDDKKTSVRKIKEEEREESPVRARGRQP RGRQPKGDTKKSTTSRRMNNRTAIADYIDEAAFESFNYHLENLAEFGPDRCKELEENY WKTINFGQPMYGADMPGSLFDDRTTSWNVAKLPNLLDVLGTKVPGVNTAYLYLGMWKA TFAWHLEDVDLYSINYIHFGAPKQWYSISQEDARRFEAAMKQIWPNDAKNCSQFLRHK TYLISPQKLEKDFNIKVNRLVHYEGEFVITYPYGYHSGYNIGYNCAESVNFANESWLS YGRIAKKCECESDSVWVDVNEIERKLRGEPTPEYIEETDSEGEDEIDHLPSPPPSVAG KARGKPGRRPAGNKRKRGNKEPEDAPKPKKVRRIRIRIKVPGRGMPCILCPNDVEYDE LLPTDNGMKAHRICADYTPETYILNKNGVETICNVANIGKDRLELKCNYCRSKRGAVF QCSQKKCTRAFHATCAMAAGVQVDLGPMPTFDEEGTEYYYDGYDFRCRFHRPKKRNNK TVDVDYLEKDKFVMNFAKGLKPKDAIQFQYVGGEMYQIYGGLVVENRSGEQALVVDVL PDGDRVEVEWKYILKLAPEDSQRPKPSANAKPLPEHLKENDASLDISNRTDGVPEVGD PFHDPNSEQKWAEFNTAPDVIKKISKVDFSKPDRLWHYLGKNSTEARPQYTDDLSKRV HNPKSNFLDRVKPPAPIVPNYQYQYQQRSYPATYPIKPAPVSYQPQTPMQQQPKPYQY KPKESMMTTFRAPAYQPNTSKNPNSPVAHQPNVTYDHRSPGSPYGQTAYQQSYHSSRP PQGGYIPYAPPQQCSTHAKSPSTSGPPPLTGFHHYAQPAPSPNSVPPNLYHLPPSPYS QSQGPVPRPVYSPSAGRPGSQTQFHSGVPVPHTMSNPAGTSKPPIPNGGFTAEWAPKI PTATSGTPNGMPPMRSDQSSPGMGIKFGGMMPQNLPMPRPTAQFQSPDAFRQDLNKAP RPLSGAPKWETMLKHLGNTPEQPAPAGTTASHSPLPTLAPAPASRPSSRAPPPTLAPS PMAPRPPSASQPPTKSDTPSVIDPALQSYTPPQAPPSTAAPAIPTPPGEKPNTPQRPE YSPISDNGDTKPPPAVHAGETWRYTS CC84DRAFT_1184780 MGLPEHIETRLFINGEFVESSDGKTFDITNPATLKHVAKVYEAS EQDTDRAVASAKAAFPSWSTLSPAQRAPYFKKLAALIRENNDELAALEAASMGKPVGT FFDGFAAAGKFDHYAEAGHTVQGTTSVQTPGFLNLTLRQPYGVVAAIIPWNVPLLFLA GKVAPALIVGNTVVVKSSEKAPLTSAKLATLVQKAGFPPGVFNIITGFGNVSGSMLSH HMDVRALSFTGSGRTGRIIQAAAAKSNLKAVYLELGGKSPAVIFEDADLEKAVQETGY SVQSNSGQVCMMNSRIYVQDTVFDKYLELFKEFLTSKVNVGDPLEKGINHGPQADEVQ HQTVLRYLDMGKQSGDLVLGGSAPSDREGYYIQPTVFTNTPEDAQIMREEVFGPVVNI NVFKTEEEVLAKANDTEYGLYAAVYTRDISRALRFAKGLEAGTIGVNCTSPTTGVNDT PFGGYKSSGTGREGEPFYSLSNFLETKSVSRDCGSVVCERVGAHYSGASVPGPCRYCA RTGSVCQIATPRRKRPYYHVTEEEYQCAMRILEHFFPDRELNLVSLRQIAQDVVDGRL VGSVAEGEPLPSVSEETTPDEGDSLHEAEPVLDSVNDLHEPLGTMMKDSTGRYRYIGA HSEIPFNAAVCSMGREAELRRNPNIIGPPKVGLYPPPLPTAMTNEIGSPGRSFFLPRR ELCDYYISRFLEDVHCTHWFYSIEQFLYRVESTYTGKAGKLSNSWMCSLYAILGLGAA NYEEPSGQSPLPPGSPAASDEKSSEDYIALAKELIPAVYDEADIDSIRALAIMSIALE NLCSRTASYLYMGASIQVAYSLGLHRDSITDSGASMEREQNRRIWWTLFNLDLEIASR GGSPTLIDERYLRITTPLPSEQILYPGMHTPLSWLTTSVSLCRLKREIIQAVYTERFL NSRTLPFTTVSKLLLSLQGWQQLMPPHLKHDVPAPPTHKRAVAVLHLQYWAATILLCR PFLLYLVLKHSTLPPSKKVWFERMGKTTIDAAQKSLAILQAMASESTLSSLTAFDSTC LLRLVMVFILAFAHTKQPQYRSHIEATIALMKGLEQIGFSKMVAEETPLRLADLGIPI IEKRGEGAQTQLVLDDELIAQLWGNLDPNFMTPLQTQQSLDLAFDDTNYSDLNSEMMQ LTGLDDSIVLDANHPYSGFDFR CC84DRAFT_1113543 MGSEIEELKQLVAQLQKEEDVRKLQYTYGYYLDKCLYREVADLF ADHPDTCVEFLGGRYNGKEGVERLYVGRFAKAFVNGRNGPVHGFLLDHPQIQGIVDID ADGARAKGRFRSMMSAGTHESIKDTHPRGLVQWWEGGVYENEYIKQDGVWKIFRLRYF PFWHGTFEKGWSHTKPNYVPFMSKTYPEDPAGPNELCENPMLWPDTRVVPFHYAHPIT GKQVAEEDLRAPPYGEDPKSSTPALVLSKPKSEA CC84DRAFT_1214175 MKGTIAVEEAIIDQAGVEAHSFAQFQNLMRPGSDPTTGLSAHEK RLLDIHGERLKSMDEHGVEYMLLSLTSPGAQGEADPGKAKEIARVANDYLAGEVRKNP TRFGALAALSMHNAKDAADELRRAVRELGMFGGLVNDFQSQGADAEEKVYFDTPDYDP FWQTVEELDVPIYFHPRYAILQDLREGTKYGDRKHLLGAGVQFHLDLSWHLYAICSSG IFDRFPKVQIVAGHLGEGIPFNLWRASHWINHPFKRGSRPMKEDYSYYWTHNVNITTS GNYNTKGLKFCIEEIGLERCLYAIDTPYETVEEGQQWWKLVDLPEDQKEAVARKNAIR LFKLPLEE CC84DRAFT_1184783 MAHIFSLASPPPPAYHEGNQTGGQVKFPDTGFFQGFNKPSRLEA DIFECETTGSIPKDINGTFYRVQPDHQFPPLFEEDIHFNGDGSVSAFKFENGHVDFKQ RFVHTDRFKAERSARKALLGKYRNPYTDNEMVKGIIRTASNTNIVFWRGVLLATKEDG PPFAMDPTTLETIGRYDFDGQVLSPTFTAHPKFDPDTGEMICFGYEAGGNGYDASCDI VVYTINKDGKKTEECWYKAPFCGMIHDCAITKNYLILPLTPIKVNQERVEKGGNKFAW DPNEDQWYGIVPRRGGKPEDIVWLRADNGFHGHVAGSYEDEKGHIVCDLTVASDNVFF FFPPDNPTPTPTTLLQRNKLVSDTYRWIFDPKTPTHTRVQPARVFGINGEFSRIDDRV LTKKYNHFWQCNIDPTKPYDFQKCGPPAGGLFNVIGHYEWDTGKKDTWWAGPTCTFQE PVFVPKEGSTVEGEGYLVALLNHLDVLRNDILIFDAQNLSQGPLAAVHLPVKLRLGLH GNFIEKREVDEWYERRKEGGKVGPAVPAKEPLPWQKRMVERGEFKVVLSSLAAAYVPS TQTPPQERLQYHGRFFDSSSRRAYLCHSFVCLDSSLGQSRPCIETAEPDRDSTLVPQH RIQ CC84DRAFT_1214177 MFIGLAQHLLPNLREIAIVEDAKHERNRQFSHSVFFDLPELESV FVYNFEALEGDRDLHFRQLEMNNLIYMNHVMTSIRSRLDETSSRYCPDITIPHLEVSD AYLDSFSLVDLIYAFPALRTFAFDFRKSAIPEHPRFFNALISVLSTRAETLTALSIGG HPDDCHETATPIDFSSLGNLNHLRISISVFLGSKSGAQSLTDDRMRERFPASLQKLVL LVYKSEEHHILPPLQHYIDAEPPIIPNLKELEVCCHAPEAMHGWLQGAAERHGINLRI FRKLKTSQEDLSIVPFVNTVKGTKQEISQVKITITPPVSNLLLEELMPLEEYMPDTEI VVPIFEEASMHAA CC84DRAFT_1139785 MKHYPKPGFRYLLIVSLILGIWFIVLFHEHEYVPLIIRDGFATQ RRLPFEDPNKGGGQPVTERLDLSETECRASFPELFKEIKYAANSGKVMLTKDDGDYQG LVQGWIKDNRLYILTTAPDKTSQILHQRTAILSQLHRALLTSPARLPNTPFAFVVNDS PRNHSWAFSRPNKQSDYNLFVMPSFAFWSWPSPTLGAFDATVSRIASLEETTPFDRKI DKVAWRGTPWFNPLGHPTLRQDLLKATRHREWADVAALNTSTDNALAIEDFCRYKYVM YTEGVTYSGRLPYHQACESVLITSPLTYLTHTAWLMKPIVAEDLIAAFAKGGGEGHSN GRHEAPLPLLQTVRDWRAANAIYVSSKFEDLEEVIMLLRAHPEVAQRIARNQRETVVE RGYLSAAAEVCYWRALIKTWAVSVVKGDEWEKDIGERYETWLLKQVASTSERSRRKSA G CC84DRAFT_1081241 MWEKRVLLEATDEVCLEHTSKYSLLVKEVEPKWHDVRFNGTFLH ENVYRQSAGPEVDAAWEALGVDYRPIVVPLEEAEKTGLRRDQVRVEDIHGGGFVANVE GLHHLHCLNILRKSLRWNYEYYLTEKKPPFSNSEDIVRIHVTHCLDILRQQLMCVPDI GVLGQVWYKLESMPQPMPFVDFNTEHRCRDFEGVRAWAERHQLPDEREVDLEHFYRMP GPGDTIYSAIP CC84DRAFT_496959 MSLTESAPPAPAPVQSLIAHDTSPQTHDAALHSLAIQIKHNLQY QHNWTALDTHTHSPLTGALLPRPLLSGLPPHRLYVHPDEQIELLKDADRARKANREGG PGALEVKAAPEREWILPARLSEKWTLRRLAEVFDGVSEVPPEEDGTVGETSKWRRTKR VVLATVDTDSTVVYYIVQDGVVKPRQN CC84DRAFT_1161718 MFCRWRNQLLGPPVTPPGPQAGSTTDIIIAPPGTGGGATPPPGT PTPVVSTSSSTDAGGVIVPVPITLAPPGPPPNPDPPAPGNPDPNNPDIPDTPSTPDTP STPDTPDPNTPDPNTPDPNTPDPNTPDPNTPDTPDTPGTPDTPGTPDTPGTPDIPDTP DTPDTPDDPSNPDNPSDPNDPNPNPNPNPNPNPNPNPNPNPNPTPGPDPDPSNPPQPS DTGSGEPTKTDTTGGDPTQAPEPTVPPELNCDEPKTAEQCTASCIASTASPDTTLTTE CTTTVCRTDIACDAVPTTVSEIRTKQDNPACTLAPSLSPDGRRPL CC84DRAFT_1173219 MVFISPQRTLLLFLTAGIFQTNAFPADGAPNSLLPTFTLLGGNG GSETGRCTGTDLENLKASYEDMCLMARSARDEIDFLTQQAALTEGDGPPKPADNAQVP VDNWKRWHRTRETYVSLFGADPFVKRGDPPGPKNPGDFIDGHKRFVRNFYDDVVNQYC GGAPAPDKSTLVCTDADFKFIQVGNVDPGDPQGRNIEVTNPQCTNIDGVWYSQNMPPG NQYDNRAPPRESGDGPVCDDPNHLAVVVRKWRAVFFCKDGLDTNVHKVVTIQDVRDKI KPASRRYKKLEDHEIVLQALTMGQLTRLDDVKRVGGEIMPVTWLHEMHHLLTRSTDEK AVDKTGNRIRITINEYNSATNQYEDHEADEKAYGYDLARDLALHNHVNAMVNPENVAF FGLAMFFSEFDWSRGFAMPLKEPK CC84DRAFT_1238099 MSQPGLQDPIRSIFSIIELDFGTPFTERGLPPEHLEEIFRRCLS NLQQEEKPEWVQWGADIESRNKVCFQLGRKLSQNWRSVDSQMAISTAKGMPRSIESLS PFLTCLPRIRHIQSQDSNDTWIRCGLFPWYERHCDIFTIEAPPSTLLYETIKNEMTML RRDCIWLLYNQVSGTTGRDRPWEDIKEVILGTEKLYGLIDSDHESGIHAFVFLVYWSD SDSMARVKDPEQEIISKNYPNIRSDWWDVEVVGRLEKLKIMGASVKQSTFDFREFSQQ ANYPLLWPDAEIMAARQAASDAERQKSSKTKREGCKSCRLM CC84DRAFT_1161719 MAQRARDEIDFILAQAAATQGEGPPRPDKAAAQADINTWQRWHR VRGTFISMFGHDVFAKHEDATGNPSDVPPGQPKGFARQLTRGQYMTLEKN CC84DRAFT_497005 MSELQEKGMPRSLELLSPFLASEPKIRHVLSPDWNEPITCADFP CAEIHCDIFIVESPSTGAFYETINTEMLTLRCDSKQLLIGDIYGDRPWRVFKQVVLGL DHSNKLVHYNLGSGVCTFIFLFFWKSLESMNSFKQPSQESTGSRKQKLDSRCWTTGIL ERFAKLENVGAQVRQGTFRLRPFQGQYPLIWWVPDSWHARTVPSPGLNKSWQLRLLLW IQNKIFRRRPKPKIRYTK CC84DRAFT_1161720 MALSSCALALCAASASLPLRSLLDSRGRIRFRGRGSFPLGFSST SLHVAPHHERASTSNGASQLKTATDRPWHMKPAEIASPHNVLPN CC84DRAFT_1161721 MTIQTSVPSSLATDGPLTNPLRTTETIPQLTFCDGVYTNTPCAS ATGQMSIPQGETPEPTSMISTSVPSEESSSSASQGSSRTGSVSRPAATSSASGSVPES TPSETPGAAASVFKRDGNFVWVAALSGLSATLGFALTLL CC84DRAFT_1083880 MAASNHNVPTPVPFPHPDATPPPTSATPTTPVAKRSRTSTSAST NSVTGRIRTASIKAMEASPPPGMWAATGSVTAKAPTLADIRGGSFSESGWQDGPQRMK AERKGSQGEGNTRAIQRPGSNLQGLVEVESIARPPISATRTTSSEKLRSHGGQSGVEP FPVVTEEEMNAYPQQEKGPSEDISQAPSSDTTKEDLQDGSRIREKGKSSSKDKHEAEP QHYANGYQPPPKLPWTTSTVIGLKAFWKWFLTIPGFLITLYGLNVVAWGGMLFLLLCN AAPAMCTPTCDDIQSPRRIWVEIDSQILNALFCVTGFGLAPWRFRDLFWLGYWRLGGA TRKQVGMRRLAGIHRGWFRLPGSEDLPEHADATTVDPEDPAVPIPVAKIPDPPPTGVR APPTQGWKMDFVVWMNVWNTFFQVVLCFYMYHYNRYDRPSWATGLFVALGCIVAGLGG IMMHIEGKAVKKVEGVPMPEGGYQVVPADAEAQPLQAQASR CC84DRAFT_497058 MAATEYYMGTAAAQQLGHIRPPAHELPGHQQLSYQHSQPANQIQ PYNERPPTYSPYPAQQQQPRPQQTYQPQQYQQRPQGHQQPYPLPPASYSPRPTSQPRP QRYPQPQPQSALLGVPLQHHRSHSQPPRVRFADRDEYSTDSGSYTSDSSASRRRHKKH HHHHHHRRSSDHDSRDRGYDSEPRSEPRNAHSKSRKNRDTFLGAGAGGVIGDAIFPGL GTVGGLLLGGYGGRKRASKKEEDREWEAESDADSARKHGRNHKHRHHREYDDGGYAGE GRHKHHRGGESGWDEESRTYRKGLAVR CC84DRAFT_1238105 MKVSTLLELLAPAAMFSTVQAGCYSADAPWWGSFQDAANAAVDQ HCNPGGVSGYFAQGQTKYFCIQHSALQKSEFWVQWKGKGGLTLKDNDCKLRLKNEING CKWGGESTIADWYFRSDPNIVKLNGIQFSQQRSITTPARLYKLSDSTSSSILPTIAIG ESHHPRHEPARSWLRVSPVSLQSPAPSDPNLYPAFAYAHLGSEPPDSHSSLS CC84DRAFT_497386 MAERTGSLALRILWSYVVLFAWWDLHMHSTGSENEWIRLKLRRG TCCGLRKEVHCSMFLSRAKGWCCRSVHVSPATT CC84DRAFT_1065158 EDMGDSGTTATTMTAPQPSRFEHDDPLALHEYGLSELRASMASF SDFPMAFGPNQGFGSGYDRSQTSIQTNLHNHRGSMVDMEDGNVSGGSAHPHRPSIDLG RDGNQTSPESAAGLGMLLGDGMDDASLAMKLEDGILMEGAEGAATKGDSQDPPPWSEM KTKAGKERKRLPLACIACRRKKIRCSGEKPACKHCLRSRIPCVYKVTTRKAAPRTDYM AMLDKRLRRMEDRVIKLIPKESLPSVAGVGRSVVKPALPGAPPKTPTAHKKRGAEEAF GNDLDEWAKSKSANPDASGSASRNKESDDTSLLTEGVESLPSKEIQEHLAEVYFDYVY GQSYPLLHKPSFLRRLAKGDVPPVLILAICAISARFSTHPQLRSEPCFLRGDNWGEKA REIALRRYDAPNITILIVYLLLGLHEFGTCQGGRSWMFGGMAQRMAYALQLHKECEYD PSVPEADRKPLSLTDREIRRRTMWSCFLMDRFNSSGTDRPLFVHEQYIEVQLPVKEHL YVHEIQAPTENLDGNVPNPVAPDSGQLSEPRENMGVTAYTIRLVCIWGKLVKYMNLGG KEREPEPMWSAKSTFHIIKKEAKEFKEALPEMLVYSPENLKSHAIGRTANSYLYLHIL YQQIILFLHRFSLPSKAGSRPPKEMPHDFLTESARTALDAANQISILINEAMDHNVVA PFAGYSAFFSSTVHVHGVFSKNPKLEAQSKKYLAYNVKYLSKMKKYWGMFHYIAENLK ELYRQHADAQLRGPGAAGDKTKAVIFQYGDWFDRYPHGVSKTDYEDAAEPNTNEPGTD AVLGQKSDLQSVEEFFASLSPPTRAETQRKQARKKQSKS CC84DRAFT_497421 MALASVMAARGPSYNDTSPLCLVVVLSQGQSQGCKILMFSGRFQ VSCGRLKHLHSSLHVCKLQSRKVRLSSGSTLCGKLNTRENAL CC84DRAFT_497422 MFAIPRSKMADPSGNPEPTRDLLSACPYGIINIPAVLESYLLLH ASDEDMHHHLRTWLATAYLDGNTQLSSMNEPLGRRIRCLQDLGMLVCAYGQARALKRP KTNAMQHELYFYGQGPRKEEGLQAGKWMQQWAKKQIEMVGLKGSRKPPLGFGEGEEQK EARKKMGVGSLLPVDGNLLFGNSIAPKGIPAKGKETVASVRKMSEVEEKDNEEENEAE EADGWLLT CC84DRAFT_497428 MQTTRFEWWGRATLTLGVARSVLKNTHTRKAALAFVPSHSESKG SQRTIRAPKNSITLDTNLRLSFGGIQTVRCSVRLGVTSATEARSRRGPSTLPDVKFSL HQLHDHNLHFSTRGCEKRPLLLCLLSGSSTVILCPSLGCRSTQTRLS CC84DRAFT_1173228 MSAPTMDMPPVAGMVDLPTLAKMYAVLSTSHDDDDKNLAAWIKE NYLPQDFDGVIEQGGTAIKGAEGFSKLYNAYKRVRILTNRTQDKWPFFDRRWKRMTSA QVTKMATSDDPAPPSNASAEVPMKSPPVRESTEEGPSMPMAQLQARTSSTALGQATTT QPPAAETIKPEVTQQPANMSERVERLPTPISPNMVAQTRSDHTEKSKTVNDMSATSTA VSILAVESAPEHSNLDDLPRPSGGKRKRDPKDFDERGLFARGHGNLRNRRGRCGGKGG RGCKNKTLATEQADSTDKVTEHTPPTFQDTSSRNDKDTEMAHAPVKKPKTAPPKPRVT RRQARLSGGSDDQNSASQAVPALAEPQTIGFPKLQAGSDTTVNDNEQNNTVSQAHKDA VFTDNEDTLIPDDDSATSNLTNTDLLAMGLSHYERPRTKSANTIAKADQDTAKLDSKA ISLEKAVGQDTKLRELATVTYFARVNTGSASFEVSLDDAMNDNKKLNSDLLGYASWRQ KMGEKGANVSFDMWLSIFNIGR CC84DRAFT_1256639 MADMFYLYNNYTGTALTLDPTISDYGLRAFYGGSDSALAFTFTP IPNTRFLKICTSISSLSYCLDVYGDQKTVPHLSEEGNYSGQQWDVITARGGLVKLSNQ YTGTSWYLDVYSDSNGAFMDQGDYAGQYWKQVSIGSMTTSNPVESTTSAPSAAAAATT TISTKSSSTQSTGDTVTTSGAVSVIPPIPSANTSGLSTGAKAGIGAGCGALAILVGSL IFVILSWRRKSRFKKADNVLGGATTNEKKPVFLDQDSYPVESNQAYFGTAELPSPAVD KPVLEMLGQSQPVNHSMDGSKGHAVVHEMPGQDVLR CC84DRAFT_1161727 MRSLIAAIALLGARSSAVPLTARGAPSVDLGYAVYDGTLDSQNG INIFKGIRYAAPPVGNLRFAAPQPPKENRTAPISATIDGPACPQTGAGKDTPAAYGFI SALGNEDCLYLNVYAPSNATNLPVFFWIHGGGYGLFSAQGLDPTEFMKTNGNGFISVI IQYRLGAFGFLSSEDVKSDGALNAGLLDMNFALKWVQRHIKSFGGDPARVTIAGESAG GAAVMYQAMAYGATQNVSLFNNIIAESPWVPAQHNYDDEVPTQAYEDFAEAAGCANAT ETLQCLRNSDSEVLQNASAKVSEASAFGSFAFLPVTDGCFVQDLPSTQLVSKSLSGRR LLSGNLANEGVPLSPPNTTTLEDFRDYVSLTFPSFSADDKAELEDIYSYEGDDQDVDP SAPVYDTDGIALITAVNQSTFGTGQQQRVFNVFAESTFVCPSYWLASAFPQAWKYQFS ANPAYHGFDLQALWSGTTTPGASFKHAFRKIWGNFIIHDDPAISVSDAKGGVAASTVP EGADGLMDWPVWTDKMPVLLNLNTTGGNATYHPVTEYLKYYTYSDPGVVNVITVADAD AWEGGRGERCKWWLEHAESVPY CC84DRAFT_1084471 MSAFTPEELVYLEAHKDETRVSEIHWVYSVPIAFGILSTSARLW AKSSGRNGITLDDWLIVVATICLVGECAAGLGYGPPHGMGRHVIVVSPHDQSMVRKGD YVFSHFYDFALVFVKLGILAFYHRVFVVPLFRKVVIATTAFVIAWGIGITVTLILACR PIEAFWDTSVKATCLDLVHFTYFTNITNMVTDVWIFLMPVPVIWHLQLQTKKKLLLCF IFSIGIATCVVSAIRLTVVLGHGYADFTWSYVPLGAYSAFEPLGGILCTNLPIIWHMW RKQRKSEALLPGSSLFRSKHSSAVTPSSHESRRSRIARSLGLSTVDQTGTDSQARTML DAEEGSSGFGESSTTNRERALFFGKVERIHTQTQEMAETDNRADSGSKRTRSASESGS SRGPRNPNLKKTVWEVRRKR CC84DRAFT_500165 MDVTRHPNCPRSRFEIVRPITERPGQNNDGIFVVEHVTTGEYCV EKRLKTADVANGYAQREIDVLSQLSGHPNIIQLVDYQLNNDPQLQECDLSARTWTEYC EYGSLRNVVDYLQDSGNPRLSEPLLWHILASLAEAVRYLQQGPKEFPFLSWNTVCHRD IHLGNVFLAAEPAGSNFPRVVLGDFGSSTTVAHTLFGYNSEWAISWFEEFFAPPEFPS YYPESDIYQIGAVLYCLMYGQHSPYYGTAPRLVHGKRGYLDHDIWVRELQFDAPYSDA LVDIVSACLCGDVFSRCNIDQLLEMLGKI CC84DRAFT_497499 MLEEICIRRRAGCKFELGSVLNHRWIIASSLSDHDNHASDSCTN RGIKLVQENSTGKWCILKMLPPDILRPGNAMREICVLQNLNRQGKTGHTNIVRLLDFA DGCQHPHDIPWMVTELCDRGTLAQLVENYASQGVHLPEAFLWYAFERLAAAVQFCHNS GVVHRDITPTNIFLHSNTDMHTYPNLQLGDFGCAADEHYLLESTVEILSPGNPDFMPP EGYLVQASCDIYQVGLVVLCLCMRETDPTESLADFFNEMNTCGRQISTDLKRLIIWCL SREAAQRPSAEVLVASIDRIVSERQSNRKTFAFESLIEARNGMMASSNVAVPSL CC84DRAFT_1082426 MRKTFYTSLLAAVPMTALAQERPGLANSSAVPTHYGILLTPSIT PIDMFGPMDVFQGLAMGFQNLTGPMHLSIISVNSTPSTTNPPMPGIDFGMALPPTITI AEYLAAAANNFTSIDESTTNSTCHTSTKIPGPLDVLLVPGGGGTRKDVSHEVDFVRTV YPSLKHLISVCTGSTIIARAGVLDGRKATTNKKAWAWATSFGNNVSYVPHARWVQDGN VWTSSGVSAGVDVSYAFLSSVYGEEVSQWVADVSEYTRWTNASYDPFADRWGPLNTTA LK CC84DRAFT_1173233 MRFFKKKSTDEVAEKDTSNMTTPAQTPPRKYSNPEVEAPQGRVP FVAVVLGAVASIGGFMFGYESGQISGFLAMSDFIERFGENGEFSAVRQGTIVGLLCVS IGTLLGCLGSAPLADTFGRRLTISGAAFWYIVGVIIEITSERVWVQFAMGRFTAGLGI GALSTVVPMYQSESIPKRIRGATVSSYQLLITMGIWTAYMVNYGTSDQYQNSAQWRIP NGLSALWAIILGSTILLLPESPRYAYRKGRVEEARRNMARLNGVAEHSAFIDAEIKEI QDKLEAESAGGDHPWHEIFTGPRMLYRTLLGMILQAGQQLTGANYFFYYGTTIFSATG LENSYVTSIILGTVNVAATIFGLWIVENVGRRKAMIFGALWMFVCLFIYAFVGHYQLD HANPTSTPQAGNIMIVFTCLFIAAFATTWGPLVWAIVGELYPARYRATCMGLATASNW LFNFLISFFSTMITNKIDYFYGLVFGVSCAVLAIIVYFFMIETKGRSLEEIDTMYMLH VNPITSAKWTPDALGRDGLVETDRLQYGPGGRSWSKAEQGAPTEPQQERAEGILV CC84DRAFT_1161732 METLQPNVGAAISITYSAALIAFLSRFFARKWNGVPFIAGDWVS AVAFFFVTAYNILFLIKLKFGLGLRRENIALRKHDGSINYDSMAHEYFFFLYPDMWLY TAAMGMSKMTALALQWRLFGKSATRYWILLLVGCVVWWMFARCVVILAVCTPISHFWN RQEPGHCRINLTQFFYSTTDSSPRFRSVYRSATLVRDPKAEEG CC84DRAFT_500514 MWVKLDLDYWSFWLQNHYADDPITGLGMPRLLQGGLLLNLSSNT MPLLWTQGGQRGPSEGTLGLPTSWDVSGQTNFRKCIAYSGTDWVAIASYMATKTQTMV ENQYLRLVNCGDEDLGRAPMEGVERRRKGVDLGVAPELMARPKGRECMKPTIVHDIPR RHSIASRLEAVELIATWRNNILSSHLVLLLRIGDALLATG CC84DRAFT_1161733 MAVDPWDRSRVDWGYIFDPKQADPLERLKTFLETGGDLHAISAK SEEGNVFQLPCLPAATKMRNAAAVSLLLAHRIDVNQKLCRLHYFTTFETAIMVAAEQA DCEIAEILLSQQNVDLDGYHYFSSSGVMLKAAVSGRRRITEMLFDAGTPLLPTGREKE RTPFQVACKLHFPDPFWFYVMHGLARFRENREEPPKPESPPVWVLDSLSKIQPGNEVI ARLEASLLELVFPGTQQKPLHVKELCQRCEVLPSEILRAREERLAKWKGDALSFNYGF IKRCEICRVELMDHRLLPEEFKRARVIDPDSERYEAHLYNNEDDLTCLRKVVKDEALN TTGSHGVYSFAFYWLLRCLKSHPECTENDRIPQLPKRVIDVGSEDSKDPIHIHETIPE QKGYYLALSYRWGRDPVKLLEENLESYKTALPVQDLSQVIQNAIEVTRKLGIKYLWVD ALCIMQDSKAEWESEIQSMDRVFRQALLTIAANVEPSGGRTGMFRSRESHNSSGNVGL RGPGVLETRGWTLQEQVLSRRMLIFTEDEVYWTCVGIDASISEPAGIKARDMGPTREG QVRMLQRYLRGFGRDDRFFLRNTYVVWLTLVTEYSRRDLTNGSDRLAALAGIQSAIGT MLGDECVAGIWRSQLGAHMLWWIGDETEPVSYLHNKRYYPFHRDIEPTFRPAAEFEAP SWSWACMSGPIWYCRLQDRLKTPPASSDDLEIIVDVHSVSVQIKGPSKIEGSVTLTGS LLKATATINDQDGRPYLHAEAYCAPCSSHGTPTQHGFVVRPWMPDTKPPAEGDIFCLH LKNAFQQWSVCLVPTGNNPKVYRRVGAVMWRDGHDSDHIFPAARHPTARHQNFVDIVA VERGRDSGSWRFKKDGPRLYPQSWAETLTIV CC84DRAFT_1113568 MSLLIRQIWALIRKNLLLICLRRPILTFIRAVAIPLVVVLVLSY TKEFFASPLKYGISSPHNTRSLQEGLAVSDGRDIVGFVSNGMQGDVSELIDALSKTIK DAGKTPKQFNSTEELSKECVSNGVDGSGRKCFGAIVFLSSPEQGTDQSPKGTWNYTIR GDPSGGFTDVTQDRNNAEIYTIPLQHAVDAEIVSRSRSGQAGSFPQTKTVVYTSQNQQ SLDNSRTSNFLALCIYAFGVLYTFTLIGIVYHMTSFVSHERELGMSGLIDAMIPGGSN IRGRLARQIATYISFALVYLPGWIAVGVVVSVLAFPKTSQGIPVGFTIFAGLALTSFS LFGASFFKKSQLSGSIMVVIALVFAVLPQTLYEQTSVTCGILSFLFPSATYTYFITGA AVFESYDLKIQMWSQPPGEIESTQQWRLNIGIHWVFLAIQILVYPILAFLVEHVRFST ASPYRTFAQPPHERAPTVTLTSFTKTYKAGIMGRCFKRRKDVNAVTDMSLNAYRGQIL CLLGPNGSGKSTTMNCIAGQHKVTSGTVAIDPSGGLGYAPQNNVIWPELTVEEHIRIF SDLKCISKVNDEVVSELVRMCDLQKKLPSKAKTLSGGQKRKLQLAMMFAGGSAVCCVD EVSTGLDPISRRRIWEILLAERHRRTIIMTTHFLDEADYLSDNIVIMYKGTLKAEGTA AALKNQFGRGFTIKLPENIDVEVPLSGAIEKETARHQVVYRVATPALVTELVEHLETR GIRDYQISGPTMEELFLKATGDTIESTEAITSKDKQADNADEIDEVVPVKAVDEHYEL MDGRPISVIKQWYLLVGKRFRILRRRYIPYFVAVAFAIVGAGVAPLLIKSFKEPMNCP TPADLFNDYNSAWRSDLSSNYATPRILFGPPDKVNDTRLAQIATVYSHNNTGSAGCDS TVSYCSDYGYHNTSQVKDSLVMVNTYEDFIKKIELYQNYQSGTYDSSSLPANFSFRDT SVDGGIWMGDGGAIVAASIASPENVAIMLNFLDNIVTGVPIATGYSAFPTESAPPIYD AHSLIFIVYYGLIMSAYPAFFALYPTNERISNVRSMQYSNGIRPLPLWLSHLAFDGIF VVLISSVATGLLSASTPAWFGLGYIWIILVLYGLTATLLSYVISMFAKSAVTAWFMIA LGQVIFYFAYLGGLIGVQSSYSYFKMESVFNSLFFGLGIVSPVVCLERALTIGLTQFA ALCNGHSAGSIYLFGGPILYLLLQGVLLFTILLWWDSSFKLPAFGKRSAAHDPEATEM YSKDLMNEHKRLASDTCDLRVASVSKTFGKNTAVDAVTFGVQTSEIFALLGPNGAGKS TLISMIRGDLAPSTADSQIAIAGHSILSAPVAARANLGVCPQFDSADVLTVTETLAFF ARIRGVQDIAHNVTTVIAACGLGPWAHQLAQKLSGGTKRKLSLAVALVGNPRVLVLDE PSSALDANAKRNMWRCLQNIGKGRAVVLTTHSMEEADALADRIGIVSSRMLALGERED MKRRAGDSFHVHLVSASAPRTTNAELQRIREWIACTFANANVSRETQGGQVRFEVPAE GRSLGGLIALLEREKDGLGVEFYTVGKATLDEVFENIVKRYGEYSEK CC84DRAFT_1161735 MEAARQACRPKHQVLVRKCYPKFTKNSVEVKAKSSELSYLLYYA STRRSKLQKVGDFLDKRTTADVWKVRTGNVQVTLHILKALIEKCPRDLPLYAAAVLRC LRTILKSDHVAMVEGSVPTFEALCAHQDPASLAADQDYIRQYEEIVQLYADFASKDTL KVGKTPVTWPVAIRFRKAGLHAIKAVAASESLGSETGRQLAVIMPVILLNVYSETGDY LRRLESRENEKDEHERSEQLRRRQSISTVRTDEDEADPIAASGTTEEADKIAEEEAGM IALEALRHIFLGVNHGQLRLATSAVLKFIGSHLNSQEQENGIASWATTLLSMICLWAP VQDRYAIMVGIVEALVRSPILEDDLERQLVLATVINHLLSSTINFIGLSVNDVLIGLI SHTLLLLQLGGPGTSVMPHHQQETSVMEKDATPNASGNLGGVVMEVVKEPSQLRLQLL NTAKQCMASLAVHVYYTDQISEMVSAILTRLKPLGIAGASTAADAIEDPSGAVKSIAD SLKERSPHVDRFFSFETARLTALETVKNIIKTANTRRPDGSSASVPRASVSVRAWEGT QWLLRDPSGQVRKSYVDALVTWLTLEKKKEDLKFVDDYRKKEKENDRGVLARRAVSNA SQRENSPRRGKNTFLALLHLAVYENALQFVDSEPDLLLLQLLLTTLVNKLGVNAIRTG LPMILRLQEDIATIENPGAKVRIGSLVHGYLWALSIAMDFETSTVGRIIHSEISRRNE KGLWVKSIRVPPMPIDRIETPHAEPVKLPADLVQTEALKPFDNRDALVDKIADGYADS LRSPPSSPPASPGRPRSSSGAGRSMSIAMTAPATLAPPLMLSYKVKEDLLADWSKETC LATNTTDPSSASMTGSRTAKSNYLGVTIPNGNLDSATNSPVPSPRRAHSRPPSMAYGL VGRVASPHRHHSPSRTPDNNSSVRSTVRVDDLKRVLSGSAPRTSYSTRAPSHRNHTSS VDSSASESLVSVHSLSDASFVTADHSAAQQPVNQPSPIPAATTVTRPPSTTGAPASPP SPNNAHTARARAYSRDAVPPVPPIPDSLRDKSLSPAADRPKTAPGPANGGVDVRKSRS VRTSAGKSVKDRSASRGRGGANGFVNGSGSRKVGKPDFSGFLDSIDVDGDQDEGSVGR APY CC84DRAFT_1161736 MHKIIDYKRYDPPTIADLEHKVNILKDVENDVGGTVRETVAEGV TMGQVFTDHIKPGKILRLASTVPRIDTPPNKDALERRGSADRYRDYVVFDAFSTGVHA EVGGRTATRARGNYNEIAHMLGLREVKLQLGTPMTHFAQMLDKAYQFIQLGCPVEFDI CIRHCTDKQAAILFKCSDPLDSLDYVYKHFPHLRPDFIYKSMPEGTRWIVDPFTNGRH VQFVLGHGTKRDEFGMSNFTKRVLNVQKAVRKGIEEGRVQQLPRGMKSGFAHAVSNKG HEQNTAPMRTRRGDLDRMWKKDENIAANEAKRQVNLRAKMSGGERELEAEVDGAVEEN YDAVYTRHLEGDADLSPFLSTSGHLCERALGESYSKSLLGDIQGATHGRLEKEETHAT LGERGGHNFTPGSYARDPTDEIPEEKRHLWEAWTAGGQDNDSRYYVPSKKDASKLRDK KWERFSKSQGKGQKGGHKSSRQ CC84DRAFT_1139813 MDPVIFSEVSYGPAPLPKLRTAQECPRRDSLSSSRTQDSGYISD AELSLSPLDFVSPNIPHTSSDFTCDSSALFDVKEIACSRTKRLAATPLNPVQAKKHKI IQEVASTPRIKVTSNPTPVETSVNTTDDPCTVDAKQSARDPSRNDNIELRAKDNLRPN EQPKERNHSIVSLDSTGSCDMYSNTDDNSDDEHGTTAVPGTLSKAALKTIELILRKVE VNLGHAAYMQCAGGHASRTPGGANVSRGSRSSQASSGKRKARASDESLPPDDPDEDDA KKRRVSVTTTTEDSETGPRFACPFFKHDPNRYRSKRTCGGPGWPTVHRMKEHLYRSHA QPIFCPRCYTMFDSDSDFAVHLRGNPCQVSAPQPIEGVDRRTVESLKRRSPALRLEED KWRDAYQLLFPEVAVADIPSPYYDSDSPTEESRRFRRELLERVRQELFATAEREPGPV EQRLLRQVAGIIRRCESDLLESLYAPPGTQPSSQPPSPRASAMAGFVYHSPSQTTPQS QPGFSAGLGQLTAIPGPQTGPASPRGNVNDPGAPVPLGGWNDPNIFSSSDWIDWNIPF PPGPDMQGTEREDAFMALSAPVWTR CC84DRAFT_1161738 MGRPRSKQPTNGVKRELPDDAETLKTHFAKKTKLLDDSDDEADG AVSLKINEDYARRFEHNKKREEQHRLEEKYGKGRALQDAGEESEDSQEGVEEDDAGEL VTEDLDQEISATLAALRSKDPRIYDSKVKFFTAAEEAAANATNAADKGSSMTLRQYHT KNLLEGNLGDEEDTPRPTYAQEQEEARQELVKELEAAEDNDEEDDFLVAKSRSEPAPV PEKRVKITVADVENADKDPETFLSNFMASRAWVPKGDARFQPLESDESEEDAAAEEYE HSYNMYFEDTTGANEKLVTYARDAVAKTTVRRDGKSSRAKAREAARAKREAERKEKEQ DLARLRKLKMDEMEHKVQKIRQTGGLKGRDFNIDEWKDVLEAEWSDDQWDKEMQRRFG DAYYQDNDAMSESDDDEDDEAGKRKKKTKKPKFDDDLDIKDLIPDFKDNDEEKPEFTL TDDEDAEGGADDMDIDDEDEEEDSTSKKSSKQRKQERADAKSAARRDRRLIENLVNDS LQYETALAASSSKAPKGFRYRETSPTTFGMTPRDILLASDKQLNEFAGLKKLAAFRDP AKKKKDKKLLSKKARLRQWRQETFGDGDGPKGGFETILKDDEGEVNGNGVSRAEAGGG NIHEGERKKKRKRKGKKSMVES CC84DRAFT_1139818 MAEGAAAQPVLEPVANPVALIPVVIKEAALDSPTFRATAVHFGD QIDLIERWLTTYLTAVSKLASEMGALQTLVDAYVQASHPPLQLSEAIIDHDYTVLALK RFGEGAREFWNTTLRAMKRAETTVCEPVRAFLNNDLRMLKEARRNLDNAQRTFDGAIA RYAGQAKTKEPSSLREDAFQLHEARKDYLKMSMNFCILAPQVRSTLDRMLVKVVNEQW RDMKSARDGSASSFASWSADIDRVRGWSKEMEDGERVFKRELHLARQQIENSAEVAAR PARELDSYAASTVPYLGTQAPSTANLASPAKPDPANEKAEKQGWLFQRTITGKPARTY WVRRWFFVKHGIFGWLTQGTRSGAVEESEKIGVLLCGIRPAFQEERRFCFEVKTKDTT ILLQAETQADLTEWISSFEVAKRKALEDTSKDSGIPGSIDAAFSISPPVAPEFAAKTS EGNAAHGSEDAGIAERNETLVIPGSDSLAARGSFDVTRRNTAFEREDGSRRDHATRIM EKLDLTRKSTVGPQLSGSNPSSASGGIASLISASLASASHNIVQVGQITAPAPGLPDT RLVMGQTLPFSSLAPSTLANPPAPTNLSKAAVAVSGERGLGIGKSGNMPGGLMANLWG SVNWGYVNRLVREDEPELRDRSMSDPPSPLRPQLGFEPFETPKDAPSGDSPAAHRKTM SLGSQTTLQQRPSVATEDFPNYYPLALRMQDAQFRILFPTVPRAEKVVLVFRAVWNMT DQQEFPGRIYVTAKDIYFYSNHMGLVLITGVSMTSIDEVTAAPGKDCDFLFLHFKTGT REDGATRITIKTFLEPLKLLLRRLNFLVRNATTGEHGLEEVVKRLIKMEASEDNSPSA DSWEEVPSHTPMDPGYGKDLKTSLRIDGNLFGPSGVNRTASKFKLPSQPVVFAPQGMT QVAVEKEYEISAKGLFHILFGDKSTVFQMLYRERLAQRVVQGPWTKIDQNHQRRDFEY EVIQPGKVGAVIINDYQVIEVLNDHLCYVVADRKIPWYLPGHDRFILLSKIVVTHVSK SRCKLAIHTKIDWAQNPRFVKKLIERQGLQDMELEAQDLLDVVNDQVAKLGHNRNTGK VTNIFGQIGVATQAVQITAQDIPPPNRPRKFKLRPQTTGSFVAQFIGNIIVSIFSTLM SWILAVFAGLGKVISAHKLLVILLIISGGANFFHTSRDSWLWWNERNAAKFMSRLGVG PNTVMARSVYLRDLEESFVNVNDTGIALHLPADAATNKCHQTFTSLLTDPSANPSTPL SPSIHAPRRLHRTRQNLGSHRHDLLVALRVVNRIEKEVIEAEYENWLLDETHKCERVA TMLGEDGRKSKEVEAWVKGYCGDCESALGGVTTGRGLI CC84DRAFT_1084796 MSSINDEPTGPVKSHSIWRSVPFQIIVACGVSFTAPGMWDALGG LGAGGAAEPYAVSAANALVYGLFAVVCVLAGAINNRIGLKYGLALGAIGYPLYGAGLY TNNVHSTTWFMLFGSALCGISAGFFWAAEAAIIIGYPSPGDRAFYLAIWQSAKAAGPI VGGAINLGLNANRKTAGSVSSSTYIVFIVIMCLGLPIALCLSPARKVWRKDGTTVVTS IAPTWGAEFKAVGRLFISRRILLLLPAFFISYFYNGFVSTWLTQYFTVRARAFSSFFT NFAGIFSSFIIAFLLDNKRLHIKTRGRIAFVAIITILVGTWIWASVLQQQFYEMAEPP VHDWFTGGFGKSYALVFFWQFGGQAFQQFLYWLVGQYATDLSNLSHHTGILRGVEALG QTVAWAMQSEGNANHFVSIGLNFGITLLCIAPTWIILSELEGSHEVQVMEEEVDMKQD VEGVHKP CC84DRAFT_1238137 MPSTKKALAWALSFAPSAFSAALPFKRAISSVVSGSPVGFASGA TGGGDATPVYPTTIADLKKYLTSKNPQVIVIDGQYDFAGSEGTQKEQACNIYSCTPEN GGQGMLNTLNGCTTATYNVEIDTAAYQGIQVQSHKTLVGKNGATLNGKGLRLVSVSNI IIQNLKITNLNPKYVWGGDAISLSNTSDIWIDHVETSLTGRQHYSFGQEPNHYVTISN SFVNGETKYSASCDSHTYWGEEMVGKDDSITWYRNHVYMTSGRSPAMSGGTLLHAVNN VFEDNTGHLIEGGEAGARGIFEGNVFKNVKTTLDSGFKGQLFGATASNAGQCQTALGR KCEANEFSSAPELNRADTGFFKNFNGFFIVGAASAQSIQDEVPKRAGATL CC84DRAFT_1238139 MVAEYSDYRFKAPRDGFQASPSSYKEDSSKPPSTLRRPQQLTKQ NHIPKPIMYTSTLYEQNKGAEPARILNAHPSAAPEFPFLSSRLLYLPLELRNIIYAHL YTDSTPGRLLSHELLRDPGRGARAAGMVSRYRHYGSSVAPQDVLTKLYISRPLENLVD LVVAPSYAAGLRDFVYNRSARLSLPKGAVSSPLLKAPHLRSVEFRLSRDWSQSVGTPE MLMLKMREMRGWRQTWVWSVCRSGVLGIPGTTHARSTCGMKRMKSVGEKKPVDVLDWE LENEVLWKKESTTAATTTATTLTTTLEEYGATSNSTSGRWTVQV CC84DRAFT_500618 MALGIVEPKTSVQPPGTEYLVDTAQTSGEHQYEHAHFKHGKGKK QDIVLVPQPSDDPDDPLLWPIWKREAAFVVLFFNSIIFAACPGPMIAPATVALATQLD VPVKKVAQLSGYQLLVVGALGPVVSVLAEKYGKRPQFLFASLLGTLGTGICIAGFDQS SLSKSYRVLLTGRMIQGLGTTAYESLAVAAIGDMFFLHRRGIRTSLLVLTTACLASFV AICAGHMFEVLGARNLFVVLLPLQLFGFVCSFLFIPETQFRRNERQGGMATEQNGLTV QDKAEASTHSITRDPAMSTVNSTTPKRTFVQDLRLTSGVYNHDSILKLLGRIFVHLLN PAIVWITLVAAILISFFVGTAYTLAQIFSPPPYLLTVSQNGYFFTGALLGGILGVISG PLCDFSAKTLSRRNKGVFEAEFRIPVNILAVTMLAVGWFTFMWALERPTLKGGVYLCS FCYGAVCFGTSVAGTSTGLYILDAFRPYATEIFILQMMIKNFLFYAFSTFINEFVAAH GPANMAKVWGIITVCGFVTCVPMYMFGKVNRSWVHHIYVKYLGDK CC84DRAFT_500650 MRRSILYRALRIILFVWILTVTFWSLLPSPTIPYTQEALNNEKT LKTSHTLIVVDDYPTIYQGSSESNGFGHLIFEWRISRQLNPESEVELTLRVAENDPIN LADLMRKSAAFHLSRTKHDAVRTPFFDLTHLDYKVACVDDIKNSDVCLPWFDRSDKAL LTHSNHDGTCTISLRIVVDEHGVVELWPASFIENALAQLEKVRLKPEAVDYEEGQLLE ETIQFLRESGHPIMQLEGGDMTTLEELHVPYVRGNLPSKTYPIRKAMLVRLGPVLRVA LIPVFGIIYLLSTFSTVILFILYNGTLSCAGIMLSAWLRAGRPEFWPWTQRFRLTRWM YFRSQNQKTMWGPAGPVESRSAVRKEWTRNGFVGLERPKTARLERGFNAV CC84DRAFT_1203231 MPSYLITGVSRGIGYEFLRQYSSDANNIVVGLVRNKDATNKKLS EDPDLKGRSNIHIIAADVTDYAALQNAVSATAKITGGSLDYIIANAGLISQFDQYDPI GDLGAQPDQITKEMRDLFDVNVIGNVHLFNLFVPLIQKGQAKKVIAITSGYSDVETTR TWDMLLAPLYSTSKAALNMVIAKFSAQFKKDGILFLGLAPGIVDVGYDDKATPEQLQK VAAVFGEFLSKYAPDFKGPSTPEQSVALMRPVIANASIENGNAGDFISQFGNKQWL CC84DRAFT_1194182 MTNPISHTTGYRYAAPICAHPKSSKPDTEGKERGLQLSWPFGSQ HRRAIVSKGPVGIDTVHVREILHTSSEDIQRHSHLTQGSFDKSEWQVLELAIPLVPAV KVEVENQDRFQYFQHTASKALAILGHEPKALGNVLIRIALASNTSSAKAVRECILAYS ALHRHGIHPQAVESKIAGLNALKVASFTEPNGIDNLEAIQHVAAGMLLLSFEIHLSIC TSDDWTKYLCGVKNIIRAAGLDTLDQDQDLAILLDWVYYQDVLARFSLRHWYRQYGKP ALNLITVTCTPADTTLHMTDWIDQSMRRKSANLNLISLLSELCDAVPKPLCGLPYSEV DERKRFLEILEWKIRNLEPSPVAYDHERSPLIMELYKLAMLVYLHRVTAGQLKQTVNM QFQIDRAFEILLQLGSCERQFPVFVFGCEARTDAQRAIILDTMSRTESSVSSRSLNHA RLLLQAIWAQDDLAEGKTLYWKKISYVISCCTIPPSFT CC84DRAFT_1139829 MSTAGDDERTEELETLQSIYPELVLDAPFAAHIDLAVAPTIPLP VRFEPAQDVHRVAYLPPLRLEVDLPAEYPAEGPPRVKLSASFSWLPPDTVDRLEREAR SLWEEYSGGQVLFAYVSYLQEAAETAFGLSELTLPDSVKTELLEFSKRMKRELFDKET FDCEVCLEPKKGSVCYRMERCNHVFCVQCLQDYLNNCIEEGDVNNVKCMSTDCGKLMP NGKKKERLLSPKELLQIPLSLQAVERYAKIKRKKKIEADPTIIYCPRSWCQGAMRTDK YPKIKDVREMDESDSEAEEPVEAPPEEQSTAPGPEKRFVGVTGMDRLAVCEDCTLAFC KVCLASWHGDFVRCEPRDKNQLTEEDQASLNYIMKNTSPCPTCSVPCQKSYGCNHMTC FQCKSHFCYLCGAWLLPENPYGHFSNPRNKGCYNRLMDLVEGDMGEGQAQFGGARGAE QMADFWEQEAMRIQNELNAQDS CC84DRAFT_1139833 MDDAPLASLSLTHVHYNPADRVSYLCAWLALVPQGLCVIYATLI WSNREIEIMLMFVGQMGCEALNWCLKRLIKEERPREMHGKGYGMPSSHAQFVTFFSVT LALFLLFRHVPHPTETHTPFSFFQRFVLSVLALLSAAAVAASRIYLNYHTSKQVLVGC LAGAVCAVVWFGFTTYLRRAGWVEWVLETELARLFRVRDLVIQEDLVDSGWARWVERR QKRQPSFQVQTKKAR CC84DRAFT_1214211 MSSILYEKDFTKLESSIHESTYKLFMHMAWMDMCIDNGMMELEL RTRAMKKARDEMQALGECLPDLAEEDVTTDDRKSKPLASLDVCETTSELIMRTIRCLE AGAMTKCIAALTCAALIAPVTAIDDRLVLGRTFAVPDMDVHPVPTIAIGTLTSMLFLC GGHLMGTARGLIGPLMGITSVLYFMMRNDAAVEPIIAWGVLGAWSVFTLMYLNFQCRR VTYDKM CC84DRAFT_1256659 MPHMLQLPKQQQNDHHRVQLHSIHVASRADREHALPNPSKEGTD SPAPRCCVETQDSTRNDIDSIRNSGADKIFGWKEAAMDETDPPTKKALGSRDAHIAKS WPLYVYQDPGLRETPYCVEKTRHDIDHDDLGPSLYAQTGHQQAVLFPIPLPHPRTAII SACLLVATVSAFYEASKPDPPHHTGLDGHNDRFSSRLGLSQHIACSTASLFIFTAAAA VVYHLNAVHRFQDIFVLLGLIFGVVTGMAKYRDLRDAMLRAVPWGVIAALATSMVMHG AWESRTEVPARLV CC84DRAFT_1161745 MSSAPSSSTPSRKKPEPLGWDFEDVKFREDPIPDTWWFVPWNNT EQCESKNDFPGTVKPKKLLYSDVNPVEEEYRGSGIVVDEIEGRVVGCYKVRADTEDGD DAKAEIAEVKHQQWLEEQGQAYIEGLPNRKPKQKMRRMGMFPSDPKAEPRPGDKTCDR KPLNLLNDIRKLNGLILDPRPVRIALHPKDREAFRIHGSMAGAALQDYGA CC84DRAFT_500763 MTRRSSSFGMQGPGIAAGTGEDLMRSLSRTFSGKSHHSDRRDGD RRWDDAEGSGDDGSAETKIDEWKLASVVKGMPLNDQAEGRKLGVTWSGLTVKVVPSDA MLQENVLSQFNIPQQIKEGRNKPGLRTILDRSSGCVKPGEMLLVLGRPGSGCTTLLKM LANKRKGYSEVEGDVHWGTLDAKAALKHRGSIVINTEEELFFPTLTVGKTMDFATRLN IPHHLPKDTDKAEDFRLKFKSFLMDSMGISHTADTKVGDAYVRGVSGGERKRVSIIET LANRASVVCWDNSTRGLDASTALEYTRALRCLTDELGVATIVTLYQAGNGIYDLFDKV LVLDEGKQVFYGPLQEARPFMQSQGFVCGDGANVADFLTGVTVPSEREIHPDFESRFP RNAGELELAYRQSHIKANMDQELNYPTTEEAKNNTRRFCEAMMLDRSPRLPKSSPMTV SFQDQIKACIIRQYQILWGDKATFFIKQGSTIVQALIAGSLFYNAPANSNGLFIKGGA LFTGLLYNALVAMSEVTDSFAGRPILAKHKNFAFYNPAAFCLAQITVDIPILFFQVTV FIVILYWMTFLKATAAAFFTCWFVVYLTAFTMTALFRMIGAAFPSFDAASKVSGFSVT ALILYIGYQIAKPAMHPWFVWIYWIDPLSYGFEAVMANEFKGTIIPCVNNNLIPNYLP QYLDPKHQSCAGVGGAAPGAISVSGEDYLASLSYSPTHIWRNVGILFAWWVFYVGLTV FFTLRWDDTAGSSGLVLIPRETAKQVPAQIISPNDEEAQVTEKAPRIPASGNEDANAS DDDAERSLIRNTSIFTWRNLSYVVKTSSGDRTLLDNVHGYVKPGMLGALMGSSGAGKT TLLDVLAQRKTEGTIHGEILVDGRPLPVSFQRSAGYCEQLDVHEPFATVREALEFSAL LRQSRDTPRAEKIAYVDTIIDLLELHDLEHTLIGRLGAGLSVEQRKRVTIGVELVSKP SILIFLDEPTSGLDGQAAYNTVRFLRKLADVGQAVLVTIHQPSAQLFAQFDTLLLLAK GGKTVYFGEIGDNANTIKEYFGRHGMPCPPGANPAEHMIDVVSGSKSTRDWNKIWMES PEAARMHQDLDNIITDAANKEPGTKDDGHEFATTIWTQTKLVTHRSNVSLYRNIDYVN NKFALHIGVALFIGFSFWNIGNSVQDQQLILFALFNYIFVAPGVIAQLQPLFIERRDV YEARERKSKMYHWSPFVTGLIVSELPYLVICAVLYFVCFYYTAGLPNDSNKAGATFFV MLVYQFIYTGMGQFIAAYAPNAIFASLVNPLVIFTLVSFCGVLVPYTQIQPFWRYWMY YLNPFNYMMGALLVFTDFSWKVECKETEFARFDPPSGQSCAQYLEAWLQGPGLSNNLI NPNATSQCKVCQYSVGKDYLATLNLGEYVYGWRDAGICVIFALSGYALVYLLMKLRTK ATKKAE CC84DRAFT_500761 MLVFPEDDEDKSAECKNDRLGVLPDELLLQVFETLAQLGTRKDL CNVSRLNKRYHRLSDATLYKQVLFQTPELHLTFSESLSRRPRRGSAINEVTLAYPSSE LSQLALGAHVPGSYIHPSRFDGLSRTLSIMSNLEKLDIAVPSDLLHGIGSLFNGPFDL SCLKSCKLFYQCPNDEYWDLRENIHIFAHPELEELTLRRAKFDEQGFNFLERPHETAL KKLHLIECDINDDGLGDLLELPEALEEFVMTHQEEPEPELEESSDSVADYIQALKSQS ESLISITIDSPSMTSRKVLRMREFGALRSLRLNWDYQLFAKSSKKPRLHSVGLPPELE MLEFFNELGTDAEVNDLLLALIESKSIVARHWKTMVVVEGDESILKQVKATCKENDLR LDIIGAFDETDSDDDEGMGSKSGDSADSEGGSE CC84DRAFT_1173255 MPVTIWRPISILGYASFSSPSCMSAIKGNPTKAEPSARDTPTPH SVFLLSNASGDVYLIYRHQWSTSIHGSVALCGQPRPLTTGGPLTTTSTPSPICLSYPH VRSIDKGPVFYDGLGGDDGTCFKTGAARPDIYAYNYNTDCYPVSLSASADKEKFWQLS IGFFSPTNVCPSVWTTATTFVESGGETEIVCCPRWSNMSTYNGHDFDSIGAHYTDGTT LANYGLYHPPVILRWRIGDGSETTINIGSGTSPTAAPASSQSATPISTTTPGAGTRVA AGGIPTFVLGGPAIVAWLLA CC84DRAFT_1073092 MATPRLPFLWPVLFKPLKAPRTRAPNVTRCTPSRRRLAATSRPR QEVITQRYGTAQEPAPHLRDHETQKGSEKEAEASSQPEPEPVEEEEDEGPLPPTMPST QMKSADADLPDEATPPPPLEPPKPPDAKPLDTVLHMPSPQEEEERKPPHLKTPPYVHH FDTYSLVKDLTKSGFTEDQSVSIMKAVRGILTDNMELAREGLVSKSNVENETYLFRAA CSELRTEVGNTRKAEVERMRSERNQLQHEVDILSQRMGQETSHLKDELKGLFDDRKMA VRNEQRHMESLIQRLGYKITVELNSDARSEVEGLRWILTRRAATAIGISAFLLFTCLR FMSYSSHLTQEQKKKDEAPPEPSDNSPATGKPMLGKDEPLGGELLTTEG CC84DRAFT_1161749 MADAPVTVEYKSNGIAIVTLNQPKKLNALNQDGYFQLAVALREV AERDDIYITVLTGKGRFFSAGADVSIGASSTGTDSARQHWLKNFVASNLHITHAFYTH PKILVTALNGPAVGLSAALIAFSDFIYAAPHAFLLTPFSSLGLVSEGNASVGFVRRLG ISKANEALIMSKRIGIEDLVQTGFVNKVVDVGGRDKSEEFLGEVLKEVDDRLGAHLNR ESLVKIKALIRKPEIETLDRQGVLEVFAGLDRFIKGVPQEEFRKIASGEKKHKL CC84DRAFT_1139847 MAGPNLEVFKFGMYIMFPIGIMYYFGTNLDGKFSVPDFWPKPEQ THRIPYEREEIKAELERLKARNAEMKRLRERREREEAMREGRDL CC84DRAFT_500821 MSTPFRRLVKPSLSLDAYAQCACRRAPRVARREQARCFSSSPAV FSGHSKWATIKHDKAKADAGKSKQRALLTNDITNAVKNNGPDPNMNPRLALALTTAKK SQVPKASIEAAIARGQGLSASGAALESIMLEAMLPASVAAVIEAQTDNKLRSLADLRL IIKEAGGNVSTVSYMFEKKGRVILHQKEGVGADEVLEAAIEAGAEDVQELDDGRVVLF TEPATAKTIAQTVAKEMDMEVAEAEIIYDPNEDTLVPLDDAETAGQLGKFVDKVQDVQ GIQGVYLNWTKGSIDDSLWEELASKTAI CC84DRAFT_1256666 MATQEGEPAPTNGATSSNPELDIKKLHALPSEQQELYLLTFSSD LARHVASLDADGASAHQIYIKKELFQIVNLASPPPTRVIRENLGRTFYGIFSKGDRKL LFESINELVGILNGAGKTEKDIRAKHAAAHCLGAVFEAAGDSAIGLHPGAVGSLLRLV KVAQNHTGLRATVLKAAGKVFKGVGRHAEEPIARDAWKQGRALSAADKSALVQTSACY MLEQLIRSTQFFDNSTDFDKLQSAIWKTIDSASASVRHAAASCISASLVKNYSETAPI EIPLARSKTNKRKSKKPSDLEGDEDIIERPDSPAPKKSAARLSFTVPTLLKQLSNQYC RAATSSRARAGIIHCYLKSLRGLGEHVVETKYADIARHFFFDILSNSTIVQNRYRTLS SRRYVAVVLETVVGREMLGESGQLNAARFLVNEILKDYPQALKERPEPTKQTLIGTLS TLSSLFDNLGSATNVIADSCRDALLQVLQHPSYTVQVYASSCLRSFVLACPLQLLPSV TICMNSVNRELGLLGGPRQSPRRCVGLANGLSAVLSTSTSQPLHGSVDVNSRVLSQAT SLLKSSSNSDLRISSTQIQVAWILIGGLMTLGPNFVKIHLSQLLLLWKNALPKPLNKD NMVQRNVLELSFLAHIRECALGSVLTFLEFNSRLLTLDVTKRLAAMLQNTTMFLNTLP SKKTTDNVSERLSPVLQLHDFDLMVRRRVLQCYTKLVDLSPAGSSEVLLQTNLLPFAV AAFADPDHYTPSSLGIAIASSAGNFESIWDVADNYGFGVTGLVKGYDIEPLPGEHDSE HQHHWVTRHGPEATVDRTLLSPICSAREHDSISLYIRKVNDPFNLPNPPATEVVNSAL QLFAICLPLQTPKIQESILEQITSFLSAGSLQRDVHRKSAMMVNIAYALLGALKVAVK ETRSASGDLKGSAVEKVIQELLHLFIVLPDPYVRNVAGEALGRLCNSSGNALTTTEVK YLVDQIVANREPNARSGYAVALGCIHSQLGGMAAGYHLKNILGILMSLGNDPHPVVHF WALESLSKVADSAGLTFSSYVTSTLGMLAQLYGADTHNAESASLANSNLELDLPTPAV IARCIDSTINVLGPDLQDMSKARDMVMTLISLFKTESDILITIECLKCQEHLSLYAPG HIEFSVYIKQLQKAVESNATQISDMAVDGLHNLMRRDTEEVIRSGEPGLEDQLWHILD REPEHEVVRNVIRNWLHQTGLTDTATWVQRCHSVLTKTKKVAEAEEKVDTKPKGGQTD LQDEEVAGFAAAANAPGEDGTATQTSQELLKWQVRTFGMDCLSELLAMVSKEAAFREE APCVMALQKRIADVVRIAFSASTAGVVQLRIRGLKIIDQVLKLFGKTPDPDFPEVTLL EQYQAQIGSALTPAFAADSSPELAAEAVNVCATFIATGIVTDVDRMGRILKLLVSALE NFSSETEAAAIGDLKGLSSNAAVMVKMSVFSAWAELQIASAEQKYLVDVLRPHIARLT PLWLASLREYARLRFEPDISSMGSASLSGSLDTIYAALNRETLLKFYQESWLNLVDAI ASLIDEDSEFVFDALDGKTEFDEQSATPNKNPDHINYRDEPVAFFFVLFGLAFEALAG RPGDLQASKEQILEILQALKKILRPSVSGHAIYQEVVFSETMDMLDRLVLTEGLQVQT VIVEIARNLCLGHPSAKRAHTTNGDEHLSDDIEQLFELTRIMVLVLTGLVPSLDDGKS GVRHDLNEEAVALLTTALSALVDAARVFPAIIKTDLHACILHIFVTILGTGSCQATVI PQALPIFKRFVTSISQIAESGSDTSTQLRATLVRFLQTLKRAQNRDFDAALACEKNTI LTTTILLSSAASAFTADDPLIERFIEELFDCLGSRMTSKVAAGCCRSLLLLPKKSPVE TAIAAQILPQVLNFIANPSEVEGLDESRSTLAQTLVAFISTLSTPSQRIVASKLVIPA LLARVRKEPKASAETAGRLLEFAGADQASFREVVGALGAQEKEFLQGVLKSGQGVRRE VREETGEPSIALKMNFGQ CC84DRAFT_1084719 MSSIKTALTDAISAELGSFIVSKSSTFACGGTIPIQGVSDTPGD LKPAGITFPFALRWDSKYSATGVGKLTFPVDPTNTMEMQNFSKLLEECEPASFGYKGE DVLDETYRKATKLDRSAFSVDFCPYELGIIDRIAQILLPNAKSDDGAHIGSSTHGVRA ELYKLNIYGAPSGFFKSHVDTPRSDLQFGSLVVSLPCHYEGGQLIVRHAGHSMTFDWG ASELVNTSVQWAAFYSDCEHEVLEVTEGNRVTLTYNLFYTSDIGELVGNGPTMSVKSL PLHSKIQEALDNPDFMSNGGYLGIHCQHAYAHSTKEGAQQLPGVLKGSDMAVYSVFQS LRLEIEVKSVLDSQNGLFRDQYRYYDDSDETTRKKDLSYIRRLGEVVVTETGGYEEPL QEIIDEFGDAVDVLWLTQPRHANVGMVHLTYGNQAGINAIYTYAALIVNIPKAAIRGR ASDAASFT CC84DRAFT_1184815 MTPGALVADTLPGGAALSKPLTQSNFGPTQPETVGYLQPTSADT PLEVMHERYQRDGYLFMKHLPPKEKVIECRRAYFEHMAPSGLLKPGTDAVEGTYCGTD SRKYLPPGNLRRLFGIKDDPQSEKYAELMIGAHEADFYPKLTEIPELRAFVRKFTGWK RETMLQRSMLRAFVPNSELTPGHYDQMYLRAGPPTSLTAWVPIGPATEEQFKRDNHNL TDKERVSAFNKNMNDGGFLSCDVVEYGQQAKRKWLIIEYEAGDVIFNSRWMVHASCKN KDSEASIRLATDLRFVNPNEPYDTRWMRIYRLLDGV CC84DRAFT_1113616 MSGAQKTAQEKLAESTQSVPTSTAAQQSDNVAHALAGAGGGLLS MALTYPLITLSTRAQVESKRAQSSTLDAARRIIKREGVAGLYAGLESALFGISVTNFV YYYWYEWTRAFFEKAALKAGRASKKLTTVESMMAGALAGSATVLITNPIWVINTRMTA RKADSDEPALPGSKPAKAPSTLGTLLALLREEGPARLFAGVMPALVLVINPILQYTVF EQLKNRLAQRRRVTPTDAFYLGALGKLLATSITYPYITVKSRMHVAGKDGPKENMMTT FRRIIQEEGYAGLYGGIGPKVTQSVITAAFLFAFKDALYAYTVLARRKIAARKV CC84DRAFT_1161755 MALNDASANSITDSPAGQAPNDGTGITQLDSYLDPFKPALRSRY AKAQQWLKTIQDTEGGMEKFTRGYEKYGFNVKENGDVVYREWAPNALRAFLIGDFNNW DRDATPMAKNEFGVWEVTVPGSNGQPTIPHDSKLKVSFVVPNDHARQERIPAWIKRVT QDLNVSPVYDARFWNPPKEERYVFKNQRPPKPASARIYEAHVGISSSEPKVATYKEFT QNILPRIKNLGYNVIQLMAIMEHAYYASFGYQINSFFAASSRYGLPDDLKELIDTAHG MGITVLLDVVHSHASKNVLDGLNMFDGSDHLYFHEGSKGRHQLWDSRLFNYGSHEVMR FLLSNLRFWMEEYQFDGFRFDGVTSMLYTHHGIGTGFSGGYHEYFGEGVDEEGVVYLM VANEMLHQLYPEVITIAEDVSGMPGLCVALSLGGIGFDYRLAMAVPDLYIKWLKEKQD IDWDMGNLTFTLTNRRHGEKTIAYAESHDQALVGDKTLLFWLCDAEMYTNMSILSEET AVISRGLSLHKMIRLITHGLGGEGYLNFEGNEFGHPEWLDFPREGNGNSFHYARRQFN LVDDQLLRYRFLYDFDSKMQWTEEKYGWLHSPQAYISLKHEGDKVIVFERAGLLWIFN FHPQSSFTDYRVGVEQEGTYRIVLSTDSKDFGGHANIDESTRFFTTPFAWNGRKNFIQ VYMPARTAMVLALESTL CC84DRAFT_1214226 MASRTFVVVSPQARASYIALAAIGRRAFHAANVQRSQYNRSDFS GQGFSSFYEPNEPTRGPLAGSPSQGVSRVTPRMLKEHLDQFVVGQEHAKRVLATAVYG HYLRIREIQRQEEEHLRREERAARQALAHRHPVEGDSAFISDSHDYEFPGQQPTMRVH PSHMDPPPDPPPLEDSTPMHIEKSNVLMLGPTGVGKTLIAKTLARILEVPFSMSDCTP FTQSGYIGEDAESCVQRLLSAANYDVEATEHGIIVLDEIDKIAGVKMAHGKDVGGEGV QQSLLKIIEGTTLQIQAKAERGAAGKPPGAAGSSSNFSSSNPLDSSRPGLGAGPITPG GKGEVFNVRTDNILFICTGAFVGLEKIILDRKAKGGLGFGAQVRAADRDQGKHDTILS DADVDTFRQDLPIYVPSESEVPTPSNVRHSKYREEEYNVLNYVVPADLQKYGMIPELI GRIPNTCAVSALDEEALVRVLTEPKNSLIRQEAALFHADGMELRFTSGSLREIAKKAN AMGTGARGLKAVVERLLLGTKFEAPGSTVKHILVTQEAAQLKRAPLLFHRGQSQAFET MAAQAEDEWQDKLREREEHLSSISSFEEYRKAGAAGY CC84DRAFT_500884 MISHLRPSRAEESACASPAPPHPQRAWAHPAHAFSMRHLPLHRQ NNGPSLLPSPAHPDPLARGTHVPAPPGPLEHPSQLSSIANESSLLTSSEPPSNSLLPC RQTWHSTCIRASAHAYRTSCSRTPTPPTGASQMPLPRESESAPPPSGAAVAVYVFRCF ERAQPGPDSATETTAHPLLTRTPSLKVVSCAANRKRFMVGSLHLRGRNGGRGRMGDAR TRFSKLKRRGSGAGAGWREEGGRAWAWGDACGVRRLGRVLSWDTAGRGSWRSLAGVPR RQQGIWPRMATASFARA CC84DRAFT_1161756 MDGAQLFPPARDDMAAFFDEVPISFPTTVTPEELHSTTSILLED LATSSNSSHSQFYNDLNAHDSGVDLRGQWAPPIKQDSDMDSSEPYDQFLTMGDSPMAT NNPSPATDMIFSQSNSPRGFMCDRSSVSSPEGAAPGFTDAILIPQSRDAPHVSLVPDK TKTRAETQIKMTLTLDPLDNVEYIRFPRKTLAKPKHFASDEERQEIESKGAVLQMNVH LVCATAIEAPQDRQRALRRAAGTEPIPRRPEAFTSVTELDKDDPSHPQNGGEVLICQG CKERERKRYDRKKKRGEDEDEFTLYENDRVIMINEKEHKKLREVEDAEMQFTPRARQV EFAMRIACYCRHQEEKTPKGYRVIFTFTLDNGLLAQHVSDVFHITDDHKNKELSVDAR PQPLAIPQYGMHSYYQQQSSVVPMYQFTENYGLGAFSQPTTPTYSQTTTPMYSQPTTP MYSQPTTPMYSQPTTPMHSQPSTPMYSQPSTPMNRRPDTGHGLGWNGNMMGWDGSARY DQVHGAQLNGAQLSGAPLNGAQMMFSNGNVFSTTGI CC84DRAFT_500923 MRREGKDRKQTKPCHARTLQILRRGQGQATVAASRRSWVQKRRC GGEDGVPRTQKSLAGWPAPLDEASCSVPDYAQNCGLSKCRNLAVIIDNGRLDERCLKR CYHVGLSCMPPAMCSACVHPPEPQARLVSPRCTLDLIIPTQIMREVWDAALGGAAGLT RSIWQSMRSTPKISVVGSR CC84DRAFT_1203248 MEALVAVGLAGNVVQFVQFSGQLLSLAKEIKKMGAPSSLLGLCK VAQNLTQQTRVIVTRLKANTATLEQEEQHLLDVAMDCEKTGEEFVAYIDELITTSKPS SRLGSAQSSFKIKWHHNHIEAYISRLDKLQGSLLLATTLSLRTRETGSHHEVLEHLKS IEAGNDSSQQNDAELRRALSLLHDLVQQQSGPKLDMLQKQMDSCMEDIQKIRSSVTDT REGEILRWLDFRQRTWRFEEVEEAHQTTFDWIFRKPHANTPWHDFCAHLSGAEVPLPY FINGKAGSGKSTLMKYIVSHPQTKAGLRKWAGQHKLLTQHFFFWNAGTQLQKSHTGML RSLLHSVLTQYHDLIPAVFPTLYADGIPISDDEPPSYIELKSAFERLKIRSASFLRIC IFIDGVDEFEGDHRDMSTFLCSIASSAIKVVVSSRPINACLNVFRQSPTLRLQDLTQD DMSVFIRDRLSSHPMMAELQAESPEKAATLKAEIQEKAEGVFLWVRLVIGLLLRGLED GDDFDDLLPKLRALPSDLRELYTRMMQKMPSDYQVQASQMFQIFEWWRLRGNDEPLEL LLLSFAIQQPSTALNLSTDAWNTQMPKRYSERIEARVRSRCCGLLEVREIPTASMFWP PTPVVAYLHRSVAEFVTSQDVWDDMLSLTSDTTFSPGTHIAFGILSILKTSNPPLGES KHLRAPRISDRTNYSERILDLAMDVCRRNADNVIEDSLMLKYMEAVDKTMASASQDLA CNSIDRQDCQLFAHWSVRMAPSCLEPETLHLANHVPLWAQANIRSFAAYNGILPYLKA VNRTSKLVDVEFLVLFALGSWVDGKFSFPDRRETLWFLLQILGDRSELGIESPIGNNT LWEHAVVVAYDIFSSPRRRRPLNAPFTETVKMPGQHIGPPSLVTFDTGESWRSSVHGR QALQHYHLYAEKLGLDPLAGLYGEEHASRRNETTLAMDKWLNWDSEVDSRQEFNDSLL DVCEEHVLIPGRESDGLFKPHDRLIHPEAKKESHRVDVSNTQQAADILRLFLSITDKP KELLNKAIRTPKRSTFKPLTILRKALAWGREKTQGWGLQSEYQFLYQLVELPEAYQST AEYPDPFEPRNVWLTQSHSSIS CC84DRAFT_1139860 MEVPKMDAKPGERDAENATVIADANAKPPDAKPSQQILEGSDPE RMTLSGVKLNLLTLSLCLSLFLSLLDSTIVSTALVKITSALGGFERGSWVVNSYLLTY TGFLIITAKLSDIFGRRAMVAAGLGIFIVSSIACALARTLTQLIVFRAFQGIGGGAMY TMTFVILPEMCTPDQYTLYSTIISCVATMSSLFGPIFGGLISQKTDWRWIFWLNVPTG ALCIALLYLAIPSRFPYAHQSEAPQISRGALKKIDSLGALLMLSATTLFLTALEQSGT GTPWTAALVLAPLLTSVALYAALLLWSKAQATRTTAQEPLLPWHILTNRFCLGLFAQA FWMATIMFSLTVALPQRFQVVDRASALSAGYRLLPVTLVDPLGAALSAYLLSNMRVPA FWLLLVGNAVQTLGVGLLVLPSANLLAFPASRYGFEAIVGFGLGFTSAATVLATVLYF EPRDISVGMGGVGQFRSLGGAIGVAVSVNILNSHTAAGLSGKLTAEQMAAIKGSAEAV GGFPAEVQRVVREAYARGWGWQAVALTGFGGMGVVMLGLMVERKMRRLEKAKGEGGEK AEGGQELEGLEGAEERRQK CC84DRAFT_1256675 MSHRLSGVPVNLLVAIFILFSIFIFTFTLVFATIVVPGYRLTTS FKVAYNIASAIVATVLARYASGEIQKQWLRQINHEISDVKYQLHHSPHIMQKWRAVLG INTFYERITSFKTTVLPQLSFLFTGLITTAVVAAVTLTDTTCFTDLEPPRIHSGADNK CTRMLPSNNTDRSSPLWEFRTFWNNDDGSAYYATTNLGCPSWSGAQFMGSINTINPAH VAYSRNGVGIQSSAIGTPEIFYTDFPEIVEPFDGLHGAKVNQSMLRTVSQCLPVMATN PVRCRPGGSVSFKSNIPEKVTDAEAVYHQISVEAGGCNFTQANAEDPNGKFGVMVSRF CPQENSVGKGTIAMGATGIISLTLAASIGDEDFLARHADSYEAMSAGVAKNLTYALSC DIDVQPTIKWRTLTLELQQGTLTTTPSYSKLISGVDGCVATTNNKANWTLGNGYAGGA AAALVAPLSEGRYWNGMTNTIFNQALNVTDTSDRYSTVASWQKLIRSAPYGFNQSTNA LEDVLGLTTGITMSQMSTLDSMRSSSPLADPVNFRAAAQGHATFACTRVGSGQRSAVL FTLPPLLAMLTAIYLIFTVPRKPTSFKTSRLEDLIGIGMASERELSMAYRRDHLRQSQ DPEAHVGIPRAPTLERNPFDDDAEEKAMGLQELRAVHHDRKNWD CC84DRAFT_1161761 MPPVRFTLRGPCASARQLVTPSLARATFPPAAPPARDSSTPRAQ LRRFHTHMLRMDARHISEGAAPGGDGLKLVNRLGESRSPYVRAHMNNPVAWQIWGPEA LELAKATGRLIFVSIGYAACHWCHVMERESFENAEVAALLNESFIPIKIDREERPDVD RIYMNYVQASTGSGGWPLNCFITPDLEPIFGGTYWPGPGSTMAGQSHIGFVGILEKIR DVWKNQRQRCLDSAQDVTAQLREFAEDGSISRKEGADQDPLDLELLDEAYEHFAAKYD PTYAGFGSAPKFPTPTNLVFLLKLSQYPKAVADVVGAKECTNAKDMVLATLSAMNRGG IHDQIGHGFARYSVTRDWSLPHFEKMLYDQAQLLPVYLDAYLLTRSPDHLAAVHNIAT YLTTPPMQSETGGFFSAEDADSLYRSSDKEKREGAFYVWTLNEFQDILGDRDADILAR YYNVKDEGNVDPEHDAHDELINQNVLAISSTPADLAKEFALSTEEIEKTLSEGRKKLL AHREKERPRPALDDKIVVSWNGLAIGALARTAASLSASGPLFAQLYLAAAEKAAAFIQ KELYDQQAHTLRRVYREGPGDVPGFADDYAYLISGLIDLYEATFNDAYLRWADDLQKT QIELFWDKQHLGFFSTPEGQKDLIMRLKDGMDNAEPGTNGISARNLDRLGALLEDETY VQRARETTSAFEAEIMQHPFLFPGMMDSIVASKFGTRHAVVTGEGEKVEEWLRRYRER PAGLSVVSRIGSNLGGWLKERNTLVKSMDAGKEGVMVCEQGACREELGWGMEGMGDAL QEISER CC84DRAFT_1256677 MSEDASIKLSMRQLQGPEEAWKQMYKLIFPDTAEDDIPSPYVEG DIRLEDHAHFARFIGRRLYVFIDRRLHNWLCKNRKRTEECACPGTESILVDYIKELLE EYFEHYDLRPEHPPKRPDDAAKSGSFEPLCSVGSKVSSVSSTSLPLSTSGMHSEGQYG SFNDRRDTDHRGLTELQTHTSMMTPALPDFGSDSHVWAFADWGTSCTANPDVDNMSSP NRHVLSEGFCGPEPDAQDVVLTNQSIYAAASDGTGENHKHVATKPAYFCTFCAERGKR TMFQSKQDWKRHEQDQHMESGLSWPCDMCRHTSHNGSEAQKHAKKVHAASRVHRGLPK PSPRARNYGCGFGTCAKRFDSWKDRCKHVAECMQSDDFDAWSCTRTVQNMLRHRDVPP ESKVAIECAMASHERDSDTMHVFREQLMMLDFQGCVSPSCSQPQDLGSYDAATHRNAD APLGQAAASIAPAISSGAELSHSTPLVFGRDSWIPFRVPLVPASDYDEWVPSICPEEW CAANLEVQVDQARNASPAYGGMYSGLEGRDPATVYCSVYTESPDAACHL CC84DRAFT_1203253 MNSPIVYTQYGLALFHLVLHWAPSWSGMAWMDPRPSALMPTMGQ VSCQTLLRLISGIVGLTYGSVGMVLWSGVGDAALSSHTAYTLYIGANAMAAFLVLQRV RLYDQKITTLRVLIVINLITLRTIDQQWWLLVTAPSLNLLLGSCILMMTATLAVCGKM GDRSDSGLDAVVGAVVGAVVWILSLQLQPLPIDPEDYIRSTLMVVIVAVWSPCACLKP LVMLVWSMYCLRYVLWDVQSPFWGPLLPDSMVAALQKRSSDTVWQIVVTIACTLVSTI SAGVFAWYGRQQIGITIRRIGSGFGRDNRTSPDGDSSPGRLEGGLGSRESENDVAPSG DLEHSGRPPPSPNILGRVWKNVAKVPSQLFAKASTVLQQIRRPPRDDDDIALPDLERG EGVPDNEGRAGGYTNRSPLSPGNDNVSGAARDAAITAGENQVDLASSQASLPAEIDRG DGDESFKVVNEIERIVGGEEGATKSSASGQSASQKPTTEIRQHLDPRVDSDGGIQGTD QCVRVLRPTATWS CC84DRAFT_1139866 MAPTDADAESVISVPPEEEGHHARQEQVQKEKKGASVYAFGDGS RTSSLLSILDVLEKRAGVELRGCQPVPWDKRTVTQYANIFTLWFSMSCNLLPITTGMV GTLSFGLGLRDASLVILFFTLLSTIPVAYMCTWGPRTGMRQLIQARFVYGKYFVSLFI LLNLATLTGFSIVDSIIGGQALSAVSSGTTINATVGIVIIALLSLLVSFAGFKTLHKY EKYAWVPALVAIIIATGCGGKDLKNQTTVPPATVQQVLSFGGLVASFMLPWAALASDF STYMHPSAPPLRIAAYTYIGLALPTILLMVLGAAIGGATPNIPSWQAGYESNAASGVL AAMLHPAGGFGRFVTVVLAFSMVGNLSATMYSITLNFQMLLPWLFRVQRILFSIVITA IVIGVAIKAASSFFTNLENFLGLIGYWAAAFIGVVVTDHLWFRWGNFAAYTEDEGLWD DGKRLSTGWAAMGASVGCLGLVVPCMYQIWFTGPIAEWTGDLGFEVAFVLSAGLYVPL RYLEKRACGR CC84DRAFT_501069 MDSISFIVTRDNDAEKAQLNTLQTTLLVALLTLFVLAVVLIGSL FFLRRVRRARKNAEAQLPLYEKRQSSHRRLTIQATRESVVVYQERRDLLANSSSPPQS PVPEIRITFPDEVDSAGKRQSGRVVVVRVGEHSVGLEPLDEDLPPYERDGDRFDSLDL DRIGGLKEKDDKYYS CC84DRAFT_501098 MDNGKQKRCTAASAEVKWEQGRMSWNSLLGFSLHGARNRPFGEN RTQQASGGGADYDNGLFEAYCSVPSAPWSRTPAGLVHRGIMLVGYWRSRCDRTSAVRL RPTSVSASARSARHVTSRRGSQVTPGSACRRRVGDGRFYLSGAAGQRPSRGDSDQSTR YPLSNLPNSHPGIDPGHSVPLPCGSSGRLAALRASRFPRARPLHRPRPATSPSAPGAY CCGEEIEHSMARCEALPFVIDCRAFGLALASTRKNGGA CC84DRAFT_1161766 MVVQPSGNLNSAWLRLVALQDSAGRPIGRPSPLRHTNAANVGFR VRLQRRRWRRSSNPGYHGHGGRRFGKAVTGGFWASNRASPATET CC84DRAFT_501176 MAGITNNVRTRDLNGGISLNGGDADRPSHSSLGWGGSIWNNGSG VPGLRDSSRPRENSTFADSIEGKTGSGSLVASSESDGWHHGRSVWGDNTSNISRVRSG VSPPARKRSLAHPDSTQPYADKAASLFPTSRPGAVGLGTKTTKPLLDPTSMNFTSSRR IDPLTTANFSSFGFNQGDAPLRSEASLGSWHDAASVHSPTDDRRSVANSEYLGPSSAT PSRSGSLPPSRHGNEPFQFGQNVDTYARYAQSGQRQASSFSVANNRAFQERSGSIQSE SLNLSHLSLENDAVSGAMSHRPSMSTNAFPLNSVNETPLTRDSYDAQAFERSNGYGQS GTYTPDSYTNGNSHLGGPNSLFRPVQFDSHSAPNGTGVHQSPLHTHSHTPPVFDHLYP SRSDHPFSLNSNNIALVNQRLAGHKMQQQDNRRSHPYQNQQIHPQQLQQLIAANQLQA NHLRGSYPYNPLPMSSALSMTSNMHSLPSPLHGVIPIEAPRAPRDHSNPPDLATMSSC LYNFKNASKINKKFELKDIYGNVVEFSGDQHGSRFIQQKLESANSDEKEKIFREIQEN CLQLMQDVFGNYVIQKFFEHGDQTQKKFLANRMMGNILHLSNGMYGCRVVQKALEYVL VEQQAAMVKELEKDVLRCVRDCNGNHVIQKVIDKVPLEYIRKIIDAFRGQVGTLSVNT YGCRVIQRLLEKVPEPDRRFILTELHAEGSKLITDQYGNYVCQHIIEHGTPEDRAKAI GLVNQGLLTFSKHKFASNVVEKCLKFGNNEQRRNIMLKLTDKNERGDNNLQTLIKDPY GNYVIQKCLETVNRRDYQEFVAVLKPELDKAKKVMSGKQIVSVEKKMHRTDFDDGLDD ITPKPQAAPSDISTDAPPTPPLTSEAQSPQSSSLPSANVSTVDEPVHTSLPNKNFSAP INGITIEAADNAS CC84DRAFT_1113637 MDNGEPAPSYEGIAASFAKAKAEQEQQESQGLKPTSNDAAAAKK QSEIQFKAAHGLLVAFLSLIMLLQLLGIYLFTSGFLLTRFTFDYKSECAVPPVYTSAR TYTAGTPERGCWHPRTFDKAIVILIDALRYDFTIPSSSEGATEKPHHFHNAFPVLYEA AVEQPRNAFLLPFIADAPTATMQRLKGLTTGTLPAFVDAGSNFAGTVIEEDNLISQLK NASKRIVHLGDDTWHALFPDHFDPELTRPYDSFNVWDLHTVDNGVTDHILPLMHASNT SKWDVVIGHYLGVDHAGHRYGPDHPAMAAKLKQMDDVIRRMIEQLDDSTLLVVMGDHG MDAKGDHGGESDDEIQAALWMYSKQERFGRSSPSFNTPPATAKERPVAQIDLVPTLSL LLGMPIPFNNLGKPIEEAFIGTQGIDYENLATVNRLTSAQIYRYQQEYASVQSMDEAA RAASLTSFNHANEAWDSTGTKSKSEEYAHVYAMYQTYQQETLDICKAMWASFDVPRML HGVEILAFSLIMLAIYARGLTGDLSELSPVLLARGGIGALLGALVGFGVSSAIPDVPT QHAIIYAAAIFGNLGIASAFWYLRRRLVSVLPNSVWGYLSVIFTLLLSVGFAANSFTI WEDEILLHFLSTFGFLAALSSLRQPRTTDRVLGVYHSVLFVILTRLASLSRLCREEQM PFCKSTYYASATSSTSATWQLAIPFIVALLLPTFIRGYYEGTLSYQNSAVMWLGFGLR FGLLLSAAYWALDAADDGDWYPGFSGMLKTSKTIIAQLTLAIAVGVGYPTFTWAKPLL NIEEGKSHTPHPAKQIVPSGGRSSIAILGYNNVHGSRYALLITVWYLATALLQKPMGA GALALCCWQIFSLLEIIATNHLAHSSIGPVILGLLGSFHFFKTGHQAALSSIQWESAF IPLHTIRYPWSPLLVVLNTFGAQILCAVAVPALVLWKQPPRKAGLLGDVARATATHVL FYATVNLATTMWAGHLRRHLMLYRIFSPRFMMGALVLVIVDAVGIFVAVGGTRMSFLS VGEVFGVA CC84DRAFT_1057919 ILAGIHPTIKRAASELAACVYENRLPDPQTGGYYLHGFSACVNE NETQKLGGLYKTILMSAQSPAAVLAKLCQALTENQLPRFFSTHGWGSFRSDLPHLEIF FTTLILERPTVFRLVQFLRSRSDDNPRRVLIRDCGFHRCNGREEVEVLKDIYRATLDR VSRFRLHNACVNNQILQ CC84DRAFT_1238216 MTEQAQDIICANVSAQLAYLHSFPLPSEEWYYGRIYRQGWVNPP DSIEAGPCRTVVAPFRDYEELVAAIDRAHELTTALHLSREEWTPGELERRRQLMEAIR S CC84DRAFT_1161770 MALPPLLRRLPMLARSTRSFATSALLLSKPLPPRRVILDSEIIE KFLHGSGPGGQKINKTSSAVQLKHLPTGIVVKYQDTRSRSLNRKRARQILGEKIEELE LGENARTRVKAREKSKKKGSADKKKRRKYRALAEGKEGVEAEEDVEDGVGEGSEPAAV EVETGQSKVQDWKSEG CC84DRAFT_1161771 MLDSASASTVVLLAAASTLLVAAMLYPTSLLRWLQRKRYQYEVT FSLYMLTSTEKFILNSILFLLLSLFIIAASLYLPEHIAFMANRMFYYFSGDSSHFTTQ AAPKADFATAAVTQMLSSGDALRGPAAGHEL CC84DRAFT_1082342 MPSPAPRTSNLTALLHSAPTAGDPTLVRDSAQAVTRLRHLNEAE VITMFTPFVPHPPGSSLAKDMDPFEPLGRALPRQVRHVPYRLDRGMTETHADFLPTSG AIVVVICSSQNVLNHDSRAYEQQTRFAQDAMKRVVENRSLASIPVIVLLISSGIMRQT HEYGLKDFPTLVTLNDYSTAALTNAVRVLFEK CC84DRAFT_1256686 MPSIAEHERPASLHDALEALERASNTPAKQRSVKVSSIVDVVCR HAFDVGLDQDALRTVVQITSVKTELDQTSVTTLIKNLYPAQRVPSDAVVTIVGALGQG KGKPSPGSQNGFVKWLITVHEIMEDPSILSRLYGVLFGLLDSISIRTSLCHLLSLITL RKHVKPFRIEQLLELARGLGNEPALQGLLRVYKDYYPEIILGSTSASRNSFPPAPDIE WRSRILAIQERATAAEEPGFETRNGFKVARTGRKRVKTSAIPDVHTFHANETSVTLEG IDNVEDFVEKLEVFEVPGQMVSFLTDPLLQKFVELSGSPIITKRIQLWLSMCLEDQYN AIKEDSVDWRYFSDILDGLLKHAQYTKKLLPIVQTFLKEYLLVWDGVQNVDIILNLLS YLPIHPFADARVTYLQPLERCILPHGLQACERLIEFYASLFRQWSNQASPQPSHSSSS LAHPDQRALHDLATHVSILSSSLLLSLSSGSSSATSMVSTILTFYEQLSTISTPHHIP IVLPPISLIYLLTMEPSTTTFARVAGIIANYRHAFSAHPAPIQIYYPEKMTSSFNTAL RDLYHLLWMSKALIAEPPKSVGLYCAPELSNALHTYLTQADREYNIRTAFNISNNPLL ASISLAAWVAIENEEIEKEGYDPDAITRHAGPVSQRKLEMLKAAGGVNVDWDAYRVRV LKWMEERGLAGPKEFMFAASNQLKAKYGGEL CC84DRAFT_501278 MNNNTRESLTMELGASRPEGICFPPVHRLQSSLRIWTSIIEDTN TRYHRNSAYNSSFDNEDLMFLPFGLSQIYLRICSCLLPLREARIWTQISERVASKAWH TGSIIVPRPLHLQLFHAKLVSLHHELLQPRYMGSWGRKTRNGQAYVCSLRIHSNTT CC84DRAFT_1113646 MKFLSTLTAGLLAAGHAAAAPNKAVDACLNSKKVPASYPGDSDY DELAEPFNLRLQYKPAAIVLPETNKHVQDAVVCASQSGLKVQAKSGGHSYASFSSGGK NGSLIIDLQPLQNIELDKTTNVAKVGGGVRLGNLAQGVWDQGKRAISHGTCPGVGIGG HFTHGGYGHTSRNWGIALDHIVGLDVVTADGKLLHATATENKELFWALRGAAESFGIV TNFYLRTQAAPEKVTYFQFQWADTLFKNKKAFTDTFLHIQTFSQNASVVDNRISYGIY LDGNTTYNLGGTFFGTGAEFNKTIQPEFLRGTAPPTKIVVQEYEWIPYLILMSDKTDI REPLTGYDEHDTFFAKSITVPEADGGLTATTLNAFWDYISKPAPYSYFVIINLYGGPG SAINTKDTKFAAYNDRDSLWVFQNYGINPTSLNYINGINDVIIKSQPQTHFGAYLNYV DPSYSAKEAHELYYGEELYSKLATLKKKYDPKQVFWMPQAIGVN CC84DRAFT_1238224 MWPCEVATLLGLAALVTSSPISPADNVNRRQETPTPTLEPCAQV SVILNPSGVPTDDPRPTEVPADIAYQCLTSIPLNVTSAKAILFELPLYLNWQSTLDVL KDPPPEYVEKVQDPVDILAGLDTISAFIDQGYISDEWSFGWALYRLLGAAHDGHLAYI LDVVGEIFSFSRPVPLVSVSEDGSKLPAVFAYTDILGLHFKNISYTPSAIVKIDDQDA NAFLEDLSQQGSLQDRDALYNNLFYNLAQISLGVGGSGLGGFTGAGRGRYVPLNPNTT LEFANGTKFTIANTAKSYFSFQNVTSGADLRRKIVIDEDSTAKFRPDVITPVSPPPGL DQAAAPPLGYPTPVVPGPQNLINGFYIDGPGYENVAVLQVPSFVSSSYAEVAFQITSQ KFLQKAWSEGKTKLIIDLQANGGGTILQGYDLFKQLFPALDPYGGNRFRYNAAVDLIG QAFSGVVGQSPRDAGLKNITLRSIQASYFDYHTDQTADGKPFESWAQKVGPNTVNEDD YTSISRWNITDVYIPYQSGINITGSSNQTRWRSEDIVLITDGYCASTCSIFAELMTQQ GHVKTIALGGRSNANKIQAVGGVKGANIYQWGYIQQYAAQAIHFNETFQTSALKQYTS GEALSRSYGNGINVRDAVRLGDDSGIALQFKYEEADCRLYFTPEMTVSVAAIWKAAAD AQWSDPSKCIGSGGYYNPSNAKRATQGKTTTLSPARSQMRGAQALRQMKAFENTFSMQ TNCKHEAHDGFMPPC CC84DRAFT_1161776 MSTITVQHIQLRRHLVSSPVTAQLHPYSNTAHQTRSSMITHHPP PQAHNRHRQK CC84DRAFT_1139886 MSVAAADGLNDVYESLEQYNWDDDAEFQSGLSAILGSNASPEQA SELTLRARCFYYARKYNTNVDFDAYKAYRNARGRPALVPNGVQAPPAASTDTAGGILP EASANVGEPPAPYPTSFAHIVELVTTGQPIPGIKEIPDTLLTGQASRATQSRRKKPWE KDEAPNEATTTT CC84DRAFT_1161777 MSEYIGARISLISKSDIRYVGTLVNINSAESTVSLDNVRSFGTE GRRGGTDEYGPSDAVYEQIVFRGSDVKDLRIEETPKEEPPVPQDPAIIGVQQAQRQEG PQPDQRAQPPNQNFPPPPPGQFPPGGYPPFGHPPPPYSNRFGPQGGFPGGPPGPFPPG GPPGGFGMPGPYGYGAPPPGWGPPGQFNGPPGPFPGQGPIGPPGQQQPQQNQNQNRPP TGPKGAPAGPPVNKEEKPNAQPKVAEADGSAKPTEQKPNAKPSSATPTPSASVAKQAP PPPVDSKPPVAAALAPPAQPAVVPKAVPTGPKSGRIAPAVPLVSPGQRNVAPQAQPVA AAAAVQGQASAGPAQNYHNATQAATAAVAAAMAKLEVGRNPAPSTAQPMNANLQQRID EMRDEQARRGGPAHRGGGRGGRGGRGGSAQHAKLEIPATDFDFASANAKFNKMDSVKE AIASGSPLGEGVASPPVVEAATNGHAAESSTENVVIPPPAAYNKSSSFFDNISSELKD REDSAANRGQQFRTQERAKNMETFGQGSVDGFRGGYRGRGRGRGRGRGRGFNAGGGGG GFRGGRGRGGQFGEQQA CC84DRAFT_1256690 MKFGSTLRKSVYAPWKDQYIDYDKLKNLLREHDDDKPWTSDDVT QFTEELANVQLEKVYNFKRDIQEKLKERTTACEKKLEPLALGIKAEDGEDGAEAASSS KDTKSDVPPAEKKKLLQEVLKELDGITKEVKELEKYGRINYTAVIKATKKHDKLRGRS YRLRPFINARLTEKPIYTEDQSPLLYRLSAMYSFVRQSLDGKPQEALSFAENASGKDE FTSYKFWVHKDNLLEVKTVILRRLPVLVYNPQTSKVAEGSQRDPTITSIYFDNQDFPL YTDKVNHVPNAASLRLRWYGQLAEKPTIHLEKKEMKDGDVAEEQRFPIKEKYVQPFIA GKYHMEKQIQKMEARGAQDPQDVNEFKKSVNDISHFIKEKDLQPVLRANYTRTAFQIP GDNRVRVSLDTNLALIREDSLDLDRPCRDPDDWHRRDIDDRQMEYPFKEIRKGERYDF PHAILEIKVRGLKKYEWIEDLINSHLVKEAPRFSKFVQGVAKLFEDQVNTFPFWLSEV EMDIKREPEAAFEEEQKKKQKAAEDDFAVGSLFGARASPSYRPSVISPVGSPAAGTSA RKASAPKPSPLVVEQTTKPSAEEIDSDDDGDATIPGRTTGLSSLFPSFSTSKYARSKE SAAKAIALPPGVRHPGVWIKDQGPVRVEAKVWLANQRTFIKWQHVSVLLASLSLGLYN AAGESNNIARILAVVYTCVALFTLLWGYGIYMWRRRLIMQRSGTDFDSVTGPLVVAVG LAIALCLNFGFKYRAILTEREHNHARHNGTAAAAALVEMVAERIELVR CC84DRAFT_1161779 MQVDFGAESEHSLHLSSHGHFEHSTHGSPAFSPFRHPALPTIDP GLEQSAANRNGHPPMSSVHHSAPPNHLLQADNASMGSGHLAHAFYGDMSRASQTPSTP SNASPRFSAISPASQSKQMTSNYVPTDRPTPSRDVSDDTLDQAYATFILYCNPNFSTA TDTSELTRLFRTPPKSDGKSFSTWALFELIRKFNAGDIKTWTQLALDLGVERPDTDKG QSTQKVQQYSVRLKRWMRAMHIDAFFEYMLGKQHPYYLQIPSPHNPFPENGRDGVPLE EDLAIRALDPKFRPKRGRRKADEGEDDVDMIDHSPAPKRPQLDTSIPFGNILQPQSAY PGSAHPDHMEGFLGAHDPWTGSAITPSSALTAASAPGRMNPNKNLTPYSASPMSGQQL RWRLNTQDNPTTPHPLSAVTPHSAHPDFFDEPQSAITPSSSKRSRRRHGPAVSSAWPS NSASSTGKLRGRPPSNRSVRDGPFVTFPANPKTKEGPTIDVNRNPGNLTPIVERAHSD PPAAEHHFRFPPTPASAVSPTNMDAQIPNASQRGHRLSLQVPQSLGNPVRLATPTVLV NGQENDASTSTTSQRAGPLYDQPTEQAQHQHHPTSSPASQFQNASPQQAQTQASIQTK APSPLPSIPPDALKRALAASLIRAPLTGRRKRLSGTEAKALASAILSPLAPPTNPPSA PAAKTLSEPALAISIASCLGLTSAVGLGAGGPTGGVKRVECVRFRVGGDGYESPVSSD FSSPEGEAGPETGTVRESFDVFFGVSFGPGLVGEWVVKGVGAAGEEKSEAEGLGQGQT TTAAGWKGRFEAVQRKLEEREEEARVLRERVLEAVL CC84DRAFT_501449 MPSVMTWLLQRQSWTNWTHPLKLDPAGPNTFVDTLSRVTMSWNI PSMAAMSMLCVYVRLDEKARQFPEPFRYLLTNSPGMGDLTIRHDFSEENPNTDWHTNL DSLPLRYNVSPLLVLTFFELTDLRLGESSRALLHEIGFSSLECLHIRDCVDVAPFLFA LSGLFTRTGGSLMNLHMSMEDPSPILGGLDSFLQT CC84DRAFT_1139894 MDVALEFLDPLILDKAYAWALPSAPLGIDYSNGTSPHKFSAAQT TSQWPRDNIYRQIISILALTQIGAMSLYLIFSALSYYLVFDRRLEYHPRFLKNQVRQE IISSFSAVPFINLLTLPIFLAEVRGHSLLYSNANEYGWTWLAVSTVLYMAFNDFAIYW IHRLEHHPSVYKYIHKPHHKWIVPTPWAALAFHPLDGFIQSTPYHLFVFICPMQRHLY MVLFVAVQIWTIFIHDGDMISGHWTEKWLNSPAHHTLHHMYFTVNYGQYFTWADTYFG SHRAPQPELDPLHDALKVLRAKGLVDENDQLIKKKKTE CC84DRAFT_501471 MTARNLAAVPAARPRRLTRWQTEDAGSASQMRAVGRIVLCRSYT ACPVPERTRSPLHCAFGVASSTSQPPSHAIPMRQPLMQGPRQTRPLSSSQPLNPTHPF YLKRTETQIHGTRTGMFLHLVSSRPAPSCRLHVTHPAPSLPAAPAPSNLASVYRHPHC AISLPLVSSRFPLFA CC84DRAFT_1083885 MSPPTRRGFSNRASSSRSRGPAPKIVVKKEPSSPDMPNSRHRPS RLNLASSHVVHASGALTARPAGLSTGVDIGIACLSPGFHTNDPSMREQLQRSMDVRER QRQIIEARQKGGAKGGPPDDDSRQQDMSPFGRPLKTPKRKGPPPGLTIAPPSHSQFAN ERVIQSAPINQSFTGLRNNMPPSRQLANGPSNLSQTSHIHHVPAQQTSNRLPPIADVF PEPLGTGRGMYTNSPGTSSHSNQQPPLPSPGFPPPHHQQPPLTSRPREYKSAEEAVQT LSGGREDLLPRIVHYGGHQPPTPPSPMPGKNSSQAYPPNADLHRSGSGRRRGRDEYER DMGTPPLGRQHARPGPFGEPRDSPETQHRKKEEFISLCARAWDLFHS CC84DRAFT_1238236 MGSSLKMALRTAALRVAGTPAAAAQAARRSLLASPAASTTPHAV PLLYHCDAWLLPSARPLPTRPPPAGAQLLASAGDSHAAGGWRQSPLASSVSRSLTSPE AARARAPRNAPRQSPNCMDRRSWRAAARRFQRSTTALQSLRQPRARSPLHHSPHELLP ERSSRAASSVHVCGRAPRPHQPERMPASPPSLRPRFARADCALV CC84DRAFT_1173290 MTDYHYQAAGGIGKEAVFAFAEAGAEDNICADLKGNEPPASPKK SLVDFAVQEFARIDYLVNAAGARTETSISKARLTRASHIDVEAVVPSHLTSDADLTRV FDVNARGAFLLYKAVAQH CC84DRAFT_1161787 MSNGHGILAAWICSMLIASQAALQYICISDWIYTCRTTPSVAWL TTIYITIIAKISCYMLEQRARA CC84DRAFT_1238242 MQQQMAVHYCSVVSIFEGGSVISGAALTMAVLVFSRVLAGIGGS EIYVGTVNIVTFMTTPVERSKYLGYVAMAWSLGTILGPMIGGTFNDNLAMCLLYQIVF YSTSSTGLALLDTVFSTSYRVQLPETGQTA CC84DRAFT_501605 MNDRGILIVGATGKQGHATIAALEALPPQTPPIRILALTRSLVS PKAEALKKEFPSIDLVEGDLAEPQPIFTSRPGITSVFLVTVPPNDEQQALPMIDAATA HGVSHIVFSSVDRGGESASWENPTTVPHFAAKHRIELYLKEKTQGTCTEWTILRPAGF MDNYNPDFFGKMMAGLWATMQADKTMQLVSVQDIGIVAARALTNVQSWKGRALGIAGD KLTFTQADDVFQTVRGHRMPRIWDIPSRALRWAVDDAKTSMDWFEKEGFKVDMEALRA EGFEVQSFEQWLRST CC84DRAFT_1203273 MMFSNLVVALLASALVAPIAAMPHEVTQYQTVHGTSTVSAFSKR QETAIDWSCVYSAGDVNQNRRDAAENIAKYLEGLTAQGIRCGLDHNQAYYNLYTWTID GQGYEANIYFTGRIPQTVSADYSCSDLARELRLIQERCHDRSGGADSILEWTWLVNCI STPQFSSQPEQPYIEGGVGLEFRESGWPSPVHSQ CC84DRAFT_1203274 MSIRQVLLAAAFLGLSLAAPVPEARRDVELQLSPECDQVLGCVP DCTTEHGSVDAHSKNGTSASVMSICNTGLIGENPRAVRKADMTRICRTALLEHSSRRT IAPHAQFLFVKPNGQILVFTMFWDTPAIPALYGSIIPFLVLDVIVIILRFVMRRRLGQ KFQADDWLMLPAFAGVIGLVTMYFYGLGTKSLGYRYMLLPPAGTDISSPDFVPEFAGP TSRIVRTRRLEYSSLILFSATAGLIKISVLLLYRRIFTIIPSYKDARNIFFIVMITLI SAWSISWIFAFVFMCRKDVQTLFTNPENLTAKCVDTFAVGYSHSISDFITDALILLIP IPFVWKLHLPVTRKLAVVGIFLMGVLAAGSSLVRMIWMAWNTSVGFGDETDEEPCLPT LRGMFKAQSMDSFVHGIRTMFSLPSASRSRSWKGSKGDSPDASFESQRVTAAKLSYSR HSNSSVDA CC84DRAFT_1256697 MDEEKHLLSGSYSESEQGDHLKSPLAQQSLRRTFSFSYFTSVVS ILLLISVTTNVFQYLQLRPSAFQPSSFPGLQNLKHQIKTHHAEHGVKNSDYQGGHTNA TAAAWTALLQPFYFNASASELSNADVSPTNAVRVKQGGYLASLGVYHELHCLNQFRNF LYLSTSPTPLSAEQITYYGSHLDHCIEVLRLSSMCNADLSLYTFTWPREQSFTFLDAH SNTPRKCVDWGQVENWSMERKVELAPTVIKPGE CC84DRAFT_1113667 MEDVEFARSHSNSPLPEAGNEPEDPLAPELEEENGVNPPLGAPD QDVEVTEARDEMPDPDDVEEGGMSDNESVLSELDDQQFEEFDEGALAIEERPAQVIDG ENMNLIGVHKRKRTEGEGDAPKKKKKRADKPRRKKNREEEVGGTDEAAGARKARSRKK GRAAEADENDESLTPEQRRQRALDEQLKAIMKPSGGSRRRKKDGIDLEGMADAEIEDM RRRMASAAEADNEGRKRGEPARHKLKLLPEVVTMLNKNSIKDSIVDPETNLLEAVRFF LEPLSDGSLPAYDIQKELFAALAKLPITKDSLVASGIGKVIMFYCKSKRPELTIRRQA ERLYTDWTRPILKRSDDYRKKEFAQADYDPTNVQKRVADPQASQAAAQAAARTKALAV PTTYMRARAEAGPTTYTIVPKSNVVFNENNKGRSGDVDIMRKIRNNRGGGRR CC84DRAFT_501639 MSSISDEVAADFKDALQDLKVNSRPEISNLTLIAKENTEHAQAI STVLENHIRTARPEWRLPSLYVLDSIVKNIGTPYTVYLGRGLYKTFMEAYSKVDQPTR KAMEGLLRTWKQPVPESMDSRPVFPLEMTSDIENALNKMRSVQAQMQSQRQVHALPAR PVIAAAWRNTPTPPQNGAQFVAPVDPRARQFPGQQFGQLPQPTPTPDQFSQPMGSVDL NELKEEVGKLIATTQQAFALNFSDPSLQQKLNALLQLKKMLDTQTLPPQQLEAVRNQI RALAPPPAPTPVQMPAFIPPPIVHTPQQPPTPTFQPPATAAPVNLAQMLANFQSPPAV MNPSPAPAPAAPNLADLIARMTTPQSSTPNPAPFYPSPFPGPSGSTPVPAHPIPASAP APAATPVPAPPTNLAQLLASFNKPSAAAAAAPTPPPFNPALPPQIPNLSQLLAQAQPA GAAPPPPNNASWLLNALSGLPNAGTPSNPTPLGSEPMTRQSSAPVNVHNDVELTTASM KQPRPHLISRLYDAKPNICTTCGRRFDATSQGREKKARHMDWHFKMKDPDAAKRGVHR SWYFTEREWIEYREVDETAPEDTTNGPSGAAGRVKKQAKDRYVLVPQDVTLAHAPCPI CQENFEPQWSKDANDFVWMDAVKVGGKIYHATCWEEYSKGAGIATPSTPDSVLGKRTA ETGTPASGKKLRAF CC84DRAFT_1256701 MAPIQDASVDDLKHKVSTLEARIAELESRLGGGQSSAPQAQDGV RMILMGPPGAGKGTQAPRLKDKFCVCHLATGDMLRAQVAKKTPLGREAKKIMDAGGLV SDEIMVNMIKSELENNQECKNGFILDGFPRTVTQAEKLDDMLTASKKPLEHAVELQID DSLLVARITGRLVHPASGRSYHKIFNPPKSEMKDDVTGEPLIQRSDDNADTLKKRLGT YHAQTAPVVSYYQKTGIWTPIDASQEPGQVWKSLLKVVDNKATISGRTGSLLNKIGLK N CC84DRAFT_1139910 MTAQRNVLFSVAFSCRPDATMAKLSDLPSELLEQVLLYLPQSAY CSLSRVNKALYGITTSYLYRDITLLARSRDHTPRVDRLFFNILDNPKLGKHVRSLTAG VCTQEPCREVRLCMPIDNKAEHRLSVEKAMRFIDKWQPVVQAEDFLDGLDANDYGVYF ALLYLLVLPTLQCINISEIGDETLRPLKYTLDNIRTEEATGNTQLLGRLGSVKEVTYN FQHDMTSPFPRSGTNDLWSSLIIHSIESLELSACREWSSFSRPGAPVPRFARFRNFQP ASTLMFLTTFVMRCTVNVAETLHGILPQTPQLRSLTCEAFCDTSRNPLNADTAGATPP WILLEQWNAELNTVKNTLETLVLSVELSNGDCTFFRQPDLKPHVSGRLNLSRFDRLHT LEVPVPFLTDDAFLWIGSDTNFSPYAPPNLRHLTLRTDMTEAQWVYPWDTSIREKGPT FQESKEEAWVKDQARMDLTCVFQIALALIDQLPDLQSFSVWQPPDPSLDMFGYQLEDL RTSCKNKSITARVVYPMLLRRKSTVHWDLAREVTLFDSQFPDSGSVARMFRGERRGIP LGLASQYHLGEFRKNHVRRHR CC84DRAFT_1203279 MLAVQSTNFTASILGPHHGITVVYLLLLLMLRTLFCIDIKITSG LASPSLVGTLRHHLFSRHFRERQTKQIPRAHATATMKIEPFKINVPQSEIDALHTRLA NTRWAPELDNDDWTSGVNGTYLRELVTYWQSRFDWRAQEAHINNFPQFLAEIDGIPIH FIHVRGKGPSPVPILLNHGWPWTFWDFKAVIAALADPAAHGGDPGDAFDVVVPSLPGF AFSGPLPRTGVGYVETADMWVKLMRELGYERFVTHGGDAGAFVSARLGHAHPDSIIGV HLSFPVLPGVPFGPDDQSRMTPEERTLMEGQDRGQGAFIHVLINALDPQTLAWAVHDS PVGLAAWLLLRRRAWSDCRGDVETRFDKDTLLTHVSLYWLTNSFVSSELFCRASGFPQ PMALANDIKPEISVPTAVAVLPKDLFYRPRSIFAAHSDLRQWTLFPSGGHFGAAEEPG LITEDIRSFVHPLRNG CC84DRAFT_1256704 MTKLSELPAGILSIILEYLGRHDYNDILRVSRSLYRETLPFLYR DVKFEATKSRSCARRLALLLRTLLEQPQFASYVKVFKLRGPLPFWTKYNPWPEDAKAG PASVNLWGLKGCQTLSKSQKIFASNEIYSFVDESMHKEQEQFRNRSKDALATLVMTRF TELRTLDLGDGFLMHSLFLPQVLKRAPRLFPKLNHVVLGDKRFDPENSVSYMDLDLIR PIFYSDTVRTFEYLMTQPWQLAWNRPAAPRNETLTMLRLFRTNITRGTLDQLLHATPH LKRFHYDQEILYNAHTPSGPPLSPYLNLDGLNIALSALKNTLQDVKLSIALAPGSLSP AALKLQGIPFPPMQGTLAVLKDMQHLERVEVPMVMFLGWAPDFAAALEEVLPWGVRTL VLRDDLVLHCPWATGAAMGRKMGRIAEYLDKRGVHAPQLADFAVRIKPGAKDGTWLED AVREVGMPEAGRDVCFGIEKEKRAEVWRWRFGEGVGGERAEKERERIDSAMVVGRESM FLPLEGIKLG CC84DRAFT_1113681 MTAPQDKPLNELLSRSMVDVYVGPENTHWILHEKLLCHHSPFFR SIFYGKGSTTTSFGLPDEEDAPFKTLVGWLYSSSLPVPREEGDLTMLFDLYLMAEKFQ IPGLIADVLQVVREWYKFSDSYPGLRRVQYVYANTEDGSPMRHMLVHSVARMMVLEQG IPAHWDKALKKNGQLAVDILRAIQDWRLDEAAIPDSRDDPADAEELIDVEAEMADAED ADGEVEAEADGEEADAEEADEVEQDDDAEAEAGEDSTDDTVVESPVQAQHPAGKNLKS AGGKASDMAKSFKEMSLKAVPNGLTNNDYGTLAH CC84DRAFT_1161793 MRLPKVPYLRVISRKSWSSKRLGKRAPHGVVVGAASPSPPAAAT ESTVTMIDHANDQNTQPRAPDSGHNPSSLPPPAHALPPRPSLPTRVEGVVNVANNGLT EHDRRAEAYRQEDAQRHQPRPATGQLPSQPGFFNGNLLLSNVPRGAPPSAGSFASTQP GPFNGRHSLSHWFTGQGPFNNNTPPFPPPPLPFGTPSFTGGFPGPPPPPPLLQMTGNV ANETAQPFGQTPHHPAGFRRFAQTSSNMPQSQMTAPETPKDVGVRIGPKEKKKEPKIF LPAPIPTPKYLMQAALQAEVRIPPSRKLVILDLNGTLIYRPNSRKQPRKMIARPFLQQ FLAYLFDNFVVMVWSSAKPENVSVLVEIGLGDYRHRLIACWGRDTLGLDPKHYSMNVQ CYKNLTRIWASNEIQRPEFGGRFDQRNTVLIDDSSLKAAAQPHNLLEIPEFKGVEADT PVQDVLAEVVGYLEVLKMQEDVSKFIHKDPFRANGDWRIEWNELALHSLQNHLTWVSS PR CC84DRAFT_1173301 MPLSSKLDQKLRAAEESSDDEEYYEVTDRSSSPSIIETGEGGEL LDSEDGDEEEGSEDEDMSDDAEEEAKAQMSKVSFGALAKAQDALSADRKRKRGDESSK SQEDKLQALRQRLQEIKAAKLAQGGGLQPKPKAKKARPTKDEEDKEEDDDSASDSDAA PAARSSKHAPAVQTSKKAVSRKRQVVDAKKPKFRDPRFDIGAPPDENTVQHRYAFLND YKKSEMNDLRDAIKKTKNEADKEKLKKKLMSMESQMKAQRNKDQQQDVIREHKKKERE LVKQGKQPFYLKKSEQKKIALVERFQKMKSKDRDRAIERRRKKATAKERKNMPDERRA V CC84DRAFT_1238262 MPSLQDLPPFPSDVLTAPIARISLQSLLASDPSSCAATLEACRT HGFFYLDLSSTPLGTSLLSASTFLHEISHNVFNHDLPYKTAHDLVKGVSLFGYKRAGT VKTTDPTLRPDSTEFFNIAKDHLHAITPSRSYPAEITAPETWALLQAFSRDAHEVGML VLRVLATQLGILPAAFVSKNEFGQKSGDHVRLTHKPARVPDPAAALGLPSHTDFGSVT MLFNWLGGLQIQSHDPARVGGWEFVKPVEDCAIINLGDAMVTFTNGVLKSAKHRVVDA PGEQGRVDRYSVVYFVRPHDEAVMEAVQGFEHLGGKGRVAGKFEPKVPEGEVLRAGEW MRQRAVQMGS CC84DRAFT_1238264 MPSTRRHSSTVSTASWKDQRSAQVSRTPVHAAIYPRASRSFIGS IHNVRATSGLFENAKNFSRTTHALVYEFSSKQSLSERTLDENSGPGYLQEVVQNGNSD DQKLRVMCRLSLSAAFAKQLMDTFDVTPQFSGAILGEPDYGAPGDFATFDDKGNIEKI EFCCQQPRWAIHKKLTPWCIYMAYSYQDRATTYIVACDAHQGRFDIVKERLSDLLAVD PTHGTFLSDSLNPFFLHLLITQEVFLDAVPEITKLRHQLYGALDRVDQYAAKTENERE KKELEDLTITLHIVSQETDRMFANVSMSSMILQRMIRAHARYQDSVSNDASKRNSVVK TDDALHYMFDSIESQQRWLNSYKSRKDIAMNLVFNLVTQQDSSTSTTIAREAKADGSA MRTIATLTMVFLPGTFVSSVFSMPILEGVHWHLYVAVTIPLTLFVFVTWWFWQNFVSL RSKLEKLARRVRGKRASAGYRP CC84DRAFT_1214268 MSLQHRNLAQLWEHLQGRHDIANLLREIVGQHSISSHDAEPRSI EESIRTIGWIKIVFDSQRCYLSGIATGVAECPPYVLSCLLPPDLLFATILYLVNVKKS LFSSQTTLMTREFTRPRHILAQTILSGLRLLLLRKEGINANDQRRLQNAINQAWRDDR LHGVERFIVSELFAEVLNALNEPSRENPYAREWANAKLPTYAAGLYPLDIRVETFVTP LIHGTMEEDWMDAYWVLHDCLWAVECAVTQRFVDLRRQTGVLGSNQTSVDTDEILEQL WHTRTSLVISIFHVTGPMQPTPALLDSLAVTLLDWDEDLENFLSRQDSLFQHQRAPTR SGPNRAQNHGRHISELSPYFEAAMTSFADWLPSRQSGYEQFSIRRPGVTSNNMQGMVK DWIVKMAAPNAESVSKEQEAAAVTVYIVDCPKLHVIRKKLLEDKLRWCDKWAYEAVQG NSPIVNWKEGIACPSCSGGEKIKLARLIEPFQSLATALDTSTWRDDFSLSQYSVGDSG SYDAASGSHSTSDTGSIVPSLTSNSGMSVSQPSHVSRPSDPDIQIVPPLQPPRYTESP VSPTTYNPLSPRSHSLESAIEYPISPLNESLNIPIALPIASRLSIDLPIPVRTPSIDE NIAEAESASMPSELSSERNMFTPTSSVRSTPSFSKMKSSSRTIRIGNSFRRKPSTKEK EKTPFPKEPCFVFSAAGHSLLLWEKGADHLVRFDVPSNDASAIQGCKYEVAGIEVAAA GNHKCAIVAARGLTRRLVIFNSINVKSEAEVDLDLPGRVGELCLAVSRNDKFVAVSLN DRIVIFGLENGGVKQLAFHHQINVYEMRGGAIQRRTIPIGRTTSDDTVSILNDCAGPG WFGGQGKGLSSRERAEEQQRQTVIISRKVYFSTDSKSLVVATQLGDHCIYVDVWDCTT EPVTTVAEHSRSFKMPPWTLNDGDLTSVFYDSARRSALVTAFLGKEYPLLIPFPGHDN LQNETYSTKIVQAAQSPTGEAFVVVNAMTEIIQFEYTTKGMLSPRKLKKASSKISQGV FKPGAIALAMPLESVLQIFWVKDGKCMLRNVKIGAAEQFKDYDLRPHYDRLMSLKTKP VIARAPSLMIPELDAT CC84DRAFT_1214269 MSSRRTVLSKHLKERHVNMISFSACIGFGLFLNTGKVIYLAGPG MALVAVVLGCSMMWSVIACLGEMTALFPVPGPLFEFPGRFIDEAVGYATGWMTWSAWI VILAAEIQAVAQLWRFRFDPAYLKSVGYPDEQLGWSTEHYSPAVWVFLFLIIVLLINL LPVRQYGQLEYIFGVIKLTFISFLIVFNIVLSGMQKVYHANHFWTWNSPWGFSSAGMV IHPTTSDPTNPDAMVKGNLGHFLSLWTAITTCVFSFVGFEAIAVTAPENKDLEKYETI KIATKKLSMRISLLYILGTFVGGLNVPYDDPNLVNIQINAVRAGQNSVFVLAAVRNGL RGFPSVFNGFFIFAATTSGINALYTSSRLLHALASIPEAWPLWFQNYRRRLERVSDAG VPLGTVCVSWCFGLMAFLAIKPFPSVILGRMTNNAVIAELICYTMICLSYTQFYHKIK VAANDHTLENRSAFNRDDKQYPYRTHGQLFRAWYGFFFCALLIVFNNWRAFVPPFSTP DFVASYIGVAVFLAIIAAYHVRSDGWNPLKWRRSASMQIHRPPPKVVVPGRRRGHLEF PNPKMPFWQEDNAKALVAFIWGWLK CC84DRAFT_1238265 MIYVLAIIPVLFIFLQSCKSSNVSSSTRPVVIPPSVEWDGPDGT WSSFDIIGGSDKQKIWRFAATAYQTYSLPTNTTWCGFTDTRPSICEERGICRPPKSKS WVPAEVAGMYPLYTRDGEIVTGDIGIDTVTVEIGGNQILVPEQCMEFIASENFAMALF GLGSQHFDHHVDFGNGSSKSSKLFPLMDGLRDMGVIQFHSYSYRTGSRYRNQRASLIV DGYDPALKAKGYKTLAWYLIDEDVPDRGLVRGLVVRLSSVSFRSGSESRINNSPEPIE VMIDS CC84DRAFT_1113692 MLSLASTFFLLSSGTSASLLHHHYHEAPVRRSGGYATGGVYTPT PIAPTPQPTGGYSPGNGSSSDCDAHFWMEHVKHQGLASFNNDTSYQVFRNVKDYGAVG DGVTDDTKAIQKAITDGNRCAPTVCKSTTRTPATVYFPGGTYLISESIIDYYYTQIIG NPNCLPTIKASSNFSTVNVDGDDIGYLIDASPYGANGLGFGATNTFFRQIRNLILDST NVKSKTILRGIHWPTAQTTSLQNVVFKMAAGNGTKHEGLFIEEGSGGFMNDLVFQGGH YGLNVGNQQFTMRNLSFSNVDTAINQLWDWGWTYKSVSIDNCRVGLNMSSLNGDGGLT VGSITLLDSSITNTPIGIVTGRTKSSQPDSAGALYLENVKLANVQQAVVGTNGTLLAG SSATTVINAWADGHRYLPEFPLGPQTQTPLAPVEGTGPIAATKRPGSLLDSMGKYYER SKPQYETLSADKFLSARTLGAKGDGKTDDTKALNDGLQKAKAEGKVLFVDAGYYKVSG TVYVPAGSRIVGEALSSVILASGSFFGDMENPKPVVQVGKQGEHGSIEWSDFFVSTQG PNAGAILIEYNLFSTGEPAGLWDVHTRIGGFAGSHLQQEQCIKEPEITTTAANLKKEC IASYLSLHITPWASGVYLENNWLWVADHDLDDSLNNNTQITIYAGRGLLDQSVQGRVW LYGTAVEHHVKYEYQFVGTRDVVMGQIQTETAYYQPNPDATLPFPENAALFDPVFTTS QPSNSTSNSTHPVSPNNASGWGLRIVRSSNLHVYGAGLYSFFDNYSTKCSDVASEGVC QTRMVSIEGEGSTHDVNLYNLNTVGSTWMVTRDGVDLVANADNNSTFVDGINVFRV CC84DRAFT_1113697 MGQSQQQQQQQQQQQQNMGGSVMGGFVRPAPSLLPNQQQQIQQQ QQALPQLRQSVHDRFASASLNGAREKSVVDQMRTIAEKWDSNSSECAFQYYFYNSVKP EEAPFYGPQPHEDHKKWEEALANKPSEGSIPLLVRGFQEMAARIQYQVFAMNTLQSRL HEMNNCLGVIKDQHELTTAPRINKAKQKHIEHTQRILALATKVQILRNRGYAMDQTEE ELKKKLAELEKRAFDPINGGKQEEIWARMSSVREHAQRLQHETEKQSNAAAAGLQEGS LSEDDQVQLEKILKGYDQQLQHLKKMVDDTVQEYNDWTKEQTKPVR CC84DRAFT_1184844 MSSRQPRFNDQALIDTTPLPDDIPKVKELGASSAPLLSASYFIG ARCKAYNDDYMMCKTEANGRGELDCMKEGRKVTRCAASVIKDLNEHCLEEFRNHWKCL DNQNHQLWNCRSQERRLNSCVFDKLKLEKVIPDTPKGETPVHLRGRQIFSTN CC84DRAFT_1173309 MSANPFSDTLYRVPSDEHITNSKALEWPCIVQVPIIKASAPAPA SAWSPSTASSTSADASPTSITASTPPPPPYTALSWSAADLTYLLAILYPTQMSSASMS ISTHPTDPLDLFSPVQLDLFLHTKCSPAPSSAYAPTAPIGTGRPNSRFLLASASGTTA PEARKALAHRILQNAGKEMVLGCVSAYYALLTDVHSCDAATLSSLRRGIEVMKDVGEK EWAGRREQLRAAGVDGGWGVEARLEGWLLEGGWYELGFWGGCVQRAGAMGMDERDERV KMYGGVVGFLGVVGGEVGWC CC84DRAFT_1256716 MGHTSPVPLPTVLRQSSINTPTVGLVLAPGTVVRVAASRDELHA APAMRAVVWRGFSFSKTAGVGVDATLWPSLRKPHQTYDSDPPSQTHPSRRNHTHAPSH GAPTRSLFTQLLLDENKQKQRNANIRHFGASWIRPPGIQKTYQAMIDEEKEIKEQEAL AMREQQMMDLAAAQQDAANAEAREAAGDEIDEEERDLDDDVPEAEASRSASEEESDVG SESEEGSGVSGEEGRADVTFNEDSFIEGSMIEGRVGQMLAMEEASMEGSLLEERDLDD DVPEAGEYEHTDSSLLDSSDEDDGSFVQPGSRRSVRSRRSSGAVRSTRSRRSSGVRSI RRTSGLVQAHAMGPTHMMGQGLSMTMEPPHAVGQARAMGQLQAREQMRNSRFSVELEG SSSLMEGSSFLVSSPVAARGNVRARLFGARNQR CC84DRAFT_1161799 MQSIKNTVAENLGKYTPMDTHGLAPGDSQFSLEQVPDLTGKVAI VTGGSEGIGYGVTHTLLTHNISKLFVVCLEPGIAEDATKAIEKEMGAATAKKVEWLQC DLSDWEQTGKVAFKISDMTDRIDILINGAARGIMTVQRNKSDIDLHMATNHIGHVVLT SHLLPVLKKTAENGHTVRIVNIASNLHEQTLQETQFESIEELNRDYGPQAQYGRTKLA SILYSKYLARNLTSEFPNILANATHPGIVDTRQTTEHVHEAWPFFGFAMSVGMHPFKK SQFEGAVSTMYAATMTEKSGQYIAPPAIVEQGSDKANDDALADRLMDLTWKVVKERTK SQSADKGCPFEES CC84DRAFT_1139940 MRLLQELHLNSSPPLPANITAQQVLASRISNFFPQGQGSRGPYT DSEIIEISELVKHLNQHWSNCPRTYIILRIIGHLDMLDRLIDIGFSDYWFPVTERNLP SCLLPSVKSSFVRTQSLVLTKSMDLEKGGNGQHCHFEKGEPVPFDPRGILGSGGFGQV DKVLSQISFKEYARKRVLRGTAFTGPRKEYIKQFVAEIQILKRLKHHHIVEFVGSYTD PKYIGLIMSPVADMDLGAYLKQATISNHPELRTFFGCLATALEFLHEQKIRHKDIKPG NILVNRGSILFTDFGLSFDFTDVDGSTTTSMPNGISYRYCAPEVAQYEPRNTMSDVWS LGVVFMEIIMVLKGRTAQDIDEFLRQHGSKGAYIRTNLDALLELIAELGEIGNSSDNR ALGWTQQMLSVEQKLRPTASSIVTSIIAAGQEGDKISFCGICCIPSEDDFSSSAEE CC84DRAFT_1081270 MRRQKRTLRQDYKDPLNSKHRRGLALYEQNKYRKAEEILRQVVR GRERTLGQEHEETLNSKYWLGLALCQQDKCSEAEEILRQVGRSREQTLGQDYEETLSS KHWIGVVLYQQNKYSEAEEVLQQAVRGLERILGQYDETTLYSTHWLGLATYKQDKYGE AEKVFQQTTNGRERTLGREHEETLYSKYWLGLAFCQQGKYSEAGEVLRQVVRCREQIL RQDHEETLSSKYWLGLALYEQNKCSEAEEVLQQAVRGREKILGYEHADTLYSMHWLGQ AIYKQKKYDEAEEILWRAVYGQEQTFGQEHEETLYSKHLLGLALYNQKKYSKAKEVLQ QAVRGRERTLGPDHEDTL CC84DRAFT_1113712 MREVISLNVGQAGCQIANSCWELYCLEHGIQPDGYLTEERKAEE EDHGFSTFFSETGQGKYVPRTIYCDLEPNVVDEVRTGTYRSLFHPEQMITGKEDASNN YARGHYTVGKELIDQVLDKVRRVADNCSGLQGFLVFHSFGGGTGSGFGALLMERLSVD YGKKCKLEFCVYPAPQVATSVVEPYNSILTTHTTLEHSDCSFMVDNEAIYDICRRNLG IERPNYENLNRLIAQVVSSITASLRFDGSLNVDLNEFQTNLVPYPRIHFPLVAYSPVI SAAKAAHEANSVMEITNACFEPNHQMVKCDPRNGKYMATCLLYRGDVVTKSTHDAIAH LKTKRTIQFVDWCPTGFKVGICYQPPQTVPNGDLAKVNRAVCMLSNTTAIAEAWSALS HKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALERDYEEVAADSLEGDEGVEAEY CC84DRAFT_1113715 MRAIAWLLCTLGSILRVCANVEKTIFLGPSAIVLPNARPGLDEL HVDTLEPAEHPILATQLSVKFPTGSTPRGVDSWYLLRGLEEGRRYEVRICWPAFQPTD FWLDTFPLTHVFDTPELISSLAGYSDRARISPPQKLGYTAGDSSTAQSVLFLRVQAAA SYYSTNKTLMEHPPLVDVDIILDPFILNVLPRSLGPTAIYITVVAVAAWFISGYTYRW IIAVSKEYEEKGHKE CC84DRAFT_1214280 MAIYPILRSYGLVPVLTPEIYQSLTQQAPNMNSQRRDQRENSEG SLRLPIELNDEIMDYLNPEDRIAWIFSHRELFRSYFDGLSEETRKSLSESVCKGPSDG KDKRRDQGPKRDKK CC84DRAFT_1083277 MLASSVARASRSSMVPATRQAIRAAPAVCLQTRQAHAISNPTLA NIEKRWEDMPPQEQADLWMSLRDRMKVDWKEMTLQEKKAAYYIAFGPHGPRRPPPPDE GRKVFFLAAGVIAAAVGVFSITRLFANPVRPRTMTKEWQEATEEYMKAQGTEPITFKP GMMVQSKSEKHLPAREKLNDE CC84DRAFT_1082743 MVVKVGINGFGRIGRIVFRNAVEHNDVDIVAVNDPFIEPHYAAY MLRYDSTHGQFKGTIEVDGNNLKVNGKTVKFYTEKDPAQIPWSETGAYYVVESTGVFT TKDKAGLHLKGGAKKVVISAPSADAPMFVMGVNNETYKSDIDVLSNASCTTNCLAPLA KVIHDKFTIIEGLMTTVHSYTATQKVVDGPSAKDWRGGRTAAQNIIPSSTGAAKAVGK VIPDLNGKLTGMSMRVPTSNVSVVDLTVRIEKGATYDEIKEAIKEASEGSLKGILGYT EDDIVSTDLNGDNRSSIFDAKAGISLNKNFVKLVSWYDNEWGYSRRVLDLLVYIAKID GNA CC84DRAFT_1081735 MDTIPATAARVEVRVPPPPKFKPLPYPSSRTGLVYDDRMRFHAE DESLNINPDDIHPEDPRRIYAIFHEIREAGLVRESIDSDDEDDVSNDSKCWRIHTRHA TKAEICLIHTPEHYLFVEALQNKTPLELQALYREMDSIYFNNSTFDSALLAAGGAIEA CRAVVMGHVRNAIAIIRPPGHHAESTEPSGFCIFNNVPIAARVCQNDFPETCRKIIIL DWDVHHGNGIQHAFYDDPNVLYISLHVFKGGSFYPGNPDADLTYCGEGPGLGRNVNIP WEDHGMGDAEYIYAFQQVVIPIVSEFDPDLVIISAGFDAAEGDALGGCEVTPAGYAHM THMLMRVAQGKMAVCLEGGYNLRSISRSALAVTRTLMLQPPDRLAADLDPNESAVRTV EQVKRAQSKFWKCMYPKHIGPATPAFSSTTRLHEVLRKFQSLNMAQEHCMAPLQIIRD DLRETFEHNVVATRNFMDKHPLLVIFHDPPNLTLCPDPVTGKTELHNMWLADVVEKDY VGWAIKNGFEVIDVNVPKVEPIPEDDGEYVHADTYEERADKTKQLAAYIWENYIEPHQ ATQVFFLGIGSAYIGLIDLLGNNEACTDDNGVVEGVIAFVADTSLQSIKRATDDSIAV ADWYYTHSKLFVQNNHLCWDPNRARKPRKKWGKLIRSEHTTIDDMLAEHKEEVQQYLL EKKEAYVDPGDDTSVEENIQQPLRSPPLPMGIRSPGSVPPTKNGHGDARMPPMAIFTL PNSRMGTLSPTAPGSPLRQGFGRG CC84DRAFT_1214284 MATATQSQAPGFTSATASNSVTSMQETIPAPSSLSVSSSSNSTS SSSSSSRPPQIHTVKAGLGGFKFDPPALEDVNVGDTVTFEFYPPDHSVARAEFGSACV PYEYTGPGKTGFWSDTQWVNDVNHLQYYNVTVNSTEPIFYYCAAPESCTGQHMVGVIN PNATQTLQKQIDSAKEASYQLRPGDPIPAEATGTLQASATAAPTSTSAPHGHTFSGGA IAGIVVGGLAFLAICAALFFYVGRAKSLKEVLKHRESTLKSPAPTDGHFAHPPGYAPA PFSPNMQHVEAGMGGSSLPTYGQHNATDSNYYGGYPSPQQTQGQTQIAEVKHELASPT PGQQSFSHELEAPLKAPR CC84DRAFT_516399 MRCGRAAAHLRTRRGTGAGWTSSRSMIGALAQVLERGNRRSWSG GEIRTGTEHTKRLRRLGQVGTLRWRLRGAVRSRLPRRERSASPRTTAVAQRQVQLRRG FIEQLKSECVCVRIVQRASGAPLASRTTCTW CC84DRAFT_1081694 KRARPQSEDLKVMPLKYETCDVKDLGVLVSDMLMELVRLNDDMP LRDGQLTRFHSRAPPAISVKDYLFRLIVHATLSPPILLSMVFYVDKLCSMYPAFTISS LTVHRFLITAATVAAKGLSDSFWTNSLYAKVGGVSLRELALLELEFLRKLDWRIVPKP EVLVDYYRGLVERGDGYVMERETDSEVSSTPESSAASSRIDALVASTTGSSD CC84DRAFT_516690 MTDMGRWELSQRPHDNWGVQYPEAPVAPSAFAFAEQPALVEGLG QLQLGRVSKTRTSPVATRTRVARHGSDPHAMRPSSSGGDAMLPYRQLAPPKRAGSYSH PTPLEDFRRSRRWPPSGHRQDDALGPKEAEDLICAGASTFKGYCDAIPNTPEGYDRFY AVMDSEHQSRTDRGPVQADAYRYISLNLTGAFESQFPWLSLEQPCMAYAYGKSAGTTT LNYYVSKSGSSHPPVKPTGEVKPRKIKFLQILDRLQHLEVGLGEDDPDEMYRYLYSTL IEDPERYSDHPHTDVQQQMNDLIAILSHPSWIDFSNPKNQVVAKFFDAPDQLKKQEFF HQLLLSVELYLRIHSKKHTDKFKRKLTLHLSPTIAWDLAVAQRWMENMEITKTRTSAN QSTFSFELKSKKRQKDALRLFAATLKWPNMDEIDYVLEEKDKMEKPPEDRSADAMSWF SGIILPGRTLPWLIMNSLIDCDRDTGDSLKYLTHMHQSSGFQYRANTYWSAQSIVGKV LGAARGVKQIAGWVGPCIYTPDLKRTECVRVHQLASPDPRLIPADVVHMDTRTNPLGT VEESYPIDDYEVPMPDLDDVTDLIRIEKLSFMPAKEQPSSARQGAGGPLVFDAGIFFA CGGESWPMKLRYDVDFISAFPCHQGPHVLFYDFTYTAIKIDDGLVDIHDWGRQSGRTA RSRPTSSRSSPGKKALALTTAAAEPAHMQVNKILAIEALGVSDNEVFARAWCAHHGHS AIVANIKETCMACAIREAYAACVSVVIFTEGGKETERDEGIYI CC84DRAFT_502368 MTCSPGLGKCHTTSSPEADEFERFRRVWVVQEIAVSANITMQCG QRFMSWDDFCKVILLEPRVNDRYGLSLENKQLYENVTELFLTRCAFLSSCGLEHLLPL WHNAIGYQETRGTYVLDMVARSRRLEATDPRDKIFALLGISSGVDPDDSRIAVDYSKS LSQVYEDFARYTIDAGRSFDVLSYAVASLEWDWPTWVPDWRQTMPTSRNILSCIPLYA GGGQGRQHESSEMNDRWLKDEDHLQCSGIIIGQVHEVSPTLQLHGNDELAFEQIRREC GADTEKMNTRILERWKTYPWKFESWERDQTMRKNASSSKFQPTPWDDLPLNIHAKSMS LRDRKPLSLSIREKLTWPVGLCEQPVSTKSNEGKSNLSYHPSRSRVVLEHLIQRSRQT LVWTDDESMAVETVLDRSSVIDNRRLGLMLKQGITIPEPERIIFKPTTSSSSTKEDKS LSPWPWRFAGPRRLRQRFSKSRGPQVRATRGYILASHDSSPQHRHLYTLMLLPPAAKV GDYVVSLVGARVPFVIRPLPSANDSGPTKSCLVGECLVEDDDIVGLGSPRDTLVSPPS RPLHSFALGAFFSPADEERLYIDNDLKKLV CC84DRAFT_1081305 MGRSTIPPALIELANPSSPEAQVRALRNLKNEIVGHEQRKELAV VHGVVRPLAGLLRTETRRGGKRRRGVANGSGSGSGSGREEEAVEWTGEDELRFQATLV VGSLANGGPAFITPLLAGDVLPPLLEALSPSESPSKLLVTTLRTLNQIADAVAQEKPF WDSSSLLSLSVTQQIYTKSVVESLAEVLAQTARSRTVYQQIASVAQLLAKTCREEGQK KMLLDAGVLDLLTAKMVAIAASDDPSRRPESRHAYTEALPRSNLPDILEAISAIIKDS HYNTARFVYSQHIQNLFAWPKGSSGTYEGYSGPQANSWDKLIPRLQTLQSKSDPYTKS WPALGSFASSAGEGGSYTRLPSMETLQPSSSRNIISDESETPLFTWLMFVARRGEGRE RLAACWLLALLKKFGERWSLSDPSKPTRERHFSYLVVPLVVKMIEEANPNARDAEKMH LLDPADREEMRFVLERSPLVLAELIASNKTLQSAAVDAKILPVLVQILKKSFDPITTS IKPLWQPRSSAPAVRDPMIDAASSTLGRPGLGVDIVHAYKYRESALLALAAIADSQDG LRKLIIEMGGAPLIIDSLVPFTSESQSVGAKSTSAKDGNPEAVLVAACKVTRSLSRSV SVLRTSLIDHGIAQPVFDLLTHPKIKVQVAATEVITNLVLEVSPMRTEIIEAGALETL CEHCRSANFDLRYGSLWALKHLCLGLPTHMRKKCLDTLGVGWLKQVLNGEPSSKAASS APLGMGTPNAAGEQVDILNAVDDPHMDVDDEPSSSEDEDTMTDSIPSLRRHQRPGSRY TSATNIRDRLQQIKSDEQDANISNERDDVRIQEQALDFVRNFITEEKASGDMIDHLLN EFNRTEFFELLDSKLRPKTTGAPSTTSQPAQSSSGTSAPSYWSPSSSRLPSFSTTQPQ PPAQPNWAAYPATDLIIATLYILVHLANGRPSHRSLLISQTLLMTHILPLLTHPRRDV RLPCAWFINNLVWEEDSSDAGPTRERAMQLRNLGFEEGARGLGRDIDLDVRERAKTAV EQLGKLLGSGSAGPSGYGSPGHFGEGGGLGGLRGLGGQQRGSWSRE CC84DRAFT_515498 MATTARPQNPVLKRNITTDSAISSSAPSASASPFDSPTGSASNT SLSSLGSDDNVNVKNTRGTLLDGYGNEFQIPDYTIKDIRDAIPKHCFERSGLRGLGYV ARDIACLAATFYLFHNYVTPETIASKPLRAALWAGYTVIQGLFGTGLWVMAHECGHQS FSPSKVLNDTVGFIAHTALLVPYFSWKISHGKHHKATGHMERDMVFVPKTREQYASRV GKFAHELHELTEETPIATLIHSVLQQLGGWPMYLIANVTGHNYHERQHEGKGKGKKNG FFGGVNHFNPASPLYEKKDEHLILASDVGLAVVIGTLWYIGKTFGFSNLFVWYIMPYL WVNHWLVAITFLQHTDPTLPHYDAQTWTYTRGAAATIDREFGFVGRTLLHGIIETHVL HHYVSTIPFYHADEATDAIKKVMGRHYRSDTEGGSLGFLQAMWKSARWCHWVEPSEGA QGEGKGVLFFRNRNGLGTAPVKMSAPVAKPTAGKTAKMQIGADSDNE CC84DRAFT_516317 MPTLAVDNFNIVCACLGGFITLFGLVSYLLKEKFYLSEALISLI AGVIFSPNAANLLKPEQYALHDEEVLNTITLYFSRLVLGVQVVLAGVQLPSKYLKTEW KSLALLLGPGMAVMWLVTSLLIYALVPHIHFVEALAVGACVTPTDPVLSNSIVKGKFA DKSIPKELQKIIVAESGANDGLGYPFLFLPLYIIQHTGVGSDHNIGNAIGAWFGETWG YTILLSIVYGAAVGWIAKELLHWAEERHYVDRESFLVFAITLALFLVGTMGMVGSDDI LACFIAGNTFTWDDWFRLETMDDSFQPTIDMLLNVSVFIWFGAVCPWKEFYTNDVIPI YRLVPLGILVLLLRRPPIVFAMHKSIHQIEEKRQALYVGFFGPIGVSAIFYLYITLDF LRKNVTVDGEPRSDAVYLMEVTKIVVWFLVICSVIIHGLTIPMSKFGLWLPRSISRAV SSARAESPEPFHISENPEDAEEINEGLRRRAGAGSSTAANILPRSVYRIGRTIIRSDN SSRSHSRGNSQAPLPLSNAPTISSNDLSNETSKQDPTSSNTHVNTSQPIQLNQRAIRF PDEVNPPQAA CC84DRAFT_1256732 MASTPQTLVDGASTGAATPATGNHEHQHHHFHHTGKRLRQILRP DGKKVHVAGSPEEANHLRKTLSATEKEEDIDLVIHGSPEHIDALRHTHQHHTDRHQRL KEDHPEITEEFERVIRELDALSRELHMVSSHAVQLDANFSKYGYSAHLRTKDSPTNSS AASMNSEMFDKETWDAERKLGTTMRFYQKPIVRQYFHKGLLWRAKEAQEVASYELFID LFYVGIIAITGDQAAEHATGESLLRFTITFIMGWKFWSDISLLISWFDCDDILRRCSV LFILTCLLGFTTNMASAFEHTYTPLVAFYLAARLFVAASFLWYAYAIPMVRACMLANA LLITFPAALWIGSIHVEEPARQALIWPALALDTLGFSIMILVQRPQIWASRFPRALAW TKSQFEFFPGANIEHRIERTGAFVTLVFGSCVLGLLYQSSVEFGINAFFGKAVMGLIQ AFTFNWIYFEIDSFNLHTHAIRRSVWSAMTWFSIHLPFIMAFVLSSSALAVLVRAHDA PDSPLDSLYETFIPRSEEHISVGLRWFYCGGTGIALLCMAVIAHAHQHKSIPNQRLFK NKRLLIRILCAVAILCLPAAHLNSLDLVGTVTGLLVLVLVVELVGASCAGENVLWDTR CNRGKGAYEARCGVKREELERKAAKGEVVDVEEIAEREKGEKGVRSMV CC84DRAFT_1214292 MALDIPDRHLTSPVLPLYNPQDQTPTSLPDFTSKMQSPQADFST TAQPHSPSLPTTPTAHFRVPADVGNPHTASKAKPSSSVRQRAAAHQISFDPAVP CC84DRAFT_1203304 MTGLLALLALGAAFAVASVAFDFSVSSTDRQTVGNLGTRWHSGR ALSTPTGAGVVDPRRGAGRALGPSAGEWDGRSPTGRRRRQASGSQPGRSQGSAVPGVP PTLEHSLGASAI CC84DRAFT_1113743 MSKVFDYAEVGKHNTADSCWVVLYGKVYDVTDFIAEHPGGSKVI LQLAGTDATEEYDPIHPPGILEESLKPEQHLGTVDPDTLPSTEKSPAEMGAAEDDGPV DLDSILNIDEIEEVATKNISKKAWAYYYSAGDDLTSKKLNNTVYRQILLRPRVFVDCT RCDTSTSFIGNKVKLPIYVSPAAMARLAHPDGEWGIAQACEQYGAMQVISQNASMTPE QIVADAKGGQVFGWQLYVQNERAKSEAMLARMKKLPAIKFICLTLDAPVPGKREDDER SKNVGANLPVRAAVQEDASVSKTSTDAKTPSPPKNMGVGQSLFWGTAADLTWKTTLPW LAQHTDLPIVLKGIQTHEDAYLASLHAPQVKAIILSNHGGRALDTAPPAVHTLLEIRK YCPEVFDRIEVWVDGGIKRGTDVVKALCLGAKGVGIGRAALFGLGAGGKEGVARVLEI LKAETETCMRLLGVERVDQLGLHHINTRAVERDVYDGPAALERPSLTSRLMAKL CC84DRAFT_1113745 MNSNNTPDAPLVPPVIDEAELLGLGGTQTPVIEAEPLEDLNLPL DTAEQQGLVFDSNANPPALPDGPSAPLPARPGLRRDNSVPLPAPLHLPPPAPPVPPPD ATQTADPISLMQLRSLVSELPKVDPAPYAFAYEDASSFEDELEEWFSYSVEEQAMLLK AQTSFAQEWGTFNGLNSIPYEEGGLDWAKATKQQQSEFVQYLLGVIRGDDPVPRLKKL EALVYILLGCWHESAGLGLTDTECSKEPVHTPLSRDGHAPGTYDKSAQHVQLIQENVR LLIDLDGLEVIISQLSCAFSRACGVDTDSTGLRDNKDSERREAWCSMTAVYVVLEVAR VEETENNDTTIRTALLALEEPGLLMLLIDIISKLRWDDTIGLPLPKICLLLWKTILVS FGGVAEAEKARNNFKDKTLESADAKGQPLITASPLDYHLFRQEILSKYPAYNPPPPIF PLEPENNSILPPLKNHPNKVAGNHVFGSGLGDMSGNNASILHQPVHIATPAPSPPPSP AGPGGKGGKKQNYQTNQMFPFLYPPLDETSNKLGGKGSTDLQDLLVGRKWEGPDIPTS ILEAADLYAKRMRATRAMKQLWEERVQFMKYERGWSGADDNADIDELSLDPTEGSPKK EPPPSGSIEERLYLVEEFYRKALPSLQSVIIVLIKAILSHVTALVTQANGANGLQSGF QYQDHQNGRPETNGVNGHNGSVATNEELDAMRTQEVLDKAVSGTLMLILKWFKISHIL KYEYITQLLVDSSYVPLILKLLQLQEIEKVVNFKCEQEELNSFFYFCRSHSRLGVDQE QPEESPEADSDSDDAVPPPIRMRRDDPESLESEAEPPSPLPAMPQAPEVDELGFPTSE LPKEPITTFSWRAFFTSINYLRIMQKICKNKAHRNLMLVSYKSSQFLRKSLKVPQPQL RLYTLKLFKNQVPYCGRKWRQSNMRVITAVYLHCRPELRDDWLAGSDVDAEVDESVPL EQALRALTHWHNLKRYPEGMGARSGVLEDEQDFFRRELEKMDWGDENDPDLEPVSVEG W CC84DRAFT_516947 MADTAGYPTDTSKTGLGAHDGLHTNKRKRDTRDQGNSRPAPSTN HGNSDLTDQAFASLAAHNASANGDDMQQQFDGANNQSMGETAAAAIAHYQMTVPQATE LSFQPQSSTGDSSFNMGDHHQSQGMQDFSLEALKAATSSSRTGQSTGNESPPATTSHK PTVGSEEWHKVRRDNHKEVERRRRETINEGINELAKIVPGCEKNKGSILQRAVQFITQ LKENEQQNIEKWTLEKLLTEQAITELSTSCDKFKGECQRAWDECAIYKRACENAGIVP DEIKDRENNGEQNGSS CC84DRAFT_516921 MAWRLLFKNSIIVKKELRVSFRSERSAWLDRLSLLELRSSVVGW RGSFGLLHLTSGVIRQGSKDTTGSRYVSTDTFILWYELRRSREEAVWKCVSVGSVLKS FGRSAVVRGRMVDAGCRRGNRRRWASRKGIVERVNATEYEVFDLPSYDALIRELRRLL AELFVGYFAAGRTFCF CC84DRAFT_1161818 MTAAPGAAATKDAGGDIQEGKRALAEDGMDVDPPANAADKNRRQ KAPLNSSAKDEFAAMLEPFYYGKSLTDPIDTARDKWNLLPAFLKVKGLVKQHVDSYNH FVDVDLKKILQANRYVRSDLDPKFLLEYKDIRVLAPNRAEEDNVNGHYKSTITPNECR LRDMTYAAPIVVDIVYTRGSSRVRRNNVQIGRMPIMLKSNKCVLAGKNDREMALLEEC PLDPGGYFITRGQEKVILVQEQLNKNRVIVESAKGIMQASVTSSTNERKTKTYVIQKK GLMYLRHNTLSEEIPIVIVMKALGVLSDEEIMVLICGDDAEYQDKFTVNFESCMQAKI FTQQAALEHVGHRVRLTKKFGRARNYYLEAIECLANVVLPHVAVEGTNFRTKALYIAL MARRVVMAEVNPSLVDDRDYVGNKRLELSGQMLSLLFEDHFKRFNHDFKLGIDKVLKK QNRAQEFDAFSHIGALKNHITLGIERAIATGNWSLKRFKMDRAGVTHVLSRLSYIAAL GMMTRISSQFEKTRKVSGPRALQPSQFGMLCTSDTPEGEACGLVKNLALMTHITTEDD EDPVRKMVFLLGAEDICMQTGEEIHREGLYSVCLNGTPIALTSTPKSFLVNFRKLRRM GRISEFTSIYINHDFCEVHIATDEGRICRPLIIVENGRSKVTARYLKALRKGSMEFED FLTRGLVEYLDCNEENDTNIAIREKDIGPYTTHLEIEPFTILGAVAGLIPYPHHNQSP RNTYQCAMGKQAIGAIAYNQFTRIDTLLYLMVYPQQPMVKTRTIELTKYDKLPAGQNA TVAVMSYSGYDIEDALVLNKASCDRGFGRCQVLKKTVVPLKTYANGTSDGIDDKTAMQ PKNLADPKYGAIGKDGIAQVGARVNQGETYLMKAVPSDTTTGARGNIPMKTQEMRYKL PDPSYIDKVCITENEAGTSLIKLLLRQTRRPELGDKFSSRHGQKGVCGLIVNQEDMPF NDQGICPDIIMNPHGFPSRMTVGKMKELHSGKAGVLRGTLEYGTCFGGSEVLDMADVL VEHGFSYTGKDYLTSGITGEALQFYVFFGPIYYQKLKHMVQDKMHSRSRGPRAILTRQ PTEGRARDGGLRLGEMERDCLIAYGASQLLLERLMISSDAHNVDVCERCGQMGASGYC RICESEKGVRRITMPYAAKLLIQELGAMNIKVTIGLEDEFGKGGYDYEA CC84DRAFT_517049 MQSRDDRYSNTYRPRSPGPRIDSYRANTRDRSPPRGGVSRADTY TPGGGRASRPRSRSPASFRRRSRSPRRDDDRWRAGRARSPPRRAYSPPPPPRRDDFRN DRARSPPRREYDSYTRSPRRDRSPPPRERDPSPARSRAARSPPRTGRYDEPRSRAQSP PRRFSPARDTRDYRRRSPSPRRERADPYTADTWRRRSPSPARNTYASNDASGRESAAT SRRSSPPPVHPSRAALVPDDRPMRDPVSAPRSPFRERDFDRDRDYNRGRDRSRDRERE RSPPRVRDGPPTGPRGDREREFAPPSGPSSSYRNGESNYSRAPPTGPRDRSYPSPAMS PPSGPSNSTPQPPAFPRTSSHNPVLAAPTRPRGGGRGSFGYDAPRDFSGPPRRGSATW GARGGGYHGGPPSGPRGPGNGPTTFAPPFRGSSNSTSTTYPRTQRFGGHLDNLPKEIT GGQKAPELVDTSKILKLEEEARKLREQIEAKEAAKRGSLREWDALGRDAENAALRSEL AEQHLRSLNGDSEVGGAAF CC84DRAFT_1084191 MAPNGTPASKKASKNAYRRAKKKAQRAETPSEAGDSARESETPA PDDKTNNEEQAVRTADLLNVDDFANAYDLDNPLFEQYKNVFEKFREPTAEEVAKDEDK AEIYYDDDDIPDEEDDSAKKISKKQRKQMNKMTVAQLKASVDKPELVEWTDPTSSDPR LLIAIKGYKNVVPVPNHWSLKREYLSSKRGIEKPPFALPKFIQETGISEMREAVLEKQ AEMTLKQKQRERVQGKLGKFDMDYGKLYDAFFRRQTKPEMTRYGEVYYEGKEFETSLV HLKPGVLSEELKDALGMGPGQPPPWLINQQRFGPPPSYPSLKVPGVNAPIPPGASWGF TAGQWGRPPIDDATHRPQWGGDPLGQGILQEQAAPTQHGEPVERSIWGVLRAEGESDE EESDEEEDDEDEEEEEGTEDASGIQTSMTTASALPSEIGGTETIGGEFTLRKQRKGIE TEEPGAPRSAFQVLPEKNIQSTGFFGGEHAYDLDAARRDNFGDHSQRKRKAGDVDISV DVDALADSDKLDKEALRKQYEAQRKAEMQGQWSAIDQDDLSEMIAAESRKRQKREEKS NDERRPRR CC84DRAFT_1139993 MANLNVTIPALKLNDGASIPMLAYGVGTAWGKKEEGKLDQAIVD GVKTAIKLGYYHLDGAESYKNETELGQGIKESGVDRSKLFVTTKVWEPTGEAGDIERA LKQSLKKLQLDYVDLYLIHSPFWAKSEQDLQNTWAEMEAVQKAGLVKTIGVSNYRPQD LEAVLKTAKVTPAVNQIEFHPYLQRVETLEFHKKHGIATTAYGPLTAVTKAAPGPVDD VFSGLEKKYAVSAGEISLRWCIDQDVVPITTSSKEQRLSDYLRAATFKLTPAEIKQIN EAGQKKHFRGFWAKKFGDESRL CC84DRAFT_1214299 MSFNDRREYDEKALPSKFNRVDDEDEDEDIDALIEELESQDAGV DYDEEEEVQAGAARVVPEELLQTDVRIGLTASEVVSRRKKFGLNQMKEEKENLVLKFL MFFVGPIQFVMEAAAVLAAGLQDWVDFGVICALLLLNACVGFIQEFQAGSIVAELKKT LALKATVLRDGQLVEVEAPEVVPGDILQVEEGTIIPADGRIITDDAFLQVDQSAITGE SLAVDKHRGDVCYQSSAVKRGEAFIVITATGDSTFVGRAASLVASASSGTGHFTEVLN GIGTVLLILVILTLLVVWVSSFYRSNGIVHILEFTLAITIIGVPVGLPAVVTTTMAVG AAYLAKKQAIVQKLSAIESLAGVEILCSDKTGTLTKNKLSLAEPFTVSGVDPEDLMLT ACLAASRKKKGIDAIDKAFLKSLKFYPRAKNVLSKYKVIDFHPFDPVSKKVQAVVESP QGERIICVKGAPLFVLKTVEEDHPIPEAIDEAYKNKVAEFATRGFRSLGVARKRGNMG WEILGIMPCSDPPRHDTGRTINEAKTLGLSIKMLTGDAVGIARETSRQLGLGTNVYNA ERLGLGGGGEMPGSEVYDFVEAADGFAEVFPQHKYNVVEILQQRGYLVAMTGDGVNDA PSLKKADTGIAVEGASDAARSAADIVFLAPGLSAIIDALKTSRQIFHRMYAYVVYRIA LSLHLEIFLGLWIAILNTSLNLELVVFIAIFADIATLAIAYDNAPFSKTPVKWNLPKL WGMSVLLGVVLAVGTWITLTTMFPYHNDSLTNGQGVGGGIVQNFGVRDEVLFLEISLT ENWLIFITRANGPFWSSIPSWQLSGAILVVDILATLFCIFGWFVGGKTSIVAVVRIWI FSFGVFCVMGGIYYLLQGSQGFDNLMHGKSPKKDQKQRSLEDFVVSLQRVSTQHEKSA CC84DRAFT_517123 MCPNRSRQEPAQIVGLARLFLPQRRAAQTQLTLRAAEHSPSPCL LQTASHALWHAPDVSGRAAATRVRRLGVPQTCWRLPPRRQRMLHFGYARARPCCGSTD SPQPRNTAALLRGFLHLTLYRQCSAVLSSWARELLHMAGTSKYIGLQSAIFDKLVLAV TRQSQETAKIVSNPPATVKLGEPHTPDLRKHS CC84DRAFT_1173335 MRLLNCLPDGGFGLTSYDDDSIPPYAILSHTWTEGQEVTYNELL AGTDTKKDGYSKIRFCGERAAKDGLKYFWVDTCCIDKSRSDELSTAINSMFRWYERAT KCYVYLSDVSVPDEVIDAQAFRKSWEQSFRRSRWFLRGWTLQELLAPPSVEFFSQNGR CLGTRVSLEQEICGISGIPSEALRDGKPSDFSVDERMKWAAKRNTTVKEDKIYCLFGI FSVFLPLIYGEGEEYATLRLRDEIQKRQQGQKKPDLQDLPISSPLLFPRNELFVGRES QMQAIERTLLSPNTHQRMTIYGLGGCGKSALALEFAYRALARYTRRLVFWVPAMSQES FDLAFREIGTRLHIPGVNDDDANVKQLVKQALSTSLSDDWLMIVDNADDPRILFESDS NAQSTRLIDYIPHSHTGSVLFTTRSRKAATELTQTYVLGLEDMNQIEARQLLARQTLR QALLTDEAAVDELLNLLTGLPLAIVQAAAFINENDISISEYVSLLQHTGTKAELFSER FEDPSRYQGMDSTVAKTWYISFEQIQRQDPLAAEYLSFISCIDRINIPQSLLPPGTSQ LQHIKALGTLTGYAFLTKRQQTVPGSNQEKFFDMHRLVHMALRWWLEGHGQRKTWAGR AAARVKELVPYGGYGGREIWVAYLPHALHVVELKDALDSATLAELLARIGRCQESSGQ YAAAELAHRQVWSLRKGLLGREHPDTLMSMSNLAWTLKRLGRYEEAELMHRQALEGSE KVLGRDHPDTLTSMSNLALALAWPGKYNESEVMCRQVLERKENVLGREHPETLASVVH LGSVLSRQEKYEEAELMHRRALEAYKKVLGREHPDTLASISLFGFMLEHQGKYKEAEV MCREVLEGRETILGQDHPDTLPSLHQLASVLESQQRYEEAEAMHRRALEGYEKVLGGE HPDTLTSISNLGSILERQRKYKEAEAMERQALEGREKVLGREHPDTLTSQRYKEAEAM NQRALEGYEKVLGREHPHTLASVSQLGSVLERQGMYKEAEAMHRRALEGYEKVLGREH PDTLTGVYYLAHHLAAQHRISESLLLYQRACAGYSATLGNNHPTTRACREHYSELCEL EEQQNKLTFLPLSTRDTAMSTQKVARLSRGLARLGIRRKAS CC84DRAFT_517178 MLWMDEGGREEEEGGDRRGLFQLSGLDCGAGFWHVHCIDGTRDH LRFSLRGPFCLFIVTFTVLILLRVFAGRSVDHGLTKST CC84DRAFT_1113774 MLKSGFQRSLRATCRAPVFRSPVRKSFPLARFASTDSIKDGKIH QIIGAVVDVKFDTEQLPPILNALQTENNGQKLILEVAQHLGENVVRCIAMDGTEGLVR GRKATDTGAPITIPVGPGTLGRIMNVTGDPIDERGPIKSDKFLPIHADPPEFVEQSTS AEVLVTGIKVVDLLAPYARGGKIGLFGGAGVGKTVFIQELINNIAKAHGGFSVFTGVG ERTREGNDLYHEMQETSVIQLDGESKVALVFGQMNEPPGARARVALTGLTVAEYFRDA EGQDVLLFIDNIFRFTQAGSEVSALLGRIPSAVGYQPTLAVDMGQMQERITTTQKGSI TSVQAVYVPADDLTDPAPATTFAHLDATTVLSRGISELGIYPAVDPLDSKSRMLDPRI VGDDHYNTATRVQQILQEYKSLQDIIAILGMDELSEADKLTVERARKIQRFLSQPFTV AQVFTGIEGKLVDLKDTIRSFKAILSGEGDDLPEGAFYMVGDLESARAKGEKILAELE KS CC84DRAFT_1140009 MLRQQLIRSLKRPAVKAGFNGSRPFTASARRPAEVEITVDGKKV SIEAGSALIQACEKAGSTVPRYCYHEKLMIAGNCRMCLVEVERAPKPVASCAWPVQPG MVVKTDSPLVHKAREGVMEFLLANHPLDCPICDQGGECDLQDQSMRYGADRGRFHEIG GKRAVEDKNIGPLIKTSMNRCIHCTRCVRFSNDVAGAPEMGSTGRGNDLQIGTYLETA LDTELSGNVIDLCPVGALLSKPYAFKARPWELYHTETVDVLDGLGSNIRVDARGIQVM RILPRLNDDVNEEWINDKTRFACDALSTQRLVNPLIREGDQFKPTSWEQALVEIGEAY KRIAPKGNEFKAVTGHLVETETLVAMKDLANKLGSDNLALDQPGGSEPVAHGIDVRSN YSFNSKIYGVEEADAILLIGTNPRHEAAVLNARIRKQWLRSDLEVGLIGEDFESTFEY QNLGASATDLKSAFSGEFGKKLQSAKKPMIIVGSAVTEHADAKSIFEQVGAFIEKNKA TFQTPEWNGYNILQRTASRTGAYDVGFTVPSPEVANTTPKFVWLLGADEINEAEIPKD AFVVYQGHHGDKAAQLADVVLPGAAYTEKSVTYVNTEGRVQMSRAAVGLPGASREDWK IIRAASEYLGAELPYDDVEQLRDRMEEISPALRKYDVVEPVSLPGLSKVQLVDQNKGA KASGEPLKKAIENFYFTDSISRNSPTMARCSAAKAQKIAKTNFMAPGYPEPQVGYGPQ TPQEGALAA CC84DRAFT_1140010 MRTSLFALAPLASAASAARFILHIPNTPLVNPSSLPSTTHATLQ SSGAPLDAYITRSNSFNFNNVSVGSYLATIHSRDYAFEPLRIDVTLEEAVEGSGDQRE KVAAWQTFIGNEWDNKGESRGEGGNGLVIEVQPRMPKEYYQERAGFSPLSFLKNPMIL MGLFTLIMVFGMPYLMDNMDPETKAEFEEMQKKGVIGSGNTNTAQQIQNFDLASWMAG KTDTPPRGTSPAPHSQGKKRG CC84DRAFT_517503 MSKHSEDGILPTNDHHSPAAASHPLSDKSIRHEYPVFIGEFVGT FIFLFLSFAGTSIAIVSATVEHAGPESLDLNPVQNVSKLIYISFAFGASLAVAVSIFA EISGAMFNPAVTLSLLLIGAIRPLRAVHAVLAQLVAGICAAAVVSALLPGPLPTATKL EPSISITRGLFLEMFLTAQLILTILVLPSGPSKPLAIGLSLFVGELCGVYYTGGSLNP ARSFGPAVVTGFQGYHWIYWVGPALGAGLGALAFVGLRIVEPAKAKE CC84DRAFT_1256746 MAAETGTEDLITHLKSVLLELNARPYPDVANPPEAPKRASVALI LRIQPHYSHWPATDDKPFPLHHPYPPSPTQQRRRSSAASSKPRDLSFKDIIETFFAQD WVKHGDPELLFIKRATRVGDKWNGHVALPGGKRDPEDEDDQVTAVREALEEVGIDLSY NNAIAVGNLPQRVVTSSWGKVPLMVLCPYIYLLTSPTYPAQRLQPTEVASTHWVSLRA LQSPSLRTHEYADVSARLAKSELGIKRWFLQSMLSKMMFAAIHLVPSNSTYCATIPDF IPAGGPPDAGALGKVKEVLRGPEGSKAGKPPLLLWGLTLGVVSDLLEHLPPHNALELW TYPTFTNWDVRFVMWAMSYRFRVQKTAEMSTQTTLGLETSSVPILDAEVEEKPGEVGI AGLGVGRSWGRTGRSKMVARNAAVNSMLEGYYPIVRKAVATALVARIGLVVGLAVVLR RKYRLSEWVRSLWETA CC84DRAFT_1194249 MGGQKTAILSVYDKTGLLDLAKGLHDNGVRLLASGGTAKMIRQA NFPVEDVSAITQAPEMLGGRVKTLHPAVHGGILARNLESDESDLSKNSIDKIDYVICN LYPFSETVARINVTIPEAVEEIDIGGVTLLRAAAKNHARVTIISDPKDYHDFLTELKD NGEVTEKSRQRYALKAFEQTAGYDSAISDFFRKKYAGEGEQQLPLRYGANPHQKPATV YAQESQLPFKVLCGAPGYINLLDALNAWPLVKELSKALNYPAAASFKHVSPAGAAIGV ELSEEERKVYMVDDIEGIEKSQLAQAYARARGADRMSSFGDMIALSHEVDVPTAKIIS REVSDGVIAPKYSDEALEILKKKKGGKYLVLQMDPDYEPGPIETRTVYGVNLQQHRND AKIVPAETFNTVIVPKNSSPLPESALRDLTVATIALKYTQSNSVCYALNGQVIGLGAG QQSRIHCTRLAGDKADNWWMRFHSRTLNLQWKKGTKRPSKSNAIDLLCSGLIPDSGIE RDDFESYFEEGQVPQPFSAEERKEWLSKLTGVAVSSDAFFPFIDNVFRAARSGAKYIA APTGSQNDSAVMETAEKSGITFVEQHIRLFHH CC84DRAFT_1113791 MKITFKDLKQNKFVIEAEPSETIGELKAKIQADKSWEVAQQKLI YSGKILQDDKTIESYSIEEKGFIVCMVSRPKTQAASASKPAPSTPAPAPAQTPAAPAA PVAAPSAAQNAPGTPSPAPASDRRFDDPSALTMGSERDAAIANMESMGFARADIDAAM RAAFFNPDRAVEYLLTGIPESAVQEQQAQSRGPTSPPVAASGNTAAAAPSAPTSGGDE PINLFEAAAQAGQGRGGAGGARSGGAGAAAGAGAGAALGSNSLDFLRNNPQFQQLRQV VQQQPQMLEPILQQVGAGNPQLAQLITNHPEQFLQLLAEDADEDAPLPPGAQAISVTE EEREAIERLCRLGFERDLVIQAYFACDKNEELAANFLFDQPDDGDDQ CC84DRAFT_517520 MFSRRFYLSLFGAVAWSTTAADTILNILDAVSSSAAQSSLVGLA VTASAFDLGAVGAVTVFSAQYAWTRDGIIKDSPQAARILAISCVLISAGALVISMASM ITIRLHLQELEHGKHSVISNWSNSSSGQIAVWLLACLSQIALYTSPLWCRSKQHTQSV DVSGPRDSVMSEMRYSTATPNLYMLEATQPSSPLGALPSPTWSNRSSSQSLRSWRDSL QHVVRPISSRSKLVSRPSFNREALSVHSDGLSVKSATQVDAFDSWEVDAQSKGAVAQL ALPSVLMPSRGTALEPIPGSRPASPARALDGPFVLEDDEELPPPPKLALDTSRPPSPA GSEAHIHPLFRSESPTPAPATTPGTSIIASPLANQVITCPARPYSRMRSNSSRSNPTS RAASPALVHQRSFINERTNSNLSQRSSSRSPSPPSRRMTPPIPDFVLNSSPRSSVSGS QRKVNLNIDTSR CC84DRAFT_517519 MLQSANFSIAPSLRYIGSSLLHEQTRSRHVHSRLSHAASLALPS HRMSLPNPNSIPIATPPNLPGQASYPLPLMPLMRLIFPQRRGPRPFMRIVVCAHPVLA ALRGLASLETGRTARTSREATGWGPVDSAASADVHTRNAPGEGGQWDHLKIFFHGRRK GGLGRWGLGR CC84DRAFT_1214310 MPPKRKNKSTKTSTTVSLKTLPANTASSPKRRNSAGFPGQKMSR LHPSEPLHMKTRRAVKTASNQDSSGAPSIASSSSRRSSLNDLSRSEDGHSEIEDERPT KRNRLSTDSVTDSFADQVDGALNAINANAPDSSMSTARDATDGSKVSPKKRRASDASF ESGKSRANGVLTRTQSDTSEQQPRRKKRRTTTQTPAETDQLPELTDASTAPNSPEQIP EVENSQNLHHVLPTNGDAPVKMGRRLPGRRRAPHPDINVEVDLRRQLNLKMSYRSLAK IQKNILDELSNRTTTSLDDDPQFHKQCPEYEPLMASLDQYKQSRLDSVHALRREKLAQ LERVVEAERHIAKEKYIQRFYDLQEDLLLQCFHRAKQLQRESRKSQDGAGTEDEDNVL PPARFAFPPLHRDDRVGSKFASRSRAYVESEHLLDEELRRAQFGGSLRDFILEDEDAD DSILEAPTPVGFATFTGPDRAEAIAHQKIKDLIDAANDIENTPVAPPAPAVIPNEQAD ALFMLASLSADASRSTIEEKAQQPDREAPVATVSQQAASIGAAQAMLPVKQPAGPFAA VLNPVSKKADVVAQRKPAGVTDAIQYAIQPVKDQIAPAKQPPARSTHRIMDMLNNDSD IPPATSRFPPAASVEQTPADVTLHRISASQGPTPSRSGLFGLSSIMHQHDVQQERSTP TAPRETMPSREAYSSPASMRGYPDLPSKRSGSIRQMSLEELRRRDPLHMLRDMLSSKS KPNEKHVASHRPESSIFAPRERAQMTAPPLLSRPFSPSGTAEKADQSKETRRASSAYN ASPSTAPLSYQQSPSAAPAYLPRQSSQDPGSSHWERDRRLSGSQAQQPPTQPFSASPQ SKPVPPHQSPFSAPSAPAPAPTQLPSISQTLPSKSSDRQSNPPMNFRFAHYDPVPPRP YQNHNPQSGYPQASQAPPPAPPPQTQYTPSYNGHNGPSHQGGYVPPPGSFQAPPPPTT STNASTPYPPLKIHQYGGQPILPANMAPPPPHTQPPSMTFIGQAAQQSAYSPPQHHAA PHQQQQLNTPYDANAPRDQPAERAAEPGSGPVRQPRRPYRSYHAPGSQFRPYTGPDSS RRRGG CC84DRAFT_517608 MSQPQSKALTKRDVSTALMPPPPAPKRIKRPSIVLDEDTYTSAI SHIIRRDYFPGLAETDAQRDYLSALDSKDKGWIREAGKKLTEVMTPVPSRRARATRFE SKGADGGRTPSVWGADTPRTQAGEEEKDDGEEGLGKLDHVDLDMGLGAFQAKYTSEDQ ESFNQILDRANRKRFEKKAWLHDGNKYASKQRLAQQRVLEACTPSTATDLVVRPSQDL DVDRPAAPNTHKHTAFNTLMFRPDSVEAWAPTRAQHAEDASLAPPKRVLHHNTRLPVH DAAPARPPSPTLSAVRDAIAGRPRLSASEGGYTGNETPRVNGYAFVDAHPPSDDDEDD APTDLLERFGATGSTATPFTMHDAAPREQMHHRMVDKIASSRRPESASAFAASTSTSS LGHGLGIFKGEAKTPRFVSAPTPAMRAAMTPGARNAGALTPAAQRLFDRMGSTPRVGS RGGFEGGGGGGRQWATPTPKVKRRV CC84DRAFT_1083948 MAVRAQFENSNEVGVFSTLTNAYAIVAVGASENFYSVFEAELQD VIPICHATIAGTRIVGRLTAGNKKGLLVPTTTTDQELQHLRNSIPDSVKIQRIEERLS ALGNVICCNDHVALVHPDIERETEEIIADVLGVEVFRQTIADNVLTGSYMALSNQGGI VHPKTSIQDQDELSSLLQVPLVAGSVNRGSAVVGAGMVVNDWMAVTGLDTTATELSVV ESVFRLGEGNGPSAINTTHKDAMVESFY CC84DRAFT_517689 MSASARRRSSASDSPVSSPLARAPRFSPPSSRTVSPIRKPLHQR SDSQSNQYAGPTIRIVEEQGPDVYSKNPFPSQASQILPPRKKPGYTFERKGSRVSETV AKFEASQQARPTLVPKPLDSKKARHSTSTNTSDADTTVVSSFSPLSSRFSQGSTARSS PTSDSLFRNEKDFEVLQEVPSSPLRSTIRAVSPSPSPPSSAEAPSDNHALTPRASAAS LASTNSEESLVHHNANRNRVSSIVSVSTLPGNRHKHTPSSGSNHQKQASTSATTAAQR PISKASAHSFTYTDYSYDSERPRSASQPASTIHEAQTATVASGVRVNYPTVRAASASS LWASSQEQLPTITSRMNHPRTQVHQWSSQLSTIASESERGSRSIERASRSLSARSQSV SQDDYPSTGRSVVPRRRQTVSSVSSSDNVSNSNFTESSVAVPLPLFSPITGPSDHRPG SESDFEERNDTISPLQSPPLRMKTSFIRRYSDSRSPSSSRPSSSQSDLATYIASTIPA WARVYYRKGERTSLGAPDSISESAPSSRLQTSHSGRTNTPSDGNLPFSIYNPRRRPHQ RASGADSVSMMSEGPIEQDVYAVGGPRRHVLEQFTPRLRPDHRSQAQLSAWKAPSFDE NFGAALFSRQNRQIVFFCLGFIFPFAWMIASLLPLPPDPKTIQETTASELDFEQRFGD QFGPVVDKSFQKANWWRNLNRIMSGVGTLLIGAIIALAILASRMS CC84DRAFT_1083230 MPPPPKSWKDRFGALEKMYEKHFKGGEFCNNCSSMSDVWPAPKP PAVSPTAAALLSVLFTVVYVLPFYLSSATRPSPQLTRDAPSSIRARIRAVTSSSILSA GLTVFVLHRSGDLAAADILRLLGVWPVSPVDSAKTILLVAILFAGPLFEYGIVDGQLK DWVRGRDVIQTLSSWIGYRNYVVGPVSEELIWRALIIPLHILAGFSANKIIFLTPLYF GIAHVHHLYEFRLTHPQTPLLLAVLRSLFQFTYTSLFGFFAAFVFIRTGNVYSVILAH TFCNWMGLPRLYGRVGVQPGEPIGPPGKSDEQARGEHVHGPAWTLAYYVLLVVGAVGF YWQLFPLTESSHALPGAPKKG CC84DRAFT_1238324 MARWRGGSYKTMADRNEAIRAMNEFRRATKEEKRRKDKEKRDKR NADRRKAATPKARATRAAVTGRSTRSTGSRFLFPTTGTGRTDMQTEYDNISNDMPKDW LPANLRANINKMPRPNLRPRGIENPGNHCYLNSILQAFMHMPQFLHWIRGHVVTQNCT PHWEPEDPGTRPDERIYTHGPCICCSMKALVEEYWGPTDPLTRPIRWTSASLEAIKRI AFQNFDTGFSLETEARFVREQQEDAQEALVFLQNVLNDYDTRTDQFDAMFRLCLVPMH TCTDCGKHKEAVVQTDANLLVTFDKQTHNTVASAIHDKFEKYPGPESKCESATCGGAN TDKLQVWIIRAAPRILTITLVVFDYQEDTPIKKLHAVEIGEELDLTRYQEVKVVPLKY RLSTVVSHSGNTANAGHYVASVRSQGASPFFNINDHRVLSINPAEFRANPQRPPGVRT MQGFQAYMLTYIMDEAPVERFLGVGARERARLAS CC84DRAFT_1173350 MTDAEQQGAAYEEEHVHAVYEQIASHFSATRYKPWPIIERFLKE LPDGAVGADVGCGNGKYLTVNPRVFMVASDRSTNLVKIAKQHQPHDSIVADIMNLPHP KHSFDFAISIAVIHHISTPERRIEAVKAILELLRPPSAEKPGSGGRALIYVWALEQKD SRRGWDEGNEQDVMVPWVMRAKKEKEGKKGKGKDQKATELSNEADQPLEQPQDKTFLR YYHLYRKGELEQIIGEAGGEVVEAGYDKDNWWAIATRQS CC84DRAFT_1256756 MSTRNPNARNSTAAERRSANHAASTQRPRVPSRSLSTDTPDERR TSTSQTRKQSGSGLGERRVERREVREREIEVRRTRSPLKHTSESRVNARTRTEKPARE ERERPRTAEHARTKSEPEKPWDPRASLIPHTTAPLATRISIPPLASTAPATLQPTPLA QLSLGQQESAIIEDLLFVFMGFEGQYIRFTDSYNPHEEKERLVGPHFRILPGLDPSLR DLTKSMLKMATHYIAVETCVEVLSREEYGSVNHSLCAAVRRLLKDYLTLMAQLEHQML TNQSFTLHVLNLHTKQTSHMLFQLYTTGIELLKANGILEDDKESDSEDDTENFEAILE SVREGGNVQLGTKKICKGGSVLGLITRRLASMSGDPAARELLTTLLREASKPYMAMLN EWLHHGGIRDPHAEFMIKESKSIKRERLDQDYTDEYWDKRYTMRDALVPPQLEGVKDK VLLAGKYLNVVRECGGVDISGKVQDVPTSFDDARVLDNVNSAYAYANSSLFELLLTTH QLPARLRSLKHYFFLDRSDFFSYFLELGGSELKKPAKNVNVGKLQSLLDIIVRQPGSV AAEDPYKEDIKVQIIETGLTNWLMKIVTVTGLDQEAANAGSIANYTPATTDKASVTDD SNLTGYGALVFDYAMPFPLSLVVSRVTLTRYQLLFRYLLSLRHLENSLVDCWGEQGKT ATWKHRSRNPRIELWKRKAWTLRARMLVFTQQLLYYCTAEVIEPNWVALMSRLTQEDA PDARARAPRADGDAGVKRTVDELMQDHVDFLATCLKECMLTNSKLLRINNKITSTCQM FAAFTQALSRYLIISDPDLVASANAAAAASAPTANPFASRNAGPYVYDPHRVDKMFDM LAKYEDNFARHLKILLDTLNYLAATETVVFLSLCARLQSAGEGGMVAMVVGEGFGV CC84DRAFT_1214318 MFSEYASKFLSQSQSASRVSLGQPESPFLQPRSDRQRPSSRSRP SGSYFQRRNMNPYNSQAMSRYPFASRTSNAPAPLFYSATDDFREEDDHAEHDREMADH YALRRSRAQFGVSNLSESAETDDDLERKSDHTADGGSIEGDATYGLGRGIKSSWKDDN PTRGRSTTVTKLDHEKRDSSVPLSESSAAPSSQNNAKLVDVELSSTNRGSLEDDESEV SRHVEPPPSYQQLRNPPRRTRGRSPLRTQLPIPQETDEDTLLQQPGPGSDSDPQLVTA EAIVQPRHNFFWAEMFLLAKCALVGVVFLAWLQDSPPDKKHPLGDTIYTVLHSSFHLI GVYSLVSVLVGLLWLSLLRSFARPLVIVLLVAVPVISFSFFLYPLISSFKGTWHGKST QDKVMRWLSLGPAIFCVGWLYTVVKGRHALDKATRMLEFSGEILQANFHLLFIGIATL AAVVVWSWIWVFMFTGLFLGGHATNAGWVIDMSTWWLGAAFFFDYFWTLSVIAGVQRV STAATVSHWYFHRNTNPTPPRAMVQASLFHAFYTMAGTICFSTFLSLLVRLPLLALPR RITRWISACVYHVLPTSIAVLTNPLTLTYASIHSVPLAQASRGISEMTFISSSSPSTT LGPRSFAPSQPRPSIVSYRLSKTLLHAIRWVITLALGFGGWVSTARMLKLGNETVPYT GSLYAYVVGLISAAIGWGALGAMEGVLGGILDAVLICWGSEVGRDGSGDARFCIEAGR LFGNEVSGRGRGRYEV CC84DRAFT_1161837 MTQPWDYIAKIVSLGDSGCGKSSLTIRLCEGRFSPHHDVTIGVE FGSRIVPVGPPASHALGINSPAKPPPSTPAPKNQKPPEQKHMKLSLWDTAGQETYKSI TRSYFRGASGALLVFDISRKNTFLSATSWLADLRQIAEENIVVVLVGNKSDLAASSTV SGEESADNKRQVSKEEAEEWCKANGVMQYVETSAKSGEGVERAFLEVAERIYQNIEAG RYDLNDRRSGVKGPGAGGGNTAKLNLGMNDARGKAAGGKCC CC84DRAFT_1161838 MGRLTTIAALSSLFVLGSNAQGDICENVKGFYNYDAYGDFDFTG FFSNADNIGRKPSCFEAHSIPDILTHTSL CC84DRAFT_1082436 MNTWLIDLCYLFNDFAFRFYDQELPEPNTFYRTFNLIAKPGIHG HTGASRVIQSDWIHPVIPQSWYTACLREHGLKCEEPSWMAAQPLSIATPEWLVDVKTW CLVPYNSDTSRYFTLSYTWGNANCLRTTAASLGLLQQPDSLRTTYLGQLPRTIQDAIG ITESLGETYLWIDSLCIVQDDAASLHNNLNNMHDIYARSALCLVAFAGVDANHGLNGL EGISGPRVVDQLTLPIADGENISWYNAPRARWDSFGELPKDIGRTYNERGWTFQEFIF AKRRLIFTDGPVRWICACTIWGEDKIGDLVIDNMTDMPFTKWKDRQRPGLANFLTDVA SEYNERHFSFWQDILNAFLGIQNHLHRTFFGGLNHGHPDMFFDISLLWEPEAEIARRG SSEDAPTEGRYLPTWSWMGWHGAFRFLCDHEYECYDRPSNGILGPVAQWFTFQPSAPT NSKRLIKCDW CC84DRAFT_1256761 MAAPHQYHQPNAPDDADSDLELDLEELDPLAGRSSASPARTTSS APKKPYHELGARIPLRNLRVGRLRGNRPRQEPEEDLRGLIEDGNRHSDSSHGQSGDED SALLSSRNDARPRHKRKPSALSRFQSNIRLPSFLSAASPNRQAIQLGQNLSDQDDAEE EHDPTTQRTIAVGQAQVARFPANAVSNAKYTPWSFLPRTLYNEFSFFINMYFLLVAMS QIIPALRIGYLSTYVVPLGFVISVTLGKEAFDDIARRRRDAEANSEPYTVLKFEETSG NGVAPGRDSSRRRRLRRGKKASGGRVTDIEDEEQRIATTGGLPTASYWELVKPSRSLK VGDVVKLGKDQRVPADMVILKSYSADSETATHSAETPADAGASGEAFIRTDQLDGETD WKLRLTSPLTQNLDVGEYTRLRVTAGKPDRKVNEFYGTVELQHKRSRDYDPHEQQPPA NEEAQSSPLGIDNTIWANTVLASSCNVLAVVIYTGPQTRQALSTSASRSKTGLLELEI NVLTKFLCIFTLSLSFVLVALNRFQDINGQKWYVSMMRFLILFSTIVPVGLRVNLDMG KSVYAWFIEHDKSIPGTVVRTSTIPEDLGRVEYLLSDKTGTLTQNEMEMKKIHVGTVS YANEAMDEVATYVQQSFSTPAGEAPSLVTPSSTYVAPLTSATRTRREIGSRVRDVVLA LALCHNVTPITEEEDGHMVTSYQASSPDEIAIIRWTEAVGLRLVQRDRESMTLQSCDN GNTVVRVRILNVFPFTSESKRMGIVVKFWQGPIDSPSNEDGETWFYQKGADTVMTSIV AANDWLDEETANMAREGLRTLVVGRKKLSAQAYQDFSSKLAQASLALHNRDNAVADVV KEYLENDLELLGVTGVEDKLQKDVKPSLELLRNAGIKIWMLTGDKVETARCVAVSSKL VARGQYVHTIAKMKRKDLAHSSLDFLRGKTDACLLIDGESLALMLNHYRQEFISIAVQ LPAVVACRCSPTQKADVAYLIRDYTKKRVCCIGDGGNDVSMIQAADVGVGIVGKEGKQ ASLAADFSIEQFCYLVKLLVWHGRNSYKRSAKLSQFVIHRGLIISICQTVYSLASSFE PNALYRDWLLVGYSTIYTMMPVFSLVLDRDVDESVANLYPELYAELKLGRSLSTKSFL IWVAVSIYQGSMIQGLSQLLVGVGKPSTPEFGRMVAVSFSVLVVNELVMVAMEVTTWH WIMIASIFGTASIYFGSVPFLGEYYDLGFVSSLGFWWKFAVIAAIGLIPPYVAKVVGR TLKPPSYRKVQGV CC84DRAFT_1161842 MDDDAPNFSYAYAGSSTPATQSWNPALRPENESAQEQSAKLQPS PQIIPQSKPSLEDGQEDSEDDVPSIPEVETTPAPLSNGVPGHERPSGEDFFSRQQQAE DPESEDPSSSEEGEEEEEGEDEDESEEDEEADEAHVQGSALAAATESLSLEDATAKEP VGATQGAPAPPSDNSEEESEEESEAESSEEEVEHPEAQYEHQGETTLLEEAVAESEKA PLVADAEPASDDWGASGEDFDLGGASQDALETPLQALLHTPRPEAGGTTVGDDFIGNT SVGGNTGEVTDDWGNGDGDDFFAGAAAPQVAGPTQSGAEESSSAHVHKAEDSELAAGG SAWDLELDDDFLEETEDAGPVFELDDDEGFLDDEPTETTEQPAQPLSAPAATRYAPAA AQAPQPTANTYGAPTPQLTNLAQPGLTAGIPTPTALYGAYGQPAPIQQTASRPGMPGS AESFAAKAREGYHSPYDLPEDIVTTRRRPAPRTASIPSAPPSAPPRSSSMSNTGAPQP LPPSNLSASSFSPPSSGHSYQPQMTGLPPNVPPKPAAPAKSPSSDFFAELPITSKPKP SGRYTPQPAAPQQPPAPGFPPKERTASWSSLRNEFKPDADNYISQLQQPDRLPVFPEQ PTAPVRANSLPVPQPAPAPPSNRYSPAPSQAPSAPPANARYSPAPPSAPAANARYSPA PPPQGSVTQALNQHTTPPLGGPPRPPSQNYLPRTSSPLAYHTSRQQQESAVNAQQHTP DVSRSSLEGVTEEPQQQAGPPLSARTATPPIRSTPSSVVSSPRKKGNYTPQYQPMDAS TAPAPSQTELPPVRSFSNMEPSAAAFGGPLQPTYAAPGSQDVNTIPPRRQPALQYDCI APTDERAADPLERWRGYPIFKWGLGGMAVTTFPKQVPRYGGGASAPMVKCSPGEIRTQ SVKEVLPLSEDITKFPGPLKAKSKKKDVSAWLAQRIGALEAHQQSPGLDLSHSADELK RLEEKILLWKVLQVFIDNDGVLDGNTVADAAVRKIFAADADASADGEGSFTTAAELVG RPRSNTTGVQADPVDPKAVEELRTLLTKGDREKAVWHAVDQRLWAHAMLLSSTLNKDI WKQVVQEFVQKEVKKLGRNNQALAVLYEIFAGNHDDCIDELVPASARAGFQMMSTDGA GTAENALQGLDRWRETLTLVLNNRSEGDPAALVSLGKLLAGYGRVEAAHICFIFARSR AHINGVDDPEANIVLIGADHKKNPLDLGIDLDPVLLTEVYEFGLSLSAPGGSFIIPHL QNYKLAHAYQLAEYGHRTDAQAYCDAITASMKATTKISPYFNGSFVAMLDDLSKRLSH SPKDGSTWRTITNTDKLTSSLFSKFTNFVAGDDEDAASNASAGEAGPFGKIAGGTPTL SPTQSSADLYGAYSGFGIAPTAPSAPGNSRYAPSNAHAPRTSMESTRSKYEPQGRPSL ESNDSSVGMRAVSDSYIPSPQIPNSFASPQQAQLSPHVPSLSKAHSYSPLRTEYNASE PSYGSPYQPTPAAAAAEPTPSYGGFQPLQASFDDSPTLSSGFQPPSSSFESSYQPYQP SEEDSAEQSLRPKKSFMDDDDDDDLATRAAALKISGSSNSKSENDRLADEAFRKAAEE DAKRDKEAAAQKKSGSWLGGWFGGGAKKDNAMPTNKPIKAKLGEENSFKYDPELKKWV NTKGGAAEAAKPMATPPPPRSGPPSRAVSTQSAMGPPNSLPNANGLPLPPTSNPGLGQ RSSSMPPPMGLPGSRASTPGLPSDNEGPRPPALTRPSMPTGPPSRPGTGMSTASSIDD LLGAPQARKGAAKGKKKGGRYVDVMAKP CC84DRAFT_518360 MGLVLAHESFSRSACVEARQTRGGKKRAGPHPSSPRTLPVRTGE RGTSESRANVYIGGSHERGGGL CC84DRAFT_1256763 MLRAGRSITFAGMHRRERILVDVLSIQPEEGTQALRKEHSQDHM PHAGIHLEHWNSVGAIWKAAITILVLRYLFFGRRELLPAPQSSDSPMKFAQEEQYLQG TNDYLTAGTYFDDPLSRSPSASPIQSPYAPAEPLNFDLWDARYDIHDEAFTTLTSVLA TLQPDLDPITLRCVLIPVIILALVSRPDSKERAICVAYFERLDVFVQHSCKSRADSEN MNYSIPWDKLDAFSEVAKMQVDPEMESMKGSAPEWNWWDMLKHIDLDLWWPVTAGLSH LESSPEFWTFKLIGGVANEESFKVWMQDTTASSSMPSA CC84DRAFT_1238336 MNAFMFLFTFVASVLGLPHVAMIDTPAIRDVGLIFDDRYYKGNS TFIFELRDKPTCIPINVDSQNRPRGFSIKSVQVCKPTTCAFFTGDKCTSKSTGTFSVT CKGPGDVPNHDSNDVYKSYICGQNISKRGSLEDTKALVTVPHNFNAGMV CC84DRAFT_518402 MAFSTGIGERSGLRSPREESSFASLNLTSPLRAVGSQMGSSSAS DARGQLHRRFTTNNIPTLSTPLSPIGQQRRQAAEPTDFTTTTATYHKLQVLEKKKLEY EYMKEQRRRIEAEMELIDMQSRQEEEEINRLSSDIRYGKHSTPSTPPEYANNTGFPSS FSRPNRFSMSAINPGLTTPRGSRAGSQITSPPSARSQQGNGFAAQSMPGSRRNSDEDG DDFNDQFTPESPVHIRNPNRFSMPPLGARNNEVNMPDMGSVLDFLKDEHEQSPSTAGA PYSLQMNTTKEGVPILVRQNGNGNGIKLSSSSAALDLALSQSPGPDGQSTGWGGHRHR HAQQSLPTNTLRKDSQAEEYDGQTNGDKTPKSTASNRRSIDLYALSSPQRAAYTNGMP KLQQSFSSNDVPTLKNGDGPVNGFGPKTHAEQHLRNHNANLGRVPANAMANRHSRELS ASLNKEQDYRNGAPFGSALHASAAPFGPVLPSPAVTSAMTSPVPSMYSMYSNGGSPGP AYVGYGMSALNNAIGGMSLSQGPMYPPMYAGPPGPPGPQQFGSYNPYTTYGRDGQPQD SQARVIQSRRMQNDANRFLNYDLKTMARQDIYTLCKDQHGCRFLQKKLEEKDPENVQI IFEETAPHVVELMTDPFGNYLCQKLLEFSTDEQRNTLVRNAAPSLVSIALNQHGTRAL QKMIEFISTPEQIQVIINALSGEVVALIQDLNGNHVIQKCLNHLKSEDAQFIFDAVGD NCVAVGTHRHGCCVLQRCIDHASGYQKVQLVRQITANSFNLVQDPFGNYVVQYILDLN EMSFTTPLCLNFQGHIAELSKQKFSSNVIEKCVRCADMDTKAVMIHEMMQEEELEKLM RDSYGNYVIQTALEFAPAELCIHLIEAMRPILPGIRQTPYGRRIQSKVQERESRLAAY AGGRASGHVSPHGTSAPGQNPAYAGAGPISSGYTTAPMYTAAASYGTNIASPQPHRMS NPPLPSQLQNTVQYPSYQFSNGMPNGNGHANGNFNGGSGNFF CC84DRAFT_1173361 MRFALTSLLVALFAVIAMAVTPQLYDVIVSVPEGSPSGMLEEMK EKVRNTQGAQITHEYSIIDAFAARVPESLMGEMGTMESNGFKPTIEGDGIVTTQNKDG DKVGI CC84DRAFT_1161849 MDDFKPELLKALLDWVNTFDLAGRVSAWNQLEDGQVLWQILADI DPDYFNESLPNLDEGTDRRRSIADNWIPKWQNLKHIERQVSIYIREECNQLPVLTKRM IPDLKTGARDGSLQLTAKLTMAILFAAYTSPKSGQRMLEHMGQLSTEKQGVIAGGIQE LQSLDERMAELGVEQELTAEPPLPTSLSGYRTPTRAVSGTASAVGKDSELEQEALLFE ANKDRTTLRSQVTKLQEELNKSKDRISHLEEELVEARLHLDDRGAGGATSDEVERLRD DLRRERQYIDRLETDHAHAKDVIESLKKRMNRLENDYDAKQELKDQLQLVKAERDELE KKAKANENLKKKIESLSKEAKALETLRQELQQAKERLQDLEDIEEKCAALEKVNKENI QTLVNSEQSIFEEKGRRTRLEHENIVLMKQVEQSKEMQYKAEDKNRELEDRLRDFEAN GTRGGSLEDELNHDVSEVTEDGPNSKTPMDGRVSADAIALQQRVDVLSARLKSMETET LKQMQENLGLKSDMLATKDEESQRPFLEQNEKLHTTETELEELQRKLREKELEMAELR NELNKKSDADEEVKNEALQSEHDRMLALQQQTNQKLRDLEVARDEARSLLYASLTIRD GRSPELLQALREALLQQVREQIEGVIKTPSDVQLKVLEATSAEIADKVASSQAALDNV RKDLQEQEATTLSLREELEKTKKESAEKGSAELQAELDALRRENRLITSAWYDMTSRL QSNTVVLQRKNEAPKSWLGKQRAVVGAGALPGRR CC84DRAFT_518474 MSTTRRDVGAAGCGGESEDGSASAWSAINPGHDRATIAKELVGV RAEFCLVFLHVFTAAGRPEAPGRSEGTKACARNKYICWLASCLLRLGPLVDYRGSSVP TTMTSCAKSLPQAGFAGNSKLPSTSSLILDHSTPEAHTALFPPSRPQAVFTNPP CC84DRAFT_518571 MHKFDNILNHIHNLLPPNPFQPNSAQPALHDKRSGDNTCRKPLP LPSEAARHAVI CC84DRAFT_1256767 MASNAQATGADPRAEELRRRNVAGQPAATPQVAQAPSAEKSKEK PFSVIGFLDDYEFLWAPLLFTALAFFTRMWKIGLSPIVTWDEAHFGKFGSHYLKREFY FDVHPPLGKMLVGLSGYLAGYNGSFEFKSGETYPPELNYTFMRLFNAVFGAVCVPLAY FTAKELNFRRPTVWLVTLMVLFENSYTTISRFILLDSMLLCFTFTTVFCWSKFHRYQR DPFSPEWGLWLMLLGVSIGCVCSVKWVGFFVTALVGLYTIEDLWNKFGDLKMPKVELA THLAFRVFGLILIPLAVYMFSFYLHFIILENSGPGDAQMSSLFQANLRGTEVGKDSPL EVAYGSRATLKNMGYGGGLLHSHHQTYPEGSNQQQITCYHHKDSNNDWFFYPNRHEAD YSPEEALRYPGNGDVIRLIHAQTGRNLHSHNIPAPVTKADWEVSCYGNTTVGDTKDHW KIEVVRDAASRDYSKIRTLTTAFRLKHVDLNCYLRAGNVNLPQWGFKQIETTCVKENK PRDVYTHWNVESHWNEKLPNGNPDSYRSPFLQDFIHLNVAMMTSNNALVPDPDKQDDL ASKFWQWPFLHVGLRMCGWNDDIVKYFLLGNPIVYWGSTASLGVFALLVAFYLIRWQR GYDDLKSAEIDQIHYAGLYPVIGWFLHYLPFVAMGRVTYVHHYYPALYFAILTSGFCI DFATRKLNKQVSWVLYGALYIAIIGLFWLFRAISFGMEGPSKQWSHLKWFESWRITD CC84DRAFT_518584 MTLTAACTIDCACLDVWLSKMVRKDIVSVKDFRPHGYRLQAGCA TAAARTEFSRDPKTTGVPSPNLATPLPTRQGPCSARTSPCSTISISVHALLRRLSTDG GNSGVCISSSGLLIFFYRLVISLRPPLVLRGQAPQPHTTRPPQPPPRLDRRPLRT CC84DRAFT_1256768 MIAAPNQPPTFKIPSHSNSRRPADIPLHTFSSSARQRSSDAARL LHDDDKESTRSSFDTPESDSDLSLWSDTGDLVDQLADQEDPLRIRLRDSLEGGSSSRP RHQQKRVRYESATRFNEKERGLRLEDIEIPNPGPRTISKAERILASIMAPNDGPSRIH GLHGKKLIYFTSVFVSLGVFLFGYDQGVMSGIITGIYFKDYFNQPTAAELGTMVAILE VGAFISSLLVGRVGDMLGRRRTILWGSIIFVVGGALQTCANGMPMMMLGRIIAGLGVG ALSTIVPVYQSEISPPHNRGKLACIEFSGNITGYAASVWVDYFCSFIKNDWSWRVPLF MQCIMGGLLAAGSLLICESPRWLLDNDHDEEGIVVIANLYGKGDIHNQKARDEYREIK MNVLLQRQEGERSYSDMFKRYYKRVFIAMSAQALAQLNGINVISYYAPLVFEEAGWYG RQAILMTGINAITYLLSTIPPWYVVDTLGRRKILLSGALAMALSLSAISYFIYLRTSW TANLVVIFVMIYNAAFGASWGPIPWLYPPEILPLSIRAKGASLSTATNWAFNWLVGEM TPILQEHIQWRLYLIHAFFCAVSFVIVWFIYPETANVRLEDMNSIFGDATSVQPTPQT LAEAESLFSGSARSPVPSLDIRRGAAESAIPGLDIDPPDNVDGLDKPAKEGEKGEGIG GWISNMVKRNKGNGEGDSGGQYRRVGQDEES CC84DRAFT_1083904 MSRYGYFNTFCRDSGNLWSTLPVCYLFDQAPTRQNTGWGADACT LTGIPLSGGNRLANLAGSILLCGIAILASLFLLWRSERKKAAVGRREMQLFLIGYVII SICEIFTVGGFPLDSAVRKAFVAVHIAAIIATTWMLMLNGLVGYQLLDDGTAMSVGLI LLSAAILFIGTGYIALDTGFSWTGYFDDTLQNNRAYALYTLYQLAPLVFLFIFFCLET FLVVRVLGEMKPMAYLVAAVILFAIGQIFQYVISVHICEGTSGKINGGLFETLFTLLA VVMIWVFWSSITEDDWPTPGVGGSGYS CC84DRAFT_1140075 MSGSDYSGSEFSGSDDEYVGPRGGGASAAAARKMVNTQLAQTKK SERKAQAWERRKGDSSEEEEYIPQFEEIEDKDVPEASIQHMEEERKRKRLRKDTKPFQ RGIIRHVVLVLDLSEAMAEKDMFPTRFHAMIHYAQEYVREFFEQNPISQMSVLGMHDS LCIRPAERTGAGESNAISHPEPWNARSYHRVRLIIVRGPRGHPSDYQSFVIGMGARLK ICTEIVNKTNAGDESEYVVATDQEHLRELLFATTTPPVVRATKTAQESAQGALPPENA AALMMMGFPSRVVEESPTMCACHGALTTGGYTCSRCSAKVCSLPITCPSCQLTLLLST HLARSYHHLFPLRNWAEVTWQRARAKGSKSCLSCLAPFPEVPPGEVEGEQQANGEGVD GQLRSLRLDEEDSDVQKASESSRYECRVCESHFCIDCDTFCHMVLHNCPGCLRAHQRR SYANKRFRRMSLFERLIRFESEDGQIHYGDFGSSELPRDVSGKTAQLLSGSIESGFSK TDRQATIKKLLPPLPSTPIFLCVGLNYKQHAEEGGLPIPTYPTIFMKPPTALSGPGSV IEVHKECQSQLDYEGELTIVIGKTGKNIAAADYAEYVLGYTVGNDVSARNFQLPASVS GGQFGYAKSFDGFAPIGPCIASKEAIGGDPNKIRYWTKVNGEKRQETGTDDMIWSVGQ IVEHLSRGTTLQAGTCIMTGTPSGVGVFMEPKGFVKDGDEVEIYVEGIGSLVNTIKFE CC84DRAFT_518680 MELLESMLVCMHVDTHLGVIITGAADAGAWVRQRSLPVRRCCAQ QVAASRGRANQLCPSRHPSALEAGAQEPAFPFDPRFDEATTRPKPHAEQPTNHNANPG REEEVADPRSLGSVDTAQPVAPSMQRQPNSRLLAQCRLGPRPGQVSQPSRR CC84DRAFT_1161857 MSLSSAADVPLQISSASSSSERRISPSWTIAHLKARLEPITGIP ASCQQLTLRVGSQDPIPIAAADEDAAQLAAYPLQPYAEIAVGDTRPPGARTDFSDLSA VPKYEMPVAEYETRTDSVLAWKKNQKLGRFDPNAPTIEQQKIRASEREIEERGIVLGA RVRILPESDARRGTVSYAGPVPEIPGIGYWVGVTLDEPTGKNDGTVNGKRYFECGKNC GVFLRAERCEVGDFPALDLGDEDLEEL CC84DRAFT_1173369 MHGITVPMHTGSNYLYSTTRALKYLSPSSPRTTTQIQYRLFPLP TGYLDVHRNIRRYSNIRPPPFYSTPQVLHCISALQPNPTTLTSTYGLVSNRSFLLIVD INLLIEPRGSESPASKKSSTSVGSASTGGARTYAPITAPPPYSHPPAYANAPPAHAPP SAYVTSSPQYGATPAYSPQGPTSVASMQGGPVLPAISELHRQQEPGPPPQPPQFRPLY SGAPVTVTSAGSNGSPPSHMLKRATSQTPLPEESPAKKQSKWTPEEDNLTIELRGQGM KWDDIAKRLPGRSSISCRLRYQNYLEKRAVWDEEKKNKLARLYARFKDQMWQKVATEM GIPWRSAESMHWQLGEQEMSARANAPVFQLHPSATNTGLNSPPTASVPISIPGQPPPP SVIQNQMPPSHGFTATNAPQPMQYAQPPPPQPPQQQHQYQQPPPLQQAATNPSHRRSD SNSSQGFSRRRARNSVPPQLGPAIPPFHPQSEADLVSGARTAPAPDTTTREDEGQLGE PFGKRKRDDDGVQGLRADGDARSQGGRSPDRASLRSGTGSVKSLKRSVGDADEADQAR LGPPATAA CC84DRAFT_518691 MSTLCHFFAVLRAKAGRARELAMAVRRPRPRMTYPARRRHLQTC GQLRGNPREVRCHRPHTSCISSLPHIPTRTPSCSVEKPDAGVRGGPSLLHFFGCTARA CC84DRAFT_518692 MWAPWCQSVDDGWSVWNEEPRRQGGGHGWAWSQCLLLFFFVLRD SFFVGLDMHVAGGRQPTLVTRQGFDTHAPNQPCPVCFISHREPRSPTFSYPSRTPSSC AVIPSRKGAHTTLPMYSKRTIRYAPVHSSPRRTCKWAFVIGDRLTDVVCQGCQE CC84DRAFT_518792 MAPAKKRETRENQFYDVGVQGRKTGITLEDRGIRDEHGLEPISG IFSSPEKSPPKRASNQTRGTLTESESMDLTSPIAQPAASAAKLLRSARTHLPPPKARS PMKTSLGSSPRRQSSMGPRAHPEPVSSPSRSSSHPVISRRLDFEQEESSLQETPALSG SGQRRGTRRSIYSIEPSPSRITNSAMEETIQEEIYAAEASAILNDIGEESGLQDIGND TILGAESEIIEEDAEDNEDTTAEVEVELLVDVEEPETITEPVKQPGRRGRKRKSDAVE PAAIEKEVLEPKKRGRKPLEKKDKNVPAAPAAASRRSKRVSDITEQETSTLEDASAGA AEAFDTPVAPRPRGRPPKTAVAAPAEMAPPAKKQKRQAKEPEKDNVQPVFKKPKAAPV PKKKAKSEDPGTLSQQKSAESGKLVDVYGKPISKADLDQMSTTSAGTRFGRGRHLSVF RELEPDSAATVGRTGRHRVKPIDFWANEAVSYDPTGNMQAVVNRVYQEPPKVKRKSGR KGQKRSLSAIEEDDDDEVGTQPWEIEGEGKFKGNYKGYDAANKISTNNLIESTIAWSD EGIKPKPLPDGSFKFIKLASGHVNDDDPNNSKTYMSWGFLELEENQMKRAKNSSAMHM VFHVASGAVEIRVHENILTVRRGGVFQVPRGKSILLLLPPELRSADHLSRDSDTRQLS SLPFALHILCDGGEFMLNPGVLSNIDYGSLHTSFGLSRNVARPLDKRASCGWCMNSAL VRSSHAV CC84DRAFT_1256775 MNLLTSFLSLATIAHTQQLIQAYGNLTLPPGDTTILGNIYNYWV ALPNKTFDLTRALYLPTTTPVTIPMNGSRKAAIIEPSRSALVIIDMQNFFLHPDLNAK ATGGRTAVQPTLNMIEGFRKKGMPVLWTNWGLDEYDLLTIPPSFLDGFSSDNSPLTTF GSEMGMVNGTYAGRTLMRGSWNARPYGPLYDAQVKGVADGTDFYFNKNRLSGLWGAQT PLGLYLQENQITTLFFGGVNADQCVWGTFLDAYYKGYDVVWVDDIAATTSPQAATDMV RYNANLDGFVTNSSIVLSALA CC84DRAFT_1203349 MSKAMFPSFVGALVVGLVTVIFTFSIKLYHARMLLIKRRRQGLP TAPNHSFLFGHLLYLKTILDHHPKDAHYQFGFAAVARENFAAEGAFYIDLWPMSGLFL TVVSPKIATEITQSNPNLTSDRPQLLRRFLKPITSGATIFDMDEKDWKPWRAMFNKGF HNERMYSLVTSMVEEVQVFATDLRGYAARGEICLLDPLTLRFAIDVIGRTVLNTSLHA QTGYNELADSMLSQVRWHNSNAEVNPFSHFNVVRSFVHWKNTRNMDRYIGAELDRKFQ EYRTDPESALSKSVIDLVLQKYLKGYERLPTKLDSNFRAFAIRQIKLFISSQGTTQQE VPSATVSIFSRSTQRYSSQICVRQSATDHRCCQVLKTLREEHGQVLGSDPTAAASLLA RDPRTINSLPYTLAVVKEVLQLFSPAGTTRAGKPSVSVTDDAGNMLPTDDAILWILHV EMHTSPNYWVRPTKFLPERWLVPPGHELHPRPGAWRPFELGPRNCVGQSLVLIELRVI LACLVREFDVAPAYEDWDRLHPKKGTQVLRGERAYQVEQGAAHPVDGYSCWVKVFGTK RKMLPYGLAWSSKKQEKLKLYAASESTDT CC84DRAFT_1113860 MYEPVSCVPTGVHIGRARFLSSPSPIVKHWRCDLTALSHVYNLY FVACNEEIYVYSPLFPSQNLGDPVKTIKVPKTGLPTPPGIDTEHAHSITRICVDYLGN TEVLLVTCDDGDVVVWRVGEINRAITSLEDSPGRDDENDMEQHILPLQHINVGSSAWG LAVHRNARLIAISANTHLVTVVAYGLSQPEGGQNEFAFPRDQNQTITLRSQTNIPAVS FDNTGGDPTGRWLASSSIDGLTHLWDLSRPYQPARVIAVRHCVSVSNAPDLRVLLGYT IEGPIIPKSCRCSNRNQVPHAAWAAMFIDPRACHHVDSLAEVCGFEVDAQASVSFRNS QFWDITDDTNRFGSGRKETTPAWSFGPSWATWATEETPLVSAVPTAENPDDNQSASSE GSGQMSIDFPSDDEAMHTLASAMVEAVDQLVAQQEQFVANEEEPEADLFATPHSSTED QITLPPPSPSTGLAASHEASQSGEEDDVSTSEDEVGDISSNQAKKPYCAFATSNHHKE HSAELPTHQHRPIIIVTKEEIYLFQRPLDPMTSSSFPILTMQNPLYPPHSYHHTIADP VTIYHRQCFTAQIPELGVFMIGSPAGRVGIFRLTRSRYQTEHSNKIVGFRLDHLLPSS KDEVKYGLLDQLGKQLVGVAVGPVQGMFDAEEAGEEGRNVGTRRWRMMMYYHDHTVLT YELGKYGGADDVRVDELMV CC84DRAFT_519068 MGAIGTQTHLPQPCCTCGLVQLCFSVTICGYCMCSTKSERLIAH SIMRVYQYGVPSQAPNPSLCSGKHWQICPVARHPKNVTIVAESTITPDKQTTN CC84DRAFT_1203351 MTDAATIAGLPAAPPKLEKKPVKFSNLLLGAGLNLFEVTTLGQP LEVVKTTMAANRADGFAGAIGRIWGRGGVLGFYQGLIPWAWIEASTKGAVLLFVASEA EFYAKSFGANNFVSGISGGMVGGLAQAYATMGFCTCMKTVEITKHKVAASGVKPPGTM ETFMDIWRREGIRGINKGVNAVAVRQVTNWGSRFGLSRMAESGIRKATGKEGGEKLSV IEKITASAVGGGLSAWNQPIEVIRVEMQSKKEDPNRPKNLTVGKAAKYIYSQNGMKGL YRGVAPRIGLGVWQTVCMVALGDVAKEAVEKLTGDKVTAKH CC84DRAFT_1161868 MASSLRLSTRAFRAVPCTPLRSSAFTGFRNYSTGKTQSLKETFA AKLPGEIEKIKKLRKEHGNKVVGEVTLDQVYGGARGIKSLVWEGSVLDSEEGIRFRGK TIPECQEVLPKAPGGQEPLPEGLFWLLLTGEVPSEQQVRDLSADWAARSDVPKFVEEL IDRCPNDLHPMAQFSLAVTALEHESNFAKAYAKGMKKTEYWEHTFEDSMNLIAKLPTI ASKIYRNVYKDGRVAEIQKDKDYSYNLANQLGFADNKDFVELMRLYLTIHTDHEGGNV SAHTTHLVGSALSSPYLSLAAGLNGLAGPLHGLANQEVLVWLQKMKKAIGNDISDESI KDYLWSTLKAGQVVPGYGHAVLRKTDPRYVSQREFAQKHLPDDPMFKLVSQVYKIAPG VLTEHGKTKNPYPNVDAHSGVLLQYYGLTEQSYYTVLFGVSRALGVLPQLIIDRAVGA PIERPKSFSTEAYAKLVGATL CC84DRAFT_519300 MAAMEELESLLKSLQAGKPPGVTATKIKEITQKSVDNVQSDVLI VQKIAQQFKNSPGNYKLGVLYVVDSVVRQWLDRAKKTGQTVSRNAAPGTFASGVQKVT DILPLLMTDISQSAPDNQKEKILKLVEIWERGQTFPQDMLAGFKQQLGNTKTSAFTPT GSPAKNLQAPCHPSLPTNPPHLVAAAPAAPSQDTNALLAVLAGFAPQQNNNTNPMSAA VPPLPFPQNAAFPQNAAFPQNAAFPQNAAFPPPPPGFAPPQPPPAPAVNNPQPNGNTA QGVTEMAGQILQAMQAGTISQEQGLQVLNMLAAAQNGGLPAPPSQPAPTLQAPQVQNG AHSDRYEQNGSRFRDRSRSPEYNRRQQSPRRSPPARRDSPTYGVYDPNAGPNGDPMNR QDRGDRGRGRGRNRGGRNDHNEYRQRTPPPRRGSGGDNVPAYQNGSPKFIDWDRTLPR DHIKVLSRTLFIGGATGTESEIRSIFSQFGKVQSCIVNQDKRHAFVKMLTRPDALEAK ARMDSTQDPNILSKARQTRWGVGFGPRDCSDYNTGISVIPISRLTDADRKWVLTAEYG GTGGVPLEEGMVIEEPDIEIGAGVSSKAISRRVPPEGGRGRGGFRGGRGGGAGADENR FRRSADRPNTHDSRHISPRAEQGVAVPPAVPGFGFQLPFQMG CC84DRAFT_1161870 MTDPPETPRRQPVVCVFCGASSGTSPVHLEAARALAHALHASNS KLVYGGGTVGLMGEVARTLVALAGPSSVHGIIPAPLVKYEQNHDPADPLSHKIDESIY GKTTVVKDMHTRKQMMAQEVIAGGPGGGFVALSGGYGTLEELMEVTTWNQLGIHDMPV VVYNVDGYWTGLLEWVKKGVTSGFIGPGNAGILVEALSAHDVLTCLKDYTNAEGRFNL TWDSQ CC84DRAFT_1161871 MPEQRRQEEQQGAGGIVKTLVQSVGIFLLIQFATKQFFGQTPAT TADPATGAAVAPNTKVAIPAFENRPTHFNDGAVRSVIPQNVAPMWPVGTDVDITLYIS PSIAMPPFKQLPADTLLIDEKAFKFGDYAENRVIETEFKVPAAVQRNATLFAHFYVAQ TGSLLDPTQPGYDPTKAYHVIRALSQYQPKKQAKKTRNLLSDMPDSGETDEEKKMPKV VGSFYHSNFTISLIPDSGVLNYPTMHAGVREFLQLDQTGSRDATGQHGWYYPVLFTNT FWQLKKDMIELNETVKTLPLNVKLNNLANWKFNLYASMEANIRANQAAAASGQPVAGG GDGSEMEMFKEILIDSNSYLLAVTAIVSVFHMIFEMLAFKNDVQHWRKKKDNVGTSVR TILANVFMQSIIFLYLIDNNENTSWMILFGQGMGIAIEAWKITKSVNVRVRPTPEGSW LPYSVVFEDKHVLSETEKKTEEYDEIAFKYLTWVAIPLLAAYAVYSLIYDTHKSWYSF IITTLVGSVYAYGFLMMVPSLYINYRLKSVAHMPGRAMTYKFLNTFIDDLFAFTIKMP TLHRLATLRDDVIFFVYLYQTWVYKVDYSRVNEFGQGGDEEEEEEKKANFPLVANAGG DRSPKVPDAAKVAKLEAEKAGAKASGSQKKGNATKRK CC84DRAFT_1113875 MEPAALIVSGSWHLPIHYAGLANSLHKAGFRDVRNPKLPTAVEK LPVPAEAIIEGDTKVIRAELASLADAAHSITIFCHSYGGVLASNSVDGFLWAQRKAAG KPGGVVHIVYMAAFIIPVGTALGDSFGGKIPEWLRVDEKDGTLHISDHRMSFYNDLDD AEAQKWAGKCVHCSLHCFQDKLTSAPYEYIGKGLDATYLVCKQDYRLIEPIQEAMATL LGEDRKMEYIDVGHCAMVGAPEEVASVVWRAWESSKSRLEGSSA CC84DRAFT_1238408 MKISSFLIFLASGLGLASVSPLTKRAGRTGPPSGCKVVRGSGTQ SGEYTTLGAAITALGTSATVSACIFIYSGTYTEQVVVQYKGPLSIYGYTTDISSYKNN AVTITHELSSPNAGSLDASATINVKSSSFALYNVNVANTYGKGAQAVALVANGSKQGY YGVSFKGYQDTLYAKSGDQYYSNCYIEGAVDYIFGDASAWFGECTIASTGSGAITATS RETSSDPAWYVFDHSTITAATGASVTGMVYLGRPWRVLSRVMYQNSVLTNVVNAKGWT EMADGATPLYYEYKNSGDGANTSGRKYLSASSAAVTKAQIWPNSGIDWYDKSY CC84DRAFT_1256782 MLNAVGLISILSSAALAAYAPSNGLFSRSTDGITKRATCTPASA GSSSTDDVPAIEAALKSCGAGGTIIIPAGKTYMIRSTLDLTGCSNCDFQIEGTLKASD DTTFWNGVSSIISVSGISGAKIRSVTGAGVVDGNGQASYDKFASDSSYKRPKLLNIGG KSTNVAVSGLKFKNPPSFFITNNGDSSHISYESLYLTAESKSSNPAKNTDGIDIGPAT YTTLKNITIQNTDDCVAFKPGADYVTVDTIHCKGSHGLSVGSLGGSAGKTDTVSNIYV TNAVMEGATKAVGIKVYPGGSSHGTAVVKNVTYDGVTVSSSDYAAQIQSCYNEDASYC ASNPSTAQITDVYFKNFKGATSAKYAPTVANINCPAGGTCNVYFSGWAVKAASGTAKF LCANIDNANPGVTCSLGASG CC84DRAFT_1214347 MARGNSAQTQVFYKGSTDVTFTIFVESEELVTEWKNDPSIPLSQ VVAGWTILVPEHDKRGILKTASNMQLEEEFGTSNEDEIVKKVLQQGSIQTRSDTERIG VTNESQGPRTAH CC84DRAFT_1140113 MSLAHENTDMEKHPNGSPPPVYDSLADHAKGRQSNLHGEDVAIV HSDQNELRRDLKGRHMQMIAIGGAIGSGLFIGSASSFTTGGPAAVIIGFTVIGIMMYL MMQALAELAVMYPINGAFTMYICRFIDPSWGFACGWQYAISWLTVLPFEISAACNIIH YWPGSEGINNAAWITPLLAGLIFIQIFGVKGYGEVEFFLSIIKILACLGFMILGIIIN VGGVPTDNRGYIGNKYFKEPYTHAGFLNGFHGFCGVFVNAAFAYTGTELTGLAAAETA NPRKEIPKASKQVIYRILIFYVVNLALVGLVVPVNNEALNGDVGASSLHSPFVVAIQL AGIKVLPSIFNAVILIAVMSVANACTFGSTRTMQALAANGMGPKFTAYVDKKGRPLVV VVIQLLFGLLAYINLAPNGGDIFTWLLSLSGITVLFVFGSIAIAHIRFRKAWLASGHT LDEIPYRASFGVWGSWVCFAINAIALIAQFYVALYPVGGSPNVKAFFETYMAAPFLII LYFIWKVYSWFKHPSHRPLWIATKDIDIYTGMRQEQRELISGEDVTAETRRASIQEIQ DEKKKRGVKDYIMAGVHSIF CC84DRAFT_519668 MYIINRFSILVILLSLCWQIGADTCGTADIASGAVTGCECAPSF NDSGCNPCTDKYCNDASLFGNLHTCEIGCTSDNINCNACYLYFNGVCRCVKNTALDCI NKGGDWWLLNSHTLISTQKQIPGVLQLHSDNKGWELGQMLLGSTGITLPPGGNAVTRK TGTLAVNSVHARTEEQIHIHVCDNPNSPLRRYLSGIDPTTYTSLTTLPTSFANFPQGT VHCQASKTPGETMNVAEITAQYLNTVTKPCDKSYVGAGVIFDSRDYTWGCLTTTGHSG EDLFCKLT CC84DRAFT_1173382 MHVHEQMLRIECGYKGLQPYWDEPRDAGKCSSSIIFDATTGFGG DGRELDGCLTDGPFANYSNAIGPEFLVTDHSIDRNISDETAWPCIQVKSHNGDHTGTG GQDSTRSLTLTQVSNPISSPGDPIFYLHHTWLDKVWWDWQAQNLIPVSMISPGTTSNR PNTALFDVPGGNNGVIGNGCTNQPPLYTGYLCNVTTLDHMLSSLKLLSNATIRAVMDI GEAAFFTSMSKQESIHTSEPHDRLRLQRHSNKQ CC84DRAFT_1084769 MSRRQMQALQDGMKGIVYCRSKAQCAVLAEALQCPCYRADIPDR GTRLTQSLEKGGSIVATSALGTGVDFTGILFILHVGMPWSMIDYCQESGQAGRSGEAA SLVIIVEQGEVARRF CC84DRAFT_1214350 MSDLLSFLAFSKHIAALWSQDKRILYYRGKPIRIERFQQMARDL MAELEDGMWQKLLWVPDREGRFAIRLDLIQDDITLSRRGSYFVNEENGVMHGLHYKLE RVMQRSEGRKLRAPEGQWNVWYVNRYLSVVTYFLEKKPVAYHVFNGQRARGSELATMR FRNGVLQDRNQPFAEYLQVKVLGGSFSDYIWADSHGPWGTDRLTPALKQETVKHLDVA LNTREYLHVAVGIGRVAIGESFSRGY CC84DRAFT_1161875 STTEVIYLPPEANIGSEVPAGPGPRQDLQGVAHYIPPYSALLRA RAGLSIRASRFTSLLWSYSLSQGETRSYTLGARRLY CC84DRAFT_1238425 MSSSSKIPLSTVHAKKLLCLDGGGVKGISSLIVLDAIMKRVREL EEKDPDPTQHFPHTYFDLAGGTSTGGLAALMMFRLGMSTAQTMQSYEEMSKKIFASSC ATKFRGIFSSMYSASGLEKAIDKVVGKALGVNCDAAGRRTLLLGQPPQKTSEAPVKPL LCSTLVERGETILFRSYDLPNDAGPVTNDIKDVDFSNVTIHEAARATSAAPTYLPEVI IERPDAVSGKPVKFMFWDGGLLNNNPVDQVWDARFDLADGLNSNPCISLVVSIGTSWS ESEPLSSWFLTRFMNTISQTMSFVTNTEAKHKDFKRNIGRMNRRVPEEQRTYYFRFNT PTGKEKFELDNWKQMDRLKALTMNYLNNDEKAQKDLEDCARILVRQAPHYPPLITGAP ATGRGK CC84DRAFT_1047336 VLESRPDQLQIEEESTNSDTEDKRIRIQFSSKHLVLASSHFQGS LGGELTEGHTLRSEGHFKIEMNGHGLDAMLLVMNMIHGRFRQLPSSVDLCTLTRIAVL TDYLQCHEVVEPFVDQWIERLEGKIVHVYSKELIQWLCIFQIFR CC84DRAFT_1238431 MPTLATLIGGLSTINNTVTSNEINKATLALIWRDSAELGKFLVG LLDPIKCYVLANSGSGIAAPSEEHGTDNELDLTDPMNRHAVICQFQRLVRSVVFILTN MTQDTIQAIDIIPQTKLLSDDQTPGDIMEPSSPTSAMMPQEKWIFVNGIAGELWWLKV ACRKLATKYRRDVTGVFNRGDGILWDLIECAGERSLHGSERAASQRQLIQRTASSNNA QVALRSKLEEALAAEGDEKIVVIAHSQGCLLLRLALEDILVGRTSFFPASTRTESDLE TYAWARQKMRSQLYVFTFGNPSVDWRLETTDITQSEFGTYISRQDPAYLSSYVYRTEH FANDQDFVAKLGVLSSSRPADSGYSNVFINDRPTWIGHLFGTQYSLDPTHYQPNGQIS RLLTCVPGQPIPV CC84DRAFT_1081773 TERDSCLSRLYGKHPARGNLLHRQLEGRKYFDLGDYALSQASTA SDIGRMRTGTEHRLRESVSHPSSPAPSGRNIKDVFTKPGEAVKERHEPKEASKLHQQM SMDKSGNAQ CC84DRAFT_1203358 MASSDQPPGVRRRAMSPPSRDGTSATEKLQSISSTTELPNDKIE ILPDISRIPETPSQSPPDLECQTADTTATPGPHKPPLTGPPYVRTRAWSFRPFRVCCR KWILSLFAALSASILAHYYLGTCCGISAMSQAVPTHTGAVDTTNQWVPYNCPDGSKTS CKMPPLFRELMEHQASSTDLAQSINAAATSPNKPKYIQYDQRSIEYFIHQNTLAAAKI ANAMLKLQKDVQEMQSTVAQSFSTSLSTLFESPHCGLDIAEAFVTNATATWTYFYKHI QSDVRRLQKALDDHVVNLSHIMIHVRYVWQCDDIWQKRGKRRFIGIASYSKTWDCEHL APSELLSMIDTMLKDAACDMEMLAQYEQLMEKVGPALKERLRKILAVRDQSIEATIEG LDATITGFDAEREICEAGEMLVPGLDINAKDVCGSK CC84DRAFT_1173390 MGRRPLWSSLRLLQQALAGRSTTRSQVPLGPRNRPHPTMWLHSW ALLHGHASARGHRAPPRFQSSAMLFESTERAPGSTRRAAYPSTLTTGSAREQGHACRV CHVQDNVYASEVTDVLDAADIHALGLSRVAPYRAGTPTPTTSAPGRMSDRRHHGQPSA QLIRPPTSWTGSGRSNDQVSDLEDTPLERSSSSRDSHPGTHQPGKLQSSPHIQFELGA LQETSPPSSPDPSLSRNASTDGHLPERPMGAISPRCNGVANKSSAGQQATQDAPEVPN ILANDDGEGILETIEEGFDVVMAQGSEAESDSQPRMDCSSVNRGPNEDHVEDDLNADE IWSIISSLESTRTTAERSFTMTAEQRTVHNLTIQISHLQLKLHSLSDLQMAACSDQVG MLVEHLQRLRQKFRAQSKHVIKIEKQLEHWAEVQRQRKELKHEDGQSSDLNTNPEDHR HPFHHSSHTMAARLHAISPQGTDVLASGENNARPLTSPRPNIHLVAVGDHGVGRARTE QYWHPTKRSVGEQAWEAFSTEQDGHHDISCVGEAPWTQEDYADVLEHGSASFRGAADP QRRAWSAKLTNITNNDLWCAEAEFVEDQLFDKANHSPTGNEATARAKALDALRTMEEQ GRNKVQSNGAGSAEINSPQTDGSRMAPPLQAMASSCIDWHTCLLQFIFAFIATLLIYY LFFVLSCERG CC84DRAFT_1173391 MSGNAATIHEELSRIATGQGVAPAANEMNIVQERLPQETQMNEI KAQRAEQDPELDQRMQDTNHSQHRTSGTQGAEETAMKTLSDTHSQPIITAPSEQATAN NVDLLSAMRTMVSEGGSTPQRAPFARGDMPDVEEPHIIPAGPQQAQNPPSDPTLSQQF DSALDELTSGLNDMYISVFDAVVSGVIHLGLGFKNMCNSSSNAIMSLQMSSTIPFTPG HMPDVEEPRIPAGHQQAQNLPSGRTLSRRFVSALDELTSGLNDMCNSISDAIVSGVIH AGVGVKNMCIAASNATVSGVTHVFKPQRFILALHQLMNVLYDICNSAYRGLGRNGPAN VVLQLNGPAPAPTQNPTPPSPANHAPIPGSGSAAIPAPRVQPPRELSGRVHDYWDFLE AWNEGINEKYGW CC84DRAFT_1256786 MAPSDTYQGTILIKGLAAEAGPTVGPTCSTAATPRWSSRSGSLF CAYASPGLGLCLHHWHSCGQQWPCWHFPLAPDGYNMATAPSTVVSAKAETWSPSLRST RRKGIATSLKPSAHGPTNFQRQHQHPAPTRGHRPTSAWFVRFASKSGKNAHLYRTSSK HCRHWLAGLLQKMAGLGADLN CC84DRAFT_1173393 MFVRACIYLRQEHFLGHLAIGMALCSFPGGILGQARPDRMPDGS LCGDRPETSIIASASSLHFNLQAVYIRRVNCRARKLTLHPSPSRLHPGVASETMENAQ ETILRLQEAVKQQARAIDTIRQEQKDTVAENDALHQKTLDWSRRFPVLEDQNRKLLKK NKTKDDEIQRLRAQTAHLAQLLASQGAGVESVKEEVKDARQDIATTAQGDGTPSDRSS TKALRDVETQMEKLTVDEAEELPHTHLHAMAGAGRHADMRKALEPLLGVDITDEVCSR ICDVVMEIIGPELAKANAQLSDCVASQSVSQTDPEGPGNRSSIPIPSARRSRS CC84DRAFT_1214360 MPRPYEIEILAGALAQDIVHICGWVMAFFEALLDFCTRHWEPLK DTIKAYHRRRVWVNLAVVFVSALSLGLLLYLVVLRAPSAVPVMRTSERQSFVEACDRQ FVDDQRRWEARMEL CC84DRAFT_1214361 MASTTYAERRAPAADASLEAGAIRRLPRDLRENKHRSLIRRAAW TDVQETPHDARQGPSGLADPAPAGPPIQASRRPSSEAGPARSLCSVSSALGVVCALLV AIYLLSPWLAATPDVHAGTDASPASEHPPSPPFSCPDGGALCDPPRFFTYTQQSITRC ADRLTEEKPDHRGKLVPTMLANVTTPDFVQYNETKRALYKSMILGSKTMVLSLAGEMQ TLRQTMVTKEALHMRLALENITSCAVSAAATNPAITFIRSFAFVRRWEQPATSHAEVG SCEAEVLTQFLAAVDETYAEYLGSLVPLATQLDEAMSLFQLGVQGMYKLILVAWGADV DMLTKWKCRFLNRGVSCQQWKTGEGPAQTMFTMLYSADVTLMIGRVEATTRPIVVDKE NLIKYMEAKHGVPAALERKKQAYKAFREGPDAARLEVGRIGNVIMTGQHVGEEFRWED ESDNQRGSS CC84DRAFT_1238447 MAPRKPCPPAVARPARTRSVPASFNYRTPTPRVAPPRPGWLHRI AKVRVTVGAAMHAQRRNVVRGLAVLACAYVARNLRAWATQEPSPLVTRWRQHPQWMHT SSSYAVLGLHPPLDPSWVPPYRIVRVAMRKQSAKWHPDNYRENGVDQATARRIWSVFA SVLDHFDNFYRNPASMRARWGDHGGLLNPVDTGLPHWNNKTEGAFEALWALFVEMVHA GATPPHRQAKAPQTEAEAALYHPRTWRHVIEGLNPRRSPIPPLGIARYYTCFNNSPSY HPIIVFRRFLSMFALVPTMWSEEEKERWADWLLDVDLAPYHPMWQRFLCGWSMHDE CC84DRAFT_1173397 MRCLGTTLDGTRCELPAGGQPYCHKHDWQQYPHGSPHARVLYGP AHKRDLLCLTPSAPSVEEESETKTIKPRSKMAASRPEPASKTRSTSKLSLDEIAKTTT TQPNPGTPPKPILNKEPAAVRGPSTLAALRKTKPPIRTLAFSRSAFLPAKKKATAPLP VFPPTEPLPKTHPKPKTTYTDEGKQPQVQPSLSDPGEPEPRRSAARTLGSFNRAPSAI ARLEEKVDELAGRVGRLELQTGDIKEETGDIKEETGDIKEETGDIKEEKVDGKEKE CC84DRAFT_1203360 MAHGQTLQQLLQLRNPLQLYLKVRDPDTTPTTGSGSETTSATPV KPRGKAPAPSRSSLQVPTQSPPGPYSYGVKTLYLKGERLHDFHRIFDTSRHEDTRTAW HTMRYLAESALIDQQVVLIAYGQSGSGKTATLYEGHKTGPEKIPSFLDYIGELLFKEH GEQKWSIHATWIEVYGKVMYNLAHPRDKQHPPRKKAANSNTSSDEVEYNRGGAKPLDP GVFPYSSLNDYILYKNTRTSLAPTTLNSADELSKFRKHCLARRHIDGGDANGTSSRGN AVLTLRLFCDGAPRGAIALVDLFGAEEQKAGDVKQKNERGPATNDIETLGKHLQNLSQ HKVSPIPPPTIRQSKLLGLLAGILQGDKVMPADGRGARPLDPGSGA CC84DRAFT_1203361 MPARPLSHRCQCTSLASCKCPLTTLRYNTRSRVAAVTPEVEDSP RAVAKDDGRARAYPPGSSSEALSPTPKHGQLPASSRGPVVAPISRLPLHRHKPNMNSP RIVIPPHPPRLSSSSKPRSPLAAPVAGQPSRPRPMQAPTPLPVAAKPSTPVGRRTTRA PSTGPSALQFTCVSPTLELGAGGIHISSSPAGGRVRLRQGAPVRRAGSGELQLEDVLP AHPKAGKRQVLFASVECAVEAPISTDGQPAHRQEADGPVAGPARSSVAKGKERMRTQE GAAAGPAGAGGGDVARCQGDEAAEDGQRIGGVLARKGTKRVHFTLPTCGSPAVEASPH KRARKAARPHQKPREEASLEDVYHWLCATHAVQMKHAALRTQAHVDGSLALLEARLGD KQARVQRTVASGFESVHSKMEGLHTQTASALSQAAMQAQQQAHSLQQRVAAHDFEQRL GFTALRHRLEELTKGSTDDAAGLRTAVDGLRTAVEDAVEQMKLESRRQGDDVRRDIAL AIAAATGPSEVVRRSARERLHERARRGEERTQTPGGESSSGGGAKVEQQRSAKAASER SEQAKPPLGRNPLAGHQKGPEVEERVRQLGERDDGQQARLEQLQPKLAHVSSSPDNDS GASSPVVQAVETGGGGASGDAAGRADASGSEHPEDTKPPLHRRPRTPDSAARGPGEQR AGKQKADEDEARPAVQVLERGARDAAAEARIATLEADRAHAHRSWRTQLGGRLAAQQN AERYVRGLQRVDEVAAGIDGGPGTPGARIRRGVRGLLEGDDFVTSGDDDDDDDDDDED EDEGGARHVPGAFDAKRESSPLSQRSNDIFHNHGSIEDVLFLVAHILVLVLDVRVPLT LLIGICNAVSNVAFPTVLDIASHALSRALDSLPSFANHHREHSQRVAPVAHRPPRARH HQLAPDRQRHGVRLAPAVASALRLSLRRGSVPQIRAAVHHRHVDAVPPAMHVRPLPPV EWVCSASTTRGVARLAKTSSEERAAREAATLLRAWSSARESGSGCEAASASLSLDARD SPSASLSDLCPSLSPPAGPRSRNGIGTAAGNSHRGAVVVAATIARPSDPALPVAQSCG VGSGLQGIAEFSVKMTMSQMHRIYAVIRLGTLEADGANMAHRHAMRNDAPTRLSAQTW KQQR CC84DRAFT_1214367 MQHQNQDHDQMLDSGYQIGTPQAPLDYDAASIFGFAACQGSSRQ SLPDQAGWWAADHGEQNGWPGVQEGEWLGGQHPFQDPLFPTETLQTTPMSISSSRCQD HLLYQGSPVEVGDWSNVGLSRNDGIGGQGVEGVRGNLVRVPQVARAGEASQEQPLPEL LEFHGTPALGEDWSDVHASMDAHSPNFGSGDWSHLSAAAATPIDRAPGAASPAYLTDD SDAGAPYDYSMLAQSLDILFGDARARERLQHLHDQGHAWPAAVADNIRFLADLLSGPS PAVGETASVQVPPTEGMATEPAARGPRTKLPRGPTSSQWWTRLLRLHPSSLMLPSALR THIARPPAHALVLCDSPPDPPGASFAQLFAARVAFMASFRPSTRLQHAVAVAHCLFFA VAASDANPKFWRLVTDVPHGTLRDLPSLCANLVRLVPDPNTDAKATTALERNLRRWRT LGCKYAFLAGRIGLGGLLLLIDFVKMDKAWGMTKGREKGKNGAVRAEAVAWLKGLGVV SMAETSGAEEWMQGMLWNLCAQYPHRPVRRGEETFPGLHGEEGDEGEDRAEDDEEEYE EIGEWRG CC84DRAFT_1173401 MSGRMHGGGKGADSSVHRVFWLYVPHLPDRSYLNCVMSLRERLF SKAFRPILTTLVSGLLDILHVLIPQGVLCALESKSSSPRNGMHDRCSVSMQHDRVTSR SRDKQRQLHLLKAVTYGPLQAHVPIFRGVAHGKVSSPSCVASFALIYFFLVGLSTTAR NVIHQLLPALAPAPQQDTIVDFDNADAEDIERGTWQWLTPWSITLGRPNARHALSVRQ SYQFRFGWYSIARGRDEDATLDADYIDSLGEMGRGELASDLVGRLSRVVRAMSEEERA ARQEGLRRELGVLIRPEWVGRAEETAGGADTLGALGGGAGGIPPLWADPWWTAAGSFP GAGGARPPWREV CC84DRAFT_1173402 MSCQRPPRPIDWSDRTQPNFARGDDINRERYTNETPGLRTEGLD EGRTNELGSHPNHPTRTGSQWNDVAPSSVSASTPTAVASAQIAVQDKGTVDGGNHTAI IARHLPAADAISVSSPVEASQNAVHSADTRVHECAACVVAPPGPTQAGPCCPAVPLKP RSVQSVNLPRMPAGRGPHVPTNPTSTRTPMYRVPTIQLSRDGRRVLYPTALCDVQEEP TMGLETQDSMFNGGSIGGEMLKRTDESKQLYVSRRESGIYFSHFLRLGDNT CC84DRAFT_1082424 GEVLNVAGPGLAVVAFLLATSVFWCVVSCLGEMTALFPIQGPLF EFPARYLDDAVGYAVGWMAWFSWAVTISSQALAVAAHTIIYFNFGT CC84DRAFT_1214370 MSSNHRTQEPLPLPEHDVFLPGNTSVLPKRRGARTRSQPPAQSQ GKDVGRGDDDDAGPATPAANDGTSLLDADYRAKLRLPPRPTPPPTIAKSRRLWVDRLD ALQARLVDVDTRFDEQEARYDAMAERQLQWEAARAGDVQLRQDTMEVLGEIGERLGAL EERADALFKTVGWAWFTGAVVLPAAGWGVWDAVARWG CC84DRAFT_1214371 MAFSLGLRLLLLALTLAASLVGCYYKSSTSFNATLGRIEAEYRS SVSTWSKDALFPDFDFSSKALNCLVVRMRHPAVELLKLSPYNNAELTASFQALRERSR EVRERLAELDVNLTALHRRNYAAFAEAEAKLVQAYMDVVRASAKVDARCTAWAGPARN STFGLVHRVHEHRPSRLQRVLSTLCSFTTLPKRHAYPNHAADLTNTDNIVDGLVNALV ANVRSESLALEPHLHALVSAYHVLYREAWTLFFAADFHWNRKRLGLFVCLWHTGSTVC TPLSLEQRRQSAEWKDMLGVLQGLKDVRDEVLMALVEVRRLRDDVAYAGETLAKVKAW ERGGQPGRRLERVVTVLRRLLMLQCSTDPAEYQEVRP CC84DRAFT_1214372 MTRASHDDVRLPESSPSRSDMHTGRPRQPDPLFTFQAGHVSLED FPKLHRAPEAWLGEWFCRPESLRNTLIESGAAIVGSRFLDYLAPGFCGPDSDWNFAVD NNPVNVWRLITGLQHCGVLFQTRAERILACLAYPQAHVSFVATKLDIWQSMHVAMSRG SSTHTKKALLAVDYYIGEDQMCFAKSGELIQHQFKCEVAAVPTIDGSGYKTRVRVWRM FGFPKGEYDWRGQFVTNLPTTVINGSITTRHRSAKVQVIVTAGGYRNHILSSHLSCTQ GYVSGEVAAVLYPELTARREFYAWKTLQDAERDRDARRKYERRGFSEVALPLHPDVRD HVLGDPSTMVVHFQAVAAHLSLPNDMWPATYVAEPTEFVWEQIADWLHVPASATPSTY RLLPLRYETMTTNHTAHLCMRYGVPVPCPAHNEVTRDLRTQMLQEAKEFLIVRESVSF VRWAVRRTVVVDRVNEEDAWHLDRLFAMPAEEPVKPSQLPPRSVFDVPECRNRVYGYL IADDHAASRRFIAPRSTGSRGNSGLFSVSRMFRAEYGPLWMQLHAEQIEEQVRQVRRA IRRLEKQTNSKWDVKFQDTSAAHVRPEVIIQGALPGEMSLASLCRIRAVIPILGITFK ACRPTCAPEPYELINVALGNSCPAWRACLKKRALVDLQFQLGGQGQVPCLKFFMEEGA NVGLRMFHELGFPPLRPDFDVKVWTLHGGLR CC84DRAFT_1047788 QEPLPEESPAKKQSKWTPEEDNLTIELRGQGMKWDDIAKRLPGR SSISCRLRYQNYLEKRVVWDEEKKDKLTRLYARYARSYLIDQMWQKVATEMGIPWHSA ESMH CC84DRAFT_1046204 IGSGEFGTVYRSVNVSTGVIYAAKKFLRNGKGHRERWDREVALL RNISHDHIVKFEDYDTERKWPRLIMEYLPLGNLAEHMPITEWETVTLLCQGLKALDYL HSRKIMHRDLKPENILVQCREPANFCIKIADFGLARDGSFLKTVCGTRLYAAPEIWQN RPYTSKVDIWSLGLIAFQ CC84DRAFT_1238674 MVKPAQSSKTDIETTWQTIEAGLRQILRHPSENLELDIKRYMCL YTAIHNFCTVRNAGSIETEQGKAGRKRGEAYLLGKELYDRLKQSLIEHVQGIQDEARR LPNERLLGFYTEKWDRYTTAAKYNNHLFKYLNRTWVKREIQEGKKDIHDVYMLHLVVW KEMEVATVHEDVEDALAQLGETRQNGFDQGIIP CC84DRAFT_520619 MSPKEARPLRSNSASLITSQSVLAAVWSFRQNQVTELLRPYQSF WLIDSPRSLSVKVESIPPFRELLVARFPNSVSKSMARESKMEPQSYRC CC84DRAFT_1161884 MPRLSRLEALHRKERDSLLARQKASATDSGNTDMALNTNWMRRT GWAKTFADSDRSFLAKVAQMPQVAEHGLLLGTSNGTDLYS CC84DRAFT_1184913 MRLLKYTSDGGLGLTEDLTTDEKVPPYAILSHTWQKGQEWTFDD LSSGNGKHKTGYQKVLFCAQQARRDGLDYCWVDTCCINKSSSAELSEAINSMFRWYQN SKKCYVYLLDVSCRDFANGGQSFRKSRWFTRGWTLQELIAPACVEFYSLEGKQIGDKS SLVQDIHSITGIPIQALQGCPLPQFSIDERMSWAKNRHTEREEDAAYSLLGIFDIHIP LLYGEKREKAFARLKRKIMKSFEARPRRRMLFTPPKRT CC84DRAFT_1161886 METKTTVLITGSNRGIGLGLVERFLAKPSHVVIAAVRNPGHPTA QALHSLPTGPDTQLIVVKIDASIEKDAHDAVAKLQQKHGVGHLDIVIANAGVAYIYPT VADVKIEDIKAHMQPNVYGVIALYQATRGLLKKAAREPIFMIMGSSAGLLGNHLPIPN AAYAPTKTVLNWYTRRVDAEDNWLNCFSIDPGHVSTDLGNAAAQAVGMGDHAPTTVQE SCDGMMIVLAKASKKVYGGKLAVYTGEFHGW CC84DRAFT_520637 MDLFICYPEYHVLVCRSCAYAVAPPHLAAHMATKHANDICSKDN LHRTTKVAATLATRLREEYDLLDPTTSIIPRPPPTKPPFPNLKPYPRAAIPGRHRHPA LGVDRTASAHSQPYGSRRTVRQIARKQGNYQWEPTLLSHICCQNRRK CC84DRAFT_520655 MITMGPFAFLSVLLSLPWNIGGVGTCGTADIVGNSVANCDCPTR TSLTPSCGGKGMKCNDPYCHVDTTGELVECFEGCVQGNIECDACYLYFHSLCLCMKKS SLNCIQSGDWWLLNDHKLITTSKKVPGILQLGSDNGGWEFGQSLLGAGTVASRQWGTL SVNSVLSRDEEQIHIHVCDNPSSALRTHLSTLNWYDFKDKPKPLLVPIAGNSRFPAGT VQCQAALNPGTHMDVAEITSKYVNSLGGCEREKVGAGLITDKNDYSWVCLTTMDDHAA VQLFCYV CC84DRAFT_1161888 MAFASLGVAVAEPAIAIGETSILFTVTDQAQYDQVTKDVLQAMS SYQASITAQPAWSSAYSQLVEFQKTHKGVPAALTDLSTVVQYTATPSWYTAMPSDLQQ YLKKEDEARLEFLEDTVEDAMKGSAARPIDIGVYVHGAVATLIAIIAIAL CC84DRAFT_520680 MYPNRVNNDERKKINGFPRGMEDLLRELELVHSDTMWTLSLIMN FRHYSIHDRYRDTMDEVMRGMSTIFKQNKFHIQTTDCT CC84DRAFT_1140126 MNLSAFRPVLRPNNATKYPIANALRPNAGTIQQGRTSPATTSPF SSTSRMMKRKKGKGPTTDPRITAIRYHLSHPLTPRPLHFSRLRALRHWTIHRAWLLFL RRRRWAEERDLERQFQAMKSACEHLRLMDANGNLVSEAEAGGQGADPGTIGSGGKEVG RLYRAAMLKRGVWGSVPVEYARAQVDFPSREGWNHAWTRD CC84DRAFT_1214386 MAQNGEPVMRRKLVIIGDGACGKTSLLSVFTLGYFPTRYVPTVF ENYVTDCRVDGKSVQLALWDTAGQEDYERLRPLAYSKAHVILIGFSVDSPDSLENVKH KWAEEARERCPGVPIILVGLKKDLREDPLAQEEMRKKSLKFTTTKAGSDMKEVIGARK YLECSSLTGDGVDDVFEAATRAALLSTDKKENGGCCVIM CC84DRAFT_1113899 MAQGTRTAAAPTTASSNGAAATHAPQPTAVNRKKQKRREKEAAK KAAESQKQPAGPVKNGMPQPALQPAQHPHAPPAHQQAPQYADVDYEAELDDGTPHDDE GDFYSDEADDGYYDEQGYPTNGHYEPAYASRPPPGSVGKKAKKKSKAPPPPQPAYHNP PLRSNPHARKPNTNANSRIWNTTTQEERERIREFWLSLGEDERKSLVKIEKEAVLRKM KEQQKHSCSCTVCGRKRTAIEEELEVLYDAYYEELEQAPRPIMPTHAPPGHYSDPDEY SGDEEEGDQDYSNSEISSEEDYSEEERSLPPPETADFLRFGNSLQVKGIASVMSLLRE RLMHSPGGILTVADDLLKNDGKKFIEMMEQLAERRMQREEEAQYQAHPSMYRGGPHNS HHNPPPEEDDFDDEEEDEEGYEDGDYEDDEDDEEDTMTEEQRMEEGRRMFQIFAARMF EQRVLQAYREKVAKERQEQLLQELLEENQQQELKDAKKAKEAAKKKEKKEKQKQQKLE EKARKEAEQAAKEAELKAAEEKRQEEQRKKREEQRKKKEAERKAQEEEKQRKEAERLR RQQEERDRQQEAERKAREHKQQEKKAREEAKRKEREEREAKEKEVKEKKAQDEKDRRD RDAKAKAEKERARKDEHTASQHATVPAKKNSQPVTVALPPQLLKQTSSTGMPSPHVTP AVPKAPTPSRPRQSSQQGSHGSSPKPSSKSMSPTSQAQVPIVPKSILTKPPTSQQPNQ APPTQPTSPMPPIGPPPGMPIPPGMGMGTMPPGLNGFPGQMPGMIGPGRNMPMFPPQP VAQPFRGFPPPGMHAPNPMSMGRGFPMDGPPPGFGPMQGFPTPTHPPGFGMGMPSHSR QTSGSFGKQDADSPVGPPGAHPIQRPAPIQRPSSTKPHDDGMGRDVDELANHLGSKAL LDDAEDLDLDEFNPTAARRRSTQPGHGSLRGAPLGFGFVDIPGPPRPDIPGPFAGSNN ASVWGTPPMGGMPFPMPGAGWGTSPTSNLFSNPFPMMSAQRPHEQRGPGEQRLVWLRR IVCSTCKMLSMRQPGADGYMDAQEVQSHIESARGPNEPSVGMQEIKEACDIIGDHNNG GGSLEYKESPPGHLSHIKYVDASAIPPPTLGEIGSPIPSHSVPVGGGFGAGRPFPGLG PQGF CC84DRAFT_1173418 MHTSLPLLALLPVAAASIWGTCTPQTTQTPPVSTRTYPTTLTEI HSCILNFSAVVPPFFDRTQFSNLDQTLTTLAPFPRAPTSIPWTHAVTVEGVLSRTEWV GGGLVQTFVSSVTDVETVVLDTSMALEAEATPVDLEAH CC84DRAFT_1161893 MCRNSKSSSGQSRFGRFWFRSSQSDISTASSPRSFDQDSTSTFS SPTLVNQSAGSIRSASNASSSSSVRIDEREEVRATEEVVAQWLASRRQRDQPA CC84DRAFT_520760 MDIEPSLPHAERHSSHSALLGPIIIGFADGLTVPFALTAGLSSV GSLNLVILGGLAELFAGAISMGLGAYLAAATEDKHYRVEEAREWEEVETCPEMEEAEI YELMLKEYGLGRECIKPVVEGLMKDKGMWVKFMMDFELKLARPTTSRRWVEALCMGVS YFLGGLVPMIPYFAYKDVNYALFTSIGVTVVILVIFGYVKAIVTGCTRHDACISSMQT LAIGVLASATSYGIVRGFNQIRPVHP CC84DRAFT_1161895 MAASAHANAPTLEGDTASETDNTITPKSEPENIITTKSDAGPDN GSAEVVIQQDDEDMYPHGFRLFCLAGASIMGVFLISMDQTILGTAIPKITDEFGGLGD VTWYGAAYFMTFGGLEASWGKVYKYFDLKWTFLFSIFIFEVGSLLCGVAPTSKVLIVG RVIAGMGGAGISVGGTSIVAFSTTPKFRPVLMGYIGLTYGLASVLGPLIGGAFTEGAS WRWCFYINLPIGGLAGLLVFFFFHLPAAAKPPKASLKEKFLQTDPVGVALAMGAIVCF ILGLHYASLGGEHPWKTGKVIGNLVGFVVISLVLVAWEWYLDEYAMLLPRLFKKRAIW SVVPYQLLLMGDLVLLLYYLPIYFQSVLGASPIMSGVYNLPIVVAVGIFCVVGGVVVS KTGHATATMLVGAAVGTIGCGLLCMLDLETSTGKWIGYQLLAGAGIAFSVQNGMNIAQ ANVENADLAAVVANVYFFQTVGGAFTTSAAQAAFVNQLLVKLPSSAPGVDPQLVINTG ATQLREVFTAEQLPGIITAYIHGLKAVWGVATGIVLLGALWTAVIPWTRLPTHLPPAD VEDGKDSKEKL CC84DRAFT_1203372 MSLAQLKRIYTAFNPPAVEKRGDALKFGIIGAANSAAWALLIPA KMHPEVVVHAIAARDRKRAEEFAKKNGVPVVYDSYQELLDDPEIDCILVPLPNSLHFE WAVKSIRAGKHVLLEKPSVSNSHEAEILFNLPELNQPKAPVILEAFHYRFHPIWSAFM SNVTSADVVHVDTYSMIPWWFVNKDHIHFNYNLAGGTMMGMGTYNFAALRLIFGAEPE ECISVDVKALTEGVHHDCDTEFKAKFRFPNGCIGEAFSTLQGPTIWHPSYARVTHRQV EVEDKTLPSSQKKFVTREVTLHGFIHAIFWHRLDIKESYEIRDKDTGRVVKKWTESRW QKAHTAKEAGVDLGKTESETYWMSFRYQLEAFVNRVKGRETAYWVDREDSVRQMKMVD MAYQKSGLGVRPTSKFQAN CC84DRAFT_1161898 MGPNQQEPIAIVGSACRFPGGANSPSALWKLLESPRDVGVDIGP DRFDAKSFYHPDGSHHGTSNVLRSYLLQEDVRLFDAPFFNLSPNEADAMDPQQRILLE TVFEALEAGGHSIESLRGSDTAVYVGTMTLDYNDTLIRDHNTMPKYYATGTSRAIISN RVSYFFDWHGVSQTIDTACSSSLIALHQGVQALRSGESRVAVACGTQMLLGPEMYIGE STLKMLSPNGRSAMWDASADGYARGEGVASVVIKRLSDAIADGDHIHCIVRETGANQD GFSNGITVPNSDAQAALIRQTYARAGLDPENVPQDRPQFFEAHGTGTQAGDPKEAAAI HQCFGRHVADSETPLYVGSVKTVIGHLEGCAGLAGVLKAAGMVQAGMIPANLHFRRLN PKIEPYYDGLQVPTELTRWPKLPDGCPRRVSVNSFGFGGTNAHAIIEEYRPPVPPASV KGSSPSLTPFVFSAASEISLQAQLRAYSRFLKENHGGIHPNDLAWTLHARRSHLSTKV AIAALSTEQLGLKIDEMLASVDQNAGATVGLRSIPNTGTPRLLGVFTGQGAQWATMGS HLIRSSPFVQERLSSLQESLDTLPQEDRPTWRLEDELLAPADSSRLGEAALSQPLCTA IQVILVDMLRAAGVTFAAVVGHSSGEIAAAYAAGFLTASDAIRVAYYRGLYAYLAGNK NNQQKGAMLAVGASWEEAQQLVSSPEFEGRLAVAAHNSPASVTLSGDADATIDAKAYF DGQKKFARLLKVDTAYHSHHMEPCGDRYVEALRACGVQVQRPKIGTSCNWFSSVTASS QAMQAVDALRDEYWRDNMTNAVLFAEAITNAVTNTQQLDIAIEVGPHPALKGPATQNV AEVQTSSLPYCGVLSRGKDDREAFTDALGFVWTRLPHMVDFKSFENITLGASKKPTMV VGLPSYQWSHGRAHWAESRISKRMRTRNTPHHEILGFLSPDSNAYEMRWSNVLKQKEI PWLSGHQLQGMTVFPAAGYVAMALEASRALVGDQSIELVELHDLSIPRAITFEENDDL GVETLVSLTAIDRHSDQLYTANFACYSIPVLGLGADHDMELSASGTVKISLGAPDIAA LVRVPHEMYNMGSVDSDRFYSTIAELGYNYSGQFRTLSSAQRKLNQASALVASYVYDE MSVSEYLVHPSMLDVAFQASILAYSAPGDGRLWSLSVPTAIGTIRVNPEVCAALPTSG SSVPVYATIDGESEKFSASIDIFSDDGENVMMQVEDLKMRPFAPANEADDRVMFTNTK YGVAMPDGATLQSDLHPSAYDAEVAAACERLSIYYLHKWNSELAEHSSADGSKLASLS GWLRQALSVATRLQPSAIKNEWTLESAEDVRALASTYADSVDLKMLLAFDESMNAMIQ DITAPQDTPQLPMVHDWYQDGLGSSAYNSLLGGTLKQIVYRYPHARILELGAGKGATT RSVLQAIGHTCSSYTFTDASQENVRNAGDSFRSHSEQMAYKVLDIKRSPIDQGFKSQG YDIVVASHVPTMAGSITTTLEHIRQLLKPGGYLIMKEFTNPSSIRSQVMAGALLALGS DIDGGSVPPPILSPGGWHSILRKVGFSGVDARTAEIDSIAWPMSVIVSQAVDERVQFL RRPLSAPSPSTSSPFELESLVILGNGTPETAQIGEAIAGHLERFCNNITVLDELPSEE ESMDLNPMSTFLNLVDLDSPVFRNMTDEKMLGLNRMLELAKTIVWVTHGGQDSPYQMA SIAFGRAIRQEALHINFNHIDISGLQHNVPQVIAEYLVQQCVLDEWEAPPSALADAQH QDSAFLWSREPEVFFDGGQLKVPRLIPQSNQNARLNSLKRAITKKVAIPGSNVGIVSP VSESRHYVVEQPHQNRDHASGDIFRVQSSSLKALSVSSDTFLFLGIGHNEAKGTCVLL STSNSCEVAPLAITSMPQSLLDQDNNATDDEILIAVASELLAEALTRKLSPDDHIMIH CSGADWSLAESIRRLATDHSIRVTLTQADDNNRTPTSININPRISNMNLRKIVARVRP THFLDLTASFLGDRITHALNPNCTIVSASTLFRSNFSLPPSASDTESVVRSLRNSVLR SRPLGHNFRHLVIPLPDVRSLEEEYTLNVIHWPSDEVVEAEVHPLDSRHLFAKNKTYL LVGLSGQIGQSLCEWMIANGAGCVCLTSRRPKVDEKWLQSFDGTGASVKVISMDVLDP TSIEHVVKELRETCPPIAGVANGAVIFDDQLFANMPGESMRRVLAPKIDGSMNLDQVF HDTKLDFFILFSSVVCIYGNAGQSNYSAANGFLNALARQRRKRGLAASSIALGMVAGI GHAESAGEAVQEQLVKRIGLPPVSETDLRQIFAEAIIAGSQLSEDPVVVAGLRTIGDD EDLRGPWFSNPYFSHMIQESHRSSDGGDDKKATLPVSQLLAKSATKGEALEILRESFA TKLVAMLQLGDQKIEHDAPLLELGIDSLVAVEVRSWFLKELKVDIPVLKVVGGASLSE LCERALEKLPSFEKQDVAEVGKTTTKTGAKASEGKPEEPPKAQSSDEGSDSASASDGQ NPPTAMTTPPASIPDLDSKAMDTSALRRKVRDHRKFLKSERISLPQSRFWFLRHLLED PTTPNVVISYHLSGTLRIGNLERAIRLVTNRHEALRTCFVEDDTSAGEAYQSVLPSSP LRLERKKINSIKDIGLELAALGSHVFDLEHGDVMKLILLTLSSSSHYLLINYHHIVMD GASWNVFFSDLEKAYMGQSLGPSPQQYPEFSVAQRRAIDNGEMLDELKYWKTIFPADD PPPVLPLLPMARTSSRVAMEGFDTHQVSCHLEPVLIDRIKAVAKAQRSTPFHLHLAAF KAMLFLLAGEDTQDLTIGFADAARNDSGVEKSIGFFLNLLTLRFRRNPTQSFAEAIGE ARNTAYAALESSRLPFDVLLSELNVARSSLHSPFFQAFLDYRQGVQERHPWGNCQAEL QEVRPGRTAYDITLDVTDSNTDSLIVFRLQKSLYDLTAANLLLETYVHFLDTITSRPS LSLVDTPLFSQTQLGQSTQIGRGRNLQSDWPATLPHRIHEVAMENQDRVALMDGTGQE LTYKDMDSRIDAISEALQHAGLGKGSRVLVYQQPAADWTCSMLAIMRIGAVYIPLNLR EPLTRLAAVAKDCEPRAILADKTTIEEAPGLRTDSLCAVINVSDLPLKTSVTVPIVAE PDSVAAILYTSGSTGTPKGISVTHAGLRNEIEGYTKTWGLGAERALQQSAFTFNHSSD QMYTGLVNGGMVYIVPAEKRGDPIEIAKIIQEHHITYTKATPSEYSMWIQFGGEPLRL ASSWRFAFGGGETLTTIVTDEFASLSLPHLRFFNSYGPTEISISSHKIEIPYREKELV KQMGRIPCGYSLPNYYTYILDEQLQPVPVGMPGEIYLGGAGVSLGYLNNTELTNKHFV PNPFVTAEDVAHGWTRMYRTGDIGHLQADGAMVFHSRMAGDSQVKIRGLRIELSDIES NLITTAQGSLREAVVTLREGDPSFLVAHVVFSQQHNVPDKEDFLQNLLRRLPIPQYMI PTMAISLPKFPLNNHSKVDRKAVQNMPLPERTGGSKTAVEMTETMVQLERIWRDVIGA NSDKLDMDPSTNFFLVGGNSLLVIRLQARIREIFQVVIPLFKLMGASTLADMARNIEQ SSGVSHIDWEKETIPPSIPSFLQSLPVKATTPSLTIMLTGATGFLGKYILPQLASRGD VQMIHCVAVRDKPRQGELFTAPNVTYHVGDLSSPMLGLSVDEFRRLSSEVDVVLHMGA VRSFWDNYHVLRPSNVESLKEVVKLAAPRHVPIHYISTVGVLPADEEPTRQNAGTAAA YLPSREGSDGYVASKWAGERILERSVETLGVPSRIYRFLPAAGQDDTQKQNLMDLFIR MVDALGVIPDMGVWNGRVDMIPAEQIAHWLGESTMAVTVSVSDKGSDPSAQFLHYEST ISFHTDELNEYIGRKRGDQADLKRMPFLSWLGRCKAIGFNYLIASQQATVTDGERGVA YISRR CC84DRAFT_1161899 MSANGIKIAFGGATWLFTPVDEVTEWLTFLKKSGIETIDTAQVY GSSEETMGKAGAASSFTIDTKAPGGLNEQPCTKDVIIDAGKKSLEKLKTNSVDVFYMH APDRRLPVKETLSGLNELYKQGAFKRLGLSQYLGEEVEEIIRVAKENNFVVPSVYQGN YSAIARRAEAEIFPIIRKHNMAFYAYSPIAGGFLAKSKAALTESNGRFGEGQPLAQVY NGMYNRPSFVAALDVWEQIAKEENTTRAELAYRWIAYHSKLAGDQGDAIVVGARNMQQ FEETMQALKGGPLSDAAVAKIDQVWESVKADAFLDNYEMLSR CC84DRAFT_1087254 MLSFLILASVVAAQSDVAFDYVIAGAGTAGLVLANRLSANPSVS VAVIEPGDDVRADADVQTVDFLFSKFNASINYQYPSVTSPALGSRNLTYRAGKAWGGT STVNGMVYIRGDKAQYDAWEELGNRGWNWNTLFASYKKGEHFTVPTQAQVASGVTFDE TFHGETGPLDIGFPFSLSNSSYYAKARATWDKLGVQSIVDLNGGTPHGFVTAPMTLDP QAAVREDSARAYYTPAESRKNLKIIKGTVKRITWGKDAGILAVADGFEYVSPSGTLLK VRAKKEVILSASAYRNPLILEGSGIGNPKILNKLGIQIKVKLPGVGESLQDHNIVAMT YSLKEKFLGRIPYATMPTVHDVFGNNTASIASSSLEKIKEYAEQLSTTFDKAIPANAI EKRFRVQHDLIFKKNVTVAELFPTNTGDAILAQFWTSMPFSWGNVHLGSKDAINDPVI VPNIMATDFDLDMLTAVGRLSQKAFATAPLSDFIADNLTPGYATLPLNASGDQWASFL QKNVLNALHVVGSCAMLPVELGGVVDEKVKVHGTRNVRVVDASVIPSQLSGHTMAPVY GVAEMAAAIILGGK CC84DRAFT_521210 MIPRSNTAAAAPPQRQSCDRCHRQKLRCIRNKDNSNVCDRCLSK GAQCVFSSSLPKGRPSSNARSVRRLTMETRTNGLPETSAPEVAQQILTTDPSSSERTA PKILPQPMQNQIDYSLSTWPWIGATAWEEAQSDMTWDGLEFSVSDVDDLLASANAWGS DTSGAEDLTSFSPLRSPSVPSPPNFHDHNIGKTRPGNYERPHVGSGNGMQKSMAANST SNFPDKSPSIVIGQLSQLSVQLSNLRASSHILAQAADFSFGRGPNEGQSSLIDSAAFE SVVAWLPPEESAGTLDPQRSNVSADGPNLQSSITPQIGTPNGPGLLRDVFSASHRLMQ ITQNLKADDITRHLVMACEALLLEIYAAILTALQHEAYPGDTASATALGNVRLVLVVQ LCAYLVERQHQAVWQCLNPTNIQNHNLPSPIQPGNVETDCLKDLKAQVQQKLARLRQM LRCS CC84DRAFT_1140151 MHILIIGAGLGGLTLAQTLRKQGISYEVFERDEDENGRFQGWAI ALHTIVEEFLSALPPDMPDLRESTNHLAPLDLRHQFAYYYGGREGCFGLEDSPDQPFI RAERSRLRKWLLTNIPVQWSKSLTDIEQDDEGVTVRFKDGSSARGDIVVGADGVHSPT RQHLLKRPSSDLLHVVPLMAIVGELDLTGDAFKRQLELGHCAYNLINPELGFIGFVGV HYVFPDGQGGRLYWMFMQPDTADIEITDATHWLQTSSAQEKLDHVRKTAAGLPPSLRE VFELTPVEGVRKEPHIWRDLELESLPTGRVALLGDAAHAMTPSRGEGAFQAFIDAMKL SRMLAELRTEDKFKDINAVKAGVAEYHDEMLQRGTAAVRRSRSSYQDAKKRSETGEHF TSGMKRLAIEPVVLAATG CC84DRAFT_1173427 MAVQEIQLPLIDLSGYIDPKSPGDRERVIAEVRDACAKFGFFQT KGHGISLDLQKGLLNSIDTLFNLPKEEKRSLSFLQNVSRRGYEESGMSLRDGDKLPDS KEAFYIGREDPVVEHAGFYGPNVWPPLPEDQFRTPVWNYYQATHNLGSIIWEILLQGL GHPISVMESFAKKPMVMMKMIRYPPLAATLPGQFGVGAHTDFGGVTVLLQQPDKHGLE VWLDDKEDWVPVKAVEDVYVINCGDMIMKWSGGAYKSAKHRVINKADNESRLSCATFF HGDVFATNPLNPDDPVKETVGQLLIKRFGNQFSLSDEWKREVKAAA CC84DRAFT_1203379 MKHLALTASLCFLLGLASGAAHPTPKPDCKCFPGDTCWPTESEW SFFNKTVNGRLIKTVPLGSPCHDPTYDAAKCEDLKKEWKLAPVHLDDPSSVQAAIFAN ASCDVFTPRNTPCRLGTYVRYAVNVSSPSEIASTLRFAQTRNIRLVIRNTGHDYMGRS TGAGSLSVWTHNLKGVEITDWQSAEYAGKAVKIAAGALGVEALEETSKHGLVVVSGEC PTVGIAGGYTQGGGHSPLSTAFGLSADNTLEFEVVNAKGKLIRASPTSLDPESRDLFF ALSGGGAGNFGVVVSVTLRAHPDRVTSGASFTIQREDGIDIAAVLEKWHEVLPGIIEA GTQATYLATTEYATLHSLSGFNLTQAELEKTLQPFLEHMADMGVALKPNYTEFATYHD HYLHYFGPLPEGAFGGAGNQLMGGRLLLRDALPKVGSAINATMQLGVQFIGQALNVTR FARPSVRAVLPQWRNAVVMSAYSMPYSDIVPFAEMEARQDFITQTVMPIVEAVTPNAG AYINEADYQQEDWQDVFYGDNYPRLSTIKKRLDPQGLFYNEIAVGSERWKVRSDGRLC RAE CC84DRAFT_1161904 MMVSSFLALLIGIGPVVGAYSKPQLPPRCKTIPGDAAWPNKDAW DKLNSTVGGRLIATVPVAHVCHTGGTFSGYDETACADLQESIQNEGAATLQPQPGEPM NPYFYNLTCSPFTPADQPCELGERAVYSINVSSASDVQAGLGFVRENNVRLVIKNTGL DYMGKSTGKGSLSLWVHNLKTLEATKSFNSSYYSGPAVKVGAGIIAGEVYEYVNSKGY RVVGPECGLCGFAGGYTQGVGHSQLTSAYGLAADQVLEWEVVTPAGDYVTATPEKHAD LYWALAGGGPGTYGVVLSVTVRAYPDGPVAGGTLRFNNTNSDAYWEAVGLWYKMGPGM VKDSPKNVQFFVSNSTLWVFAFVMPDQDTSAIDGILAPFLPELESRGLPYELKTYNYT SYLENLRNSYGALPYGELCPVYPVLGSRLIPRAVVLNETANKNLMDVYRTYTADEFGW FVGCSFIDTAENSTVRPAHPENSVNPYWRESIAYCNPNNPWHWDDPAHSIRDKNQLSG EFFPAMERATGKTGVYLNEIDSLYTGDWKDTMYGVHYERLLEIKHKYDPYDVMYAHFA VGADEWIIDGSGRLCKAIY CC84DRAFT_1238771 MVRKIAERRAAKKSPKSLARGELEPGSIRSRREIYVNRTMLSRR HKRAQAPNKAKKINQQKLNPQQEQELIRYITKLIERYLPSSREMIKNFTATIAKEPVS ESWVTRFITQHSTHLIS CC84DRAFT_1238794 MERLTNNSGVKRSPEERSGASNPRKRKQRAALGDSAPRQADANG WQRQGRRSRSRGKAISQRASCDVEACHGWLTGSVPFALKLTTDLSLAISLPGDTLFTI NKANERQSVIGTDRTNSRVRIRVGDISRIECARGHGEHRWDNYLLIVKAWKHKAPGYA ASEYVAAAWFWRYSEAKVHLPKLRRWPQHKTHVLGSQYTIVHASALLGPASTAEVQGL DLTQFISFPAAKMLHIADTDKSDLAEAVRRFGSMSTKDADETSDSPDESDSEDESDTE DESDSQYESDSHDESDSEDESDSPEEPGSLDANAASNAASNDGVQHQPEVAPIQDPHD HPNANANANSGVMETGAESGTGVDGKAGGDGHVTRHGEVVRDSDVDADGEAEGDGDVV NAFPVLAG CC84DRAFT_1238796 MTSPAINSFDSFPQYAFRHSKLGEIIGLARGSDVVQFRGIPFAE IPARFRQSRLLESLPRQPFDARKSGAYCPQPLLPFPPYWEGDVPADCPKLPAAPQDEF DCLNLNITVPRDALEHSPLERLPVLFFIHGGAFIGGSQSIQLTGREIYDATNLVRAAI ARKQPIVVVSCNYRVGPLGFLASKELVAFNQAHDEPVGNYGLHDQRQALEWCDKFIAG FGGEPKNVTIQGTSAGGSSAHYQSIFPGRKFRRAILASGTLTGIGPMSLEYQQRSYDK YVSRCAEASTASDVDTVALLQSITVNDMVKPVSDSIWHPLIDGEWIPGATMEKVCKVY SDGSAPDLMVGACDFEADLTQLLLMEDMISKKPASDAKMREKIHDLTSSNGMIVAPEK FPDAYPTITDLYDITSSLEHPSSAMHRWADILADIAFRIPGLHIAAHHPADVLVYEIQ CKNPYPLWNWSFGRANHAVNDLFLFDVAPDLVSGDLQDAHAGNVAQIRAAWLDFCYGK LPWEPYRMGGDELGPMHIFDHGTKGRDGQTLEQAIGETLARKWRAILEANQRLLEEDG LATNNI CC84DRAFT_1256809 MAEPQRRAWTAHIIHNPRLIFSSIVLALVFFTFGFDGSVMGGIL AMPPFVKQFGTASTPAGPALTSTDISVMTAVPTTGCLLGLPLAAYCGDRWGRRKTLIL GCALSAVAAAIQTSSFGMAQLVVGRWLANAAIFLFIVMGSTFLAEIAPDELRGVLIGL SIVTIDAAAVLSNGINWAVSTDMSQFAFRFPMGLQIAFPVVLIAGIFFLDDSPTFYLT RSEDSRALRSLRKVRKGYSEAEIEAEFAALKSQAALRAEETDVPWTHIFKGVNLRRTL LALSIGNMQQLSGIAFATNYVTIFLATIGSDTSPFLLALAVAILAFSGAIAGLFVVDA VGRRPLALTTFTILFVINLIIGVLGFTNYLENQSISRTIAGFCCMFAFFFAAGFGPLT YVVSGEMPTGRLRNKTGAFSFLILACFSTVVQYVLPYIANAPANLGPKTYLIFAGWML GCIIVTFFWFPETKGRTPAELDEMFEARVPARQFKNYVCNVSIESYINEQKAEMTVTE VEK CC84DRAFT_1256810 MMGEQVIFDPTLKRILQPKSCDQCFLRKNKCSRHAPCSTCSAHR RRCTYDRQSQYRRRPSCINVQSLPNVNQLSNPTREDIVSANATGESSLGLEFQSFDAA AIGDADQLSGGTFALLNEGTNFNDLTWTSLDVSTIEGSSNRMSRVFECFSPTDNDLQE FTGRPWPIERPLRERSTQGDNQLLSLAVPDDIWQLTYLFLDRLHASMPFFKREYLIDN IYSKRYLYDRSFNALIHSISALAIFQTTQKLPGHARPLNQIEKAESLLAEAVRLHSYS DFGENPVLEHVLTSVFLFGCQFCKGNHYAARFRLREAVTLAETMGLDDPQTYRHLDGE EMDRRLRTFLCLTVIHRVYAIQRDHPLNEYLLTSKRLHRVQEMVEQVSIGTDQLEVSG IRGICHMVDLIDFVDVTFVNCWRGRCWDDAAAHHVSSETVSRLLQRYTVSVNPQIWPN DTQRADILVTRHWICHILWELGKRHGFVNEGSSVVQMRPDYALTIARDSIKTSESFNM ACLEYHGVGMVEKLYDIASNAIRVVKNHEAATLGDVPSTSPADLGLAPESPRLDGTQR STVDGSWNPDLASTSTALSLDDFANRFLAIFAKFRGGKHPYLMPYMRMLCETDSK CC84DRAFT_1256811 MSSYSVSPVSSTSTLASLKEAVVNLTPIRTECDAAAARTDPNYR WRPRLHLTATRGWINDPCAPGYDSANKTYHLGFQWNPHSTEWGNISWGAALSRDLLAW KVSDQPSMKPTDCHDSSGVFTGCMVPTAIDGKEDGTVTAIYTSVSRLPIHYLKPYHRG SESVALATSTDSGRTWARQAKNVFLGQPPLGLAVTGWRDPFVARWPAMDSLITKGTMY QNSGHMYAIVAGGIKDETPTAFLYRISAHALDKWEYLGPLITVGLNFCPSPRWSGDFG VNWEVSNFVSLSTEDNLISREFLICGVEGRLATTDDIRTKGSFRATNAQMWMCGMIDP LKVLPMSYQFGGKLDHGIYYAGNSFWDPIARQHIIFGWLLEDDLDIQLRKKQGWAGVI SLPRVLKMHILKSVTGAIACSLESIGSVELLPEDTNGTSFTVVSLCAVPDLRLHQLRG RRFSLGTELAGFRLFPESWGQWEMELSFAVSGDAARLGFDIVHSHSERTRVYFEPASE SIIVDRSRSSTISGTRNCQEHAPHTLFKFARLDKDHQSDEKTVLEDLRFHVLYDCSVL EIFVNERTALTTRVYPVSGTSNGVRLFIEREHHASSGSVMSQILSCDLWPLSLPS CC84DRAFT_1238805 MAALDFLNKSFFSPRFMLPLHLVQLLLISVAMGLSVPRLFMKNQ PRTRANTIALGMGGKSLILLMYQLLSEYSRPFQRWHSFKANAIINCLEVVFWGAVAFL VMQANLKRCSGISCYLSWGVVGLAIVLNISMSYTAAIAIRQYREFKARRNGTDAHADR ERGSSCSMVALRSTDTKA CC84DRAFT_521560 MPRLGSKKSRNGCRQCKARRVKCNEQIPCSSCRRYGVDCSLLAY PASSQLVDDRASSTVSGPSSSNPSPAASSGAAVPVLPTASSACRDSAVPRDGWMDDLE LMHHYTAHAYLTVPGNDQAKQTWGFMVTQEAFRHKFLMHCILAFSASHLAYIEPQSRS KHRIQASSHQSAAIAEINQVLLAITPLNCHALFAATSLITLTAFAESTSNTVGALLEV FQLLRGMNLILKDNQDIIWNGPFNSIFQHTPDPSRPLPPLLSSCMVQLRMIMEDTRLC SSIAFTAAEQLVESLQSGIYSSAHIGMRAVMFWPIKVDQAFIDAAAAQEDEDVIRVMQ QYLRIINLAGTEFWFMSSWRDISC CC84DRAFT_1203385 MLVSTLVSLAALAVGVAAHPKPKGPQPWGYFTNNTIYQTTGNES VTYPRYVELKCGTILATTSLSGHSPNYFPVFESKDGGATWKYISNLTDQVNGWGFSAQ PALTELTEPMAGYEAGTILGTGNSWSNNGTRIDLYASTDGARTWEFVSHIAQGGRPNT TNGATPIWEPYLLQYEGKLVAYYSDQRDPKHGQKLAHQESTDLKTWGPVINDVAYDEY IARPGMTVVTYIPPLDKWILVHELPIGNSSSHGVNYPVYYVMADSPLEFGKEKGRPIV INNTTAPNASPYVVWSPIGGPNGTIIVSDADRPQVYTNRFGGDVDKWEEHGTPAGAVY SRAIQISKKYPDHLTIYGGETFDNMRAGLHTPFSSTVVSLKKTLKTPV CC84DRAFT_1086169 MVSSTVASWLTIALLAPIALGAVGPDCVNGPLKSNKICDIKATP AERAKALVSAMTQDEKLKLLVSKSPGVQRLGLPAYNWWGEALHGVAGAPGIDFSGNFK TATSFPMPILMSAAFDDDLIHKVATIIGTEARAFGNGGKAPFDFWTPNLNPYKDPRWG RGSETPGEDTVRLKGYAQSLLSGLEGDNTKERRIVATCKHYAANDLEDWKGTTRHNFD AKITPQDLAEYYLQPFQQCARDSNVGSFMCSYNSVNGVPACANTYLMGTILREHWKWS DDNYITSDCEAVLDISQNHHYTSTNAAGTAIAFNSGMDTSCEYSGSSDIPGAWKSGAL NATTVDKALNRLYRGLILSGYFDGSSAVYAGLGASDVNTKAAQDLARQAASDGIVMLK NDQTLPLSLQKSSKVAMIGFWASDTSKLRGGYSGPPPFLHSPVYAAQQLGVSVKTATG PILESNSARDNWTTNALAAASASDYIVYFGGQDTSAAAEGKDRLSLAWPEAQITLINK LSALNKPLVIVQMGDMLDNTPILSNKGVKSILWASWPGQDGGPAVLDVITGAKSVAGR LPVTQYPTNYTNLPMTDMNLRPGTNSPGRTYRWYPTPVQPFGYGLHYTSINASFASSP LSYSIQDLVSKCSEQYKDKCALPPLQVKLANTGNRTSDFVALAFVKGEAGPKPYPLKS LAAYSRVRDVRAGSSAEVNLQWTLGNVARHDESGNTVLYPGTYTVLLDEPVQATLELK LTGDQTVLDKWPAPPSSY CC84DRAFT_1140168 MPLCDAAIGPRVDPSCRSFDFTLYFEDVSFSIAPNAILLVLVAL PIARLLRGQSIVKRTKAVTFKAAVYSTLLTCQTIFLVLRARQPQLHTPTSIAADALAI VGTIAAFTLSWLQHHRSEQPSTLLAIFFAFLSLLTVARVRSLWLVSHATGPAVLQTLV LLQQLCVLLLESLGKQKALRFPDKFHSSGPEPFTGFWNLVGFTWLFGTLRHGYRHILS VDDLPNLDYRLDSEALREKLASTWSKYNKTKKRSLLLACFHAYAPYFLSAIIPRLILS ACKFAQPFMITRLIHFVGDKSVPSEIGRGLIGAYALIYLSMALSTALHGYMTFRFLIR LRGGLIALIYQQTVDARAVDLGDINGLTLMGTDVERIVLNFLTIHEVWASLMDIIIAI FLLQRQMFLACLVPALVTFVFVLCTFKFSTWAKAAQRVWIENVEKRLGVTTVALEAMR TVKMLGLSEKLSSIISNLRTAEIDSSSRYRKVLICIIFFSVLPQNLAPMLTFAVFYAI AVAKGNSSILAGQAFASLSLISLVTLAGLTFIRAIPALIQCFSSFDRIQEYCTQPVRP QHGLEGRGPHGTPFGNVNTGSEVELATMQQVCSDSREENPIVRFRDQDVSWEAGGPAI LRNLRADIHTRRFTVILGPTGSGKSTLLENILDEAVTLKGDTERRFSTAAYCAQVPWL INGSVRDNVVAHSQSQMDEKWYRTVIWACGLENDIATLSNGDRTPVGNGGSNLSGGQR QRVALARAVYSRQRLVLLDDVFSGVDARNTALISERLLGRQGLLRKHHTTVILVTHSP SLIALADDAIVVENSTITEFGNVNLLKASNGYIAKLDLKAPPDTSEVEQSHQKEISFQ VAADRNNAEGLLELNDATQDLRRQTGDVSVYIYYSRAGGHALVALMLVTVALWVFCTE FSVIIVDWWSAASEKTQGQTNNGLYLGVYIGIGVVGAGFLLTELWLLFVTIISRTAKH LHEDLLKAAIRAPLRFFQETDVGSITNRFSQDMELVGLDLPTIAANYIISVYECIAKV ILLSIFGKYLSATIPVIAGCVFVVQRFYLRTSRQVRLLDIEAKAPVYLHFLETANGAK TIRAFGWQHDCKEKLHALLNRSQKPVYLLYCIQQWLALALDLIVAVLAAVLVTIMVVW RESFEPGAVGVSLLSVMTFNLNLALLVKSWTALETSVGAIGRVKSFVEETPSEEVDLG ICRPLPGPENWPTRGDISFRNVTASYKSGGDPVLKDVCLSIAAGEKVAICGRSGSGKT SFILSLMHMIRFEGTISIDGIETHDVVPSDLRSRFNVVPQEPFLMPGSIRFNIDPLEE VHDEIITATLRRLKIWERVKECGGLDAQTSLSSWSVGERQLLCMARAMVRKSQILILD EATSSVDALTESVMQEVVDKDFSAQTVLAVVHRLRYIERFDKVVVLDKGTLIEFDSPD ALLRRESVLAEMYRAGSAAEEALPGESVRA CC84DRAFT_1113939 MAPDLNSIKSNGRWDYSTPGSEGYNIPNITYNVGDPSTRRMKIL TIGAGLSGILLAYQIQKHCENVEHVIYEKNADLGGTWLENRYPGCACDIPSHAYTMNF ALNPDWPRFFSYAPDIHKYLCKVCDVFDLRRYMTFNTEVVRAEWQDDSGNWKVSLRQK SPSGEIKEFEEECDLLLYATGILNNFKWPDIPGLKKFKGRVVHTAYWPEDYQEEQWKD DRVAIIGSGASSIQTVPKMQPHVKHMDIFVRTGVWFVQIANNFGQNKEYTEEERDTFR KNPEALVAHARDIEGQVNGLWGVFYHGSEAQKESQKVLRARMKEFIKDERLLEGFTPK FEIGCRRITPGDPYMEAIQKDNVDVSFTAVDAITEKGVVGGDGKEREVDTIICATGFD VTYRPRFPIIGKNGVDLYKKWEKEPESYLGLGCPDMPNWLMYIGPTWPVENGSVAGPL LSVVEYTIQIIKKMQREHIKSWVPRQDITDRFNEHAQEWIKHTVWKDDCRSWYRNNET GRVNAVWPGSSLQYSEVIEAPRYEDFHIEYLHKNPWAHMGMGFCMANTVEGSDLSPYL QLENIDPKWLKAVGYEGTPEESQNGRKHPNRHRELYW CC84DRAFT_1161908 MSLLRHAQRQIDSLGRYSHLNAFVNVANLETVLARVATAAGPSP TDNVDPRSPNGRLVAIKDNICTTDIPTTAASGILKKFNSPHDATVVRQLREAGAIVLG KTNMDEFGMGSHTTHSHFGATKSQCDGVERSAGGSSGGSAVAVATGQCWAALGTDTGG SVRLPAAYTGTVGFKPSYGLLSRWGVIAYANSLDTVGILGTDVDSVEGIFDITNAYDR QDPTSLDPTTRSGLPVEEPAPSKTLKVGVPIDYNISSLDPAVRKAWLRSLQLLQKRGH TLHKVHLPATQHALSAYYVLAPAEASSNLAKYDGVRYGSRAEGKDGTPESVLFAKTRG EGFGPEVQRRILLGAFSLSAQAIDNYFIQAQKVRRLVQQDFDKAFATPNCLNSLEYGK KADGVDVLLCPTAPTLAPKFSEIKDQKPLHAYMNDVFTVPASLAGLPAISVPIQVDKA TKSESAGAAGMQIIGQYGDDKLVLAVARQLLEAAKAG CC84DRAFT_521631 MQLPSQAWVSPLLVSCAGSCELRARMFRVCYFASAWYGERSLTC TARRTASRGRCIPTCQADLTGQHKPRPPQLIYASNLQPSSAVSLPPTARARPAIRDTP SGLSRPDLHSRS CC84DRAFT_1085896 MSNAIVDAVQKHPAPEGLKYTYGTAGFRTKADVLDSVLTRVGLV AALRSRSLKGKWIGVMITASHNPPEDNGVKLVEPLGNMLQEEWEVLSTDMANKANGDE VLQYYNEIASKYKIDLDAPARVVVARDTRASGARLLGCVQDGLKAAGAEVKDYGFLTT PQLHYMVRCLNTEGTKDAYGTPTEKGYYEKFGAAFKTALKGKKPQGSLTVDCANGVGG PKLVELIKYLPPKEEALEIHVVNDNVIKPESLNVDCGADFVKTNQRAPPSSKTGPGDR CCSLDGDADRVVFYFKDQSNVFRLLDGDRIATLVASFLGDQVRSAGLADSIKIGVVQT AYANGAATQYVEQHLKLKVDCTPTGVKHLHHAAEKLDIGVYFEANGHGTVIFSPDTLD TIAKYEPKNPGQADALSVLRACIDLINQSVGDALSDALLVEVVLAHKSWTTQEWLSTY TDLPNRLLKVLVSDRTIFKTTDAERRLTSPEGLQKQIDAEVSKVRQGRSFARASGTED AVRVYAEAATKAEAEDLARKVAELVKQAGSS CC84DRAFT_1256818 MTDMHFSKTLFGLGFSVGSARGVSVLTVTNQCSENIHVVYGNEQ YRAATVNLASRKDFDVLLSGEGYSVGSSTDLNFYSAKVPKLIFGFSGNTANGLLYYSI AADYGNPFTGQSFALTGDNSQCTPVTSPNRVTYACSDSSSFTFVACG CC84DRAFT_1256819 MVVVAVAGGTGRVGRTIVDALRQSRKHEVIVLTRNATGDGDPAN DELVIDYGNLEQVTELLESRNVHTVISTIAVLTEATGKSELDLVAASDKSSATKRFIA SNWGGVIPSDEALRIPFQPFRIATIEALKKTSLEWTQFQNGYFVDYYGMPYVESPLKP LTFVLDVANKAASIPGTGNEIMTFTYTKDLGKFVVAALDLPKWTYPMVCYSDKTTWNK AVKLAEEIRGSKFAVAYDSIEKLQSGEITELPSHVPAYSFIPKSLLYSIQSKFGLYVA YGLFDMPEEGSLNQLFPEIKTVTVEEILGHWKGK CC84DRAFT_1238826 MRTRNTMAHAKVIYLSGEIPQGDPEGDQRILFRKLHLLSKERNY PILASTLEAITSSLKQECRQLERAQRDLVPTFESVLDLTDSVLALRKTPLGGAIERVL VLVFQLGIFIAHHEANPLEYKFRSENTVLLGRGPGLLSGAAIALSPTPLLLPIVAAEI TKITFRFGLIVDQVCRSSEVSPDEINADGAWVYCAHGAGHKDAEEAVAQFNAKKAYPQ ASMATVFNADDLSVSIGGPPSTLKCLFSESDFFKKTKNVPMRKVQGTWHNAKTYGAEH VKEIFEGITLSSHLCFPIFSPVTGRPLESTEGVAVLTELMTEILTQSIRWDQTIDGVS DHLKRLSPNELQLWSLQPSHYMASVLDRWSAELPTASMSHEIMMPTVMGLNLGQSSPR DAKSAKIAVVGMACRFPGGANDTEKFWELLAQGRDVHAPVPPDRFDAASHVDATEQKP NTSKTPYGCFVDDPGLFDAFFFGMSPREAEQTDPMQRLALVTAYEALESSGYVNGRGI HQRRVGTFYGCASDDYREVNSGQDIGTYFISGGCRAFGPGRINYFLKFWGPSYSIDTA CSSSLAAIQAACTSLWSGDIDMAITGGMNIITNSDVYAGLSRGHFLSPTGGCKTWDEG ADGYCRADGVGSVVLKRLEDAEADNDNILAVVLAAGTDHSAEAVSITHPHDLAQAHLY NQMVKRAGIDPLSVGYVEFHGTGTGAGDPTEMRSVTSVFAPGQPRNTSLHIGSVKANV GHGEAAAGIMSFIKTVLVFDKGVIPPHVGIKTALNPALPKDLDKRGVIIPFTATPWER SSEQKRLAMVNNFGAAGGNTAMILEEATARPRVGEDSRPTHPITISAKTPHSLEQNLR RLVAYVEARPNLSIADLSYTLSARKMHYNYRVSMLVTSCQEAVKRLHESIETTASQLP TPSKQPTVAFAFTGQGTFYVGIGAQLYKDSRSFQQHLDRLDGIARRQKFPSFLPVIKG TCNVKDVPTISMHLAIVCVEIALTKLWASYGVTPSVVIGHSLGEYAALNAAGVFSDTD TIFLVGTRAMLLETICTPATHGMLSVRASLEAIQTAANGAPFEVACINGPQETVVGGA VADLDALATVLGKAGYRTFKLDVPHAYHTSQMEALLDDFVKLTQAVVPKHPKIPVISP RCSQVLTSDIDVAYLAKATRETVDYVGGLHAARQTGVIAESSVWVEVGHHPCCVSFIA KTLSDTRLTCPTLHRDKNNWTTVGETLVALYNAGVSVDWSEYHLPFEPALRLVDTPTY AWNNKNYWIQYTGDWNLTKGQALSDPSLSATTIQTVKGFRTTSIHNIRSESYTESSGQ LLAESDMTDPALKDVIEGHAMNDYGVASSFLHADMAFTVAKRILDKGIPASSNIGINV ADFEYHEPVVKHYNPTEAQPIVVSAEADLQKGQAHIKWYNPDKDLWYCHASVFYEDPS SWLLTWSRSVGLVTSRIADLYDLAANGKADKLTTNLAYSLFGKLVGYSDMYRTMKSVI LNRDEAVAEVEFPSDTAGSWTVPPHFIDGCVSLSGFILNGGTHFDNTKYFYITPSWKS MKFAKPLTPGGRYLAYVRMIPAGKNDFVGDVYILQNDEIVGVVEAIVFKQWPRVMLSR FFSPPTTKDSARVAVPPQSVAPPSSMISGGNTSKMHSNQPSLFIGDSKVVSGLLTPPS PAPSIETQEIKQKQPTLVTGDSGSTDRAFGIIAEELAVDVRMLTDDANVADFGLDSLM SLVLSQRLREELKTEIRDAFFLEVSTFGDLKKMLG CC84DRAFT_1238840 MAELLAEIKKRLTSGDEASRKLFQRDLHETIASVETPKETAIRL SLYPLQAAAARIGHDLRIFQTLDTQGPQTVSELQALTGAHALTLGRLLRYMASVGLIR QSSADTFEANSKCRHLAAPEAVTIVTHFFENCGPLFQEMPAFLRKNNYQDVTDGKATV FQPAYHTELDTYTYFSQHPDNLTALIKYMGLERDVRGRWLKEYPFETQTQSWNPSAEE ALFVDVGGNVGHYCALFKGQFPQLAGRIVLEDLPDTLNHALPTPGVEKLGHDFFQPQP IKGAKFYHLGWILHNWSDEKAKNILRQIKTAMAPHSVLLINDMILPDSGAPPFATALD LVMLGACGSLERTGQQWKELLGDVGLSINEAVVYDQESFHGLISATVA CC84DRAFT_1140183 MASPHVLIIGAGMSGLTLAQQLRKNGIPFTVFERDAHRDARGQG WALSLFGEAYGLMKTLMPADLGPVEQTSHLLPLNLPPQFSFYDITRPEFRVGVIADDD LKVVRANRRRMRDWLEQGIDVQFNKRLVRVEEYGDKVTAHFEDGTSATGDFLVGAEGT RSVVRKHILKGQDVMRPLPIGSIFGEIELSGDDFSQQLTLSHSNYIVMDPRLATEEQT AIFCALNRVSPDGKTGYYYFILLWVDQDAPKHAGEKRWTELASQEQLAAYAREKTKGY PDKLRALVDKIPTERYNTPGFQLQGVDLDPEQLPAGRVLLIGDAAHSMAPCEGLKDLV SGFNADMIARGKAASSVSNGVLEAYGDEAKFITFGREAGLMPPKPVSLSEVSIVA CC84DRAFT_1113954 MSSIQELSQRIATNSALVEKWLASKNAKMPSFKQDADDEFPDTD GYPEVEAARMAVIDDTSALHDLLLGPREVLARVWGAVNNGAQQVISRFKILQAIPLDG GATYAEISAKVGLNERKLKTVVRKAIVNRMLREDVPDHVVHTASSALLLREQYMMHYY GFFVEQMFPASAKLADALEKYQHSTAAQDTAFGLAFNTDETLFKYLENRPELMARFVG AMEGVNRDPSQSQKHVITGYSWAELGEATVVDVGGSSGFMSVELAKHYPKIKFVVQDY KNNVEEGAAKLPSELTDQVKFLPHNFFDPQPVVGAEVYILRHICHDWSAENSEKILRQ IVPAMKPESKILLVEIVVSPTEKPMSSIAERYLRIRDLNMVQMLNAQERSESDWRDIV SAADSRLELTRIITPHGSTDSIIEISLRQS CC84DRAFT_521817 MAGHHRRNHEQSDDSISDQACTRCRERKIRCGRELPQCNNCERD DSVTCIYQNPTKRVNHLKSLFDSVNRLNERLDGIESHLSRLSTNIAENTNQYSSRSTP SDQHVPVGGDPEEEDDETRPGSLSPSSDRSDDLHVFHHTSDMVDHYHGPSSLFVLCKR FESCVIAAKRADHGTPLYNVLQNLCKKAGSLEPFPPFGGKSVINLLSQQQANIVIGQF VQRLSCTTDIFVKSNLLANVERLYSGVAESGEDVWAICLQVITVLVWGTEITTQYGNG VFGDFARSFLPSRAALVSSSLLTTPKLINVQTLILLSVAAQQFDPPGWAEFIFSSACG LARTMGLHQTQLFPGDTSGYEAIERSKVLQSLYVRDKSLCTARGAVSWLPTNDCVIAH QISAANDQGPYSSALQLAMIQDQAYSLAHTAASRISKASKLRTTKIVRSVEHRLTQYE RSFGVLDRQASSYSSNHAILALEFLATRILALQYGSEQRHAEQVRLDARTSCLLLLIA HGTQDREVLDAFNARPGQTSNSLDGGGHLNEIESRTVSLTSILDAFSVPAFFILLEDH LRHSENDKCSDADLRLLRRVSTCYNKGTEKMQSSSYHRRVSCIFEQLLTINDLITGPP THDHSVSFDTTEPMSQLVLSLNTQTQDDLDVSPPHSLGDGSSLSSLSQFATNPHTPFS WDLGSSIPSSLEMYAPVSSAGLGDTLEHGMIDLFSQFRQGSPIDNSGQFISLSEISPN QNATRKRPRPLNDLDFTTEDNRHLK CC84DRAFT_521825 MKSYDGCWTCRLRKKRCDEIRPVCRNCSTLQITCHFEEEKPLWM DGGLGQKEKAEEIKREVKSAAARRRGVLSFDVLEPITVEPSLDSSTRTISPPTLFSTD STPSNGYVEPWSKLEGWSASDPPKREPTESELDRRFVMFYFDHFFPFLFPFYKPSLLE GGRTWVMELVQSDQVMWHTTLAFSSYFVSVTLDGAVSGHSVCKTLAWEKLLRQMGVTF ATLKCNLQEAASANIQDVMVRTVRTMGSIIQLQRLEIAVGNFENCRKHLGAANSLFRR IMDGSELLNFCAVLNVLGRPLWSIKSQERGAWNSDQAAFRFYSALLIVDDTVAGICTG EAPELLHYHAQLLTSDRSAEEKPPLSLEEFVGCENWVILQMGEIAALDAWKKAAKKAG RLDMMELVARASAIQRALLENLARLDAAVDTSQSGWLGLFRVYNDQLPPMPGGCAVFV TRVWAHAALLYLAVSVSGWQPGSVAIRENVTRILGLLERMSAPELLRTVVWPYCIVGC LCEPVEEVRVRAMAEALAPHRLFGTARKALEIMENVWKRRDELTVETDFATCIRSLGY VSLLV CC84DRAFT_1087361 MSKPVALILGSGPRVGAAVAAKFASTGYSVAITSRKAAEGKSSE GYLSVKADLSNPSSVSAVFDAVKAEFKAAPSVVIYNAAAFSPPAGDDLFSIPVESLDA DLNTNTVSVYAAAKEAVKGWATLTGDSKKVFIYTGNKLNTWVAPMLLTATLGIGKRAT SYLIGSADTRYAKDGYRFFYADERNADGSIKGMAIDGEAHADFFAQLASGEGEIPWHA TFVKGQGYTKFE CC84DRAFT_1113964 MELARTASASRTPLLLAGAGSATAASRKGRASEDISDASAVRAS ISMPPPASKAVPRQFPSRRPSKASDVSLLETLSDAIDDSDSLTPTSEAARTLTHSDRR PDFDAGSNRLSFSSLYSISSGIYPSSHRMSGPASNSGSDEGHNGTTTATSSLSITTAS QNGGSLNPKVSPTQLLFPADQPAYASTSTQSNRMSTASMQSYNPADASPPPGANSTST AAPQNQERRARSRTQAQRRISGSTAASSSPGGRDMGREQRRPTKGIIGVCALESKARS KPARNIFGKLVEDFEVKIFGDKIILDEDVENWPVCDFLISFFSDGFPLDKAIAYARLR KPFCVNDLPMQQVLWDRRLCLMILDQLKVPTPRRVEVSRDGGPRLGSAEFAKQLEQRT GVVLGGPDGTGGDVAPPQKIELLDNGDTIRVDGVTLTKPFVEKPVSGEDHNIHIYYHS KDGGGGRRLFRKVNNKSSEKDDSLYMPRSMTEPASSYIYEQFLQVENAEDVKAYTVGP NFCHAETRKSPVVDGLVKRNPSGKEVRYVTNLTETETAMAARISNGFGQRVCGFDLLR AGDRSYVIDVNGWSFVKDNQSYYEKASNILKELFLEEIQRKDRKNNTQAAAAEAQEAP LSSGASRKSIGGHRSTLKGIFKSPSMSKLAGHHPHLPHMGRSGTVPNSPDMSLSTMPI AASPSFDKSEIAPPVATTTSTTPAALKSETDLLLPPSMREKELSLEIEKSNSEEQVSV PPPASKAQWKLKGVVSVVRHADRTPKQKFKYTFHTKPFVDLLKGHQEEVLLIGEAALQ SVSDAVKLAMREGEEDPKKLRELQVYLDKKGAWPGTKVQIKPMFRKRRKEEMLPGERP DESPERTRAGSTASDGHIADLAAKRSDSMSGLTLSRISAAENNLVLDKLQLIIKWGGE PTHSARHQTQDMGANFRNDLLLMNRDVLDDVHIFSSSERRVTTSAQIFAASFLERDQF PAENIHVRKDLLDDSNAAKDVMDKVKKKLKTLLRAGDKAPPQFAWPKDVAEPYIVAQQ VVELMKFHQRVMNYNFKKLESEAVHSLHVVAANSGEATSPGSAPGADVNKIQPRWCCN EGPELFKERWEKLFKEFKDGDKVDPSKISELYDTMKFDALHNRQFLEWVFTPDSMFLD EETIESSRISIDNGSRPPAAAPSETAKTEQKDNTAEKPADRGNLAHRMGFRRKSEAAI KSTQAPPPPSYVHESYFKLYTGVGNTSKESKAQTDIRLVKLREMYHLCKVLFDYIGPQ EYGIDNEEKLEIGLLTSLPLLKEIVEDLEELQASDTPKCFFYFTKESHIYTLLNCILE GGIKTKIERNAIPELDYLSQIGFELYESENTSTDDSPNTFNYSIRITLSPGCHAFAPL DVQLDSRHMIGFSPRRSLTAHHDWKEVLETLRAKFHTVKLPKSFVAINLSEKVPDAFG EAKSSGQEYGSGSGDRVGDEKKDGSGMEEEMVNSGLLAERLGDVQAPPGF CC84DRAFT_1173457 MSTKLFAFTSLLALAASRPTISTRRAESSECWQTNPGTVFYSCS NGYKGCFYQDPCSLPPLSSTGPTPTTPPPTTSSETPVEIHELTKPRSFNIYVLSEAQH NVQDQVGHVDLNKPEGSAITTTNALVFDNVPAGAKNCKLNWRSTAPNDENNFTVKGSG QAYTRQLTGFPSKTEIVSYDGLKKYQDPSAEWSPSLDFTGWTEQPTSHGGPSLECGSQ VALEIKGSDVGEGENRVFITLTETNGFYLTYEL CC84DRAFT_1140196 MRVAKACIQCRAAKRRCRPIEQDGHRPCQQCQYKRVPCSLQYVH AQGPARSIPRPLVSRESRQVLPETLAIELVDLYLATLHGKPHTLFHPAKLRQRVHEQK LPDRVLFGIAGLAARFHPSPAVRERKFEFMKLANASLKSHIDDFGLDTLQACMLVSHL YSAENDRDVESLFIAVAFRIAHIIRLPERDPQDRAAITHEERIRAFWSLYMTDRWSSA GLNVPKQMPDVYDSSVPYPMNETDFYSISSSTSYDPLSTRREGLWAQMVKLARIFGEV QDLHKKHADGRLVLGDVELFTLQLTEDIEAFCRDLPADYHMNETNLTRIARDGLGGDF VALHLGLYHYSTLLTFPFLDLQLSNSLYQTPWANRCKKNAAALSDLLSLSKRVPGCKA VYFAVAHMTVVSSAALLHELLFGNEDNSSITRQRLNANFEVLVELRDLWPAAEMMTDR LFTFQNMCLRSADPNTHRADPWMVKFLLQHSFPIDPKHAGASAQGNSVVTATRERQVN DALSILRR CC84DRAFT_1238860 MDAKTATVEEHGRSPHDPAQTGRLEVPEVTWYKEPGLRKLYLMI PILFLGATINGYDGSLLNGLQTLKPWQEYFDHPRGSRIGLFTAMQNIGAIAAMPFSAI VADRFGRRMGVTVGLLVLFVGVILQVVPGVDSNQFIGGRFLVGFGSNLSQGSAPLLIM ELAHPQHRGKLSTMYNTLWFVGAIIAAWTCFGMVKYTSETSWRVPTALQALMPFIQII GVWFLPESPRWLCSKGRFDEAFGVLAKYHANGNVNDRFVKGEFREIQETIQLEKETSR NNGWLELVRTPGNRKRVMLILLVSFYSQASGNGLVSFYIKDILTSVGITESYDQSLIN GGVQLWSFFVAIGFSVFLVDRFGRKKLFLIAAVGMLVAFTIWTACSAVYAQTKNAAAG QAVIAMIFLFYGAAGFAWPGLTVAYCAEILPYNIRAKGIALQFAMTSVTSVAKNYINP VGLKNLQWKFYFVYIAILVVECISIWSLFVETKGPTLEEIAILFDGDSARGGDVSGMV GPENGKDDNLELERVVTK CC84DRAFT_521928 MVSLPNIPHLQQYGTTKQLIVDGKPFLMLGAELQNSSLSSAEYM SEVWPKMVTTNINTVLGAVTWEMIEPEEGRFDFEELDKVVLGAREHGIHLILLWFGSW KNGRSTYAPAWVKTNPERFPRAELRKAGGVLQIADVLTLFSEENLQADITAFQKLLAH IKTIDQGHNTVLMVQVENEPGLLFDSRDGSDLANAAFARTVPSELVEFFDKDYDGLHA DLKKNLGHFAGAKQQSGNWESIFGKSAQTDELFMAYHYATYINKVAAAGKASYPLPLY TNVWQNYAADDSDNDFPVVVGGGGKPGDYPSGGGTSNVLDIWLRFAPSLDFIAPDIYL NDYASSCAKYRHKDNPLFIPEQRRDEYGARRIWSAYGSFQALCASPFGIDTLEPESNP YTKHYALLKDVGAIVLEAQRSPESVTGFFFDELPTAWKKGDRDPAKPIVRTFGEWTLT ISRCFVFGKPGAGYGMVVHRGGGRFLLIGRGFQVEGAKPGSKFSGILRFEEKSVADRE TGALRTGRVLGGDETRSGQFAMMPGEDPDYGGFPIAVTIPARTGVAQVEFYAL CC84DRAFT_1256829 MRRSVASSRRRGWQVLLPPGSLPRRGTAPCIYVVPPPSAKRAQP SAGPHPERSKFARSVLEGSAVALISLLGLGLGGYAYSKWYKATVLDKIENAFSRGYSS QERVALGQIALGTHPDAIQEILDREYWVPRAEQPVVDDILGGRARGRYYLIMGERGTG KRSLLLEGMRKVHGDGIAMLEAHNDLEVFRVRLGKAIDFEYHEDYIGSLFSIRGPRDS TPLLDVERALNQMEKVALKMRKARGKPLLLIINNIHLFKNDDQGSLALLEILQQRAEI WAGNDLVTVVFTSDEHWTLEKLIPHATNMHVFNIKDIPKDTVTTALKKYRARMGDDVP QSVLDQVFAKVGGRLIFLNQVAKSRDMLETCAHINRKEKSWFLNQCWILGESMDDDVE EQQKFCAAALVLARALVHREQHSNHPPSAGGDTFKLPEIPLHESRQIITRADFVRQFD HINVIHIDANGMVRADSVAMQNAFREICAEEGFEEHLRATLDRLDELESLARTRDVWF REPPARSGGEVPVQTYTAKL CC84DRAFT_1161920 MQSMLNRMFHRDSLPENMPSISIMGLDSSGITTILKKLACTPIT TMAPTIGLTIETANIKIETPTQGGKTKRLGLTAWATDVGGCSRLYPLVQKIMIDHAAP SGVVWVLDASDSDRLMESREELARHLQALEKRDASRGKGLPISILLTKTDLPNRQSLE TVRAQLDNVLDGRMVAWFETCPTAPLPSSGLPEAFAWLGDAIAPPPAETPSSAKPTLP ETEKAIGTKLADMRSPSALAAKLDDWLRRAEKDGPAEDLLQQFYARDLPSWDHYTHLR LAYVLLLKHGRREGKNKIFTGFRSYIDHNGNTSGKSFHTTMTYFWVQMVHLGIACMDT HARTRNAHSLKSEGHGAGLDDFAAFLTVNQYLVDGQLWADYYSKEVLMSQEAREGVVF PDIKGLPDVVASAPSNYVTKAIPLESVSVSSTTALV CC84DRAFT_1256831 MSLISTPATTPPVPASKPGLAVQAAALMQQILSSKPEGWKPSPE WVSSSEIAVHLSLWTVTCDEWISAWQNVLKTKQRDKVRMAYGSENPYAWSGLETQGAM KTDEQQGAVARGKLPGPVPSRQVDEGSGASAGAPSERVPVKAILHARPETLVRVYGAS SPSPSLGAPGTHAYVPADPAAEQLFVPYADSPTLPQVLAVGREVRRRRGKAASVHATS SPAPLTSDPAVVTALNAQAGTVAPLPDAQKYTSAVATGPEIPAPSEDGGFVLRNFRYR SLAELEQAVAYSAHPRNERASMWGRIVRRMRG CC84DRAFT_1256832 MATATPITSQAEFDAAIAEPTKFVTIYVHDGPIPEEVRQRFQAT APAFADKAKEKMKLEKLPALLVFKGGKEVDRVYAPGEEEMKALVGKLFA CC84DRAFT_1161921 MLLCIEEGAVSSDQDVEWAQLQRQLHLDGLKTMIQQRGGLSALR SNPCLQTFILMHSVAHAVSTFERPYATLMDSNGHIQQYDIPSFRGRPASTRTLRLFHP LKLDPDLYDLISNIVIFVGDLNVWNDDRKCPVDPIEMQKQICLLVYRLFDWYKRGEED SSLQRNPVDQSLCLALTIFLVIAYNQNYGPMVYAASQRLKAALEKCLFFNWANAADLL TWTLTMGGLATRGTDDFEFYRQYSIGAFKSQGFAEDTNPEEVLDRMRKCLWLGQLDKH VKDMWAEMGICRGEDVMDMSSPSGMKSPDRIKKEDIVGGLTNERFFGRKP CC84DRAFT_521957 MDDQNDSRAGSRSRRPPKRPQQFMFIDSTGANGVNAKPDKNVRS FVMKSARSKKPWSTRQKEKSTSPSEDKPQIPKRESSIAESISPTLVSPSWQHAAASPT TWSTPSNLSPVSSRNGSVLSTRSRSQPYLSPPSSSCSLCDNPQCVGDLCTQPHASNQL VIRRGFSVDFVANLDCLPVPTDSNTRDLLENCKTSYLVHVLHSLTLPRSRQHLRQWLR TGRPAPHLE CC84DRAFT_1086171 MPALKVPENAPNGPAAKRQKVQASNGKSNATIARKSKIFAPFRT VGLVSPTDVPFTSIPLGKATFQITTSVGRSLQTYDLRRGLNLVFITRPQTPEDITATL AWKKVVLAAWGGPDAQSARGIWVFQRGKKVNELELPRGLDENITHLVAFGEWIIGCGT TRIEVWKSATLEHYTTLQGSSTSALSGCLCNMPTYLNKIFVGRQDGSVEIWNVNTGKL LYTLLPPAADCGSVTALQPAPALSLLAIAYESGPVCIHDVRVDKEVMRLNLGSSKKTP ITSISFRTDGLGAGEDGRKEGVMATASRYSSDITFWDLNEKGRKMGTLNGAHNPPPSA KGGVGGGISRIEFLVGQSVIVSSGLDNTLKSWLFDQTPFSAVPRILHSRGGHAAPISS LKFLPSNSDGADDLGKWLLSASQDRSLWGWSLRRDGQSTELSQGTVQKKAKKAGLLKA GVKDPRLHGAFENLKAPAITCMACSLNRDGGMGAMPGIAGIWNNSSKTKGDKGTPEAS MTGWESIVTGHAGDKKARTWFWGRKRAGRWTFSTGDDTEVTSVAISPCGTFAIIGSAG GAIDMYNLQSGQHRRRFPSRLTPAEAKKLKLERLQRGDDVLESESAGAKKFNKGEGRH KGAITGLTVDGLNRVIISCSDDGKVKFWDFMTGVLQHEVDWYPMTKILGLRYHNNSDL VAMNCDDGSIRVVDIETKRLVRELWSSRSHADLQIVDYTFSNDGRWIIAATSDSTVRV WDLPTGHLIDAMKLPGTCTAVSFSPSGEYLATALEGEVGVHIWTNRTLFTHVSTRQIS EEEVAIMSAPTASGEGGEDLVDAAAEEEFDEDEDETAVPVMDQLSEGITTLSLVPKAR WQTLLHLDVIRARNKPKEAPKAPEKAPFFLPSTEGGKPANALAAADAEPTSRISSSAL ASRSSAATATSEFTRRLAQAAEGGDYTPVLAHLSSLPPSAADVAIRTLDTTPPYDELR TFIEALVGRLAERRDYELVQAWMSVFLRLHGDVVVQDEQLVGLLRKWQEEAKRERERV GGLVGYSAGVVGWVRSAR CC84DRAFT_1161923 MSTLHRNPPFRAEHLGSLKRPQSLLDARYKEGKSDKELQPLEDK AITDIVQTQLDLGFHAVSDGEYRRHMFWGTFWPALDGMEEIQNPPIETFRMYVPDIAA FMETGHKPGESVYCTGKIRHTGESTYVGQVEYLKSVLPQDKWGGMKLTLAAPNWYHLR YVDGKAYPKDVYQNDKEYFADVAKAYQVELDILYKAGLRNVQFDDPNLAYFCSEKMLE GWQKDRSNTVTADELLDLYIALYNDCIAKIPADMHVGVHLCRGNFVNSRHFSEGGYDR IATKLFTQLNMHTYYLEYDTPRAGGFEPLAHLPKNKNVVLGVITSKFPELEDKEEMKK RIRQAADVMAKGQGESREEALKRMGVSPQCGFASHAEGNLIDIEGMKRKLALVREIAD EVWPGEP CC84DRAFT_1161924 MDPGATSPAAQRLAEDVLAGAAQRECCALTWTRDPPQPQTNPWA QQMPSEGTYQLHHPTLGTFPIQIEGDCSVINKPSTRPITAIYGHNMSPTPHAPQKPAS ITILNPYILSPSSPRANGFSPLQPPPRLDSLGRDMRPISITPSEMSVTQLPTATDAAP EDAMLARLDFTNDALMLNLGALTRFGNPFLVDVAAAALLSVAVAESVRSRKAKSRAGS TENFAPPPVPTLDPTIAEVSDAKAFKAAFKDGFNAVGGKVGVKAPAETLRKWSTKSRS PSTERSSSFDKDIELGDWYGQSKLSAKVSAKEKKNAKKEKDGEGLPFVARTVITVLTF AFKAAVFVLRVAIKVVAGVVVMITRNFSRL CC84DRAFT_1173465 MPTELEELVEFLHHGNTQIRQVAAENLLGYSQADLPLFKRNQLE PVKDLKLLVKDYAPIAKNALTMLINISHDGEVLRALNDDTFLEALLSRITNKKEPNAN EIAMLLANMAKDDSLQRVLKLKRAVPKELSTSEWAMDQLMDCFVKGGEGGYNKDADYD YLAYFFADLSKFPEGREYMTTPQAHDSDIVPLTKLQVFTSHNSHIRRLGVASTLKNCA FHVPAHPTLVSNLNPDPALPPPHIGVNLLPYVLLPLMGPEEYSDEDTEGMLEELQLLE PDKEREKDLEIMKTHLETLLLLCTTVDGRKVMREVKVYPIVRECHLQVEDDGVREACD RVVQIIMRNEEGEEEEIPQGAMSAAGAGGGKMVALKNEAEEEDEDDQIVEIC CC84DRAFT_1087698 MQAGYAAGLLFLCPLGDLLPRRPFVVGLVGITATLWLGLCLTSN LGVFTAISFLVSITTVTPQLMLPLVGDLAPPHRRAAALSVVVSGLQLGILIARVLSGT ISNFVTWRAVYWMAFGLQYLIFVLLWFFMPDYPATNTSLNYFRLLWSIVQMLTRHPVL VQACLAGFFTSSTFTSFWTTLTFLLAGAPYFYSPLVIGLFGLIGIAAMCFGPVYARKV TDKFVPHMTVLFGLFCCMLGICFGTYLGTFTVAGPVLQAFLLDFGMQTAQIANRSAIY AIEPTRRNGVNTAFMVATFCGQLMGTAAGSHIYAEAGWIGSGSASVGFIGAAILIMVA RGPWETRWVGWGGGWSFKKKNQNSADGKTAETGNAIRGMEPSDEEMGAEVKALDEAAA EEEHQRPLKDESEKSKRSSGVDKIGLVNK CC84DRAFT_1256839 MSSYSTNGTNGVNGTNGMNGTTANGVTTSGVTTNGTTKPLNPLD PCFHDGDGTSPVTAAWGPSFTAAFAANSTESGVIIDRRGVSPRFIRETLATARSYASM GYENFYDGATGEQLTQRPWRLGWPGWHQ CC84DRAFT_1256840 MSGIKITGLVLGTITTVTATISQSVESLTGLKSIIRNAYRDRVA QFEEYGVAAFFLDTMFPDAPRIMRRVERYILNADDQQVVAFMKQYTSSFNMTGVAGAI ISQVAITALSLMRLQDTHWTAEAFFITSLVTGALSVYFSTALSPAFNGLHSADDIKDF LTKPAKWRNLTRLNNHLRHAEQTQSLTSGLSRKLPQLIAEERWKVPSAYAAIMLVVPM TLLNVALNTFLIGLGIYLGKLYTAELVPSYGSGSLGILIVYIATALFGIGIFYTPQIS KAIEDIPFERYRSIVHANKLKMSESGQGTPDVNGTEEAPIAPQNSLQSVHARKKGNKV GYEIIELSESEMVASPDPLEDTDAPDEGYIKPPPSSSETELLSHVAESVSSDNAPNVQ DALRALIEAQEISLRASRILLEALNRSP CC84DRAFT_1113988 MLLTPRTYALAQIPDAGCSEARSSYFLLSKSRTEQRNEQLRARS MLLLHQTGSVRVGEVVRYTVTYTPSQDRILPSPNFLHVRIRNTSAIPLRAAYLHGPYT IHVAAYPSTFNPNKKVEAPKKEGVPEFEPNLKAGGSWSAKLTVPEHIRETGGNREQND GAPQSATWIIEIASQIIFSQSASIGYELLVARDEKSLDLGFMAITGNSHGVPGQVQDH QQGKQSHKAKHAANQKGVYSKAVKLVVDDTTSLWNKPELPEINKEEAKKPTRYSTDTS EHASEEPQKQRKIHLVILTHGLHSNLGADMLYLKESIDATARQAREDRRKRRRQERQA ARTDGPSETSTAQLSGGQEDISPADDSDDDDEEVIVRGFDKNVIKTERGVQYLGKRLA KHVLELTYPDQPYLPLKKPKIKTPFSPIKDKSSVGLPAHEGSSIHHQDDAKKDQRAYK FTSISFVGHSLGGVVQTYAIAYIYKHSPEFFEKIKPINFIAMASPFLGLSNENPMYVK FALDFGLVGRTGQDLGLTWRAPTLARSGWTAMVSGLGGQSQSQQKQDDPGSKPLLRIL PSGPAHVVLRMFRNRTVYSNVVNDGIVPLRTSCLLFLDWRGLGKVEKARRENGLVGTV AAWGFGQLIGSNTSPNPSRVTFSSDDQADGTESPSFSGDDTVVPQPGADVANEDEEAQ AAAEPSANQWLDEGRRSSDGKRSPPDGIANSSTIYQLWNYIRPSGKHTAKDKKMFTRS QTIAMEQEEADAQANEASSPEQPHQQRKRPQATRGDSMVNDPSHSARPPKTTIFESAS DLIAPPVPETSWIIDPSTRARTIFHDRIYHPEDIPPPPARKRNNQRSFSGDSMSSQAS AGSADETSGLRVEEKIARAYHKDLSWRKVLVRLEPDAHNNIIVRRMFANAYGWPVVKH LCDTHFADTYAANERDEREPAIDRAKGINVPVREDGEHVKGQESAVPPIERTDSELRE VADELAPLKSRVHQRARGHTTDSVRSKDSAAWDDMYFEGTSDEDDDEDDRNAIQRFLQ LPPQGRKSPRTPDERGTSQAEISDFLSTSPKGIDMQHHRGLGSSPAPSHSKPARQREP DSLESMGGVPPPILGTGRLTSSPPQTPTVTASGNISKVGLGKGMDVTSPASAGAQDGR ARSGSGGVSEQVARLSYFPDGGMGQGG CC84DRAFT_1256842 MSTDSKKQNKKERLRRLLSQPFLRSRLPCLPEEPAAMPPTIAPD AADLLEQVQETIARIQKANPQTITNANKATIRDRIDDADLLALEKKDLDAKVAALKAS NVYQADYLKLGSRWSPNSTETELSTRAPIEDVPSDEEPEICEADVAIVYPVKKIPAGT VKLVGTGAPALNRRQREEEARPQTKNVVSFQGIRASKAADKDAFEKTLHRKRSSDDLR RGKAHLAHKKSTGPLPAYLDYFEEEERKKILDIPRDAPSTSPRARGTQHGDVNECPQS SACTQDFTAERAIPPVSLQQSALSTTRLPPKSPKRAPPIAPMMPLRTPPPPPIPIKSA YRPSGVPSSTAVPLPTSPVPPFCIAPVRGKPLSPSTRSPLSQATMTASPSTIQRNAPP RIQLPVPGPNAALPRSSSLQAPMRQECDEGQHTFRPINLNAARMASAYHCTGSTENTD GPACCEKCGREVEVCMQCEVPVCSLAACYDCANIMKEEA CC84DRAFT_1238876 MRRGTLLLAFTASVVFGTADIVYKKHADYLKPGVLAEVVLLRGL RPGQGSTDGALGARLIDLRSLEKRRGTTGRAGKGGRGGRGGRGGRAKKTKQRKQKTNK KPKKPKKKQKTPKRPKKQPLKACPIKNTKGKGKLNKFTLKYFQRKAFKKPRADKCLFY TNGLSEHAQMYGKQHGLITIWDIWGGPKSPFMKWEPYQHDNPMRCIIAEDIPEKSGNR NSIRQRYFSTMSEAMASMCSGKVTFMDRNLKKGTYGRVKQAGIWGKIEFPRLRKDGKT TSIDAIGMYEPADLDTQITWPNWWVKGDTTWPNEDSKPEGIKEHLFWYARSARITQFN VMLRVVFPYKIPFPSSPGSRASKNSKFGTAKDGFGKSYRDSIGSAEPCLVNAERGERS HIEHKFQPSNERQLQAARQPDRATFTSPAESAKYRGRNTIPMHTFAELYVDDKATFKI SQLEMGEHVGVKRLTAFFGQCYDMLAGDRIIYVQPSGLQPAWQYKGFI CC84DRAFT_1256843 MPKAKRKVVSAGKSAAKGKSVPEDKVVANSESTPKRKSKSKKEI RSKDNPELNITVVIPKTSRRPPFFFWTDPDSEGGFLSPWYTCPFEYGGDRYVSVGQYI TVRRAEIYRDRKSLDKILLATSEDEIKALAKNIKDSPISEWVKNRDYLFHINIANRRK FLYSDQSEDLLNRLAKLGDRELVFADPTDPHLGIGLDASEAEKMGRKSWGKNAYGKSF EKLRHKIRNPISPTIPPYPCDTG CC84DRAFT_1203412 MRAGTFLLAFNASIILGTVDIVHKRHADHLKPGVLAEVGRPDAT RTIELSDAVLSHGLRPAQVSTDEELGARLIDSRSLERRRGRAGRAGRAKKTTKTKQKK QKKQKTNKKPKKPMTKKKPVKACPMKNTKRKGKNAKAEVGARDLDFAFSDTDSLIGRA TTRAQAKDACEKPKCRAGIRSLGEDPCDKYKNHPKFVPCAKPSKFTLEYFQKQASKKP RADKCLFYTNGLSLRAQTFGKKNGLMTIWDIWGGPRSPFMKWEPYQPDNPMRCIIADD LPKKSGNRNPNRQKYFSTMSEAMASMCSGRVTFMDRNLKKGTYARVKQAGIWGKTEFP RLRQDGKTTSIDAIGMYEDTDTQIRWPDWWVKGQETWPNEATKPEGIKDR CC84DRAFT_1203413 MPKAKRKTVSKGKSAAKGKVAAKSESTPKRKSKSKNRARSKDKP ELNITVVIPKTSRRPTFFFWTDPESQGGFLSPWYNCPFEVGGEKYVSTGQYITARRAE IYRDRESLHKILLATREDEIKALADNIKDSSITEWSKHPDYHFHIHYANLRKFLYSDQ SEDLLNRLANLGDRELVFADPTDLHLGIGLDASEAEKVGRKAWGKNAYGKSFEKLRHK IRNPISPVIPTFPYDTG CC84DRAFT_1256846 MYGQASLRAVEGPFSGPGYTLTKASHSPLVNSRWNSSGNIGKNI ISEIHGRGGSDIDNGSRLATKRRGVPISIPVSDKKAITKNSLQEGDVRIMTVSSQPDA TIPIQDLPPAVLRSLHESSWTSLVFESTPKSSTFNMFKSILEDFTNQKWDWWPFSPRF PSLASQRTRISWICCCGRKLWFDATPTQRMAIERLLHGLSANHESTSPCSQLQSNASG QHRQTSGSGTAPGSREGQTGGTTKMEDVSRGISTSASAGNTSDGSSLTPRSSRTPRTS DLGSLTTTDTSIEAAPDALWLLFSVHGARRLLEWDQICNAELLSDHLFIRKLKHRHSE MRGWLRRCFSIWQVRHWNFIRFRRTARPGEIDDRGPGLPRGLDYYFHGKNTATIEDPG ISEHEFETMMASCLSACWPSNWLPGYRNVHECYDFPENSTWYVDRLPKRSHSFRPKPG SAPEDEDLWGIRAGYKVSAIHVFAYHFILIIITFIVGGWWYVTHPGDLQGAAVPLSVA GICVSAFWGLFVILGRQK CC84DRAFT_1173477 MAPSPEQQPHWSPTSPRSPSASINQQSDAVPDFLHHIKSNARWA VDDALPPPHRRSFVPISALRRYLTEGKIRRILASSLSSSTACSKVYKDYIRVFTILVY INKLPWLRRFLREQRLEDNFLPFVNDSDWPTDMREFFDQFVEAQWQFCAQDFESTRLN GDFRIDERIIIPIVEKRLIKKGPDSSAWEVDIHPDYNHLTERDECGNQPTNKFFLKSC SAENADLHHKEVEAYTILREQKDLLPYLAQFHGSWVQGRNYNMLLEYVGGGTLTRFME HPETPVQVEHILHFWTGLLNLVIPLIRIHSLHHEEFGQLDIQGIHHDIKPDNILVTVA FGPSRFAVRFKLADLGLTDFDYKLERWNGTNKNVKGTQMFSPPELYVREGDSILERTI LDARPTKDIWALGCVFSEAAAWLVLGREGLQMYRLRRAEATQQVEALQNTSHNGCFHN GTKVLQEVLDTHRKIRKECRRNDDITERVLALAEDMLGHESVRPTAVQVYQRSTSILD AARHVPEQSPTTVNRFSYPNVFITSATPDVNRRAPPECPPEVNRNGLGVSFSPVVESY SPAFAGPSSSLSVAPYKPYNFNGYDIYEHRNSRNSAVVPSERSRPFISRDATYEIHGL SPRPIGAHQISEQVIRTRQFGDFSAQKHPIEQNEEAVSNPTTPKASVMDTHEQPSHFS HPKLNGTSSPRTTLQPTERRSRFPEASLQEVEQWVKRTESYSRTPLLQGYHSLKPLNG RDHVFLFDDSGSMKDHWPAALRVFSTLGYIVKRKDPDGMEIRTTMDTSFKKLSKHRKP LLNALSSVGLRLGGQCDIGRTLSAILRDLHHEEKKSPTWTGFRWRKEKWGVNIYILTD GIWEEGDEWLPAIVDSINKLIESGMRKGQLGIQFIQFGSDPVGTERLRMLDEDLDEYG VSQDLIDTEPSTGNVYKMLLGAIDVTADGPKSKHTSTAAPTS CC84DRAFT_1140220 MVYAWDTFETYRLRQADLESFLTRIFGAWDFFINIQNGFYRFWI PTPLTEIMPSFLDFIFPFGNQVGAKDAYFSGLRDGSVMTATNCDAEVPQLHRSGRELR LCYNLRSVEPSGSDPQIPWSIRQCAVYHSYDIQTGRALWVTVKGNELIKERIQDEEML LPFTQAKSRPETFSSTLDVHLMLCDWASENWRWYLNDLERKVQRLAPGAMVIPVDKPR TPPPQPINLLRSPTQRMGSFPTVAQSPTSAISPRSKTGTFSSKFPSRSSTIIEDSDTK LRTEHSSYGEEKWINAALSNTKPLQRSLNSLYSTLNKLKTRVSEQRSSPILPSTSERR STPVGDLRAPAERPPNFLEGGINTAHDHFKFEDLQNAEYIDQKLQDALLHLRLDVGVL GELRQFYEDAMAHKDLPTDIKDKCAAQHAYFDKCVSGSIKDMLMLQSRVETLLQLLSN RKHLLNCILQHMSTKANDSFAKKAHDSARFMEAMTIEMHSIAKKTERETVSMRAITSV TLFFLPATFLASFMSTDILDFKDGKQDLQMTGLKVYLAIALPATFLTFLAWYLISRSA KAKAGTVEGEQPGFDAA CC84DRAFT_1161935 MSIIQTLASFYPPLSERTPYPPLYQLLTFALSLIPFFFHARRLV ALLVFPVLLLLCLRAPCYTFGDPSQDYYNTSMFVAMPIWLVEFAILRPVEGEGAPVWV GRGGGLKEKGNKGKGTKDTASAWETLLWAISLMIPSHRGIGWNWQVRQIPEDPDESLP RWSYVRRHLRRAILAYVYSTIMLVVLGFASALEKKASPKYVVQRFLANTIIGWSGAFW VLNRILCFYNFCAAVSVALGVYEQWQWPPLVGSLKDAWSVSQMWSVVYHQTMRVMVSQ PARRITYALGISKGSMTSRLAQLYLSFAISCLVHEFEMFNVTRKDMGEFAFFMSQPIV ITLESCVQLAWKKVTKAKGVFKDVAVWTGHFWVVVWMSICLPWYVKGFRDAGITNDAL LGGKPMALGSSLADTW CC84DRAFT_522193 MAPKKKGKAAVPSKTLVIDNGAYTLKAGLVSSETSELSYDDCSV IPNCVARSTRDKRTYVASEMAECKDFGELAFRRPVEKGFIVNWEAQKAVWEHEFMDSS AGAGLRCDPKDTNLLLMEKPNCPKELQKNCDEIVFEQFEFNAYYRCIGGAMNAYHPTS LGALPQECLLVIDTSYSDTTILPVYKGQLLHSAVRRLTVGGKLLTNYLKELSSLRHYN MLEETYLLNEIKEAVSFVAPSTQEFSKDLERTWKGRLGDKRELDSSIVVDYVLPDYEQ AIHGYARPHDPVKSRMRRGLQPMQGPREDLLPLGNERFAVPELLFNPTDIGIQEVGLP RAVMEALNGLPEALKVAMLANVVVVGGNTLIPGFMDRLEAELRALVPSNYLLNIAKAD DPIKHTWLGAAQFASRPDLMKEVLVTKAEYDERGSTWLGKKFAGGER CC84DRAFT_522190 MRRIPRPQRAIDPSLPVPSLIQRRIAPSQCLRAAAAQSHLRTTS ACFSTTPTFNFLLGGKQDKKKHQAFVRRWQKRILGDSEPIGAHVDPYDPNSPVRIAPE EQGEEIEVLEEEMQAVKSARRQHSHDEQLVKQPYKEATEGPLLHVGGKEWIEQADEVK LAKEFEKLTQRTYTPMTQAMADEVEKLTGTYYTLRDDNLMMAQTFDEVTGKPYTDFSF GRTTRVTKPEALRTNFHQAVIEIHALKQAGKDLDISKQANRGIYEAPGWIQDVKLQRN KEGKIALAFPAGQSLESLLAEMEHVPDYAPPAPEALEPEALEEVLEEELLAAEGQPVA PAAPVVEEPAPTMDPATPAFKRAALVKDDAEKPKFDFMSNRPVPRKIQPAEPVKPVEP VAEVVETVQEVVVEQPVAAAPALDIDAAFATSSKTLNELRHAVLESAARSSEKNVADV RKLLHNTSTPAPSKTVLRVELDSQVKWQQVPVTDIQLKFALSKRLTQLTGHRISDRHL TSAKDIGDLYHHICGTAKSKPTDVYSYLHTEGTRQNHHINMLTRNHPEGAVIKARAPV TGELLKAGNVQILRKKPTKEERRVQVGLNKVVRGEMVNKGLLPDPEKQRTMRGLQRPG EAQRKRELARLTALFPEFHGQGEETYGLRKRATRT CC84DRAFT_1238897 MRLLQLQSDDDFSLIERIGNDIPPYAILSHTWGADEEEVTFKDL VEGTGKRKAGYRKLAFCGRQAKTDGLQYFWVDTCCIDKSSSAELSEAINSMFRWYYSA AKCYVYLSDVSTSGSARDQKSLKKIWEPLFRRSKWFTRGWTLQELLAPRVVEFFSAGG VKLGEKRGMTQMIREITGIDICVLQGKSLHHISVEDRMSWAEKRQTKREEDAAYSLLG IFNVHMPLIYGEGKDKAWRIGSLC CC84DRAFT_1238902 MESSMATTPYRYKPLPQDRQLSFRIFTLYPAHKISAPIRGKLHI SSPSNSDLECCKLESYEALSYAWGDAIFTEVIEFPDKSYLPIATNLDSFLRYRRQGDA VILLWVDAICINQQDHEEKSSQVQAMGQIYSLASCLSVWLGSPSDDSTLGMSALQEIS HEHAFSKLSMSSEQSVAIERLLNRAWWFRAWIIQEVALGGLGPKYKKITVRCGFDGIL WFRLVLACSRIYVNTLEMRQSFPDVGRVLSLDTLPSRGKDEVLGAGESYPYRLLRQMS EHRNCLASDPRDKIYAMLGLWFDAISVGKQNGMSRRTAPTVKYDRCVEDVYVEFATWI IHGTSSLELLHHCQPHFLDCPTIELLPTWVPDWSQALTQARLPSAQVTDRGSIPWWSL PVRSGVDNRRIQYRMQDQKFRRERAKEILRPLKSTLHFIPEWIVDVMDPDGTKGYESL FQELKVRTDVLFVLPDESDRELGNEEEDVWNASRRTQIHNERHLQAQVLSQYVEDYSR LWTRYRACADTTCKVAIMGRRMNVEGILVDTIRNVFDPFPEDIEKDWTNSTLLMVQIG KCKQTVMGQDIDKAPYLTETTRLMAFWRTLFAGQQASDETKIASWLPLTPHNWQWSVP SLTILESARLEFAEIRAIIQAFAEHVASIVSDEGTLAHDGFDEHLANDDIMLDARWSS SDRLEYANTFETLGKEWLKQPYDLYHRPFTLPYVVPDPFWESRCLHDETALEASIRSR HRTIIESLNAETRELRRDARRFVSDKIRQRPAREPPSTDNPRLIKDTVHTATIWLNIS GRWGIIYSWTNGW CC84DRAFT_1238903 MPFHHKIIPHHSDAEGKLSPKWFKSPKSPKPQKSPISPKSPKSS RLLTDTEKNFDFIEQCVGCNKVESLIKWNTEKRKNGEERATSTLLHNSFSELDSCSKT CKICRVFRQSLLLEEVTFDGVKDIEESKGKVTVHWKEMTNEDGRPGVFLTVGVEDIPR LTGVVNCSSRNEMAHLALNPNGRGIPVFEQAKEWLHDCRNNHIGVCDNLKFNSENPRL LIEILSSESIQLCSKQYGPCEYVALSYCWGDPKNGGSTTNANFPERLRPFGIKTLPAT VRDALYIVHAMNVRYAWIDALCINQDTKEGLGEMHKVYSNALFTLCACATTKSNAELL GERKAWTEKTESCRLGGQWLTTPDMSLNQLRMKSPLAGRAWTLQEERLSPRMLYVSSS RVHWSCAMGNKMELKPVYEEKSHKLQRPWYALSDRDNEVPASQEFLLACHRGEGNLYP FWADIVKSYALRDMSNLEDRLGALSGLAARYLSANPLDEYLAGIWEKNLPEGLAWKVE RVAEAKDEKPDPKTPVWPSWSWAVLPVRTAIETNVRSPRSAFFQHIPDVDLKNPDFHV DEAMAITHGEKIKEICVTGRTRPLWKPTSCRVDWSSISRLVGKEERFTFAAKPEQNIH AIQSPAGRVLVYEDRKREVVCQLDFRHDVIKLQSGQVKLLALELGETTMLLLEDCGDG THRRVGAAWNVRKDFFALVNDSEVLVLR CC84DRAFT_1203419 MVGFSFGRKTVWQYSHSASIFSAVLLFTAGFLCTLLWTNDIVHT ETSAGGSLTGTFTSLSPAVSTFSITYQVAIFGTAWNAILFVTLLILERDALPISTTRL RYEIEKSACIVAIAGNAIYSVACIALMATLARLAADASSTPRDDIPVELANKIAGSAT DRAAGQGVTAAIAGIAAFCAVWACFMDGLHAQNSRHRRIVKEEPLSPRADASKQA CC84DRAFT_1238904 MTSRINTILIIGGTTGIGEQLVRRFHALDKKVIVTGRNQASLTS LAQALNGLETRQFDITDFSNLQSHVAGILKDFPSLDTVLINAGIQKSFSFFDPSSIAA GEIEREIAANLTAPTLLVQAFAPHLLSLASKGTPATLFVTSSTLGLLPMGLWANYSAT KAGVHALALSLRQQIAFASEEAKKNFNVVEIVPPYVDTGLDKEHREATLAAMGERAFP PMPLQEYIDQFFNALDEIELDGSLKKEIGVGTGAMAVQTWRESLGKVYEQFGMAT CC84DRAFT_1161937 MSEKCALDEEAKIGDVSVAKEHKTCNTNEDEAPRPTRIIGGWGL AWGWLLSWCIAINFLHLGVETPSNIVPAVRRSNPPTPVIDNTYFIVFCLVMNSCNGVD ELVRHIRKDRDIAPTLLLCALSAACFSSAAGATALEVLMVFIPIGINAGFTALYFIHR PKVYGPHKTLDKISDMV CC84DRAFT_1161938 MSLPTQFLRANVRTASRASLRRGYATGHDERTQQTLKTSSRLTW LTTSAAALAAGAYYYTSTSSDASSSSPAQKISEKIDHAKHSDLEAPKPVEDRHGDTVA KHAMAAHKNQHKVREGVFSRKEFDNHLQKHSTDPSKDFEVKK CC84DRAFT_1203422 MSQSPQSQPQTHGANRHSRPVSARGHASSGLRQNANPHTGVSSP NIQSHPQAHRTLATLAQALKRDLASLMHRYPHLRGSEHFTAIDQIHVVNRSQGSTDEH GYLNRLKDILWNQTYPQTQQNGSLGVSDPLAVHSHAQSQPARLSVREPPTQPQRHDSP HREEQSGPHPEPHRRENHRSSRYTASRAPQPAPTHSTPHGARTSHGVIVGLQRNLRTN TRTPVPVPCNTPSYTSTAASEIQGYDKWRPTDERHLPERDEFFKHEVRSKWKRFVLNM KEQKQAVLKGVKFWELE CC84DRAFT_522224 MAGNKTRPVKRATKPLTAPAIPDPFEPAPESLAPLLDNFDKSSV YITHVDNHPAWFKKRVFTVPLGLNVAIALLILWRVYSAGPWYWAVIMSVLGNENETTI HWAALTWGQLLGSVAWRAGVFLFDWLVITVIGPWPWTFFAESPGNPVSWRLSVGFREK EVYVRESRGWGAKDLLGEAEGSSGKAGADSPFFKTRILPAVDRRRLTEKTGYLLMDKD FDLVFGAMVDATRLIDRKTVSVDDFRTSVFVWVGKEDAGQWVVWPCGKLDDGSETEAR EKIILFKDRLTAMGKESLFFKWIELVQYESSAPGEFTYERQAATAEKAKQLFENAGVD FDAFVKDIGGLQGMPGMD CC84DRAFT_1113999 MSRPTVSKAKTSYPLYSATWATSKPSCLIVGGGGGAGRHGVKNK ISVFDFTDRSPTVEPCAEIDASEHDSVTCLANLGTKDGCVLFAGINDSEEDRLAGKNE CLKGFEVRFPKKAEGQGEISLLSKTALFTPPKSTGAKKEGYQRVVRLSPAQRAASGTP NKRIGAVASSLAGDENEIVVFSATSNKPEKPKDVIQRIALHKGQEANDLDILDQGDGK FQIAYCLDNDVYIQKVRWDFTKRQNVGKAEDRTHAYTIPLPDVGEKKGRSKIRCIRWL SPSHILLLANKPNRTGAEVLVLRLYEQGKPGSIILRKRFPKHVQAAVDMDVALLNADE DGAYQAVVAVAAIDISLSVLTINYRGRNLNTTSSFSPFATYYNVHELQMTKVVFSPFF KPESSQSKKSAPQYLRLGTTSLGNSISVETFEVHPSSSKPDSAYVLQSARSKAIAEGA KYLVIAMVVAAIALMLQGLLGNGDSMTRNLVYSMRDISENAPAPAAIVSDVKSAADLN NADSPVAKAQHRLRDLLHLHIHSVTAAQPSSSKALVIHHDPETDGALSTEVHEGEHDV VKKHTEAKRWDELDAHAQRRWKQKLADAGMWTFEEGETILKGIFFSEAGALVGRVAAE VIG CC84DRAFT_1114002 MAMTQQQPIPLASTVDRIVQELRSKNDDNRQKAAHMVRQTVENA HRELPPQAFQQFYNDVNMRISQLIVAGSDSSDRIGGIYALNSLIDFRGDDAGQKTTRF ASYLRSVMRGTDNTSMVVAAKALGRLAKPGGTLTAELVEAEVKVALEWLQMERTESRR FAAVLILRELAKNSPTLMYQWIAQIFEVIWVALRDPKVLIRESAAEAISACFEIISPR DQQMRHLWFSRVYEEILKGFGLNTNEAIHGSLLTMKELLDKSAMFMNDQKYKETVETV LKYREHRDALVRREVVLIIPILASYSPTEFAAKYLHQCMLHLQGLIRKDRDRDKAFMA IGQISNAVGVAIAPYLDGILGFIQDGLTAKARNKAINEAPIFQCLSMIAAAVGQALSK NVERLLDPIFSCGLSDSLFQALVDMAHYVPPCRPIIQEKLLDLLSQILAGRHYLPLGS PHQERQPPLIWTRDHKDPTIIASREQEIALALHTLGSFDFTGHVLNEFVRDVAIRYVE ADNPEIRKRAALTCCQLFVKDPIVHQTSVQATKVVGDIIEKLLSVGVGDIDPEIRWEV LMALDARFDRHLGKADNIRTLFLALNDEVFAIREAAMSIIGRLTVVNPAYVFPSLRKV LLQLLTEVNYSNSPRSKEESARLISNLVGAADHLIKPLVDPIVAVLLPKAKDPNPEVA STTLKAIGDLATVGGESMTKYIPDLMPIILDFMQDLASDSKRFAALRALGQLATHVGY VMEPYRDYPELMNILMNIVKSEPEGELRRETVKLMGTIGALDPDEYQKIMEQSPDKHL ILEAQAVTDVSLIMQGITPSNEEYYPTIVINTLMGLLKDTSLSQFHSSVVDAVMNIYA TMGLKCVPFLNMVVPGFLQVIRAAPTGRTEGYFNQLSQLVRIVRQHIRPYLPSILATI QDFWGSSTQLQATILSLIESIAKSLEGEFKVYLADVLPLMLGVMEADQTPQRVPSTRV LHAFLIFGSSAEEYMHLIVPVMVNMFDKPGQPVFLRKLAMETLGRLSKQVNISEFSAR IVQPICRVLAGSDSTLKQAALETLCALIFQLGPDYIHFVPTVNKILITHKVPHENYGR IVAKLQRGEPLPQDLSPDERYGEDDEDINPAEIQTKKLAVNQQHLKQAWEASSKTTRE DWVEWMRRFSVELLRESPQQALRACTPLASVYNPIARTLFNSAFVSCWTELYDQYQEE LVRSIETALTSPNIPPEILQILLNLAEFMEHDDKALPIDVRILGMYAGKCHAFAKALH YKELEFNAEQNSSAVEALISINNQLQQTDAAFGILRKAQGYSDVELKETWFEKLQKWE EALTSYQRRERDEPDSFEITMGKMRCLHALGEWDLLSSLSKEKWANASQEYRKAIAPL AAAAAWGLGKFADMDSYLTVMKEQSPDRAFFASIVNIHNNRFEIATEEIAKARRGLDT ELSSLLGESYQRAYLPMIRVQMLAELEEIMMYKQNDGNLEKQASMRRTWMKRLKGLQP NPEVWQRMMKVRQLVITPQQSLDMWIKYTNLCRKNERMGLAEKGLQKLLDIDNGDVFA CVRENVSKIPYPVSYAAFKLMWGTPGHREEALEMLKDFTARLADDVAIKARAAQNGMM PPNGMHGMTNGHAVSPMNPFAAANGVNGVNGMNGSSMLNGSNVFSSPAELVDCQRLLA KCYHKVGEWQSHIQNGEWEHDQVHEILSAYSAATRYNKDWYKAWHSWALANFEVVNSL TSQADRESTELPPNVVHEHIIPAIMGFFKSIALSSSSSLQDTLRLLTLWFSHGGISDV NRTVSDGTKQVPIDTWLEVIPQLLARINQPNPTIRQSIHQLLSEVGKAHPQALVFPLT VSMKSDQNRRQRSAKELMEAMREHSPRLVEQADLVSTELVRIAVLWHEQWHEGLEEAS RLYFGDHNIEGMFATLAPLHAMIDRGPETLREISFIQSFGRELQEARDWCNTFRTSGE MGDLNQAWDLYYQVFRKISRQLPSLMTLELQYVSPKLKEAHDLELAVPGTYQVGKPVI RIGSFSPVASVIQSKQRPRKLEMQGSDGKPHTHILKGHEDIRQDERVMQLFGLCNTLL TNDTESRKRHLNIQGYAVVPLSTQSGLIGFVPNSDTLHVLIREYRDSRKILLNIEHRI MLQMAPDYDCLTLMQKVEVFGYALDNTTGQDLYRVLWLKSKSSEAWLDRRTNYTRSLA VMSMVGYILGLGDRHPSNLMLDRVTGKIVHIDFGDCFEVAMHREKYPERVPFRLTRML TYAMEVSNIEGSYRTTCEHVMRVLRTNKESVMAVLEAFIHDPLLTWRLGARDSPPEPN FPSERRASIIGLPDPSATPSASTDPFTNPAASLTTRARHRSSVAPAPVNEAEAKEVQN ARALQVLSRVKEKLTGRDFGNSVGRGDELNVPTQVDRLIKEATNLENLCQHYIGWCSF W CC84DRAFT_1161943 MNAATWAHTMFSKEQTSLPAGVAGVTTSRRGIYLTQRHLHRPWN PTLSQHEPCTNAVLKLFISRDKYHIAPGGPALQGAYVVRMLHTSVDACKPFDPLVTNT TSYHRYVARLADTPCFDNLPSIGLKVAVSPRAHRIALAAWRTLKIYALNPQVFLSQDQ GLYGPEQSDDGNAFTYCDPCPTSAWRSGYYNNWTREEEHVLLEPVTMPSTGVVHALRW VSEDEVWAVTDEGVCRWNVGVWATGERTEDYLAHCSGDLGLKRVKKGSVGP CC84DRAFT_1161944 MRRRRVCTASTRVTASLLLSFLAAASALQLPPILVPPTSPQPSA EAVKEFSLKHIFHHGTYRYPQLHRRLDVPEKAAVWMVEDDSTEREAVPPLAIKSEAVT IQRLKDRSKETIDGILHWGRTTGQPVRLAADDWTVDEIAGPNVTDKDSVLTFARMAAN AYIMEPNTGEWEDVKGGFNYTQDFGWQQDGLRGHIFADTKNETVVIGLKGTTPALFDG TETTTNDKINDNLFFSCCCGQGGQYLWRQVCDCQTSVYTCNSTCLVGALREKNRYYYA ARDLYHNVTALYPNAEVWMAGHSLGGAVSSFIGLTYGHPAITFEAVPDAMPAARLGLP VPPGHEVGSMQKREMTAVHHFGHTADPIFMGSCNQAGSMCTLGGYAMESVCHTGKKCV YDTVADLGWRVGIGTHKIVNVIKQVLEKYDKPAKCEQYVDCTDCFNWEFFESNGTEPT TSFTVPTTTSTSTSTRKRTETCKTPGWFGCLDESTTTTETTTTSKHKKTSSTATSTST CKTPGWFGCLDDDEPTTTHSTAKPSPTHAPSATTTSSPPSSTSAPTCRHYGFFGDCLD RTTAPSSRKPKHTPTSAHSCKRYGFFGECLDPTTTPSTSTEAKHRHTHTAKPTSASST TCTHKGFFGLICFDESAGSKTRGPGEALVTERMEM CC84DRAFT_1114008 MADRPKRLHTSNSFTRLESTQAKQPPSRSRASTLQGPPMPAILD PLKPEDEEGHADGDVFASKQDEEEQLEHQINVPEGFEELPIEIRSLTERFLESLSAKV HPSPLSADRLSDLFQDFYSRASTKINTHIATLSARLTSDTYKKSAASSRPGSIRSVSG ESGEQQMLSASEMADRKKARRLLEVKRASLEEAVERAVCEKVYERIWRHRSTDDEERD HKLRSRTAALSLVGIGLKELLMTAEELTEEERQKTKEREGEIREWLSTARDDILLMSQ EKYPLGKLRHLTAAHKSIVEALSKIFPASSSADEILPTLIYTLITLEPSRLNAYSELK FIQRFRGTTRMDGETAYCLVNLEAAVSFLETVDLSSLRAEEPGSHDKTGSRPSTPKHE ITPMPLGLAQAPDLTQTPATPTSAIAPGPPSPGTKAQRRLSNLIQTQTNRIEAASDAV KESILDSADQALGRINNTLDTSFKFLFGRMREHDPNSPIQDPVELPKTLEDARKLVSS PTRMETEDDAASVSGASSTHGEERVEEQGRPDLQARMTDLFGGRKQLRDRSVDSTLSG GSGKRVAFDAKPPPIATKSEATPPQTNTSAVDSIRNMGNSLNPLNRFASINVLPRFGR TVSQSTTPGGGTPTTEPNKQLPTLANLARSPSNEPTQVDDKGARAVAAIDALKKTTPP VKRFLEAKDAQDLKLKEVDELLKEYQRLAAALRGTINY CC84DRAFT_1161946 MAEPMAELSPQKLNAPAPTYAEPDMDTTSWHDPPSSPFIAHVDD EDQENIAPNALATPKKLLPSFEDDFTRSAFKASPEKKFGLQERTSPVKMPSRKQSLAE FEEDSLESPPPRASPKKASPVKQMAAERSEPTMGSRSRRSSPTKASRNPSVDSVRRAP VGYPEDEIDDAPTVTLKTSELRENEGLTVAMRNMDQAQASYEPTSTHRSHDEIVGDAT FDDTEFNPDGPDLTSLDMDDTGFSMFSEMPGIDMTKFAALRQSPTKNGLFDPATPRAR AQMTPSTVQTVRRSERTPSPTPRRSRRENDTTNLLLDFTAQLEGLSGPTRGPPKSTTE PNLASYYQNQRSPGKGSSSRVPSTPSQSRQLMDLLDFELPPPPTPRSMPTVTIRELES LKSNFQSQISSLTASLSGKEAQVESLVKAISDAEHRVGQAQESVRDERSAREYAEAQM EDWKKKGEEVQNLLQEVQGEVGRIDAEREQLLTRLAEAEKRAEEAETRSSELETKVIE AESKNVDMTTFLDPDENEKKIYSEMECQTAIAEKVNEVARDLHTAYKAKHEKKIKALK DNYQKKADERCKELRVQIIRLERRVEEAEKKRDDTFSKVLPTQLDADKDSSSPASTSR PEDLKLLEDQKSEIEHLKAKLAGLQSELESLRKSHDHILQDLEAERIEKGELVAAAEQ MLAMCGEKMEELQQEDFRKSVQMAAAPPAPAPAPQAETANAPSMRSTGFLAGGAAPRP GSALGGAAGRPGSAMGHQRGNSNSSAAAKPSGLRAPGGFGFSGGSSALNRSTSGGSGK SRILSNIERMGGSTRGAGAE CC84DRAFT_522351 MSTPALSPRPRETSHSTIQTRSSGPTPMPRPSAARSPVKSTPLA TRRMHDLKTPGIGNGHEPKTPSPNYFGLAVDANAEKDVFASSAAQHIRGNWSPPSSNV RSTAAASPRVIPVDQNPEYEQFRRQSENNRGFALGRFDFGSSQGSMPSPRSSAFGSAM APPSPQSMTLPVATNDQHTDKKDDGGELEGKPRSPKRLLSTESVIVYDRPRRNSPASF LDQENYGRTDELAKFVEMRNPRPSLPPRDSSQKLTMSSHRAETLPAHVEDAAKTGGPS MVTPQYIVNILESAIEEVLLLDLRVSTQYARSRIQGSLSLCIPTTLLKRASFNVQKLA ETFKDDEEKEKFEQWRSSKHIVVYDASSAQMKDAATCINTLKKFTSEGWTGGCYIIRG GFQEFASKFPQWISKGSTQALSSPTSSSGGDSGLPSVAPVIGGCPMPVTQNAANPFFG NIRQNMDLIGGVGQMPIKHPTAMTRSLEEELPVWLRKAANEKDNGKTVSDKFLHIEKR EQKRMQKALSGQVYYGTPTSGEPKQSITLAGIEKGSKNRYNSIWPYEHSRVKLQNVSP GGCDYVNANHVQPPFSNKRYIATQGPLPATFNDFWNVVWQQDVRVIVMLTAESEGGQL KAHNYWSGKQYGHLHLNALGERRAALEPSKIHRHREQAQRPALGQRRSTTANPPNKPG YFTTESTAQPSSEQPYVIVRKFTLSNSDEPFERMREITQLQYSHWPDFGAPAHPTHLL GLIEQCDAVCRQVNGGSPSRPEPPSTRPILVHCSAGCGRTGTFCTVDSVLDMLKRQRQ ARNARDTTPMDLDPMSPPKGPRGDRSASLSMSMSMDEMSMSRRSSSSASEAANNEKPA GNAAREEYDWVYQEDVDLIEKTVEDFRLQRLSMVQSLRQFVLCYESVLEYLVEQYPKS A CC84DRAFT_1173497 MDRATDGNSTLYDDNSQVQTTSDTISIHSSQQQEAPQDAIQEKE QQVIEESEKPGAPLEPSKSRPSINNAAAIPNGGVTAWLQVVGAFFLFFNSWGIINTFG SYQAYYETNLLTSANPSAISWIGSIQAFLLLVVGMICGPLYDRGYFRGLLLGGSFLLV FGQMMLSLCTQYYQVLLAQAICIGLGCGCLFIPSVAILSTYFTTKIATAVGLAASGSS LGGVVYPIVFHKLLPQIGFAWTTRVIGFIILATMLIPNAVMRVRVLPATSRSLIDVSA FRIPAYSLMVVGFFIGFMGLYMPFFYAQVYALTARITDANLGFYLLAIMNSTSVFGRI IPNYLADQLGPFNVVIPCTAISALLCFCFIATHNAPGIIALMAFYGFFSGTFVSLPPT IIVHLSLKERGKIGTRMGQSFGVIAVGLLIGTPIGGAILDRSGFWAVWVYGGVMLGAS VGLLWAARISSGIISAVALLFLFNLLVLLRPRAPPRGATPWLHAICLLSNIRRPRPAR LALRAPSRATPLPRKELIRTPAMPSDAAVPIIAPQPDRHNLLAPLAVPYPDDGLGFFV LVVVGHLVHALALCVADAFNICLDLRGLLVLELRCGLALAVPRGRFGELGRAHLLHSH DLACAGVVLIKHGVEEALDVCDFVRGEGRRELREGVRRRVDEGVGAVAFCLVAGGADL EVEG CC84DRAFT_1063600 NRIIPAVPHRFSRPPAARPLTPEDPSTAAQRGSDTQPAIKSAKP EAAPAPIETPLTPDSRISPVENRDEDEHALASSPARSGDDHADGSMDAQGMSLPETDN QSAAAQTGEDLAATPQPEQPAIAVADGERPKSTVRTALPPEFYPREKPMGRTYGAENA ELAAQFPTAHLPAHRPSVSLEGLVFGGAAQESPAMPSTPQDLEQGMRAAQLGFARPPP GLAPPHLAPQFYPGHSHHPSEPATPWPLPPYSMAMLPETLYSNGNEYHSPAYPPASGA FQAPFAAPFSPQGGPVSMNGVAMRSHSQSPSKSQYGEAEPGSNNGEESQNILYANGSP SAKQVEGYDVVKHISNQFGNPEFTDYVLHIRSPDAMLWSMPVHAAIVSRSPVIFEALR HSAPPPFQTKDTRRLAEVLTDDRFVTPESLNEAVKILYAAPLLSAEAFLYNLSPWDAG HDQGYASNEARKRMGQAISYAAAGRVLQIPEMQTCGLRIAKSLLRWDTLDIALHFGFS ANKTTVQPKGFGTDNRLLETYAVPLLDDALEFIVYNFPSDFKLYSIAPELRQNPRLPA VVESQQSSHNPRLSKIRFGDAPPEDELKPSPVTQFLSSILLSIPLALLDRLFSHPAAA NQVGWSGLVRIMRGVIEEREKRRQKVLKSMDKPLDTSISRALLENLYREERVEPASER PSGFKPVAVRLS CC84DRAFT_1173499 MIAAPHCIVPTRFCPLPQTPSSSLSLSIRRTSYLPLDKHRMAPI LSGSPYHKRSCTRKHSSSTRTRQVRPTERRMTSSLERVARSRAPGYQHQNLAPTPNRF SILADLEPSPAPMKWLFDFAPPPSKPSKRRRRRTGKQKLKYTRKIREARKSDVSGEIC DKVAALSLNDGGSTTPTTFTKPRDSVTNALDTVRHHWAPQFRPGKSLEPTTPQNAFLQ PDRIFRERASPSPSHHGTSPSPSHHEEFKQKEPRFFSLPAFLASPPPAALQIPAGKPP RPVTTRNSPTERSLAEMSSTSAQEAVASAGRALATEGFNTAHGRLPLYRLEKDVQVGL PDIESLPPITQQTGMQRQMQEGSHTRALPSTPLSITFPHVAAPVLTAPLMPESLPTSS PYLPADCSPNYVSGELSQLLLKKSWLRADIYKSPHPYTYTYRDSHLLSLEAVAGPSSD PSVAPLEPRTTSRFDGFFRSVYPRDFLGMGHGNPCWCSNCPDSVPLGELPGEEVWTTP KELRKSLRRGSAPLGSPRRGNNQQAPIGTDRPRKKSPKPVPTPRSSPPLTLPDGYEEI VPGLARHVLAPFSDRIVPQNNIWTMVALGDGRDLFRGSQPSAPPPSQDGYSSTYLPSP PPPTDDDYSIFQPSTPRPISATFSQQGLASEPELLSSDYELASEPEMLSYPTPTYELS ELAWTAGPSSSSAVSPAEGVHWDGSVAEIYLSPTLSPAPSLPSAPHSPQQAHGSAEAE RDEETSNAEDV CC84DRAFT_1214467 MNTKRRRDDDSDSDDDRYLKKSRPWNIQASVPWAEKHAHSTNAF SGYVSKPSPPHVVPGLEAMTPAESEHSEADSPVSIPEEPQMILSSRGGIDYAMQMEED EPEAVTSQPPDSPFVTNFRPAKLNFDLFNRDQAKHAPDIAHRIPTPIYPTFQTTSGMS GLGYPNSGFAGGMAPSNGYLGVPSDPRSEPHNPTMGIPHRRQRSQDRTMRMPSPISED EDIPDTPTAQTQSQLERLSVTTYHAADRMDMEMPPPSGTPTRGRKRSGALTGMGRFSM GYRDDCEKCRLRVPGHYSHFL CC84DRAFT_522536 MVFVLVAGPGKSPSLSQLARRSLWGSCAQRAANHTHTLALNTAA IERGTGARVHDGESGRHSVAMPRQQGTATAPDGRDEDEGANGVGATQGTLVAWARPAL PGSRGPKAVRREPRRGSKLFCQRRRDCEVDSASLLQVCLSRALEPRRRTHIDASTLPC PFARSQKYVSRFSRPIAPPSCALPLLPLVAPCT CC84DRAFT_522639 MADSASANMNQNSVSDDVRVLGYDPLIPPQLLSSEIPITKASEE TVIRGRKECIQIITQQDDRLLVMVGPCSIHDPDTALEYCDRLKALADKLSGELLIIMR AYLEKPRTTVGWKGLINDPDINESYQINKGLRVSRKLYCDLTSKGMPIASEMLDTISP QFLADLISLGAIGARTTESQLHRELASGLSFPIGFKNGTDGGLTVAVDAIGAAAAKHH FMGVTKQGLAAITRTAGNEHCFVILRGGTKGTNFDKESIKGAREALRKKNLPEVMMVD CSHGNSSKDHRNQPKVAKAISEQIRDGETGIVAVMIESNINEGNQKVPPEGPSALKKG VSITDACIDWDTTVNTLEDLAAAVKERRSKKGTTNGLH CC84DRAFT_1161957 MQPQDHRPGTALTLNRMSHTPIFKKQYVSLTSNPLPAILIPYVS PMKAPALLTLLYFLALCEGRGDPSKVP CC84DRAFT_523007 MSGAGGAGIAAAAMYAVVSNPAAVGAKPEDADAKAHHLKDGKGF INPWDSFRDFVGWKMGMRLLWRKLSGQVKKVDTTPPTVPVVTPSFRHPREGGKLRATW LGHACYYVEFPSGLRVLFDPVFEDCCAPVNFKMFKRFTQPPCEIEDLPAVDLVVISHN HYDHLSYPTIKRIQKAFPNAHFFAPLGNKKWFVDNGVGAGQVTELDWWEERDVRLSPG FPDGTAEKKEMGAVSVSAASTRSSPADITAAISALPCQHVSARGPFDKCKTLWASWSV SSGGASVYFAGDTGYRAVPESTPEGDDGYGPAYAHLPVCPAFAQIGTHRGPFDLGLIP IGAYEPRWVMSPMHANPQDSVNIFVDTKCRTALGMHWGTWVLTEEDVMEPPRKLREAL KEKGLREEVFGVVDIGESKEFESGEVRGGE CC84DRAFT_522530 MPSFYPRQNMPRQQLPLTPPEFVPSYHSGCGGMPYQQSAYGGQP GIRSHDDAYDFADRYNQLSIAMPPMYPQSGTYLSSAPPSLPPPSSFYESNGATILPPM RHSMGDAAMQQHRAQELTQRPAQQPKEEKPVGGVSAKLDYDMEVMTDFVCEMALDVIT PGRMMPPSFRKWVHQVLCATRLPSATIILSMFYLSSRMPMLAGLPKNDNHLFRLLTIA LVLGSKFLDDNTFINRSWSEVSGIPVADLNQLEMEWLVAIEFKLHRDPTEHQGWNTWS NHWKTYEERAAERAGLPNKLSPIDTSVQRQRSINKPLPPLPMQQAYNPPPYDYTPKSA QPSFNNVASYSQYDPWRAANEHSPASAPTTGPTTPEYYGSAGPWAPEGYSRRTMFGFP PLSQTTPSQPQQSNYGPPAYTTQYHPPAWNTHGVNCGCMYCAQRHPSYFMAPGFGPQA VAV CC84DRAFT_1140264 MATSSTVPTKDDILVPETLLKKRKSQERAREERAVATKEKREKS KKKREVIFTRAEKYVKEYRDAEKEKVRLSREAKKNDSFFVPEEPKLVFVVRIKGINKI DPKKRKTLQLLRLLQINNGVFVKLSKATMEMLKIVEPFVAYGYPNQKSVRELIYKRGY GKVDKQRIPLTDNEIIEEQLGKYGMICMEDLIHEIYTVGPNFKQASNFLWPFKLNSPT GGFHKRKFKHFIEGGDLGNREDLINNLIRQMN CC84DRAFT_1238923 MCFLSLCWLCLWLLHHALLTSTHRSIGEDTTQRTTWRTPSYGDC TFVCLIARKILRPSKHMLILTPAHENSFDVNTVLRCWGQVARNFSNIKKDPYILAYQY RRFMDECDMRHCTGRTSRREHANPYYENLLASQDEPSSEATSDLTCALRYTKEHHGCF YDKSQVTMIISILDKQNASIQPSLHDQKRARVDLHNTACPSKAAKERVGRKKRANSGW GHDDAERKPFAPHSRADDGTGTQSHATTSRCQLEN CC84DRAFT_1214472 MHWHIRDFWTRTSLIISSSSHKHHHKSSSLVLSETGYLELDTTT TVPYTSTVETTVYTETPSLPHFTSVPSTVSITPSFSASTTSKSTSPGPSPTESSATES STKVFSATTLTIIKSSESGSTTSSSLAPTTTSTSVSSTESSLKGVSETTSTKTSTATK PLETSSTKTSTETKPLETSSTKTSTESKPLETSSTKTSTETKSLETSSTKTSTETCKT CTATTTTTMSPTIFSTLTLSSTLFVLSTAIPGPPHDIPGAIAGFSNCAQDVLFPLIGK SQCNPADFPCICIELDRLDALKSVADGCPNDAAKYSDFAVSACGKTTAVITVTGSSSS SSSEAGVTTTTIPVVLSTGTPSVATSSVGPFNNGTTIVQPSKTKTEVIVQPSKTKTEV IVLPSETSKSNGTSGSGGSGSGAGSGSGAGSGSGAGSGSGAGSGKVPGSGSGSGGGSG SGASSHISPTQPEQPKQPEFSGAAVSEFGSAGMASFAGLVGVMWLAFAEL CC84DRAFT_1194331 MASRWADDEADKAEAQRRKKEKEEKKRLKLQKQQAEQEAAAAAA REREVESRPAKRRRLSNDTGSQDVAPAETPAERPLLRFDGGGWGPCRHVSNFETLNHI EEGSYGWVSRAREISTGSVVALKKVKMDYQQQDGFPITALREITILQRARHKNIVHLH EVLSGSDPSEVVLVMEFLEHDLKTLQEDMPEPFLASEVKTLLKQLTSALSFLHSNHIL HRDLKTSNILLSNHGHLKIADFGMARYIPPPDARLTQLVVTLWYRAPELLLGTETYST EIDMWSLGCIFGELLLKEPLLQGKNEVDELTRIFALCGLPTERSWPEFWRLPNAKGLK IPRDSGAKSGGVIRTKFPFLTNAGADLLMSLLSLNPEHRLSADEVLEHAYFREQPKPK PMEMFPTFPSKAGQEKRRKRSPNAPKRGEAPGLQNVDFSGIFANREEEQAGAGFQLRV A CC84DRAFT_1256874 MRAVSHDLLRSATRCARPRAFRHRLARGAVRWSSSDSEERKWST PLAKTLAQAIETTGPVSVASYMRQCLTSDQGGYYTRQTSGHDQFGTKGDFITSPEISQ VFGELVGIWLYTEWMAQGRKKKVQIIEVGPGRGTLMDDVLRTISSFKPFAQSVEAIYM IEASDDLRKQQAKRLSGTDAPEEFELGWRAPCKYMPDCIVNWCEDIRFVPKEETSTPF ILAHEFFDALPIHVFQNVANSTLPAASKTITPDGPLSPRTGPQAPKNQWHELVVAPIH ESQVSQAQTSEDGSPHPEFHLTLSKSPTPHSLYLPQTSDRYKALQNTTDAIIEISPES LSYIADFAVRIGGANPPSPSSQNQTQPPIPSTASISPKSQPLPEPFQKPAPSGAALIL DYGPQSTIPANTLRGIRSHRAVSPFVSAGLVDISADVDFVALAEAALDASPGVEVHGP VEQAYFLSSLGIMERAERLLKAAGDEEVRTRLEGAVKRLVDRGPTGMGRIYKAMAVVP FIEGGRVRRPVGFGGGVFQ CC84DRAFT_1214475 MPTMWLTDTQKIGVAFCSGGGFFLLGGVMMFFDRSMLAMGNILF LIGLTLIIGAQKTLSFFARRQKWKGTAAFTAGIILILMRWAFFGFIIELYGILVLFGD FFATIAGFVGNIPVVGPYIAKALERVGAVSRSQESAV CC84DRAFT_1140273 MAPLTAASDEHTHQALLDQLDIYKIHKPFRNPHWKPPQRRNKNV KQIIADATRKEASVMATQNNSGASTPALPHTDGATGVATPVGRAPNIAQAAQSLSTLV LEKNLRAAGGGLGAANGNGAGPAATYTNIESAPSFHPSSQRRYCDITGLPAPYTDPKT RLRYHDKEVFGVIRTMPQNVSEGYLSARGAHTVLK CC84DRAFT_1161965 MESPHEHQQSLLLSRIIVNVEKLNEAIMTLNKNLQEINIANMDT ELVSQMFKNYQSNVLFHLEATNGLKDPA CC84DRAFT_1214478 MAPSATADIALPSGRNSPKPPPAKIWSVSEPPFEGYKPVDTEGY ARSNRETAIVIDNGSSAVRAGWSFDKNPRLSLRPLVAKYRDRKLARTFTFVGSDVFSD GTARGQSKNVYEPGSNIVNNWDVMEGLLDYCFIKLGVDGKNGSIDRPIVMTEPVANLG YTRKTMSEILFECYGAPSVAYGIDSLFSYSYNGGKTGLVVDSSYTSTHLIPVVNSKAL LSQSTRLNWGRFQGAEYLLKLLRLKYPSFPGKVSDPQAEDLVRDHCYVSQNYEEELSK YLEWTGLEDRDRIVQFPYVEQVVVQKTEEELAAAAEKRKESGRRLQAQAAKMRLEKLK RKEEEFEYYQQLQTQLQDVTKKEAKRILESNDFDDESQLEKKVRELEKSIRKARNKDV GDVEEEVQEEPTFPLLDRPDEELDEEELKQKRQQRLMKSNYEARLRAKAEKEREKARL AEEQRLDDERREQDLEGWSEERRTARQAIIQKIKDRDRLKAELGNRKSLANQMRMKSI ATLASDAPTKKRRRGGADDDTFGADDADWGVYRTIATGEQSDDEEEEDLGKALKDIEA QLLQHDPTFTEESTREAQTDWTKSLLHAFLHGPYPFDPESQKEAHQLHLNVERIRVPE VVFQPSIAGLDQAGIVEIASNILTERLADNRHRDDVFKDIFLTGGNTLFQGFEERLAS ELRAVLPAEQELVVRKADDAVLDAWRGAAKWAGKKENRTNFITRAEFMEKGGDYIKEH DAGNGMSF CC84DRAFT_1256879 MLNSTQPLHHTINTRFRNTRVSLPLFKMRVSTIAFILTLAAGGL AGAAIKHDDAPTVTPSGGAGHPTTTPIPKVADGRCTPCNDHMEQCMGKWPCWFYNCQP DCNHEVCEQLPDCRQGCGFHC CC84DRAFT_1114050 MAKSQAPQTNMDVDYVVVYKFEKRDRTKAIAQFEKLVEALSKVG LQTEVRNGGSQSVLLFVKVASDEHLYGEVYRSRVRDWIHGVRAAAPPKETREAIDSEP LYEAERLRIIYQLITNPVAEGGAGITPKEGEWEDVQSIFALHDRAYNDDWIKKWSTSY FLKTEDLDEIRNRFGEKIAFYFAFTQSYFSFLLFPAAFGTAAWLFLGHFSAVYGIVSC LWCIIFTEYWKHQEVDLGVRWGVRGVSRIEHKRKDFQHEHIATDPVTGEQVGIFSVKK RLQRQLLQVPFALAAASLLGTLIATCFGIEVFISEVYNGPLKWLLVFIPTGILTTTMP ILSGILTKMATQLTEFENYETHAAYEHALTQKIFVLNFITSYLPIFLTAFVYVPFGNI IVPYLDIFSVAVRPFAEHEKQLQGPGADWAINPDRLRKQVIYFTVTAQIVNLGLELIV PYLKREGASKYKKFQTERAAKNGGAAPALSANDPPEDAAFLARVREEVDLEVYDVTTD IREMVLQFGYLSLFSVVWPLTSVSFLINDWVELRADAIKICQNMQRPTPWRADTIGPW LDSLSFLTWLGSLTTAALVYLFSNDGLGPDGSPANIRAWALLLTIFLSEHVFFVVRFG IRVAISKLASPGLQKERRERFLVRKKYFDENLKGLERLPKLKDISEQITRESLEEDAR RSSLKESTMEERFWTRQRGWKETVSVGKDLIQRAEVEQSPERKKEL CC84DRAFT_523463 MPSDSRSPTPAREPTRSVSRGRSPTRSPRRSPSDRPRSPTPQRS RTRSISRSPTRSPARSQSPRRNGRRSYTPDSRSRSQSSRRRSFTRSPSRRSTSPAPRS AKIVIEQLTKNVNEGHLREIFGSYGPIKDIKLPVNPVFNVNRGTAYILYEEIEDAERA IAKMHEAQLDGAKILVSIVLPRRRFSRSPPPIRRGGPPPRDRDFDYRPGGPPGGYRPP PMGGPRRGFSPRGRSRSPPGGYGGGYRGRGGRGGRGGFRGGREDHGYRPRRSISRSRS RSPRRSMSYSRSRSPPPRRGGGGGGYRRRDSPPRGSGGGGGGRRSPSYSSYGSYSRSR SRSRGRGPPRR CC84DRAFT_1161970 MSWQAYIDTSLVGPGNIDKAVICDSAGSAIWASSAGFTVSDAER KAIADSFNDKSDPKGVIANGIKIAGEKYMTIEASDDSLKAKKGKEGIVAYKTTQALLI GHHNADSQTTVAFNDVATLGEYLKKMGY CC84DRAFT_1256883 MAELALAAVSLTFQVFSGCIQGYELITDAKDMPSDCQYLRVRLK TEEYRLLDWADVVRLDETDDSLLISNSSKGLLLDVLDQQRRLLHQFGRLDEKYKKLSR PLLVDYVEEHGRLPEPPAYAPSGDSPTVTRVDSDFRTRFPQSQVLLKKSVDWAKKSAE VPRKLKWAVFDKTKMEALVQKLVGFNDFMRDMLNSAQLESLVIKQTRTEFQIMQLNNS IQSLVQIFESTALVQTKRGRRRSRHVTNPVRAYMQSQGLDDPDDYYAETPKPGLQSLA ALAQFKALNSAIQDPDVFTDEFTSSIALSHSASETLNVELARAHLSILPTASPDQPHE SHREEAFYQPPHTRKTSVWIEWKTYEPPPFHPTGPDPKILERVKALAALLKENNRTNQ FRAPHCLGYFQDVDPDTGEDHCRFGLVFEKPGSAHPSTRPISLHALLTRRDMDTPSLT ARIALMRLLSEAVERLHAVNWLHKGLRSSAILFFSDCGPGEVDFNDPYISGFDYSRPA MNDDLTEKPPENAAADVYRHPRVQGSGLREPSSPNSSSSYKKSYDIYALGIVLLEIAY WAPIDAILGIPDLERAKPSVVFGVRKRLLDDKEPFLRHVRSHLGDTVEGVVRACLVGA SAFGVGEGRDEREEGVGAELQRGFYERVVREFGGMRL CC84DRAFT_1161972 MVLNSLPWRRKTKAAQPDPFEPTPILRLAYQAPVKLLLYHAYHT ITSLRSNPTPSQPPIRIVCMSDTHGHTIDSVPDGDILIHAGDMTVYGSIPEIQAQVDW LCSLPHKEIVVISGNHDTYLDPRTRPSLRDDQRAGAVDWKRVHYLQHRRLSLSIDVDP PGADGEETTHLLARETKSRRVRIYGAPQIPACGDVNVHAFQYPRGRDAWSETVPEDTD ILVTHTPPKYHMDLALPSGMGCEHLAAEVRRVKPTLHVFGHVHWGAGHEVVWWEGCHD AYEKGMRIEPRWFKGLLNPGLWWNVFRVMYRGIRELIWDKVWGGQGPNTIMVNAAQMH GNTGKLGNPIQVVDI CC84DRAFT_1161974 MPPKKKVERGPAENIQLGPQVREGELVFGVARIFASFNDTFVHI TDLSGRETISRVTGGMKVKADRDESSPYAAMLAAQDVAARCKELGITALHVKIRATGG NGTKTPGPGAQSALRALARAGMRIGRIEDVTPTPSDSTRRKGGRRGRRL CC84DRAFT_1114062 MPERFDALVAEFRSSPNVEILRTGHLVSRISDYIIYSVEAKNID AVVKQFGPSTKLGAVVGGQTSCKAPEIAAFEKHLPPDVHIVSCHSLHGPKVDPKGQPL VLIKHRASDEAFRFVEEVLSCLQSTHVYLTREQHDRITADTQAVTHAAFLSMGAAWNA NNQFPWETARYIGGIENVKINITLRIYAAKWHVYAGLAILNPDAQKQIKQYAESVTEL FKLMLGGHRKELRERIMAAREAVFHTATPNKQEILLKEDVLNQFSLGEIPEQRLKNNH LSLLAMVDCWWKLGIVPYDHMICSTPLFRMWLGITEYLFRNDKLLEEAIDTAIDDNTF RHDDLEFTFAARDWSSRVTLGNFDGYREKFEAIQKYFEPRFPDATKLGNEMIKTILEQ TRERGS CC84DRAFT_523570 MGFFNRRAAAADPNTAAAAGTHTHEKKPGIFSKRQHGAAATHHN GTPAWNTRPTFGQWIKATVLDIVTMAAMGAIGLGVYMARPAPSRSFPVVFSDGEIVYP EFAYPMRNEIVPIWAAALMAALIPIAVFLICQIRVRSFWDVNNATIGLLYSLITAAVF QVFIKWLIGGLRPHFLAVCKPVIPANMRPTGNVANGYRQIMFDRSICTGDEKEINDSL ESMPSGHTTAAFAGFVYLYLYLNAKLKVFSNYHPAMWKLIAIYAPILGACLIGGALTI DEYHNWYDILAGAVIGTVMAFSAYRMTYASVWDFRFNHIPLVRSAPFIYGAGPSTFDG FHDATFTRKAGWGEHNGSAWGGAPGDAADGPRGNMAQAAGAAESVAHGASNGRGTHGG VVGTGGHTHGHAATNSIDRRPVAGSRMGEQMV CC84DRAFT_523567 MDRRRTPGLSSLNSSRLQTHHYTAHGAALRNRNAETLQNQLSVF QSLLHNFALTHAKDIRANPEFRAEFARMCSALNIDFLASSYHRDGKDGKSATDGGSIW AQLLGGSVNDFYFNLGVLIVEECRATRSENGGLISVHDLRARISKGRAGSIGGAMQVS DDDIKRAVDSLAPLGSCFTLMKIGHRSLIRSVPKELNTDQSTVLEAIQVLGYVTISML QLNLDWERPRAHAVIEDLMADSLVWVDTQAGENEYWSPAFITGGAMP CC84DRAFT_523587 MDPASQTHCVLLLDLPANALGGIDLLSFTTTPRFKGVKNLPPGL HFVFAASDSSLSVRHGAWFYVSPGSGPPQVFIKRWNPQNEDLVAETSQTELLKWKANL GALWKDGLTPYRQTVHASDTAEDDSVEESSDWVQLTSRISQSLLTRICGLNPDHWALT SASSAAQDLENIPGLEASNSFLHPEKELRFFPVDLKQTWREGATGRERTEAAQDRSWA LGNLIEKHGISKDPRSRDFEILGELQFCFLMVLTLNNNSCLEQWMRLLGLLLTCQTAV KERFHLFLELLKTLRLQLAHCGDMDASMFDMNEHGGGFLRPLLQRFRKGLDDFDGKRK SDIIDEFDDLQDFLQKEFGWQMDDSYVKRGMLELEDGEQVEMDMNGADEDDESGDFAP TVVELTPAQLKQLNGSNVNGSLSDESEEEADLDGMDTRY CC84DRAFT_523597 MNQYPTSPDVAHQQPPTYHQDPNAQAQFQQGQIYQQPTGQSYPG SPPPQQYVSTPPPQQEHQGQFAPGVEQKGAMHAPQNPGMPPQQQSGTVYQNAVPLMNL NRSSAPVDCPACGQRAMTTTQFETGNTTHAWALGLCCLACLGCIPYMMSSMKDVQHKC GRCGVLLATWHRSGTTEQHIHS CC84DRAFT_1161979 MSSRFFSRGDSDSESSSSEEEELYSEEEKSQEEESEEESDEDES EEDSDSDDDAQGANRFLKGGASDSESDEDEGAKVLKSAKDKRFEELEGTIRLIENAEK INDWAVIQEQFDKLNRQIPALIRQNDGRVPKVYIQAIADLETTMNETLEKQKVSPKKM NAINTRGLNAVRQKIRKNNKEYAKDVDAYRADKDAFMREEVIEEKPKTKKKSASTPAL SAQDIADADDDGFTVVDGRGKAVQYTPESILKHLRSIVEQRGRKNTDRMEHIRTLEKL FDVAVNDYQRVRVLLTLISTRFDLTSGTGSQMAQEQWKLAQQEFNKLLETLESSKEIV VIEGAEEWEDDDKQPTITEGEIFKIPGSVVSFVERLDDELTRSLQHIDPHTSEYIDRL TDEGSLYASLVRALVYVENLKKRAGLNVPQESVNRVIMRRLEHVYFKPSQVVTILENN TWKALPASVDSEVTPRSTADDTASLVQTLCTYLFGKSEGIIRARAMLCQVYFLALHDQ YYRARDLMLMSHLQDTISNFDVSTQILFNRALVQIGLCAFRAGLVYEAQTSLQEICGS NRQKELLAQGLQMQRFTQISPEQERLERQRQLPFHMHINLELLECVYLTCSMLLEIPL LAQIGSSPDIRKRVISKTYRRLLEYHERQIFTGPPENTRDHVMQASKALSAGEWQKAA HYINSIKIWELMADSEKIKEMLSAQIQEEGLRTYLFTYAPFYDTLAVSTLASMFDLPE RKVSAVVSRMISHEELAAALDQVNSAIIFRKGVELSRLQTLALSLSDKASGLIESNEK TLEQRTQGTANAFERQGGRGGRGGRGGGRGGRGGMGGRGGGGRNQQFTGGALGRAIQA CC84DRAFT_524049 MDQQWTAYSESSANRQARYDPHTMTTPQHVQQDPNLPQQIKQDQ FASPTGPSRSNSMATLPSPGSSLTLSRSAEYNDRDGDVAMEDVDPYKPKYAAARPNHQ HRHSQSFLQQEESAAARRYSPMNMSPTSPYSGNPQQGGQNYTSFSPQAQAQNSNRQSP TRGNPYITTPNSYYSPPASRPHAPQLPPIQSNMSPESFYPQSATAQLNAVYNREARSP RTTNPNPPQLPPIGRGPVPKFEKCVNTADLQPKINTQPPFRRAHPEGGFISPLQALTS HLPATYRVCNPGFKYESTRNPRRVLTKPSKGVKNDGYDNEDSDYILYVNDILGSEDAG HKNRYLILDVLGQGTFGQVVKCQNLKTQEVVAVKVIKNRTAYFNQSMMEVSVLDLLNK QMDKNDDHHLLRLKDTFIHRQHLCLVFELLSVNLYELIKQNQFRGLSTTLVRVFAQQL LNGLALLGKAKLIHCDLKPENILLKNLESPIIKIIDFGSACDERQTVYTYIQSRFYRS PEVLLGLPYSAAIDMWSLGCIVVELFLGLPLFPGSSEYNQVARITEMLGLPPTWMLEM GKQSGEFFEKAHDEYGRRTYRLKSMEQYSREHGTKEQPSKKYFSATTLPEIVKNYPMP RKNMKPNEIEREMQNRYAFIDFATGLLNLNPLERWTPNQAKQHPFITQQKFTGPFVPP TAMRSGSNRSPAPGVQEQQRYEGMSKQRAQAQQAAVAQQQAQQQAQQAAQNAAYANMQ MNQYPAQNTHAQAPNMYNNVYAPNHQGAPPPYPAQPGYSQPMGMIQPQQQPRQYNQPQ NLYAQATTRAGRQRASTMDQQVQSGIPPALQRVISHLDPNAPIRLQPSPAYYPPPPDG APDAAGNGQRRRGSRAAGQSQRNFVRNLEDRTLEEGFMSQHGWQ CC84DRAFT_1161981 MSPHAVPMTDPEERAPAVHEAFTGFAPNALDESHFPAFENRSHL RYTPEEELHDLVCVGFGPASLAIATALHDAMDGTDPSLDLPNLQRQPPKVAFLEKQSG FAWHAGMLLPGARMQITFMKDMATLRNPRSEFTFINYLHQKDRLIEFTNLNTFLPQRV EYEDYMRWCASWFEEVVQYDQEVVKVMPEKSASGNGEIKTFVVTSRNLQTGQIESRRT KHVVIAAGGRPNLPPPFPSNHPRVIHSSKFHYISSKILTDYQKPYSVAVVGNGQSAAE IFDFLHTHYPNARTRLLIKQGALRPSDDSPFVNEIFNPSRVDSTFHREPTLRTTAIAD DKATNYGVVRLPLLEHIYETLYLQRITHGNTPAAEKSWPHAILPYRLVTAIHDSPAIP SGLRLTIHDRSPLYLSDTPNAAERTETLDVDAVFVATGYKRDLHETLLADSRHLMPGG DLKDAQWSVQRDYRVNFADKAIGADAGVWLQGCNEQTHGLSDTLLSILANRGGDMVNA IFGGKGKGGFEDRRGYEARA CC84DRAFT_1194347 MEPIQALPGNPQATPLLGDTLADTESESQLLIASENDDSSSSSR SSQWEGHVDIASLHTVQGHPEPRGIGLIRQKFIKQILGLNPFRTSYFSLYKPLTDFQS RTILSFGCFFSIACGVPIPLIGVILGKIINNFPPREDELKLRLVQLMSMAVIYFVVAW GSTVCWALVGERVSRKTREQLVERSLGMDLTYYDTVSPDITNILTEKTQTIQLGTSEK VGVFLTSISYFIAAFTVGFTLNARLTAVMFVTVIPAMTIVVVCGTHFVSKFSRQASSF TEKATSVAESAFKGVQIVQAFGVTERLAEDHVNFLCKALRAGLRKSIVGAGMLGSVYF IAYAANALAFWYGDKLRNGSAEAGTIYAVVFLILDASFVVGAVGPFIQTFALAAAAGQ AVFDILDYPVADIDVYSTNGKIVGKESFKKTITFRDVSFVYPARPTERVLQNVNLQIA PGKVTGLVGPSGSGKSTVATLLLRLYDPSAGSVLLGDDELKDLNVKSLRSQIALVTQN PTLFTGTILDNIRLGLPSNEEIPEEEVVARCKAAAEEAYCDFLEHLPDGLDTQIGSGH HSQLSGGQKQRIALARALVGNPALLLLDEYTSAMDATSEAVVLENLRRSSATSGRTTI IIAHRLATIKDADRIVVMKDGGVVEEGRHDILTIGNGLYAELIRAQKFDKKPTMSSAS SFVSGSGRRKETAPPPKASRDNSTTRNPSAPDTTQDKSALQLIGRCFALSRNESPAIA IGLSASVLSGAISIGEAYIFGNLVELLNSTSDVSGLIAQFCLLFFGLAIIALLSRVIG GSAFGFVSENLVLRTRDVSFRTVLMQDIAWFSKPGHSHHALMAKLNMDSGHISGLSGV ILGTFFSIATSVVGGMILAHIVAWKIAIVLLAAVPIMLLAGFFRLRILAKAQERHETA YNSAAALASEACSAIHTVAALGRERDFLDRYRAAIQKPYDESLRFNVLGSMILAFSLS VTYFVYALAYWWGSKQVRAGNYGQKDFFIVLPALLFSAQAAGQLFSLAPEITRAKTAA QSVFALHDEKSTIIKTVSATKPYQNGVCPTYGSANGSSSNVLGEVEFRRVSLHYATRP DVAALNNVSFTIRPGEYVAFVGRSGAGKSSTVHLIERFFDPTAGSVFVDGEDIRSGDV KLHRARLGLVEQEPDLFPGSVKFNIGLGKVGSEPTEEAIIEVAKKCELHDFIMSLPEG YNTEVGAHGSKLSGGQRQRLAIARALIRNPEILLLDEATSQLDAHTERDIRKAIAAAS RGRTTIMIAHRLASVQHADCIFVFEAGKIVEQGRHDELVSMGGIYAGMVAAQELD CC84DRAFT_524115 MIEHIKTDIVESRTLMISLMVACSRSDSFCRQWRSFIWYRYTMQ PSGQLALDARAERGWLQAVTHSRRKILSDDPVSWLASSGGIAVSRCAEQPLPQQARGA RNRLDRACEAATRPLYEEGKR CC84DRAFT_1173526 MLLQEEGSVSDLSVLHRHPESLPGPEYLHQLVRPSSATDAPAID FLEDGGQRRKLTYEELHTASDGLAQRIRLHLARLENASEVVPILLPQSPELYIALLAI LKSGRAFCPIGLDAPPERVAFILKDVSADILITKSTLREHLPSSSNTQALLIDRDDQA HTSGTASETRHQRQSRLAYVLYTSGSTGLPKAVSVSHRAVTQSLLAHDRHIPPFTRFL QFAAPTFDVSIFEIFFPFYRGVTLSGCARTQMLNDLPATIASLRVDAAELTPTAVSNL LRGRKSVPGLKLLLTIGEMLTRDVIDEYGGTDSRPSILWGMYGPTEAAIHCTLQPSFS CTSSLGNIGFPLDTVTAIIAAPVHQGEPTKVLKVLPRGEMGELVIGGPQVADEYLNRP ELTSDAFIHDPTFGYLYRTGDKARLCSDGTLECLGRMVSGQVKLRGQRVELSEIEQTI IKVDGCYSAVAMVIEDALVAFCATDPLTVSSTIVRETCRQWLPSYMVPIEFIFVDRMP QLPSGKIDKPALKAIYLDKKPRPTSSVMQSSSMLDDPVTSIFQAVLGRAISDGEDFAS VGLDSLRSIRIASALRETGLDVGSVDILTTNNLKELRDLCKGREKRASHLTEQSNMDS SRLLNFPELQSYRNDIVDILPCTPLQEAMLTETATKPSAYCNWIEVELFQPHSFSHIH EVLSTIVHRNEILRTGFYMANIASTTFLQLVWDSMQASTVIEVSTFSRSYSLGSVQSL LRPFNVQIIAATDRPRLLFQIHHALYDGWSFDLLLHDLNELLAGCPSIARPQFRDVVN YYLQMSNSGAQEKSADYWRKILHDFSPTPLPNFNGRTLPHSGLSYLRGEYMINMEFLS AAAKEHAIHPQVFYQAAISHVIGQYLGTSDVVIGTVTSGRTVPVTRVEEVMGPCIATL PFRTDLSCLTVCDLLQKTQQANRDMLQHCTLPLRAITKLCQLRPRERLFDVLFVWQES LVSTENGHFNLHVVDSADDLELNITFEVEPRDESIAYRITYDASRIPEKQIEYLAMQV QQTVRYFLANYNGKLKVAKGFLDDKALSIVNPEPEGGPIRHGPAHCVEMRAVEFPSKD AVILGSVVDGNMEITERLSYASLNARANQLAHALVALGAGNDQLVCVMLEKSIDLYIS ILAILKTGCGYLPVVPDTPTERFNRILADSRVKICISKLEYTKYAHQAALLVLDPADP KLAGYPDHNLTTSYNGEHLAYAVFTSGSTGKPKGVLVTQNNLMSNLGYLSTLYPYTES SRLLQSCSQAFDVSVFEIFFSWYVGMCLCTATKDDLFYDFEAAIDSLDITHLSLTPTV AGLVDPSRVPKVKLLVTAGEAVTESVKRKWAGKGLYQGYGPSETTNICTVKPCVTESD LINNIGPIFPNTSLLILEPGTDRIVPRGAIGELCFGGAQVFRGYLNRPDLNAEKIIEH LRYGRIYRSGDMGRLLPDGSILSAGRLDDQVKIRGQRVELGEITSVVLDHPHVKDCAT VLIQDRMAAPQDPNSARKASQRLVVFWVPAGDMHTEFCSLAPKAYRIKTSEIFEALNL QLPAYMIPTHIIPITRIPMTSQAKIDQRLLQSTFQRFESEDLELTSLGSGPDQREQAL TATEKTIAEALSRTMDLPLVDIKQNSSFFNLGLDSLSAIRFAKNLRDAGFEHVPVSLI LRNPTVERLSSILLEKRASGVVALAPDLGQNLANVFDSSQLSDIRSEFGVDRDNIDRI LPCTPLQEAMLSGAPTYPGELSQDPQHSVRNASYYNVMVFAVNGSLERLKECWEHMFQ RHDILRTTFVATDNAQHAFAQVVLHNMSVSWDQIEPSTDARAYARNVLSDLLQSHQPP VRLAIQGYGTSARLIFCCHHALYDGLAIGNLLREVQDFYFEKKLPAPVPYESYLQHIS ISDHEGALQFWRAGLANFEPTYFPNLSSKLLQPSHMSSLVQQHIQIPLSVVTQHCRKT SISLLALVQSAWAKLLHFVMAGTDMCFGNVVSGRTLLEDGLDRLVAPCFNTLPVRLNF DFQRSNAKLCKKLHAFNVDSLPFQLTPLRWIQSKTRQEEGRLFDTLVILQQPQTPLDN SIWTLELDDGDMDVPVVCEVNQDESADTLTLNLHYQLDICQKEDAEILAAVFDHALQS LVRYPESAAEDTIGFPDRLLGQSNMSSQPFYTPKGGLLYSAFEHNASKRPDSIALDFQ DASGVTTTFTYSTLNEKANQIAHALLHLGLKPEDVVPVHMPKSPEFYVCILGVLKAGA AFSPIHPDLPEARKQFMISELGPRVVLSFDTAIGWLHEAGIVVLDVTETANYPTQNPS VNSLRPTNIAYCLYTSGSTGRPKAVSMEHRAPIQTIESSRSLVPWTHNSRLLQYAAIT FDMCYYDCFLAWTFGFTLCAADQDTMLNSLADSINALNADLLDLTPSVAQSLKRVEVP NVKWLYCIGEAMSSEVVNEWAGACVNSYGPTEAAFCTTIFPTTPEFKTSVIGRPFPTT SFAVFSPRGDRPLPVLGVGELHIGGAQLARGYYGQTQLTEEKFSHKCGQRFYKSGDVV RTLGDGSFEFLGRADDQVKIRGLRVELGEINKILKESDGSIVAVTTQILKKESDEKDQ LVAFLVLQSPANESEQARVRSVAKQTAIDILPSYMVPQFFISIDKIPKSMAGKIDKKA LTSAFRVSIQADVESHDSEQDALSYQWTEREQRIREIFAKLSKTPHGDIKPWTSIYQL GLDSISAVQIAAALRKDALQASATDVMRYNNCKDLAAYLERSFDTVPTKLGHFDFDAF ERKHRNDIVKVCGIPDGQIEAIRPCTPLQSGMLSQFIAKDGEIYFNYLRLRLNENVDA RRLNDAWVATVERHRMLRTGFAHVKDSNTSFAMVHYIVSSALPLWHTDADDPRATEKW LRDSEQEAVTNLHQPPWRIRFNSVDGIAYLDVALLHAIFDAQSLQLIFNDVVAAYQGN PTATAPFEPTIEEILHLSRKDNTASNEFWKQLGQLATPTRFPNLTPLRYDPREAAVLT KSSKHTLEELNDGCKASNITLQAAGLASWATLLAAYTGEPTVTFGVVLSGRTTELADD AVFPCITTVPFACKITGDQAEALKNVMSLNAEIQQHQFTPLNEIQKLMGYPNEPLFDS IFAFQKLANTGDLDGLWALVDEKASIEYPLSIELEPKNRQLELRLTFMPHVVPDKQAS LILDQLDYLIERHVFSRKDDQDRFDPEVYSIIPAETPTIPSEVMLLHEFVEWTATKGP DRIAFEFATSLLHEHYASRKWTYAQLDGEGNRIANLLVSHGVRPGGLVGICFEKCPEA SFAILGILKAGCAFVALDPGAPSARKVFILEDSGAPIVLSMHAQSAGFKDDTEATVFN LDEVDWQSASAEKPTLARTIDPQDRSYCLYTSGTTGTPKGCELTHENAVQAMLAFRRL FSGHWDDESRWLQFASFHFDVSVLEQYWSWSVGICVVSAPRDIFFEDLAGSIRALQVT HIDLTPSLARMLHPDDVPTLCKGVFITGGESLKQEILDVWGPKSVIYNGYGPTEATIG VTMYPRVPANGKPSNIGPQFDNVGSYVLRPGSDVPVLRGGVGELCVSGKLVGKGYLNR PDLTEERFPYLERFGEKVYRTGDLVRILHDGTFDFLGRADDQVKLRGQRLEVGEINSV IKQCGIAIADVATLVLKHPKQQKEQLVSFVVLGSGTRGDTKIVLEATGELWKAKEACQ DKLPPYMVPTHFVALTTMPLSANNKAESRKLKGLYEGMSVSDLSLLSSGTQTKDEKWS RQEEQVRDIIMEALGIVGDNLGKDSSFFELGMDSISVIGVSRALKQAGETQAAASIIL KNPTIRRLTKALSNGSSDETDRGSVTAAQQAITAVQHQYRRVVAETLFMDTRNIETLA PCTPLQQGMIARALESESGIYFNSFRFELGTDVDVNKLREAWEQVFASTQILRTVFVN TDEGFVQAVLRNVDLSYHVDDLLDDNSLEDHLAKKKKEWIRLNRTNFKRPIEVFVVTT PTVQHLLVHIFHALYDGNSIGLVFKAVWDAYNDRKVQIGPAFHSALAHGPLRLSVNAR PFWEKHLRDHRLRPLPALDGRTDEGLVILSREIRDLHSLEDTRRKLNVTAQAIAQACW TSVLHQYLKAPVTIGMVVSGRSIDFEDADKVIGPLFNSIPYQYRARHRETWATLVTRS HEFNIAAQPYQHTPLRDIMKWLKRGPDQPLFDTLFVYQVAEEDEQAVENDLWQLQDSG AEADYPLAVEVEQSLGGMLTLTLVAQGHVADAELANKLLETYEAALRRVMEDPEAVLE TFVENDGDCASGKPTSNSMENEVESFDGTTDFSWSSHARIVRKEIADLSGVEVGEVSA NTTIFEVGLDSIDAIKLSSKLKKQGIELPVSGIMRGLNVASMMQYLSVGKEKLSSRPS DMIYQANKKRLKSYLRRRGDTEGVEDILPPTPLQGAMVAEMIASDYTRYYNHDVLKLR PGIDLERVRQACTEVIRGSPILRTAFIAVDDPSIDFSHAQVIRHQPHEFWTSTVVNTE PDFPTVFDSIREEATGKPSSQPLFHVRLIQSPRQTYLVLSIAHALYDGWSLSLLHSDI HKTYAGNFHPRPSYEPALHEILTATGADAAAFWRDYLSDAKQAILPRRADASLQTVHR NEQGSRLSLSAVTSFAKKHGITLQTLGQAVHGATIASYTKSLDVTFGSVLSGREDESR ANLLFPTMNTVAIRTILHGSRKDMLLYVQANFWSIKQWQHFPLQKALSLAGVHGGLLQ SLFIYQKGLSEDVENSEKLYESVQGRSDVEYPVCVEMEVIGTELIWRCAVKDEGFDET GAQELLERLDNVLEAIVAKPDAPIIEFTANGVSICDLPAYTNQEADDSSHIKSDIEQR QSDYSTTPTAGIIREVLAFVSQTPDDEIIPGMTIFHMGLDSISAIKVSSLLRKRGVTL SVGEMLKAGTVEEMARIADGKTPTADSENDDLNIIIQEALSGIDQASVLAKAGINEKD IERVLPATAGQIYMLSMWAKSGGAMFYPEFNYRIHGEVTFEALQTAWNTVLSQNSILR THFIVTNDKRTPYIQAIMRYTPMKITNGSDIDDNELAKQKLKMGLQQPYAHLVVSKPS PSTPWDVNLKIHHALYDGVSLPLIIQQLQDRSNSADNPTQKDILPLVIAKISGDSPME QRKAFWTNYLKEVIQNHLTQPSIPPTSRTEIFKLGLLPSVTALETQAHKHGLTTQSLF VATYAKIYARLTSTPSFSDVIIGLYLANRSLPIADLHEAPVPTVNLVPLRVSAPLETN IFDVAAQIQYDIQEISAPANASAGLWEIAAWTGVGVDTFVNFLKLPGSGEDVDEDAVK ITPVWQWSEGLSRVVDVERGVAPPKEMVDEVVNGAYLHALDVEATIRDGSLDMGVFAP QDMMSLKEGEKMMEDILTELEGLSKIGN CC84DRAFT_1256896 MALGTVLPFWPALLFTYLEPISLLLGWDAAWNSPSAFVTRQLPS TTLTPVPAGALVLSHTTGNIFVLLAALAILCTAITRDARVAKYYLLFVAAGDLGHIYS SYVVMGPKVFWDFSAYNDMMWGNIGVSAFLHVNRLATVLGVFGRFGGQMK CC84DRAFT_1161985 MSDLDREIIREQLAVYPDNKFGFVVYRLAYRDDSEWARFMDWLN RRVRQVLKNEGEDDLFTHIDWTVQEDTQLEGATTSQVRS CC84DRAFT_1086050 MTGGSGGGRDRNGGDSYRPGQQQQQHGSAPSRSDFTFTSNTQGP SFPPAPPPERAARRNGGRGRGGPSNMHGNRPATRSGGAPPSHYRGGRRNNRGGFRPQA AHERALLQTRNDDTEHFLGVEAKSNKFRDLAELSDDEEADMDVSDDSDKSEGEVVGAQ SKSKAVRTQSSSRADGDSVPKWSNPDPYTVLPPPEETTGKRIDFVKLIRKAKNEAAEQ SNGINAVAANDDFISFGDDDDFQSPAAPPSVLASQAEPLQGSLNDVAAASSALSADVW SRHAGDSANVPVRPPLPPSSLPARPTFTSSSASSSRKRKHPADYTGMKDVWQPKDHKE PAPWAYREDYERLRDQPEKLLHNEISDFYDFVAPSDHDNEVRIDLIRRVQSVIGGEFQ SHRGSIRCFGSFPVGLYLPTADMDLVFVSDSHYNGGPPKLQAAKNAMFNLAKKLKFNQ VGYETFVIPKARVPIIKFKDVATDLPVDISFENKSGLIAQSTLAKWKKQFGDHFTTLI ALTKQLLEMYGLNDNSTGGLGGLSICCLVASFLQLHELPENLGQTFLQFLDFFGNKFD YSRDRIVVDPASIVRKGRHGVDGREERADRLSIQDPNDPKNNISGGSYKADKCLELFS WAHRVLVERMDTIHMRTFHEISILESVLGGDYSTYMKQRERMRNIRTQ CC84DRAFT_1161987 MAHRIITQVVFTGVRIIGRAVSESYRQAAASQKYAAAGQKTGGG FSSSTITMDEACKILNVGPSKMGQIDEAFVTERFKRLFDLNDPKKGGSFYLQSKILRA RERIEREVQGFKQMAEREQELSEGFKPKWTKDSK CC84DRAFT_1161988 MAAFDTPWLEHMSTPSRPGNDASPQPTPDPNPPTYAAFSPSTLG QATPQTKLRSAILVHQKSPLLAATPPQITRVLAYSHPFILPLNKFVGLLTWTTGDPWE SFLLVAGFWALVLYGDAITRYAGPVVLVIGLIAGMYSRRYSPLSSTSFTGEKGQKGHS RAESETNIKHHKSLEEIVDSLKLFTSRCSILLDPFLRLTDFLSTQRTATSATTRPALT LLFVRILFVLPIWILLTLPPFYILTTKRIVLAVGTVVLSWHSRPARVSRAILWRSRTV RKICVFLTGLNFGDVDPEAKNKDGAPALPPRRKSAQEVAASLAAKRRPDSPGVRFTFS IYENQRRWLGIGWTSSMLAYERAAWTDEHLNPVPPKEDFELPDVEGGQARWRWIQGSE WKVDGAEKDAKSAKATSGEEAGWIYYDNKWRDGRRGQDGWGRYTRRRKWYRDAELVEV TPSTDITPIPTPRLDPIADAVEPSTPTPSSKRPAHSRSVSTASTTLTKVSSNPSTIAS LAASAIASTDTTVAESINPDHYTSDIDAAANKSKRGWFKKRGGRSRSRGGSDTTAATI ATSTSSNLSRRSDEDDVHTPLERTARDDEWRMGDDVRMQLDI CC84DRAFT_524239 MASSSRGMFPREEKSLGDKLFDLMRDPFSSQVAGNSIVAALGTS LGITAAIFLAFCILRPWNDIVYAPRLRHADDKHKPPPMDKSLFAWFRPVFKTNEHEYM HMIGMDGTVFLRIARMLRNMFICLAIVACCIILPVNLAKASDVGQDKSISGIIFTMTP MFLFGQPFWAFVVCAYAFDLIVCGFLWWTYRAILQLRRKFMESPDYQNSLHSRTLMIT DVARNLRTDQGIVDITDSIKATPDVPRAAVGRNVKDLPDLIEAHEEAVIELEQVLAKY LKNPASLPATRPLCKPSKKDPEFGGRQEKVDAIDYLTARILRLEAEIKNVRETVDKRD AMSYGFASYETIESAHTVAYAARSKKPKGAIVQLAPRPKDIIWKNLSLDAKTRRWRKF VNSLWITLLTVLYFIPNALIAVFLAQLNNIASLWPEFDKEYRSNPKTWAIVQGIAAPA LTSLIYYFLPIIFRRLSIKAGDLSKTSRERHVISKLYSFFLINNLIIFSLFGAVFSMI ANTVDLATKNNMKWYDIINEVHPLVNTMIALCRISPFWVTWLIQRNLGAAIDLSQAVN LGWGSFSRKFLNPTPRELIQRTAPPPFDYAGYYNYFLFYSTVALCFGSLQPITLVVTA FYFAVDSSMKKYLLLYVFATKNDSGGLYWRTIFNRLLVGTFLSNCIIALMVAARGGDR TMMLGAMAPIPFLLLGFKFYCKATFDSAIKYYTKGETPKGVEAPPPIDKESRRRDRVA VRFGHPALYQKLTVPMVHEKSKHLLAEVYRGRLDGDLGATAGYSDVYSMKRMSKENPG KAAASPGPFEFVSESNMDFENFKNRPEFADEAGGDTGSLYGPSTISRPSTPSTVMGGN ERGRPMSRGSDRDEPGVGVTYPAGYHSTPSNLREYSPSPDSRGFGRTVSNTSARDDAM LLHGAQPMGGHTPLSSAYTPYSPDRTGQGRTDYFGGR CC84DRAFT_1203464 MRLEFYDIRQAQKEENNLLNDFATMAEQMKLLVATRGSAMEALA AAHLEEVKKLKQPHAVELDAIKKQHKAEVTKMIGEQDYCGSAREMRTERRRKLRVSHN AAFASLPGNMVVKIKNVFAQDQKQKAELYCAFQGLEMHQQELLLEIQSRVSQEKTVRL TLLDCHADTLAEAHKLQLENAPKFIESCVTVHDLAQKYILEGEKQAEANDKIWEALKS QDNKTCAGTWRISSRTLLQGDLS CC84DRAFT_1203465 MPPKGRACYGKSAGPTQRKGRKSTELIANPMTREEVEVSQISPG QCIPIKVVFACQLTLLKDELGPSPPTRAPKPIKRILRPRIARYSGASKKCPLSKNLRE GILEALRSDKYPTSEGEHKRGTSVTTLDYTSEDDLNLVMPETNPRLVGNSDGKAAGRG TSGEQRPREQYTVNNAAQNHACTIRPNFTSRMHLTIVFAVAATSITHVATMSVPRPWI HEDAQSYILERKSSDAHPSSIAAVANDLKLTTGDAKKTIHLIRDTKIFEIPEDKWQQP PLLEGKGKGLQGHDEYLPPGPAPHTQEEIDAAAHYMSPDGAIDLDSNHADAKTEWQLF YRSADSAEGADGKRHRLHSHENYLEELGRNCRLHSHDEYLKDSKLDAAKSTKYTPGGT KEGTGEKVQRRCVWSKNCKEICAQGGVGGLIGCTWNCGGDANMPTCPE CC84DRAFT_1161990 MRESDSLTLENGRVLSFAIYGSPVPHTSVFYFHSFASSRKEAKI WHTAAAKLGVRLVAPDRPGLGNSSFQRGRTFLHWPKDVIALADHLKIRTFYVVGWSGG GPYVLACVKSIPKDRLAGAAVVSGLYPTSLGTAGMMLGLRLMMLAGPWMNGVVSMVTD IVVGKAARNPDPKVYEETLAKAIDGRPEVDKRAMLDEKNWPGFCEGTREGLRQGGQGT AVENKLNGSAWGFELTDLKTGEGGIPLTLWHGTEDINCPATMTMKAKELLPGAKLNMM KGEGHVSVAFNHQEEILRELIGLLD CC84DRAFT_1214505 MAHKDLLKKAFRNNFIRKSVADLKSLGAKEAHPKPRHTSSTNLF SRTENDVPDLPTPPMSTEDSDAQKVTEHEHKTDDSASSLVAASEAAKPSRENVAEWLQ TVPKDPATMSSTEIRVEMEKADADRKAQILRSHEQLLRDHRDLVVASEKMIVSHLDTI ETAFALLEIMDALSSHAEPMRREMLEKKQFCEKKLIDLSPVRSRLETLRAGKGYICLD AD CC84DRAFT_1214506 MTNIEYERTVSIRDLDDVDKVRVESRYALAAYLPLISRKSLEKI AISFSEWVKEKRMKEVRSIDVIHSQRTTGPDFAPKKDPSTMTAEKRSCYIREMD CC84DRAFT_1256905 MSWTPENDRLLLLKLIETHGISVDSNKIVAAWPDGGTKPTARAI TERFVKLRQYDAPQQAGIKVSITPGGASGRRKAPVSKYATPKKRKNKDSSDESDADDQ ATENESPTKKQSIQRFSGGRGRGGAVRGGRGGRVGTQNARSPAVQIKSEYSLSDLPPD PLDSVDTFARDAAEAAELRHTSQQNPFAGFTSAEMNGGMNGGFQGFDTSNVHRGLDGL SMTNGFAMDDPFTAPTHPSHGFHNDMPTFANAMQATGGGAIPVSRNRSTRTASVQASE GVAAVLRRQKDMDKADGEKSSGEDTQASEYYDLDNDYI CC84DRAFT_1140333 MAADSYTLGIALFAAIGTFLFGFDTGIATTTIAHQSFLDYMHNP TKAITGAVVAVYIAGEAGGAILQTLIGDQLGRIRFMQLMCVIVTIGTVIQTASINMGM FLAGRVLAGFAVGGLVSTVPIYLSEISAPQTRGMIGGISGCGISFGTMMSNWVGFACS YAPYGPQQWRLPLGIQIPWGIIMFVGLATFMPNSPRQLIRQGKVELARSQFARIRRDL SLHELNEEFALMHAQIEYEMEREITSYLEVFRLYRHRALVSIAVQTMTSLTGVNVIQY FQTINYKSLGIEAHMILALAAIYGTCAFVSNAITTRYLTDQWGRRKMILWGLGGIVVI EIYAAVMQREFQDTNNRLGKGFAILGIYLFVVWYYGLLNSTTWLYGSEVLPMALRSKI MGCAAASHFIVNVAITEAAPTAQAKLRENYYYVFVGCSFFFFIVAYFYFPETRLKSLE EIAAAFGDEVVLVDEHTVEAEHQKMVDERREEGFVERTP CC84DRAFT_1087329 MPPKPASLRTLLDLTSTTLALLTHFQSSLSPTATADASIPPPPN PLQALKACTSLLKSHTTTLSLLLLTPPLTPSAIITKIGDVSSGPLTGLVGAAVAEGEL GDLMQAEVKVQVRRLLGAWGEVVGCVVRLAERRKKLEDEGGAAQAKKDDGPTEGERQA VLAATGVVWEACDALVKLCDGGIAGLVVRKAEEWRSVLLDAVEELKEWGDDVDDDDAE EGGSDGEFGDEDEMFGAANKLGKEDKELKEVLDKSVKKLKMVGVLYQALIKRRLKTFP SISPATAASAKGHKNPMTTLEELMKLIKSMPEQVDDLASAFYDLDADEAKTMLDKCCG EAKSAATLVKQNWSGNDDEFTAWSTKWVDALNAP CC84DRAFT_1173538 MSFLAPVVSFREHGVTPRALQVCIASLEVIGCNCTIGPHCDHFN CFAIPVFQHLSLAAASVLGVWANDGCRRASEPASIIIRWYQICYNTLAPVLFLMYSLV MVLQPWNGAKYYRMTHLVEKCCINSAVQSSRLGLGPHCGEATHDPLSLYNFQFEIEMR TETEPTLRIFEAGIPTTERQKVEQGGPQHTRHTAGDGCPSLGVRLDSRLLQVTCVQPL CGQTAAGEESQPGDVRGHAASVEGSRKFSLG CC84DRAFT_524414 MNRFLNRRKDKKKGEPEPKPELNIATALPAADDFRTSLILPGLS TRFSMLREQDDPHSKLGKASDDSVLHPKRQSRLHEFGFAPGGLTDIAEVSSLKGSLKG SLSDSHGPIRPPFASERSGSFDSQATEEGGSMMSRARPGEGNVLFGGRQKIYKIGGSG TSSGRLLYDDDVHLSTFQKMRREEKERLAAQQDDETAEEPLSPTKDAYSPSVEGFNRR RETSSSTNSGSNARISTAATSVASQGANSVAAGPTSPTEITRSTTKARRLYEQGLDQQ MYEQQSSAMNRLNSIQRTRAQTGRATPPLLFTQTRSATNLSDRFTKGGALRMESPTFQ TNPGFPNGKDSPTNASSPILSRPQSPLLTSPLASDSEDAQTLNTALQPQDRGKATAMG AFNKPKAAFSEEQYRERLRQMQLEREASAAAKLDNPRKTSADHVDPILPRKPSATERA DMEIPKKPSLRERAELERRKRAMSNTTTAGAAMERERSDSSAKPKDAPSPFSVFQQAA SQMKAVPATASSTPSLSPHNVQSPDSQQGATFFASAGSSEDEGDAPQPGPKSMERGRR LENLPVATRPAPPILEHPALRSRSNSRPPQESEHPALRSRSNSPPTQIEPQSSPNPQA AQDPDLDSPTLGPNNGGLSGLIRQHLRNVSNVSSNYDDDRQSMMNPPPLQTQNFGLGL QRRQPQKETDTHSNSTYNHSNPWDLDDLESNPFYNERASMSSVSPVDAPKPQSQAYPI SPMSPLSPDAPTFAQEEDKTHHRGRSVEEHEAFQRDLALRQRAIQESLRARAEGRSTS PAPTPAGHQGGLKTALNMLRAKSSRESFATVDSHRNPDGSLKSIKVLGLGANSVNASS TSLAGVPEGSSVRHNMRSLPYSDRGQGEPRQRSATGDSTRSGPLQNRSPPTSSRGSGR DRSSSEVSNGRSRSRPPQQYRDDLQQAMGEGIGSRSAYYPPNSTPSMPGYSVNATQPL PAERSSPDQSSKSRSRSNSKKHLKPIQTGNGMPGVPGAHLSPGGRPQNSPGLPASPRP SPGGPSPAFRAQASPLPAASAANTPPVSNPGTPDKAAFNPDARPPPMAKVGTLRKKSI AKADIGEPVFVSTTSVIDLVSLPPGASLKNGMETAPPPVPPINPRRRFGFGRSENRDP GVHAGLDSPNAPFAEMRTNSYEGLTAASSRPALRKTMSEGRSLHENAQVQSLNSPPMP AGPFGARKASPPRPINGAPAAQRNMDGAMF CC84DRAFT_1203470 MRFSLFALAAAATLAAAQDLSDIPTCALSCFAIAVPASGCSLTD TTCQCTTGKDKIQESITKCIPDKCEAADIAKVAPAVAKLCAAAGITLSDLPTGTAVSA SGASNTASATGSASKTASGSASATSGAASSTASGAVQATGAAVANGLGLGAVVFGLAA AML CC84DRAFT_1140343 MGRQTLSSIAVLLPLLTATANAASEAPRPRGVGPDFAKYYKDAT SFACISNPEIKLDVSRINDDYCDCPDGSDEPGTSACSYISPLSPKQPSRYDPTKINDS QALPGFYCKNKGHIASYVPFTNVNDGVCDYELCCDGSDEWEGVGGIKCEDKCAKIGKE WKKQDEARQKSLGNANRRRKELVAEAERLRKEVEDRIQTLQAQIKGQEVKVEALTKTL AEVERSEKGKVVRGAGKGGKLGVLSSLAKDKVNELTDSLRTVKDERDAARSKITELEA ILKRFKEEYNPNFNDEGVKRAVKAWEDYAASQPIATDDSARDRDLEDLLNPASESAIK WDDFEDNEESDVELLYKFEEYLPTPLRTWVDEKLRALRLTLIENGILADTTASDAPES KRVTDARDQLSSAQSALDNDRSELTKHTEDLAKDYGPDAIFRALKGVCVNQDSGEYTY EHCFLDKTTQKPKKGGGHTGMGNFARIETITVDEDLPADGKGVGSGERYALKYENGQH CWNGPNRSTLVVLACAEKDEIWKIVEEEKCVYRMEVGTPAVCGVKEGVQKGAPAHNEL CC84DRAFT_1140344 MEDEFDWSDGPINSPSLRAVDTHGFSMGFDLPELSFNALPHSSE PRLPHRDYKYPKAYQEFVELPVGDPFNPYINGSSHLKSAVSPFKRAKIGVYTNRRKPS REDYSNFVLYQASQRVYEATFLKNFVAHALHPNRFDACFSAQDPQESVGQYLKSVADN VNKLATKIIWNTHSRTVNFMANDEKETTVFWDFQQFENQPFANFSPGNTFPVLRLMNQ SWHRAFSHPSMVRYSAEQYQIGPDASPFEEIDEVTGHTKLFEGESIAHTASHDRVVRA PRMKKPKISPLPGATLRGNPTTPNDDSLQGLLRYGSPSSFRFSEDTEVPITVYSTSQD MLPLEAIPASDACASGAKSVWGGASGGIVGSCPIHENSMPMNDDAEASTVRITRTPAE EPTCPESLVALQGSDALWKGSGQSSSSEDTTSEDSRKRTLWRMVLIQDHKNRLAERWP QSQQRLDAALDFNSCVERAIKNGDLKPLGAGLR CC84DRAFT_1161996 MDFYLPSRSHFFQPAPGVTWMPGRPTGKPRRCGHLSHNSIAKDA YWEAITAGATADEALEKGIEAVEAFLRAKREKKEKKEPTPEPTPEPVDLGPHPSGSKT MEHGQDLPVGAYWKKQVRYDEFAWRCDINHALGRYYLAGDVKSCPGCGSCRSGPGQHP EMDFYLPSGTIARQEAPGLVNYKPRKAYKLTKKSKKAKQIVTHNQFCSKKYWELIEEG HEHCEGGVNEDALALAVKMTDAYVDAKVAAMQAKLEESGSSDEERPMAKSKGKRKQSG KHKEPQSESDSSVRRGRVGAGVFSTTSSTPLVPRKRGSEELNDSELDEVTEYESTKDD EEVQQGTISLSSDDESTSDSDSA CC84DRAFT_1239013 MFRDSFLYAWAVVQVCMRVAHALDCHSLIGLTISSPSDVAALNK CTNITAPVTINSSAPPDIQLNGPKALATLNATAAKSLHSISSSTLVEIGSITLTDLPT FHSLNFSALIVLDALALENLPALDDVTFGGLGPRSVVSVVNTALESVEWVEWPVSTSL NISSNADLEEISLPWDSVDGAVSISNNEKLDRLDVRGMKSITGSFTVEENDGLKTLAF GSLESVKGDVQLSGSFANISMPMLKKVTGTLAISSKEDIDASCAEIVKVDDSGKVNCT PNAQSHPPASPTPSNSPGNPPSPSSSATPDEGEDTSDLATGAKIGIVTAAVILGLFLV VGGVFFFRARSRGKVREIVISAPIPVPPHTTSSGQSVKSVGSISVGEVERAEAKVVGR SAGGLKLILNGEEMREVDMAGARVEGGGVGMEREASLSSVSSMGSKVPLVRRA CC84DRAFT_1061089 ISIGKGAHLEIQDKEVLPYKLVRNLGHGASASVELVLDQNTKKV YARKVFKNVYGRTLKDVKQHFRNEIRIMRRLSSGHHVVNLYATYLAGRELALILDPAA DGGDLAEFMQGYDDLQQELSDSRKHSGTVHSNGSDLHQIVQLERRRIEMLTTLKRAFG CLASGLEFIHKQTIRHKDIKPQNILIHQGNVLYTDFGFSLDHSTRGRSTTTGRPNAFT RRYCAPEVADHDRRNSTSDIFSLGCVYAEITATLYPGLIPER CC84DRAFT_1114115 MNSTIVQQKNQPQTPGRRSSIGSTSSAAERLRWRNHPEVTSPGF DRSNPFEDDHVAGQDSFPTISDNDDFENEDSAKDHDYEKQNSRRDNQIERGAHERGAG DMGVLQGTEKESDERRRLSWRERMRHFTWTWFCMTMATGGIANVLYTVPFRFRGIYAL GCIFFILNMVLFLFNTIMISLRFYWFPKTFKASFLHPTESLFIPAAIISFGTILINIS QYGVDQTGIWLEKTMTILFWVDCGLAISFSVGIYLIMWSTQTFTISQMTPVWIFPAYP LLIIGPHAGNLAPKISDPNRALLVILSGYVIQGIGFLVSLMIYSAFIYRLMTQKLPKE SLRPGMFISVGPSGFTIAGIINMGQELPKVVPDDFMGKGMGELAGKVGMICANFMGLW LWGLALWFFLVSVGAHYSCVRRGKMDFAMTWYSFIFPNTALTTATFAIAKALNGNRPI QYVGCALTIMVIVTWLLVFGMMFRAVKIHQILWPQKQEDRTEGGWKQQSAEEQRRRRY EGGLDGTEEGRSRGWSMVRTLSKRGRPEGEARKRGFSFRREPSSIT CC84DRAFT_1173546 MISLLRRLTLAAAFHISVSSTASASSDGTKYMVVFGDSYSSTGF WITSGYPSASNPMGNGGYTTSGGLNWAGVVTEQLNTSLLLTYDFAVYGATVDTSLVRG NTPDVKQQVGYFNQYLAGKPNYAPWTSDNLLVAIWIGINDLGNPFWDGITPPIDKVLD KYFELVQNLTDSGVRQFAFLTVPPFQNAPSFLQSDTTKLVNSISSWNSALKTRTTTFT SANTGVTAQVVETEKSFITALESPSKYGAKDAKCSNADGTTCLWYDGYHAGKAIHKLA AQAFVNALKGSFF CC84DRAFT_524569 MSCSRLFTPLKLGDIQLNNRVAMAPLTRFRADENHVPLPMVAEY YAQRASTPGTLLITEATFISKQAGGYPNVPGIYTQEQIESWKRVTEAVHKKGSFIYLQ LWALGRVASPAQAEKEGITIKTSSPVPLGEGYATPKEMTIEEIKETIGDYAQAAKNAI EAGFDGVELHGANGYLIDQFIQDKVNQRTDAYGGSVENRSRFAIEATKAVIDAIGAKK TGIRLSPFSTFQGMKMDDPHPQFKDVIKKLDAFNDLAYIHLVESRIDGNVDIDPNPAE QLQPFVDLFRNPVLIAGGFKPDSAHNLLDKQYPNKDVIVVFGRYFISTPDLVYRLKKG IEFNEYNRDTFYLPMKAEGYTDYPFSKEFLSAQA CC84DRAFT_1173548 MPPKRKRAEPEEQDEEHRKPTYASEVDWLACLTDPSRDVSTSTE IAKEETNTALITAACKLTYVLYHNVQAQECLATILQSLNKSGTEDDAELTRVAKSSQS AWKAHVVNKFLIPHVKEIVRKWCVARPYKNFGSLPASDRIQLWFKVYDYDPKSTVVSM WKPVIGVLDLGLIFRTDLPPEDDVKMKAVRQMLRHKYYFGCECTYKYSVADPKKAEKS KTLQDWASYAKYSDYASGIVPFADMPISPKTLAIYPSEAPAKKTKVTLADGAFGGASL NSAIDNSDAAIVASEISDNSSARCHNTGVTSSFQSSLPNSRTISREPSLSALMEQEKP TEPLTYLQELINGPSSGAGLARQGGQGAACLTKGFAGTKGLLVFQPTPKPTPRPKAPM TEAERGFNEFFGVPNQASIAAPMILEASSPAAAPEPEVDEDLL CC84DRAFT_1184999 MASYAKAAAYLALVTHLITIKEYRGIRFAEPPIGASRWKAPQPY NPEFPTDIVVDATKSGPPCVQGYPQWTISGPVQAAGSEDCLMLDVYTPGTATKKSHLP VALSIPGGGYVMGYAGQSSPYALMRHSNNAFIFVNIQYRLGAYGFLGSARYTEDGGAT NAGLLDQRLALEWVQEHIEAFGGDPSKVTILGGSAGGGSVTSQLTWKGGNHTPPFRAA MADFPWWQQFLREEQLTKQYDNLLEAANCATLMCLKALPEDILKEATQATYISAYADK VYGYGNFYYGPYVDGDIIRDLPSRELRAGKFVKVPTWVSREGYEGFTFSNQSMTTTEE EVKDLHTQFPYANETFVDDVFGLYPRDQFNSTFWQRSTWFGDFSINCPTYYVASSLAS SKVPVYKQIFNVGTQLHGATGPYLADLNYASEPGANVMSVNFTELGAVDDTFYDDTQR CNFFWEKEETVQN CC84DRAFT_1214520 MDTQVGEDVSAVKPPEAKEKKCVTFAADVEERFEPRTCYMFDRN GSHYFPGKYAPESKSAYINTSGYELDMASIQQLKIYVIKHGPGLVSPHKYEEGLVGLH PLWPQLRDFMKAEKDGEMDEDKDGIVVHHNNM CC84DRAFT_1140357 MKVISFAALLALANASVVTKRASPLDVKLELTGNTNVKAAITNT GSEAIKVFKTGSIFGQHATEKAQVFQGSSKVDFAGIKVRVTTENIPEDSFQVIAPGQT VETSFDVAELHDLSSGGAFDIASVGAFSTAAIDSTEITGAVEYTSNTLTANIDGAEAE KVRRDFIKRSAVQSDCTSSKRTSSVNALANCATLARAAASAAASNTAKVQEYFKSTTS STISTLQSVFNKVASECASSTSGVSKTYCTDVYGNGCSSNVLAYTLPSGSYIVNCPLY FSALPALTKSCHAQDQATTTLHETTHLSQIKGTDDLGYGYAAATRLSTSSALNNADSY ALFANAIYAGC CC84DRAFT_1085826 MNTFKTGQRIQPDADSIRALPPLAEVELTTIQDVPQEPISDKLN DPYLVAFSPNDASNPKNWNSRRKWAVTDVLSATGFNRIMVSTIMAPALPLIAQEFSMN STETMMSFSSYVLATAFGPLIIGPLSEVYGRSPVLHASNIWFLVFNIACGFANNKHAL IAGRFLAGLGASSIYALRGGVLGDMFTAEQRGKSIGVYQLIPLLGKLLTSSTGAAVGP IIGGFMAGRATWRWMFWSTSAFQAVMVLVSFTVFRETYAPLILKRRAERLRKTTGDHR YYTALERMQEKKTVRQVITQALSRPLRLMLHHPVIQVAGLIEAFYYGILYLLLSSFAD LWTQHYRISTEMSGLHYVAVAGGELAGSQLGGYVLDAFHRRLRAQNSESTPDPEHRLP LIFPGQLIGFVGLLIYGWTAQYRAHWIAVDIGMFVALFGMQMSGMATHAYVIDAYAEH TSSAASATQFLESLTAFLFPLFAPSLYRSLGYGWGNTLLVGVGLFPGFPMVYTLWKCG LRWRMVSPSTE CC84DRAFT_1239055 MACPKKGLQAASLWPDGGDLEFIVWQKKQSVKVSPLKKYISGAE EYHRYMSRNRATSTGSFSISSLIAFFLRATLEPKQPECGHELHPSAAVETFCPVCQVD HCIAFLDAISEAWEAAGGPFRGDYEPKSYSHRYINLRRGWRVARLELINLVAKLEKQA IMENRMDFYTLPAETLDEPDDSLPIVYGTQAALIRYRLAYKYPAVNVTRQIEDDWHTP GSSLRQKKEVHFDKDLVDGSTRKYESFNRKHPDYVRGPHSVSPGSQFENTTCTHDVEV LVAQSKIFFTSMLGFKVFAEKPTHWPEFEGIAGCHPRWEEILVSLGTYAFWRSRRKFG EWEKKLESSGVDGIAIVENHGRIVDFVVLKAPKENLHNPIKRNANWVSLSDAESEERT FVSVPGVDR CC84DRAFT_1162003 MHLLAYLFASLRCHHLPSSVISPGSIETQRHTTTLLAFQGRIRV HGSMSDICSTTEMYARMTEVAPSARNVPVSNDISPLLTLSSSSKRNLKRFQSLCHRNS RVVRE CC84DRAFT_1256922 MSGPQEIRRVRRVVSPHLDIRATTAKPKFSQPTDVIALTSTFTP PSSCFENLLTMLPPASFIWHNEPVPVANMTVAACYPTEFLESYTSVETINPGGTTIGS SVVPAMSPFVCPKNYCTMLAESRNYIACCPSSYQFHPPDTTVDSDRPAYGGTCYSDLP AKTNVPVIAYDEDGDTASKAFSQSASGGQAFAHPIDGWAATSPTIIGCPTSSASLASD SDGAAAKSSSSSSSSSSSSSSQSGAGVNNTSPIPSTTASSDGISTGAIVGATIGGCAA LALLVGLVWFLLARRRKNNTIPKDAHQMADDFGGPKTYYRSEGPTQFNSHEVSAYAPE KAEWRPSQLNGAHGIFEMHAVSAQELPADYAGKMGDAKVMAHYAR CC84DRAFT_1214526 MLPASLILTPREQKQYRRYQSQTSTLAPKSQGQLPTAVEYADFQ SWLAKRDSGYLSDTPLADIAYYVERASNKGEEQGKASVCGHALHPTHKEKAERCPVCT VEVHVTYMKVLTDALEAAGGLVQQRWEAVDNDCPALQAWYAGKLAFVKELGLLEDLTL HERAYSNTLSSPVTSEVKTATEALEMYWNSMEANPGMMQSPRQKKACTVVFCPETSFE RGRDQLYFWRKSPRYEAGGKYSSSNDDEDGDEEDDGEGQDQSNEAFTNAQKAIPLRPG ADDKDEECDEVASDLDNDDDSEEEEDEDEDEDDEDVEVEGSFVVFEYEEVTVQGAEFI VFED CC84DRAFT_1162005 MSMKCLYMGVWRPNDGGEPVELAHQRELSSFNRFTRGSIQEFLN LFVATAAKKTPPGKRVAIEEDKIPDYLIHTYNNSRGLCGVVVTNKEYPDFVVTGVLKK LTDEFSTKHAASAISSATANSLPYPELKDYITQYQNPQEADKILKIQHELDTTKQVLH QTMESMLERGEKMDQLVAKSNDLSASSKMFYTQAKKQNSCCSVM CC84DRAFT_1162006 MSPSVASAQAQWLEQLEAMRKAIAELNLPSDDKTTPAYGDDLDI DEDDFSGTASGDDIWDVLSDEYGDAYSSDQLDPIATAQPTSLLHDQLWLQEKCNDVAR SSSGLDATALKEQLSAILASDSDDDELQMTLAEIVGYEQLDLVADLISHRKEITQSLR DGSRNNGVVGRLQTRAEREEALRQQDWEHKNRGLAAAVDRKGPQYPHVYRAHEAGNKL SSLGKKYALPAGSTHVDEKTYEEHTIPAARVGTLGVGRKLVEIKDMDGLCQRTFKGYK SLNRMQSLVYPVAYNTSENMLICAPTGAGKTDAAMLTILNTVAKNIIPNPIENPDATD FTVMAEDFKIIYVAPMKALAAEVTEKLGKRLAWLGIKARELTGDMHLTKAEILDTQII ITTPEKWDVVTRKSTGDTELVQKVRLLIIDEVHMLHDERGAVLESLVARTQRQVEATQ SLIRIVGLSATLPNYVDVADFLRVNKMAGMFYFDQSFRPVPLEQHFIGAKGKAGTVKS RENLDQVAFDKVADMLKLGHQVMVFVHSRKDTVKSARMLFEKAVDAGCSDLFDPTTHP NYENAQRDMKQSKGRELRELLPKGMGTHHAGMPRSDRNLIERLFAEGVLKVLCCTATL AWGVNLPAAAVLIKGTQVYNAQEGKFTDLGILDVLQIFGRAGRPQFQDTGIGFICTTH DRLDHYLKAVTEQQPIESRFSAKLVDNLNAEISLGTVTTVQEGVQWLGYSYLFARMQK SPLNYGIEWNEIRDDPQLVMRRTKLITDAARVLQQSQMIVFNETTGDLRSKDVGRIAS QYYVQQTSIEIFNTMMKPHGSEEEAFAMVSMSGEFDQITSRDTEEKELSSLIENEHVY HEVKGGVGTPHGKTNVLLQSYVSRARLEDFTLVSDTNYISQNAARIARALFMIALNRR WGYQCQVLLSLCQSIEHQVRSDSHPLHQFDLPQPVLRQLDWKYTSIEALRDMDQREIG DLVHNTRMGNVIGRLLDNFPTLSIESEIAPLSRDVLRIRLWLTPEFKWNDRHHGSSES FWIWVENSETSEIFHYEYFILSRRKLYDDHELNFTIPLSDPLPTQVYVRAVSDRWLGA ETVHPISFQHLIRPDTESVYTDLLNLQPLPISVLKNPILEEVYGQRFQFFNPMQTQIF HCLYHTPANVLLGSPTGSGKTVAAELAMWWAFREKPGSKVVYIAPMKALVRERVQDWG KRLAGPMGLKLVELTGDNTPDTRTIRDADIIITTPEKWDGISRSWQTRGYVRQVSLVI IDEIHLLGGDRGPILEIIVSRMNYIASQSKGSVRLLGMSTACANASDLGNWLGVKEGL FNFRHSVRPVPLEIYIDGFPEQRGFCPLMQSMNRPTFLAIKAHSPEKPVIVFVASRRQ TRLTARDLINFCGMEDNPRRFLHMSEDDLALNLDRVKDDALREALGFGIGLHHAGLVE SDRQLSEELFANNQIQILVATSTLAWGVNLPAHLVVVKGTQFFDAKTEGYKDMDLTDV LQMLGRAGRPQFDSSGIARIFTQDAKKDFYKHFLHTGFPVESSLHKVLDNHLGAEISA GTIATKQDALDYLTWTFFFRRLHKNPSFYGLEISAEEHNTIAAQTMANDYMINLVETS LTELSESSCIDLHGTGDIDSTPLGKIMSYYYLSHKTIRYLVKHAKREATFAEVLAWVS HATEYDELPVRHNEDLINGELSKALPLKAETHFDLPLWDPHVKAFLLLQAHFSRVDLP ISDYVGDLNSVLDQSIRIVQASIDVLTELGYRSSTEMMATLLQAIKSARWPTDGPLSL FPGVDPEKEKQRLEHKQAAPKTLVEASTSPPAVLEKAARFAGVPNAAVKRFLEPVARL PVVKLELASLTAMGVTVALTRVNPARMANGGVRIFAPRYPKPQTEGFFVVLSYAGTDE IVALKRVGWNDPSKNHTNNRGRGGRGGAAAGRNHAGAGGAKLHGQAKMNLPREAQGKK IDVVVLSDAYLGMRWTLGGVEVPSAPEVDDSKGKGDKGELDADVVRSLGAI CC84DRAFT_1214529 MSSPVDYSFDGFLRLLKQENAREILLTLVQSPNFQGLNVAPRLV APVSQNLTADLNLALATAASTPLVQAQADKNTRDVSQVPGALNLEEMDVPRDDDSRPD IQPLSSPVPQSSTADADSAPFNAASVPPQPLAHVLASTLPQTPANPGPTPLAQAAPTV PTAPRPFSAIDRWEEEQREFRRRQEEAIEMVNDISPPPSPVSSDSSDDVVWDNRENMF VPKYEKDSAKHPLISLDQSFTEGPFRPAIDYELHKMRKEDRAREKAERKIQEKNDRER PIFDWLLMVGRKKESEPEIPNLASIKSFIYETEAVDSFIKEKRKRKDKADIEEAAWKP SMPPYKRRASPPKTSLEAEKRNDNGIADQAGEGQGNKVPENTGKQQVKEPLRGKADVG SQPAENNPSIYMNMTLEHEKNELKRKEMDDGDENESSPQPPKKRLKKVSFAETPTEVR TIPEEEVTVDEDSYPWQESVTTDISPEELYEYSDDELEAADGLMQLSRESTLQDVPSE LGNGELSAPQAPQQPWQPPPEVENLRLHEANKAYGFKATNIYPPESDLVKVAPLRTQP YVFGGVREENPLKRKAKGEAGAARPAKVTKTRPWKDKAITEPVRRSRRIQAKVGPFED DSSSVVDGRGGPESATPTQALNSGFAPAVGACPQPGTTPEGASSNQQSDSPNPNTSSE TAGTQQTTEHSEVVQKPDQPMKLSIKLVKAKARVSETAVVQPSTASSITAREKPGKLV LKLKTKTEAASLPPAEAAAEGSSTHHQSGTTAAATVPDLLNSQPTPEPLVTVKRRPGK KYLMVKIKVGGANLERLMRQ CC84DRAFT_1239072 MNPFEDEHDNNPNDERLDRALADRGAPLGNVKELSPWRLGDPPK TDQEMLEAIFYPNGVPKPEMEPVSDEIINARLAAFPSAPKKDVASGNDNDAKHASWVA LQAAPAVPKQETPLDHTTGLNPGEILGTGAGITVKGADTNVFPEPAPLPSTLKTLDTS YPGSPNDHSPGKRTTSATEPPEHTAEHDSYKEADLTYKLFVMSTSMIQECDGTITSPI PDVGDEVGDDNSPLINLPDSPAASSASDSTKRNDIHSVWSGTQTKGLSAVKPRVKEGK NQAYPPIPVFDGNSTEIQASKKPVFLSITTKKDLNHSVWSETPVKLSSVEKSAGMTEV NWLKTLDGVTTPEYDGEWEDSPSRGPVMTQKLLEGPAVADPVSATTKTFDIRQASVIV SDPTVAKPAVSALEEQLADNLEEIELDSDLESHVQEVVKKPVQKRTTKLSVAAAVKEN IPEGSTTVTLRERSTGARQPLAPKTPIASHPYSQNTVNAKFIPLSKLETPTGASPMPT FTQVKAQAGRNLKRGSARAPAQPKSSKSPIDSDENLVDGEYADVSMDMLDYEMGNAGS TDYPTYHFPGTYEAAKYDTIEATKNVSSAASSFSSALGMAGVGKAMWSVGTLVGRGAR GTAKVIATTATMGAIKLGYKESLPAHVAQWAEETSLNEARKAEKKKPVYKMADVQYNK GDPRNYVLNKDRSIMQDKSVDIDDDLDEDDWAMVNVKDGAEEPLEQAGGQLPTENQDG PRDLYYESIMSAVESTAMVTVGVASRVGRNVSRVVRTVRAQPHDYFEQRKIAENWPKN LAELEVRNKVERRQSIPRHLDPDFEKVRDPRYHKPLYKRATVSDPDDVQDDFEKMVLP DSDDELKD CC84DRAFT_1173560 MILIIGAGMAGVTLARFLSSRNIPFRIFDQQAEFKNQGFGLTLR ADTTQKLLPLLGLEEQEFGTSVAVDRRTGRTNTFLADVETGARFAAASFKEGASARDW RTNRERLRGAILGDVAGRVEYGCKMASFTRTSSGVRVVFENGMDVEGTVLVAADGVHS SIRSKLLPQCVPQDWDGVMLNGTCRFGVKEWNQKIAPHIGDVAVYPGFADQKVLAITI YDADWDLVEGYVDVSWGYSRRRRVGHDPLFVRYADRSFDKAKAPEAFWDEIAALPAGL VEPFRTVFEGIRERGDRTIHHQLVSLLVPKEDLLEKLHSDRVVFIGDSVHDWSNHAGT AANAAIQDALALGEVLDGKKALEAYYEERYPAWLGSYDKNGEDFQALHRPLKEWKALL DHQKMDREKAQMYFHTQGIVRRRIKEHTICPGRCLTSLHRFEIAYIIFILIPFKE CC84DRAFT_1087245 MVSFWPFKQSSEDQAYFEKTLSTLSGKITRAAARNDAARQRSRR IRVMWTLWAGFAYIFAALFWSLVVGYKNWGRTEYTAVAGGPVLIYIVRTTTTRFYDWR ISSTEAYIKNLSKEREATIERLKESTKWNTTQQLLEKYGGQPQKPKAPADKKDAPQKP APAPGPRTGIAPPPTANIQRPSDKRPATPQQPVSATPPQNLSHPPALPSSETLSAEFA PNAFSAPELTRQYTASSAATFTQSHWYDRILDALLGEDETQPKNRIALICTECRLVNG QAPPGARTLEDVGRWRCGGCNAWNGKEKVEEVAVERLVQEWEKERQAKEEGKPIESSK NQEMEDVITLSGGDEGSGVDVADESVDEAPVRERTTRSKSKAKGKK CC84DRAFT_1162010 MSHEMAIAAYRNLLRSTRIAFRGDTRMLSAARLEVRKNFENNRG LATGSEEYSKQITHAEEVAKFLRENVVQGEAMDTEGSYKLNIHEHTERGDNEDIKKGK GKGNTLAGTKCCSA CC84DRAFT_525565 MPPQIKQDLNRSGWETTDFPSVCERCLPDNPYVQMLKEDYGAEC KICTRPFTIFRWKADRTARQKRTNLCLTCARLKNCCQCCMLDLSFGLPIVVRDAALKM VAPGPQSDINRQYYAQEHEREIEEGRGAVEAYDKTDEKARDLLKRLAQSEPYYKKQRR QEAEGEGAQKALPAPGGESSSAGGHTPGPIRTRDSRGPASGRGGMRPGRGGRVGGAPA APSPEDWLPPRDPNVASLFVTGVEDDLPEHEIRTHFAQYGKLRSVVCSHRAHCAYINY VQRKDAETAAETLKGKVVIKGCPMKVTWGKPKQLDSLDQNVRMMYAKEGRQASGSARR AQAAIEAAPEASLDSLAAIAPPPGADDEVNYASLAGN CC84DRAFT_1203490 MSPVALEKEDHSRDANFNKAMHGKSAQAQGGMAAMLKKDKAAQQ AAVDEYFKHWDNKAAADETEETRKARRDEYATLTRHYYNLATDLYEYGWGQSFHFCRF AYGEPFYQAIARHEHYLAHKMGLTDNMRVLDVGCGVGGPAREMVKFANVNVVGLNNND YQIERATRYAEKEGLSHKLKYTKGDFMQMSFPDNSFDAVYAIEATVHAPSLEGIYSEI FRVLKPGGVFGVYEWLMTDKYDNDNPEHREIRLGIEIGDGISNMEKIEVALKAMKAAG FVMEHHEDLADRDEDPAPWYWPLSGQMKYIQTIGDVPTILRMTKIGRGFVHRFVGALE MVGIAPKGTQKTADSLALAADCLVAGGKQKLFTPMYLMVGRKPEA CC84DRAFT_1162012 MAASRLLRPAARLLSGARPAAPAFRPAFRPQAVTPSIVARRYAS DGGSKEMTVREALNEAMAEEMEANDKVFVLGEEVAQYNGAYKVTKGLLDRFGERRVID SPITESGFAGLCVGAALAGLHPVCEFMTFNFAMQAIDQIINSGAKTHYMSGGIQPCNI TFRGPNGFASGVGAQHSQDYSAWYGSIPGLKVVAPYSAEDAKGLLKAAIRDPNPVVVL ENELLYGLSFPVSEAAQKDDFVIPIGKAKIERPGKDLTIVTLSRCVGQSLVAAEQLKS KYGVEAEVINLRSIKPLDVEAIIKSVKKTGHLLVVESGYPSFGVASEIMALACEYGFD YLKAPPARVTGAEVPTPYAQKLEEMSFPTEGLITSYAAKLLRV CC84DRAFT_529660 MFPSGPAAGLCAHSDAMYWLTSSPEFWRWRAILGEVWIGVRGQF ETRTGRQVLARCTLVRSGFHVPGWHTLAVVMMVGRR CC84DRAFT_525770 MNGINAVWNASKPPCTWARKPPMLMVPSHFDRLSFHVRFALYST APTEITNSYFPENIAYFCPVENSYHVSGYLEDSSIAGHVDGVVPYQHICIDMKGFIVN DPSRLARTSDTHTDRVNILYTTGLTALSVSRDMLAGHRPPLPMRWSFEQSSSK CC84DRAFT_1214537 MSNENTLLFALPLELRELIYKYILLDPSQGPEILQACHDINTEA RKFLYQRPIVFRSQAALNDWLEGRPRDVLQDVHELSLELQDVDLTPLLVSDRSADSHG RTRSLRTWELYEEELDRLDQAFTKLPNITTLSIRATSGRQTHLYEDFMAKALHMIASH WPLLRDLALEGNMHAQSLGVINDLRALTAFSFDGFCGTEPAETAALLSRLCLTRMSIV SQPGLLTPTRGQHSNFTSKIQSFDASVLRSVDQLAYLSISERVPSAASSALFFTSEIL GSLHSHKTLSTLSLRSSHAPDEDTLDALNEFLKKSISVIRLELDWPHLNPAILYILTS RLKSLWIRAASLSVASDILGALLESREDGGVQKLRKVVLVRDNWDAGFVVESQLEHDD EDANSDLEEEYEDEDSNEFDFAALKTSLNELGIEVAWHTEVQ CC84DRAFT_1059252 EYTPPASPQPSNHPYAHQSVRKGFGSGRSRQPAQLQMDVAVAPA YERPVYSPSPTASPPLPPLASPKYAARSRTPSLLRHAALSSPLNNGYPRAEQPQSPTF PPVMRQVSNQSVTSDPRSLFNPLASNPVHANGSDPFADEVPWSRTQQRNRGMTTSTQT SIASYQQNYPPLRSATSIPDYGPIRASNRSNPSGAYKPDRGGLNWSHNDQFPPRLLRG EESRASVRSGWTNASSFMEQSGTERSSVATGRSSIVSSDNRASTYSRDQSEERDLSTD TLPTSISPDEEDIMSSVEDVIDAYFDEPEDMDSEPLRGDNVGDRGSSTQDSDAGHNDR SSNYSQDISRPTSSYAPVHEQLAHTNSHNRELSKITERNSRGSSQNHSDNHKMQEIHK ELASLPQIPRSKSRLSRQDWAEFEGYAKRHSRGPSAALRALTEASTTSPPKRSSPLPE VDEDEAFPRRASTSIFDRKSTLFDLSSFKPAPPSKSMTTNFGTNKPHARPSAGLKKSA AIGVERDRYGFKKASDKISVQEYNAWHPKYEDYITRRSNKWTALMKKSGLSTKNPTEF PEACDKVKRYARKGYPPEWRGEMWWFYSGAQYKSRQMAGLYRSLVARVENGELNKDDR EAIERDLDRTFPDNIHFRPDLKSARFSDGGDDEPSMVRDLREVLSAFALHNPGIGYCQ SLNFIAGLLLLFLKQDTERAFILLTIITQNHLPGAHARNLANTEVNVLMMLIRDYLPK VWASINDTDIVNSGLGSKAHPESKFQRQPTVALSCTSWFMSVFIGVLPIETVLRVWDA FLYEGPRALYRYALAVFKLGEGEIRKYRPGDGELFMAVQNLPRRCLDVNTLHDIAFVK KGFGSLTQNVIDQKRQFWREQNERSASQRKRPGPQAIVPRPDTAGGDEEGKKGLGGLR RRASKRFLRKR CC84DRAFT_525855 MQFNSSPACQPDCQVLQAATTWPAQFAESATHGGFLLALVVPEQ DARSIVCRERDADGHAPETAATQCVSNTMTDRCSVSVADTGAGDALCCTTVCFWSSCV GKLEKCCATSSGALDRVVLFTTQPRRARRHRCSGRLSKGDDGTRFENAAGAESGSLQA RPYTVDWPGGSIAPATVVVGRPRWNALRPSPQKLIPLVEPLGFSPTSFPFHPTIPVPA RPRRPFAPTAAPDAVAFIPYALIL CC84DRAFT_1087038 MAHGYPGIGLRIQKLTGVQEYTECMKETPAGMKINFDEQNMTKW EVLMDGPEGSVYAGGHFKLEIIFPNEYPFKPPVVSFRTKIYHPNVSNDDKGSMCLGLL RPDEWKPPNKVVAVLRLIQSLMVEPNIDDAIEPSIGNEYKENRKEFEKNAKDWVKRYA K CC84DRAFT_1185010 MFGKRSFASSKDRSGKITKQPKRSFRDDSRQKQRIVDKMESVRM DSPMMEQVSPSLTSAIVTIVIGPEQRLFAAHEDVLCHSPYFAEALRGQFFESTNRRID LPTEEPEVFSCILEYLYKGDYYPRLVHDKRRQTWMLEGAVSSPDPNKGDRVAAVEPTF FHAGVGDIILRDTAVYCAADRYGLEELKKLSLRKQGLQSGIEVGTILRSARYAYENTP DSDSRLRAHYLALIIRSRKTFKRSGTMQMEMEMGGKLFFDLFVAMCNHVDDIVDASNA RTPKTI CC84DRAFT_531791 MPTSHLPPGLHTRSPRRRPPNRHHARPPASAPHGQRQPQPAPSN RRPCCIVRSRGSVASAIAALAEPAQRASALLPRRHRPDSALRSLHHPYPPHPIAAYRP QDPRPHRPDSV CC84DRAFT_527504 MASVAEARRSPFAADPHHHQALHKSIPILPSSTGSSHLTPPRDP MDITPSTLPTMGPPMLNGPVADRSSAHQEQHTNGDAEAKMPNGNGNPAPVGAAAAAQQ PKVVQTAFIHKLYNMLEDQSIQHLISWSSTNESFVMSPSSDFSKVLSSYFKHTNISSF VRQLNMYGFHKVSDVFHTGSPDSPLWEFKHGNGNFKRGDLVGLREIKRRASRHALIHR DSFSTPKVPSGPPAGPPTESMPDPVESRLAALEHGLYDMHARMQRSEDSCAYLSQKNT ILMDNMLKCQQWNHDLTNYIMALIPDPENQVYKDAYSMQREISRQSEMLRVLEGPEEP LSARQPFFMQLDNSQAPLSPRQMPQDNGPESRRGSLAGLPSRQAQPFKPPVPAHLAIS PRRYGSIGTGNYSPSSARTPVTHQPPPPPPPSIQHPGSTLGSNLGASSSPPYNLYRRH TSADIRTHGWPPQPPSQSPYASGQNSTQWPSSPHRTPIGGEDQQLRNALESYQLPRGP RQQNSRQNTPPLTSDTTPSTLSADSSWSLPGARYPFKGLDTPGPPTRRSSMASNVHSL LNPADTQERADEDDPDEARKRKRMQ CC84DRAFT_1162021 MATPAFVDAFRALQTSTARAHAIAALAHELSPYEWRQLQALVDA RSFHFDIVGTLPAELVFHIFSYLDISTPFRLQTVSRRWYSLLRSTDLLKPALRRWYDN TLPLEDASYEDCLQRAHSIHRFRTGRPIEVAATKPAPESSKRIALSEDFFIHVPYPYR QVRLTNLRTGEDWRMATEGRELIDRIYASNELIAFWNQAQTCYIFDYFARQRAKLRLP PSMNKFRGCRERTFICGGILNQHIELYLWDLDSQKGRTLRLDQPPLDSAITSPQCLDI QLLPNPSSKTFTLFSTKTCEARWCGHQVDSMSIDYYVITFDGQLVQQNKFVIPLTAEI TELAGASITSIHPIDRKGGYRISVFCRYNHNGFTHMFNFDESIQAFSMPEQQTRSAED VRTAWWKDSFYQIHVRETVQTKSMSFYFDHIGISGQDPKRTLCFQDSASLDDMDGGTD IAVLLNDRFAVVQAFKRLYVFSFAVEAKTANVGTAEESGLENEQMGDHVLWTVTSNTR CC84DRAFT_1162023 MVEKIYVTYNQVHKLCQNSAQRILDDFKPQLMVAIGGGGYVPAR ILRSFLKTPGSPNIPIQAIGLSLYETLGTDPVEQPGTKVTRTQWLDLSSLEMANLIGK DILIVDEVDDTRTTLEYAVKELEKDVLEAQKKLGREGEKTRFSIFVLHNKDKAKKGVL PEDVVQGRYLAAETVGDEWICYPWEARDIDEHDASSKAQEK CC84DRAFT_1140396 MADINLQEVHDFMIEIARKVGERITSATPSTGAAGSKKNSVDLV TETDQAVEKIISTSLHEKYPSFSFMGEETYKPGDKLTDAPTFILDPIDGTTNFVHRHP YVAISLGLAINQIPTIGIVYNPFTQTLYSGIKGHGSYLTQYLLTPQEKKQRLPLYPPT PLETLNTCLVAVEWGSDRSDNDFRVKSSTFARLAAADGGMVHGLRSLGSAALNLCAVA AGEIDVYWEAGCWAWDVCAGWVVLGEAGGRVVDANPGNWEPRVDERRYLAVRGGEGQE GLIEEFWGLVDGRFEVGV CC84DRAFT_528774 MDIDGVSGADTIQRLSAIPWCRTLLESPEWTRTRTQSRVPKAST EDALFAETLGTQRTIRSCTAFRPTRECAIGGELCYDELRVIYEIGEGVSGWPKIAHGG FVVTLLDESMGMLLQLNIDLKKQKGVRPIEGANAFTAYLNTAYKKPVPAPATVLCTVK LTRRERNKLYIRATVEDGQGTVCTIGDAMFVEVRSKI CC84DRAFT_1203498 MRILSFFSCLLAAGGPAAALALPSPIANAATSAPLEERQASSYW LENIQHQGRAAFNANPAGYKVFRNVKDYGAKGDGVTDDSAAINAAITDGNRCAPWVCE SSTDTPAIVYFPSGTYVIGKPIIMYYMTQLHGNPNSRPVLKASPNLQALALIDASPYQ DGTGKPGWTSTNVFARQIRNFVIDLTPIPATSGAQGIHWPASQATSIQNVKIQMNVAA NSVHAGIFIENGSGGHLTDIETVGGLYGLNVGNQQFTMKNIKISNAVIGISQIWNWGW LWKGLTISDCSTAAFSMKGLKDNSPDQNVASVIIIDSTITNCPIFVDSAWTRTSTAAG AGQLILENIALNNVAVAVKGPSGTVLAGGTTTIIGWGQGNQYTPGGPAKFQGAITPVR PAGLLDGKNFYAKSKPQYETVAVGNFVSARTSGAKGDGSTDDTTALQNAINSVASSGK ILFLDHGHYKITKTLYLPPGTKIVGETYPIILASGSTWNSKTNPVPVVQIGKSGESGS VELSDFLIGTQGPTPGAKLIEYNLATTKGSGLWDVHTRIGGAKGTQLQVAQCPVGSVN DACMAAHTNVHITKSATNVYMENNWFWTADHDLDDSASTQISIFVGRGLLVEGTNIWL YGNGVEHQSLYQYQFANAKDVFAGFIQSETPYYMPTPDAKGQPYPVNSALNDPDYNII CPSGQRCDALGLRVLNSSNVLLYGAGFYSFFISNNNSCSKDTNSVRDCQNRIVSIEGS STVRAYSLNEVGALQMLTVDGVDKADWTPNLSGYANTIGYFSYQI CC84DRAFT_533032 MTTTPTWIAHDFTSYALFPLLVYSTCPLSCLSLLVYSFSNLQTL FCPYVTTSRHSFVPTLRPPDTAFLYIHSLDTVTTAFFGSWKISPFLLAAHLHPHPPSY SFHVHSFALSNRSCTQLHSFYTSNTHFQHHHRRHLHAQYYDCC CC84DRAFT_1214545 MSSIPYDLLPSCDPDFAHMKLNQKQSFVNRFFGIRACDNKKDTS LAALYSHNTSRAFSSATSSTSSSYISLNPKAPSSSMRIPMATPRRPRSNTANSSTSVA SFVSQSSLHSCASYSSLRSRSPSRERRGVIEDGWTPVEEYF CC84DRAFT_533029 MASIYLVNALYNKPSARATPAMQGMTEVKQEVVASDDQSAVAVK EPATTTVTTDSAAAAAAATGMGGQTIGAGQAEVKSEQREQQKGAGDAMHPARQLMVEF LGPLDEEPEPVPYRVTGANMAPLGQTPQHGPKKRGKGAMNKHKLVAPKPPASGNIQGA RERASKAVAPSPIAAVRFGQRVLAFSGNQVNAERDPHRRAQAGEGYEAPSSPAPASGV LFGHALVKQEEAAQDTFVHPRPNPFALAAATVLSSPQQAGPVPFHPMVGPDQLHRPVS SVGAPPNTLAVRPKQLESDPASDPEIRIRELEDENLGLRQAGFFKDREIRKLKAELLE LGIRPSAAQDAAIEASMKGVEAGIKRPRYDENVGGSVMEP CC84DRAFT_1085788 MSSLEQGENTIRDFLGREVSEVPSRIARYPSAKSISNLLASELF ESRVGYSMELWKRAPRMMVEEKCTPWSHPNLYEELMPRSMQDAFAACSLYISRTDVNA KFVLRHITDRAHELVEQPIPTTPAEVIAQAQAVLLYQVMLICSDDFRYYRQTQTLLPH LKELSSSLYFLVTEDPTKDEDHAATLPLYPSSAARTAWLSFIFRESCRRTLLAVCHAV SICTLLAGDLVACNDSLSVGNRVTLSAALWEAKSPLEFAIAWNEKNHFLVKELDFSEV LRLANASDVDVFGRMLMVGLMGTDDVRGWLHSKGGKL CC84DRAFT_1162029 MSKTALVIRATGSQGKGVVKHLAKSGWNVHAFVPDPSAERAVAL KKFGDSVSLHKGSLDDAASVEAAIKGCNAVFLTQMPTWKDDSETREARMLLDAAKAAG VRHIAVSTQAGLSHPNVEQIFANPMIAPSVAGKIAVEKLVSECDIPWTALRPGWFNTN ITLPVVDMMYPGLSDGKFINSYTPDLRICTVDPDDIGAFTAHVFDHPDQYAGRSVNIV SEEITVADIISEIERASGKTIDVHYRTEEENNKEAGNPFVIGQQLMKDLEGVADMDEV RSHGVPLTTFRQFLENNKDTVVPREGNHERLSADKLLSERVKA CC84DRAFT_1203503 MQLIVTTVLALLGAASAAPARNIQARDGITITFYPEANFGGEPT VVSDVVSNQCQQVPEGVTVGSVKVASGGLCRLTYSAPTCTLHGDVFVFPDTPAEDLTG ETVTSFLCQTCTEC CC84DRAFT_1162031 MGKAQPTPAPYRDDPDAVSLHTTPEDYEYDDAPEAGLPPSYADS QGSSSNTDTAPVVHHITPPTARTDHSKWWSLKNGKPQVPETQTHSNPRLDTDPVVLEE TVRRLALEPPYPFVYLMGTHRETVKRGDKTETKNVTDFRIVIDLQHHIRRADMTLSTV ENGEKTHRGGITKCRAPGHKQDVEVGTPKPELREWCHRYCASPRMLRIFRLRRVVTGF DEEYLKQRLEGLIRGTNYRGHISVTFPVENKNVDLYTSNRINQWRVTTWIRWVFYLTF LWIFSWPTLFFATKRYAVVKAEWPWSTTDSQGIKQYSSISEEQWFEKWHVGIRRLVLD RYQCEASEEILRGVIARPEDPPLRTGHEGVDSTINLLTQGFQVARALSNGGNLGRGIQ GGWGYDT CC84DRAFT_1140408 MAEAQFISIDAPPRAGQSVQHEGKEYTTIKEGLAHILVPHGTPT SLDPNLSKEDTQKQQVFYNPIQQFNRDLSVLAIKTFGEDSILRKQERHEQQKKKADKR KKKTQKGGPQDGLTAEAFVAPADGAAANKRKLGDTEAVADAEESAAKRRKSVQEGADT AMTGVEDTGSATTEVGKPTEQITWRPPFTILDALSATGLRALRYANEIPFTTSVTAND MSEKATQSIALNVRHNKLESKIQAHTGNAIAYMYSFCDKKGYDVIDLDPYGTASPFID SAIQAINDDGLLCVTCTDSAIFASHGYLEKTFSLYGGLPLTGDSCHEGGLRLILHAIA QSAGRYGMAIEPLLSLSIDYYIRVFVRVRKSPNDVKFLAGKTMLVYHCDAGCGAWKTQ FLARHREQKAKNNSTFYKHGFAHGPSADEHCEHCGFKTHLSGPMYGGPLHNPDFIKRV LAQLKSADRETYPTMDRIEGMLHTALEEITFGVEDGASKGKESEKLDPLIPKADPGVL DRHPFFTTTSSLSRVVHCSAPPTAAVRGALRHAGFRVTMSHCRPGSIKTDASWRDIWH IMLEWVRQKAPLKNALKQTSAGHAIMSKGSAHTKTPNEHEQKDSASAAAEADTQAANL PENGDLPGTRGGSTDGPSYLGVQFGVKFDERLGKDQDRGKYVRYQVAPRENWGPMSRA K CC84DRAFT_532025 MFTKAIYATTLLSAFAVGAPAPQVPGYSDADFPVTVSQDTGAPA TTFGPDSQVNAILTTPGTVAPLRRSSDLTGATSHGPFSGTATTTGAEQAPTTIGTVIA PLPPNPTATYYNADGKLQNPAPIPYTPAGGLGTNGTEPRYMVNSDFDYESIQLGLYQE WIELDLFNNGLAVFSEQDFLDAGLTAEDRSYIAFMAIQESGHATLLSNMLGESAAPQC TYDYPFTTVREFIDFNQKLTRWGESGVWGFINHLDSREVGQLLSQSIATEARQQMSFR QMLGLHPMPVWFETGIPQSWAWSYLAPYISSCPENTTRLAWQNFPALHVVNQANPNRF SPNDTADYEVTGNRTADPSKSTIPADESCVNLNVTGYACGPAIARNRSEPLSFPGKQV NLTWDVPGQAVGPNNSYVTSTSAGQPQFVAWVAQLNLTYTPLTLTGNNSGYTYQPASE VYEGDPALNGTAFIAITDSDLFLTPFNLSMINPHVVALGLYQAG CC84DRAFT_1214552 MAPATAKATPTKKITGAGVKKAGRPPGKGRKGKASQAMAKMQAY FKENRSKYEKLDFKEQQKKLGEEWKKSPENPKNQA CC84DRAFT_1085824 PINILLLSLFVFLVYLRLKPAKPQVLPRAPPPTVFRTFTPPDLF PYNGLNGMPVYLSVRGRVFDVTSGRNFYGPGGPYANFAGRDASRGLAHGSFDEDMLTK DLDGPLDDLKDLNEEQLEALQGWEERFSEKYLVVGKLVPVGSDEAKAAAAQQ CC84DRAFT_1185020 MWKRLQGHMRDGQFRDKDRQIGNPVLVETTYDEDQLRHIPNVSQ AQNASNQFYAPPAQPYADLPPPQFGHQYRTSQVPTISSIYSQPSPGLRHDQRTPAGEA RFDVSPPSSPEPDEYQQQNPSQPRRFRSMRDVSPVDENRGKGGPSPRGGSNIPVMRKA PPGLQNQETTSTQKFWGGKVAPNSKVLWDEYSGEPTSGNAGKAARVTPGSYQKGASPP SARLRETGYDVKVTGNSRRNQSLAERANRYGTKPAPVDTRRHEPWSRATGRTEIVKPF KDQPSDKPLNVRKAGTAIRAEEFDKDASNSLTTNAARVPERADTAPVADNPAADDLHE EPMKPIVPLKIARTSLSRDVVSPTSPHNPGSANPYTYSPVTPTNKQVSTGPTNEEAQV RTGQARPDPTTPTRGPQQATEVTPGSTGGKSKGPDSRFSWTTYNSSTTYQHSPPPSPP PPIPTSRVPSEPASAASAILNRKRPSAVARKPVGSFASIASTATVDSANVESARNTMI SNGPPSPRAESTFSTSTHKALPRPPTELAAADHIEILESQMEDLKVRRNNVYRLLNDL NNMAPPNPMVTDFKRMRLVEQRKKDFADELAEIKREEHDVGLKLHRAWRKREMADPGS ESALWIRRVTS CC84DRAFT_1203507 MISLMPPESANSSPGASYWYGSSLPNGLSNNLDGSPSDSSNPRM LRRASEAVIPSSAFQSQETVPWDGITPLHLDTASILRAQSVAPSANHKAPLAPKQGLA GALGSARNQHQSPISGTYALRTPRGKITSIACESCRKRKSKCDGVRPKCNTCQTKNLC CVYDVAEDGKTTTQLRAHVRRLAKELDDMKSIVSLLALASDRNSAATWASELEKNGFA HHSAEEIKRALQEPGSQPGPLEQDGLDTPVSERFRRPSHSIAESSYDGSSRGDSREQS QPAIPFQTPEHAGDGAGPYPYNDNSDSSKFSFESALYCRTKREMLANGWDEAQIFGRN EMDVDTLLLGFADPQDSLPVPTWASKMVNRMLPAAPMPVRLASASLLTKMMRWLIWPS VENLNAMPDWLMPLARPDPSGYDLLVDLIPWPQLRQYLYRHRGEFALGSFLGLIGINW PYADDACHYWEIESACTRLTPLFETHINDLESWTLDAKALEIMPQLEGLVPITR CC84DRAFT_1162036 MTIPAFRGFPFYTCSATGTLHMGTIRPDNPRVYAADKRPELERG FKCAAHLHREEVDANRD CC84DRAFT_1086504 MASRASSSEGEVEHQQKANSISQSRERTINGSSRAFGGDGAADD YGPSRSPSPYRRKRDRSPSPYRAKRDTRQISRSPSPFRAAKGNGGSRNHDPRSHNKRK ASPPRGGRPDKRPHTDRSRHNDHRPDAPYNDSRTAQGGPFNDRKPKPLSYETEHPPTI PDFRDHLRPLGNSRLDSRSHTKRDLQGSNGVGRNGQVSAASNKSRTTSTSQISIDGDV QMTQAPEEADLSFEPAVQEQAPAQETREEKRRRWAAIRAASEKDKAKDNLLHQALLAN ASESTTPNVASPLASFGSPVSPVGSPRTGDLDSVPASPDVMVLDKPGAISEGNSPSAN SPSAADYDPTKDMLDDRDRAARKARQAELPSDAYNETDPKALSTLPAEKVGPVKKQKK DFDMFDFDEDEDEEETEAEVAEQAADAAKGTVLDEKLLDNWDDAEGYYKLIANELVNG GRYRMIKNLGRGVFANVAQAEDISIQDENNTHKLVAIKMIRRNDLMRKASQKEMDFVR KVNDADPQDKRHVIRLLSSFDHKGHLCAVFEHMSKNLRDLLKENTNGHGLSLQAVRSY SRQMFVGLQHLVSCQVVHCDLKPDNILVSPDMKTIKLCDFGTAVDKRDVMERTEYLVS RFYRAPEIILGLDIGYGIDMWAVGCTIYELWTGKILFTGRTNNQMIKAFMDCLGWPTE KLLKKGLLQNVLEHFEAGPPLKFISQEVDQQGKVTVRKIEQQKKVPRDLKSRINDATR GMSQDNLPPERELNDFVDLLGACLNFNPEKRMQPKEALSHKFFPQPKLAPRTAVVKPP MVKRPMSAFRR CC84DRAFT_1214557 MAMGEDAEAAKCVTVTRIACFKFRDWVAAGDIGARTGAFLALYD EYAHLLAEKPRGGRPLDTPLNLTGVQRDKGWDTGFVVRFKSEAARLEFDKAEGHDKLK NETDPLLERVFVYDFVDQENLGW CC84DRAFT_1185023 MPPVADDDDAGVMGLTHVDPSPVDETPVEYKEGYFPPAQAARAT TLGLGHHGPAYYLTRIQRYSSYAFTVFAALHLTNTSLVPLLARSVPEANRYLLLTRPY YQSAVAEPLLVALPLAAHVASGVALRFYRRRQALERYGAETHTDRKTIPWPSLSGTSA LGYALVPLAGFHVWTARILPPYMHGDNSMISLSYISHGFALHPLVSFGGFIALVSVGT WHFAWGWAKWLGYTPAQASPTESRRHLTRKRRWYAINGVSLALTGLWLAGSLGIVGRG GKTGGWIGREFDELYNAMPLIRW CC84DRAFT_1214559 MPPRNAPLPNAVNLFNCAICDKGYPRQTDYENHLRSYDHNHRQR LAEMKKLTDASASDAPRSKGPLDMRSLPQPGGDAAKKGLGPRFRKVGGAPAAATGGSR FRKIGEAKDEAKKDASPRAGEAEARIEAVPAADVEVKAQDETSKDDDVAMADDDEDDA VTWDEYDVTKPSDCDHASCPGCAAPANPVYENGWLVMGSA CC84DRAFT_1114189 MSLLQSIRLYPKAVGWSVVLSSALIMEGLNVETGKWSVPANWQS ALSNGARAGEVVGLVLNGFVSERFGYRKTMLGALVSMTAFIFVLFFAPNIRILVLGEV LCGIPWGMFQTLTTQYASEVSPVQLRPILTTFVNMCWVMGQFIAAGVNRGCVTREDEW AYRIPFAIQWIWPVPIMIGVALAPESPWWHVRRGDREGARKALLRLTSPEKDASFNPD DTIAMIEHTNDMEKEMSAGTRWGDLFRGADRRRTEIVCFTWIAQTICGQNIMGYFAYF MQKAGLPTVHSFNLSLTSLALGLLGTAASWALMQRLGRRTIHLVGSSTLFTLLVIVGA CSFSHAKAANWAIGGLLIAFVFVYDLTIGPVTYALISELSSTRLKAKTIVLARALYNV SNIVVNVLTNYQLGEQNWDWGARTAFFWAGTCGCVVAWAWFRLPEPRGRTYGELDVLF ERGVGARHFSDVVVDPFVRGARETTRVGAKEQGGVL CC84DRAFT_1194382 MSQGHDRVWWKEATFYQIYPASFKDSNGDGWGDLPGILEKIAYI ASLGVDAVWLSPMFDSPQVDMGYDISDYEKVYAPYGTVEDVEALIKACHAHGMKLILD LVVNHTSSQHAWFRESRSSKSNPKRDWYFWRPARYDEAGNRRPPTNWRSYFAGSTWTW DEETQEYYLHLYDESQPDLNWENEDCRRAIYDSAMRFWLDKGIDGFRVDTVNKYSKYV DFSDAPITEPGSDVQPAAQFWCNGPRIHEFIREMNGEVLSKYSTFDGLPVVTVGELSM TPEPGGVLCYVSAKAKQLDMVFQFDITHLGQGPPGSDNKYAGTAWKLPQLKRIVEKWQ TFIEGTDGWTTVFCENHDNGRSVSRYGSDVPEWREKSAKMLAVCFAAQTGTLFLYQGQ EIGMVNAPREWEIEEYKDVESQNYWKEAVRLSEDGTDPTRRERIMRGLQLMARDHARL PFQWNSTANGGFSNGRPWMRAHDLYREINVEVQEQDTNSVLSFWKLLLRLRKDHKDVF VYGAFELLDADNLNTFMYKKQYREKTALVAANFTEEQQPLPEVGDLKLLLSSYPESSQ ERLHPYEGRIYINY CC84DRAFT_1214562 MWLLTTATAEEARAKSGLNWSEWARFYAFAKEEARRLASEHPEW NWTNVPQYEKSRVRDSINGQLRREGISPLGEDIIRWRMSICIRDVKQWAVNKTCMPKR NSTAAGAAGSAYHQVPPSRPYDPVRDF CC84DRAFT_1256955 MSDKTAVKCPVTADTAFEASLVSKGHLQLDLTVRSVEPFDAKAE PAEPAKTQWELSRGLARAGWTPTEITKNNMKMMELREMRVDVMDKLHKMHSQLVTFVL CSDFEIPPYRMYHSVRFACQDNTDSADLCPYCTEIKPPSEIKTAVAPQES CC84DRAFT_1256956 MTSSKKRKASKSSPAGTKKVKSQPTTSTLTDPPAPRLKHQHLHH KAISLYNKNAQTPLLSLPREIRDQIWMYLYGNLVLHPTDKYSTNSARRNVHPLTFSIC DAPHTPKTLYELSLQGASSDPSTPLPSKYLSNGEDGASTWAAPELQSHDFCAKSWQRG TAHHRHLPTDEVLKVPIVCRQMWNEMSDTVYETCTFGFTSSDDFFNFLSCRKAGLERV RKVMLAPEIKTYYSNCLDVSDLTWWSLKRLKGVRSLDLWVVWQIDMDYTRGEGVDTWS MEKKGGELDARGRKLQSLVKQLGRWDLKCDSTRVLVDRNIPGAIMGEPTSDCNNASEM DTYVANLLKEVARLSKDKAAALQEVADIQKEHAATTTRYKDIHARLTQAEEARLGLHR SVHNRIAEKNEVIAMNNTLTKKNADLMKIHADLSHESAQVKSENAEMVSALQRRDAFI AQLRTQVASAEEIHDVEQKQHEAVKVERDRLQKQVEDMCQTQRRDSHRDRGRSKPRSR SPRRSPSPDYRHSRYRKRSRGRSDYAGREYHRYEHYNSRYDDPSTHTRRRERNRSASQ ELYPPAPHESNRLPSPRKTPDKPSAPSDKAPHDLTDPPNTGRIPKAPKAQILFSMRQL NKKSPPKSSKWSKDVKRSDHCDPD CC84DRAFT_1214565 MASSKPKPDPLSIQRGDYARTLAGPLLLGLGRMVSIPLQHWLLT AHPLSHFGIPRPPSDGVLELPLVGAQPQLSTIFLGMTATLILKQNAWIWGYCAERITV PFAFFGVVVPAMYECLSALVFSYASSNPLWRKEFLYVGAAVHFFAAAVELGSELARAR FKGRIENRGRLYKGGAWGLVRHPNYAANVVYGAAYGFAAGGPVYALFTGAFYWSNLTG NATPAKENYLAERYPEEWEQYKKDVPWKMFLGIF CC84DRAFT_1214566 MEPAEVMSKISKRRMPAEHAAEFEAFVDNLPNLPSPSSEYRHTL YAKHLPQWHGIKDWEFAPLRQAAVDYRKDGKWDADWKKLLLHEFYAREIRYIKKDPDF INARYGGQGYEGLYKDWTYQWSGGSWQPRHEEPIIRHDQIKEKAGGVG CC84DRAFT_1194383 MADSKAHNAENSAPALDASARPTVADLQGDNHFAQVARKHWLTA KKPPKARPEVIKQELWDELEKLDFAYSSLLVLENLQLLERYLWPAFSDDASNYHALLV ALMVNVKRRENLPSWEHFTTKPAEFSSFFRRILSMTVDRSQPIKIRTQLLSFVIGAFQ SLDSGLVRKECAPLVNIAIWQNLHSDAARERQFEHHQMLRKAWRAAHKRFDAADEALQ ARLRFERSWLYTLILDFLDRLYNSTTRQEIQDNLAYCERFVELLTDLQSQLPTRRYVN TLIKDLNLLPAIRLAPMYTDEENGLFRDLFNLLSHFTYFPIEDQTGRQLSKLEYDQEH YDVLARLQRVATATFQEKLQLLTLANYGSLGNRDELQGHVQTLEDAELVHLCSLMGLR TEYPKSSFLVLDRLFFTETLLSVVEHRSTFKDVVRDLPVLPTEDVLFETSFLRNEAYD GSRPLAIPKLNLQYLTMGDFLWRSFVLYRAESFYGIRKDMEDVVKRVQPRGKGAATKF GGFSRMSLPISNPGIVDVAPSKVGDQHPAYVRSEIILDVSRLQHPVRKEWESLRPDDV VFLLAVEGPDDQSMRNGNGRQNADHQGIHKLRCAEVVQIQDENGRPLRESANDDGFRR VRTRRLVVNIDAKQYQEDMDRVAQGRPNIYEQINLVVRRRGRENNFRPILESIRRLTL SDIPAPSWLQEVFLGYGDPASASHRRLPNRLGSIDFRDTFLDWQHLIESWPGKSVEPH ESAQSSFGPPYVVQFPASAEPEPATARTSKKRRRDESEVAQPVQEDASLHVSTYKPPN MGPYPTDAPKLNSVRFTPAQIEAITSGTQPGLTVVVGPPGTGKTDVATQIISNIYHNF PEQRTLLVAHSNQALNQLFQKIVALDIDERHLLRLGHGEEDLETEASYSKHGRVESFL ERGAYYLAEVDRLAKNFNAPGAHSSSCETADYFNLVYVKPAWTQYWDQVSSPDCSVEQ IISEFPFKNYFNNAPQPLFPAAADREQILDIAHGCYRHVEKIFTELEDIRPFEILRNP RDKANYLLVKEARIVAMTSTHAAMRRQEIASLGFHYDNVIMEEAAQITEIENFIPLAL QNPQNNELPLQRIVLVGDHLQNSPVIQNLAFRQYANLEQSMFLRLVRLGVPTIMLDQQ GRARPGIAELYKWRYPKLGNLPSVLTSPQFTTANPGFKFDYQFIDVPDYKDKGESEPT PHFIQNLGEAEYAVALFMYMRLLGYPAHKISILTTYAGQRALIKDVLAHRCKGNRLFG LPRIVATVDKYQGEQNDYIILSLVRTRSIGYLRDIRRLTVALSRARLGLFILGRAAVF ESCFELKPAFDILLSRPTKLTLVTDEIFDASFARQMDGKIEEGREAVMEGVEHLGQYV FEMTKAKVEALKNGSGELVVANGEGMVVDGDDEVDREEDVVVRDEHVYAGEDDEDGVQ EGS CC84DRAFT_1114198 MSSTAETTIGQPVVPDKIPTGEYPLIDNDPHFSRVIRYTRPSDW LTGAAVGALSPGLMLYWERVSPSFVGKGGFAPVMRMSCAVGLTGAFLFAYSRTTSRFY GARENRREIDMDMREMVAKVKKGEPLYGKSELTEHMQGVAHRNSRYAGVFSHVIPWPN FVHHPYHGVDTAKYYRQAELELEQERQRS CC84DRAFT_533760 MSSFRALLRADTRLSSLRPRASSRPPRSNPTAIPHCLRFNSSVS AQTAPSTSPESTPAIENAHRSLIESDIHQFLDPEVIHDYKTENKSTNANYQRLRQLSD EVLQNQKDVNLLQGQIHRLRRGLRATQKVVEGGPWLILKNWNFNPHPGKEEVTFENGF LRVGQRKIPNMWLRDNCQCSSCIHGDTKQRLLDTFSIPENISIKTCAEFRTYMDVTWS DGHRSQYDKELLNAAVRDPRSRAEVRQGYPDRIFTWGSEIANNKPTQPWLKPGVSTTT FSAEGCKTDDDLILHVLHKIRRYGFCYISNVDATPEATENLLQRIAFIRETHYGGFYD FTADLAKADTAYTNIALPAHTDNTYFSDPAGLQAFHLLSHTEGEGGASLLVDGFKAAE TLLAEDKDAYHVLATVNVHAHASGNAGISIQPYRGFPVLEHDVDTGNLLRVRWNTSDR AGIELPVEDVDKWYAAARKFDAILKRKENEYWEQLQPGTVLVFDNWRVLHGRSEFTGK RRICGAYINRDDWISRWKMLHFGKEEVLGKLATS CC84DRAFT_533861 MDFSYSTSSELSHNIAMDAAAIMAQLTPPTVDPTELKAYRQKYP GEVPEANIDLDLDNTSVSEYSAFTSPDTINPAKTTSSTGFPSPVSMTMDSFDSPRDSS CAPETPNFSEAAVDFDQTRYPAEILCDLQCRSTSTTTSLAIWAFLSLFNLTLPWTTSL LTTSSTSTSSRTPSWELLRALLTAIPSSRPSSSTATTLPTLTFLTTLMQSTMTCRQPL AQPLLATGLSQRSANIGKVARSLKGARRASLDGGMKRRSRSLRSRQTHGRRKESLALR KGGFRLLNSVGS CC84DRAFT_1214572 MPRNGDGSSDNGPIDAGEIVHGASGDATLQHTQKVAPMPEGEKG AAIDGMNASGGGSAGTSQGAHAQDSNKPPVVDQATKS CC84DRAFT_1087597 MSTKSEKECEGDTVILGAGIIGLATAYYLSRSGGTRPESIHVID SSAELFQCASGFAGGFLAKDWFAPSSASLGALSFRLHQELANAHDGRKTWGYAPSTGV SLSSIEDSESAVGGSGEDWLADGTSRAQATKSEKSLGARGPVWLKVKEGLEVISQEDT VAQIDPLRFCQWLLARCLEKGVQLHYPARAISVSRDAENQLNGVRISQSGTETECMYR APLDWHMLIGTVPCTRLVITSGAWSPRVFSTLFPKSTTRIPISSLAGHSLLVRNHHHS PENADTEVCHALFATDDVGFSPELFSRVGGELYLAGLNSTTIPLPEQSSDVKVKPDAI EKMKKCAAGMIAAVDGKDMEVLREALCFRPVTASGRPLVCRIPDAKLGGGLKTLKSGD GGVFLAAGHGAWGICQAPATGLVMAELIEGRPTSANISAMVLPS CC84DRAFT_1162045 MTLKSLAVRTKKSLSGLYSSHKESTQVPSSPGTPANKQITPPKA ASSTVEEFGLLRSDDNISPGRDSPSRCHRLLGSLRSMKSLRTLRSSQSSCKKSEDEPP VKTETPHTPVKETPSVSLNFEASPANVPMFGPMTRTISCSSSLRVHHSSPITVPILIR ENTPISLGTPSGLGTFPVGTVPDTPAPLQCASVQEAIISNAKKGSTGSASPDDDLEIE PLPTPMPGTNLPLEDMAPPGIMITSPSAPSMQGHDAPGYFDSVLVPDENDYERTKTLD TESGFPIFEEIPTGAVETNIPNLYDATMLVHATIPEVEPASFDQSFPIAPLVDSLATC ASKSPSSSNDYGESVAYIVYDGASEAGQKRKKCPGHWGRLTSQCSGHPRYDGKGYGRR EATSSSHYWTDSTEPTTADPTELTQYTNHEEAVAEAKLRTAIEELDAATLPARPKSPV DDKRALQGIIRSYGHLREGTDDATGGVLLAEGPEGITQEIKDEVETSIRVMNRSLGG CC84DRAFT_533906 MARGRPSRAAARRSTPATPITFDDDDEMEVVDAAAEDRAETPAD EEDVGTPAQESEEEEKAASERSATPVVQVPRKKRLGRPPKNRPPDWNVIPDDGSQSGT PVKRKRGRPAGGGFRGRPKGGPVQATRVAIDKEGTMMDVVNDEVDLPEDPEGEKKVDK LGNLQDGRDYRVRVFTISGRGDRLYMLSTEPARCCGFRDSYLFFTKHMQLYKIIIDDT EKRDLIDREIIPHSYKGRAIGVVTARSVFREFGARIIIGGKKVIDDYYETAARERGDV EGELAEPHDKLPPPGEPYNKNQYVAWHGASSVYHTGVPSVPLANGKPLPGKRKVNITS ANWQFEHASSSSRFNANLSALRRANLNQGIYDPHTNLMTYPRTTQPTHAKWEEVPQEE SDVPPLVRKHYFVVDTFVQKPPYTSLGLPGPDADFLDVGNNGLPDLEEEDVQSMAPEA RDTYIQAKQDETWWRDQFQSESVDGARPKLKIGFSGVPV CC84DRAFT_1087241 GRYCSLGSFEDGTTCCFPCPIQDWLYKPNWEDHLRIPNYLSVLS VTLCLFLMLSFAVLPPEATHRHYLSIGLLFSVVFISLSFAIPVTIDPNVCFDIITPHD MHTSQSCAWTGSFMVLGGVGSVVWVFLRSLWLHIRIFWDKDPGTRFMWGSIIFGIAMP FVSLIAVLSVTGLSYRIGQTCLPSHEHAIVTFWIWLIFFAILASLLQIFISGYGFYVY VRSLRRMRRESAIDSFERGHLRRTTESWGNVRRLFLSQWRNILVSVFVIIGSISFFVV FWTQDGKLGRLFNDPNNIMTLKTWIICQVPSMGNKQGCNKYVQDFTVDQVTVLVSLIL ASLIGISIFILLFRATMLQAWLALFRRLYHRAVHHGRPPAHPATHTS CC84DRAFT_1256968 MAPTRKSTSKKKATTSALSRVRKRPHAGTIHGDNAHMQPDPRVR NLLNASNGTFAQNDVPNLTTSGERSNEDSSRISASCCYSTGLVDLPDPHSDDVVVIDD DEPSDSTRVVIDLTQEPGPEPRLEKKDIFPFLKLPSEIRNQVYLELIPSCYEIFYGYR NLLNANGRRVPGLVTARDKNGVVYSRWVRRKVEIQGFKGPRTRVVSCEQRFPPVVLNV FLLNKFICNEARAVLYGKNTFNFNINAVAKKYDESKVFGLFADPARKHLLRELRLITL LIDVNDGSHLAITRHRERLHQFMQELQKYSHDSEHKSLLKRLKVDWFATSLYRSRNGG LVPMMPRVIGIPDAAMRSADGNQKNYIFGLEGLTALSGVEEVEINGAYVPSWFIECLT RCLKGSAEAPPPINYPELVVRKKNRDKGNGARRTYKYVAVSTKKWCDPWLNWTEFAEN EGIEVPEIDRFRLQGLRC CC84DRAFT_534035 MWRELQLDWTKHTEREVKIGLTELMGTAWFRRVWISQEVANATE AIVCAGDNVASSHIFAAAPIMRDIKPSPLCQAVLDNMPCPLRNVTWWSLQPDLLTLLR KFGNSEASDPRDNIYALLGISSDGVKALVLQPGYTKLARQLVHVASTLISGSIAEAPS PFPDMTSLLRSTMYLPYAIIDMIAETDSVVQ CC84DRAFT_534037 MTWPRARIADRTALTCLAHLSRPQVSPCVRHAHMPCQYQWSSSA SAELTTPQALPSFSEAPCV CC84DRAFT_1256969 MKTSIPLLTLLSAALVTAAPAPFVKPGPVVTTGTEDLAISQPNI GRREVVADFEKRTLQLRDALMMARNKADNADAQVAGQDNQAAADAANQGAADGQAQAG QGNGKKNKQNQQAQQAAAGQQAAAGQQAAAGQQAATGAAGNATDIAGNAGNGKKNNKG KKNKNNNNAAAANQAAAGQAAAGAAAGTAGNATAVAGNGKANGKKGNKNKGNAAAGQA AAGQAAAGAAAGAAGNATAVAGNGKANGKKGNKNKGNAAAGQAAADQAAAGAAAGAAA GAAGNATAVAGNGKANGKKGKKGKNNGNAANAAAGNATAIAGGATLANGTAAAGNGTA NNGNGKKNNGKGKKGKNNGNGANAQANAGGATALEEALAALQGAGGAGGAGGANDIIS QLTSALSGILGGGAAGAGAGAGAGAAASQKGGQSAAGAQGAGGLLQLAGLNVAGVGKA RN CC84DRAFT_1087424 MASRSLAASLPRRLLPSPRAAKFAPRTFCTAQRTHSFGGAYRPA LRIAGQRRAMSSTARTRFAQVRDDDPQQIEREADEVDVCIVGGGPAGLSAAIKLKQLA NEAGNEDFRVLVLEKAGELGDHIVSGNVIEPSALDELLPDWKSEDNPNRYEHITPAKE DRMRFLTKTGSIWMPKPPQMNNHGNYIISLNQLVKWLGERAEEVGVEVYPGFAASEIL YDHEGVVKGVATNDLGVGRDGNPKDSFERGMEFHARVTLLGEGCHGSLSKQVIKKYDL RRDSQHQTYALGIKEVWEIQPEKFQSGLVAHSMGYPLPKDTYGGGWMYHFGDNMVSIG LVTGLDYPNPWLAPYGEFQKMKQHPYYRDFLEGGKCISYGARTLVEGGFQSIPKCAFP GGALIGDTAGFLNVPKIKGTHTAMRSGMLAAEATWDALQGNPEGSVFLYDYEDKLRNS TIWSELKQVRNMRPSFHKLGFYGGIAYSGLEAYVFRGKTPWTLKHGKPDHAATKTADE CQKIEYPKPDGKISFDILTSVSRSGTNHEEDQPVHLQVKDWDAHAQKEWPKYKGVENR FCPAGVYEYVEDDSKELGVRFQINAQNCVHCKTCDIKVPDQDINWATPQGGEGPKYVL T CC84DRAFT_1162050 MTEVSSTRLYLGNLPRNATKADVEAHFQTHGTGEITEIKLMNGF GFIEYKDAMDARDVVPAFHGSDFMGERLIVQFARGSRRNENFTPNERAAPRPRRTPFR MRIANLPVETSWQVRYPDSWISCLSG CC84DRAFT_1162051 MTVEDRRAATARVVMTTAVALLRHVTSMIVAIGMGVRLLAEAPL MISALPVLAMTQTRTILVVDPLRAAMMTHT CC84DRAFT_1256972 MTHMQRDTATGSDIPPSSKRAKPTDAILGSVTMAIFEQRLDEAA SGTNRKVLGVVCMAMNRTGDTLLSHASGHTSLSPTAQPITPLSILTLSSCTKLLTTLT ALRLVQASPPLLSLDSTTLIDEHLPELAAQPIITSAPGAPLTFEQRSKPITLRALLTH ASGSGFDILSPQLQAWRRSRGEPCAALSAGLPEAVSAPGLFDPGEGWAYGGGLDWVGL LIERTCGEGLGVVMRKEVWDVLGCDYRAGFCRKELEDEVVEVVTRSEDGDLAPFKYPP TEMKSERGSGGVYCSSANFVRVLADLISPTPKLLTAQTLDLLFSAQFGEGSKPLDSLR QASPVFKGMTGALTGELPATAINHGLGGLLVTEDNPDLGRTKGTMTWGGSCNCLWFVN RDVGIAGWYGSSMFPPGDPTSGELMGAFAREVWQRVREGKGQ CC84DRAFT_1214582 MAPTQPWKSVWPPAEKVADQADVIPEGISAEEVTNQRAYGSHAS NTKGRIVHLKNCESSFEIWWTGQN CC84DRAFT_1162054 MADNILASVRYIVKDPTTPPDEKGYILHYAAPPGFPQNNFKIEP YKNIKMHDLRRSPLSYSENGMRIANINSEGMNPELFDDDAWIESVYLPELHRSLCKAL GAKDITIFDWMLRKRAVSFPQRNKGEENEDQAQPSLSAHIDYTTGELDGRLEQYFGGD KEKVKKSRYQVINIWKPLTGPCRDFPMAYLDPRSVDREKDLYVVDEVFPTVANEVFQV HYNPDHKWYWVPDQLDCEIAIFQAYDSEKGQELAVPHCSFDLGARGSGIPRQSIEVRA FVFY CC84DRAFT_1162057 MKFSILLMIAATASAAVVAPRHHKGRKGGNAAAQQGQAAQTGNG ATQNAQTGGQATSGGNNNQAATGGGALARGATTIVLKETQGVPGNECITFRNNGEMVD AACVNTAADRQLQASTVNGQPVLAVQRSFTAGFRPDLVGVDACVGNNGTTFLALPCNS ASLDPVTFSNNNLVAASGACQSGHDGAAQITVDQSGQNCAELTSTETAATPP CC84DRAFT_534191 MEPFAYMPASGLSRHSTCGFVKLQSCQRASFMWAHRRFTTLVPA ILCTIIRLYDYTWFGLGVCKVVIRLCGTYGRMGRRRELDNFSRSGDE CC84DRAFT_1063866 LAALVASVASAQTLNIPTPAGSVVSLPEPSVITGNEDFGNKEFD RGQPCDSDEDTGSDNAVFILEDGASISNVIIGADSLEGVHCKGSCTLTNVWFRDVCED AISILGTGDATIVGGGAQEAKDKVVQHNGVGTVTIKDFTIVNAGKLYRSCGDCTDNEA KSPRKVIVENVRAYGVTSDLIGINSNFGDTASITGSCGTTKTVCREYKGVNKGDGDSE KLDTKDSCGGDQGKLEKLPEC CC84DRAFT_1140447 MAASVPVEKTIYLGAFAHCVALAELEIVEKGAIGVDEHGVIGFI EKEVDVEDVRKRHEGWNDAKVVSVKDGFFFPGFIDTHTHAPQHPNTGLFGKTTLLDWL DKYTFPMESSFSSLDKASRIYTNFVSRTLSHGTTTCAYYATRHVEATNLLADICLARG QRALVGRVCMDTLSPEYYRDESVESAVRDSKACIDYVRSIDAEGSIVRPIITPRFAPS CTAPCLAGLGKLAHEADAFVQTHVSENTSEIALVEELFPDSKSYTHVYDEASLLTPKT ILAHAIHLSTEERKLVKERGSKISHCPASNTALTSGCCPVRELLDEGITIGLGTDVSG GFSPSILEEARHAIWTSRFVAMGTPAAAASSLNNAAKLSTAEALYLATRGGAAVVGLE DKVGGFEVGKEFDAQMIRLGSVPEEGDKEGVFGEDGAGGPVDLFGFESAEEKLEKWMY SGDDRNCVAVWVRGRLVHKTTRYTA CC84DRAFT_1256977 MPPFKDEQIIIIAPGSETTVAQLGLPESFTPARLRVRSRMFPAE KPGEWEPYKIRRRNEKPDAPAAAPQDGAPEGSESKTTGAEDDVVYEEDRVSEEGAVWP IQEGKIVDWSCFFALITHVYNALNPPFHTPILLIAQPVWTPKEHEKLTQFFFEKFKTP AFGLMDAALASSWAYGVHTATVVDVGKDKVDVTAISEFIPHVQGRTSSLAGCGGEALT QSLFAKLASRSWSREMCEQLKKSPICEILPVGTPLPGTKEASEEETITNPAAAASTGA PGSGPAAAASIGTIPRGPGADTEVGDNDADEEGVLDVASIVTGGKMEEYLAKKEREKQ EKANAKKKGGADAAAAANANKPVRLPNSRRERATFFYEDHRLLDNLKNMNLGSERLAE MQVQLDEGPQRQGEGANGEATSAAEANGSADAGNTNGRSGPIRRELEVGTERFMAASN GVLERIADAVHRTISSVDEVNKRSELWDSLIICGNGSRVRGFKEALLSAIQSKYLISP SSATMFTSEIPSNISTPAGTGANTPQPQLGPHGGAPSHVNPLLYAATTAQTQHLMPHN PTSHLPGLSHNAHSSHGQTPTSIKLVKPPEYFPEWKDVGFDESAFLGAQVAAKVIFVV DQGQSKGYMTRPDYNEQGPNGIHDYAL CC84DRAFT_1256978 MSSAAAMGFAASSASSSVSSTIASAADALQTAAVKGAESPTPSS TFGLLARVILRILRVLPGALYWIITFTTITLPTWLFTLFSMSLTFTMNFTTLMLIAVL VVSTVSYLVRYRFMTMYTRLPPEPQRDEPQVEVFPDTQEGDSKRGLSNYLDEFLSAIK VFGYLERPVFHELTRTMQTRKLIAGETILLEEEKGFCLVVDGLVQIFVKSNRDSADSD DDEDMPSGAHRDRQGYQLLTEVKNGAPMSSLFSILSLFTEDVKLRHEDSEDGVDSSVP ATPLERPVRTSLSRNSSFAFDDSRPHTPADLPGTPPGGLGHRRASSIASPTASGRLQS VPPFSLDPSAANGHAHDSRKDKQSSHSRSKSKAKSAHPDIVARAKEDTTIAIIPATAF RRLTRVYPKATAHIVQVILTRLQRVTLATGHAYLGLTSEVLRTEKLMNTYTTYDLPGF LRDSALQRLKDRFAKETERTGPEEEMKGIALHNPGAGRRRRSNSAFRKGTAGHARLAA IRGSSVDISAENLPRTAQSAESAGGPDRVSAGDLLTNSHIPRGTGRTGRYSFSQPVHQ DRRDAKTPLDAGSFNPFASPLARPAVLHRQESIDEATVFRESVLDCMFKAIGLTDLDN PIPKSTSVEQSPRLVSFDAKRQKAVFSNAFGFIDPYEASRDGDTESMVSASGQSTMSV TGHNLLEEIVNDVEIVYFPKGAVLVEQGERNPGLYYVIDGFLDVSVPIGEDHQEPNIL GTLPSAPGTTEAELFGGPTLKRSTNTASSSYLNLPEQGKRKKSRKSLFLTKPGGLAGY LGTVSSYRSFVDVTAKTDVYVGFLPRASIERIVDRYPVVLLTMAKRLTTLLPRLILHI DFALEWVQVNSGQVIYNQGDESDAIYIVLNGRLRAIQDGANNNMKVIGEYGQGDSVGE LEVLTESTRPGSLHAIRDTEVAKFPKTLFNSLALEHPGITIKISKIIASRMRSLMEDP LHEQSKELRSTATRSKVSSTVNLRTVTILPVTAGVPVVEFASRLMTALNQIGTPNGVV SLNQAAILNHLGRHAFNRMGKLKVSQYLADLEEKYGLVLYVADTPVKSPWTQTCISQA DCILLVGLAEGSPTIGEYERFLLTTKTTARKELVLLHSERYCPSGLTRKWLRNRPWVN GSHHHVQMPFRTNAEPVNPIGRRFGTALKHRVQVLQAEIQKYTSRRVRQTPLYSADTP FKGDFHRLARRLCGKSVGLVLGGGGARGISQIGIIRALEEAGIPIDIVGGTSIGAFIG ALYAWDADVVPMYGRAKKFASRMGSMWRFALDLTYPSASYTTGHEFNRGIFKTFGNSQ IEDFWLEYYCNTTNISKSRSEVHTSGYVWRYVRASMSLAGLLPPLCDNDNMLLDGGYI DNLTVTHMKSLGADVIFAVDVGSLDEDTPQSFGDSLSGFWALVNRWNPFSSYPNPPTL SEIQSRLAYVSSYDALERAKNTPGCRYMRPPIDPYGTLDFAKFDEIYQVGYRYGKEYL AGLREKGVLPVSEETEKEKNLRRTMAPRRASI CC84DRAFT_1140457 MQIRPFLLSALMPFAASTQKIANVDNVEWNDDLWMITSKQLDQG HYQSRMSLANGYLGINLAALGPFFEVDTPDAFTNNYNGWPLFNIRQTFATIAGFYDID DKDGGTNYPWLTQYGGDSFLSGIPHWAGLIVEVNGAILNASTSRDEIDRFSTRLDMKS GILHWQFDWYPTNDPDTFINVRYEMFVHKLHINLAAVQVRLEPNRDVNVTVYDVIDGI SAVRADFVDKGYEEDSPTIWSAVRPHNVTNVIAYVVSTLQCDLYETLDTRSDVDDDIF SSMHISSIGQSVHVELERGHISFISKYVGVASSDAFEDPKTIAMEASLSGAYAGFRNL EHSHIEEWQSIMTDDSVDRYNNPDGTLPEDPRIRELQITSVTNPFMILQNTIGPNALA AAGYNPRLNIHSIPVCGLGSDCYGGLIFWDAETWMALGLQLSHPQHIENIVNYRVEMY PQAKDNVKTAFASSKNQTGRFTGGCVFPWTSGRIGNCTASGPCFDYEYHINGDIAIAF RNQWIATGDAKQFNETFLPIANDIAYFYSELVDYNETSGYYELMNATDPDEYANNVNN VGFTSALIQRTLNETNEFNSLFGLPQNETWNNISAQMRLPVHEEAGIIMEYGSMNGSI VVKQADVVLIDDILNYENPYSLTDLDYYANKQSPDGPGMTYATFSIVANEISPSGCSS YTYNHYSSQPYARAPWFQYSEQMVDNVILNGGTHPGFPFLTGMGGANRIGIFGYLGLR MFVDKLDVDPSLPPQIPYLNYRTFYWQGYGINATSNATHTTLSRLPEKILDTANPAYA SSIPVTLGTRRGSYTLKPDEALVLPNRMLGQTLTAAGNILQCRPVVPNPYSVSLPGQF PIAAVDGASSTKWQPENPTRVSYLTVDTQATTFGPIAHILFDWGAQPPVYFEVLVSNS SVPTKEDGFADEDLGDVRYVTHAIVQVSEPWNPERATVIEPVKGNQTNVTLLGEERVW SGRFVHLGIRGNLANATALAGGTVAEWSVILEQREEGGEDGAQGENEQGDEERVRPLV HKLWQAIKQIVL CC84DRAFT_1114227 MRTAALRAASTLARATRSPRVGYCAQSRVLRLFSTSPAPRNAAL SPTAARDFKATGPEDVLESKQDPSQSVLAFTDVDTFPRRHIGPSASSVQEMLAALDPP VATLDDFVRQVVPESILSERSLEIGSIHDGVSSDQSPKATQGAWNENGVPESIFLRQG KKIFDANQPGVSYIGQGYYGTKVPEVIKRNVLENPAWYTSYTPYQPEISQGRLESLLN FQTMVTDLTGLSIANASVLDEPTAAAEAMTLSLGQLPASRQKRQNKTLFVSDRCHPQT IEVLRSRADGFGIKIETGNVLANNCARVGELGDDLVGVLAQYPDTEGAVEDFRELADK VHKHGALFSVATDLLALTQLTPPGEFGADIAFGNAQRFGVPFGFGGPHAAFFATSDKI KRKMPGRIIGLSKDRLGNPAARLALQTREQHIRREKATSNICTAQALLANMSAMYAVY HGPEGLKNIARQVVAMTRSTQQAIIHYGFKTGQRGNLNDAPAAFDTFVVATGDKTRQI LKMAHAHGDWVRKLDDQHIALSFGETSISREVKRLLSHFRKAADEEPPTRKNIIAQVA VDPPTGFRRTSEFLTHPVFNSHHSETELLRYIHHLQSKDLSLVHSMIPLGSCTMKLNA TAEMAPVSWTKVNNMHPFMPLDATEGYQTMIKQLEGDLANITGFHSVSLQPNSGAQGE FAGLRVIRKYQEQQPGQKRDICLIPKSAHGTNPASAAMAGMRVVTINCDTVTGNLDMA DLEAKCKKHAEELGAIMITYPSTFGVFEPQIKEVCDMIHQYGGQVYMDGANMNAQIGL CSPGEIGADVCHLNLHKTFCIPHGGGGPGVGPIGVKEHLTPFLPGHLRNETGGDKAIY PVSGAPWGSASILPISWAYIKMMGSVGLTQATKITLLNANYILARLKPHYPIVYTNDK GRCAHEFILDIRKFKETAGIEAIDVAKRLQDYGFHAPTMSWPVPNTLMIEPTESESKQ ELDRFCNALISIRKEIAAVENGKMPRDGNVLKMAPHSQLDLLTGEWDRPYTREQAAYP LPYLKEKKFWPAVTRVDDVWGDSNLFCSCAPPSDGEEDINKAIDAPQPT CC84DRAFT_534442 MFHRQTTPPTTSFSFMPGSVGSVSDCTYYSQNASPAGSVITANT TPPRSPVRQHGPLLLPKVRTQDQIAEPTGGPVRHRRTTSTTSSIGGYGSAYSPYSRPS SMIRRGSSPFNHHANSAVGSPVSTASYDLGLATSTLNSPIAFPRESRRASYAAHGRSR SASVVPRHARSGSAGSIDESLITRYGFPTYRNMPSYVTSSGVSQPTMITAMPTTTYSE PQEVTYTTTTTQDYTFTDFEPAPAVQYPFNTEVNFDSFPPLPTSSLLEYLSASNPSPA LVNRVASVPRGTNTHFWWDVRNLRSWEDFNISTVTSLPSVLPLLEVPVTSTFLPEPSR QSLQPENQSALHDIYANYYATKINAALKVAQGSTHMVMRPLKSHPGLRPQPDFVSSYP SDYEKTIYGDARGRVVGLVKCYDQWNTGMRGESPPKQVLYLQGLAHLQRVMREHGCRY GFIMTEIELLCVRAGGPSNANMVDPTTVNAQTGVPIFGFLETSAPIRLSTTGFAADGE MQMTAPLALWYLHMLAKENPFEGMGTWRMDVGGPAALTRQNCLEKDAWVPKPQLGEKR EAKRVRGWVFPEEPLSKRECGRGKRKGNA CC84DRAFT_1085549 MPPAATTASPPSAFDQDVFLKAPGTQLFLNPPRILIIGAGSRGS AYARAALASTNSIVAAIAEPVAYKRTEFGRKYVWGGGEPEVGQQFEGWREWRAYEEDR RGRERDGEQVEPGIDAVFVCVLDEMHEEVVCGIAGLGVHICCEKPLATRLQSCVNIYK ALESAKRDGEGERKETIFGICHVLRYSPHNMMLRNLVLAKDVIGDVLSIEHVEPVGWW HFSHSYVRGNWRKESKTAPSLLTKSCHDIDFILWMLCSPPPKAGVEPHLPSHVMSTGS RKFFRKERKPKAAGDATNCLSCPHEKDCLYSAPKIYHERHLQQGNTDWPVKIVNPEIE EVYRTAGVSAATKQLFKDLGENYMADTPVSEVEARPWFGRCVWEADNDVCDDQCVTIT WDDDPLLSEDDGRPILKGRGAKTAQFHMVAFTEKICERRGRIYGTLGEIEYDSTTIKV HNFATGKTEVHKPHLAAGGHGGGDEGLARQFLMAAEAVDSKRMSAADAQREFLGCDLE EAFRSHAMVFAAEDARTKRQVVDWKKWWADQVEAQLHGS CC84DRAFT_1063021 MPEKPLTVATYAAGASLAAITLVYVFGPTFFLDDEASSARKKGI VGLSNTANDCFINSILQALAGLPDLRIYLIRELHRRKLDGPELYHVDPEKLALERRAT KPWKLEGLQKGLVTHALKEVLDSLNERPIYKKTISPQPFIRALEHAFGTRISRQQQDA QEFLQIVTERLCDEYHAGTKARRKAQTTDLSNDNDTASERLEIADQFDIAPPKDDAAA EQDTDNDDSSTAEEGFPFEGKIESQIECLTCGFKPKPSSSAFVTLTLSVPQTSSTSLN KCLDGVFKVEHIDDFKCEYCRLDHAIQSKTKELARASAPEIQRALQSDIDKLKEALES DPEKPPEGVELPDSSLAPKRRIARHMYISSFPKVLALHLSRSVFAIGTVSTKNLAKVN FPESLPLGGILNRKNYRLLGMVSHKGTHNSGHYESFRRQVQPIPFSTPHSFGTEGVYS CC84DRAFT_1162068 MASTIRPSLLPQCTSCIRRVTRRGLDQWGQQQQTRNISKAAKEA ERNIVVKLREDIPRFGRAGSYVPINPALMRNKWFPARVADYVPAVQLKQLKAQDVDMN RDATFGVKATLEEAEEEVEVSRPRQHYVRPIEIEQLSAERSMELIDTFVPPTIDFARQ LIEQEKTETKRYGASNAADILNFAMSASKPKPLENAIYGSVSTADIVATIKSALAHND EAARVILAENDVHFVSGHEEGDTSKVKQLGMFKVEIGVPGAAQPLTRQVRIRAKE CC84DRAFT_1203538 MGAQDVLSRKSGVITGDDVLKLFQHAKENQYAIPAINVTSSSTV VASLEAARDKKSPIILQTSQGGAAFFAGKGVDNKDQAASIAGAVAAAHYIRSIAPAYG IPVVLHTDHCAKKLLPWLDGMLDADEAYFKEHNEPLFSSHMIDLSEEPVDWNIQTTAK YLKRAAPMKQWLEMEIGITGGEEDGVNNEDVDNNSLYTQPEDIYEIYKTLSPISPYFS IAAGFGNVHGVYKPGNVKLQPVLLKKHQDYVKEQTKAKEDKPVFLVFHGGSGSSVDDF REAISYGVVKVNLDTDLQWAYSNGFRDYFKSKEGYLKTQVGNPDGEDKPNKKYYDPRV WVREGEKTMTKRVEVALDDFYTAGKL CC84DRAFT_1214596 MTGTHTGEGLGAAIKKGVGMVHGTGEAIRGNVNAAVDSATGDHE SAQRQQNIAVRGVDEFEHGHYHGTGAGVTPKDTDRERVNRYAQGESATLGSTNYGPHG TNLGNKLDPRFDSDLDNRATNATGSTNIGAHSANAGNGVDPRDNDGEIGLRR CC84DRAFT_1114245 MTKIFITGATGYIGGDTLYELYNKYPDYEYTALVRTEEKGKQVT SKFPKVRIVQGDNDSTDLLKEESAKANIVIHTADASDNVNAANAIAAGLTSGHSSSNP GYWLHTGGTGILTYFDSRDGKLGENSDKVFNDLEGVDELISLPSEAFHRNIDEIVINC GRNEGDKVKTAVVCPPTIYGEGRGPSLTRGRQVYELAKLTLQRGKGPTIGHGKARWNN VHVHDLARAFVLLVDAAVAGRTDDGLWGEQGYYFTENGEHYWAELSARIAESAKKQGF IQSAETEALDEETAKKVAGFESISWGLNSRGEARRLKKLLGWKPVERSIEDEVDTIVQ NEHDRLQKE CC84DRAFT_1162073 MSARVNQIAGHLNYPKGMLAGQTAIITGSGQGIGAEAARLFANE GAKVVVADVDAKKAQSVADEINQSGGAAIAVAGDVLDDAYIADLIKKAAEFGGGKIHI IVNNAGYTWDGVIHKMTDKQWHTIVDVHGTAPFKIIRAAAPYFRVKDGEPRNIINISS TSGVHGNAGQINYALAKAGVTGLTKTVAKEWGPAFGVRANTVAFGHILTRLTAAKEAG AFITTPDGTKVALGIPGAQKAAGPSAGEQHLDIPLRRPGNATEAASAVLALASPLMSY VTGQTIMVTGGRNM CC84DRAFT_1162074 MADEKHLYEIREEPPIPTYEEATSSSNTQYSRRGPQEASDDAER QTLLGHDLPAGPNVQSSSRRRNGYYHPPSVQSVDDDDDGDSGLGSPVDADEEAELRET MEEMEILDPESADEGRARRNRSRGRFSKRFYSITNSLSSFHLPRIPWPSSPAALFHSL TSRLPTIPVEYRPGWSVMARLCGLIVIVALVYLLVVSEVVPMGGAGYGAPFNPEWVRQ FALQNVETPRLRENLRYVTSYDHVAGTEGSYILGQWIEAQFKEAHMDTYTHDEYFVYL NYPTEKGRRVAIVEPKEKQWEAQLEEREAYNPPRAQTKAFHGYSASGNVTGPLIYVNF GDKKDFKTLWDSGIDVQGAVVLMRYYGSQSDRAMKVKAAQNAGVAGVIMYSDPAEDGF KKGKAWPEGRWRPAGSVQRGSVAMSNMIIGDPLTPGLPSTKDQKRMPKDKNPALPTIP SIPISWDQAQKLLQSLQGIGEEIAPEGNFEHWIGGVPDVGEKWWSGHPEKSPKVNLQN NQEEVDRQRITNVFGSFLGSEDKAKKIIVGSHRDSWCFGAADPGSGTAVMLEVARVLG ELRMQGWRPLRTIEFASWDAEEYNMIGSTEHVEANLEELRANAIAYLNVDVGVTGDKL WANGSPIFKHAWNRVLDRISDPHKNVTLLKLWQHHHGDKFGGLGVDSDYVAFQDLAGC SSLNFGFSGPEHGDMAHSCYETFEWMSQHVDPDFVYHNLLTQIWVLIILELAQEPLMP LKVDDYATSLQEEGQKLIEWTEKQGGDFDIGMFQPLVDALANVGGKAQEFEQWETAWY NQIVGTGGFETQGLTVQRVAHNARMAGFESDLLDVQRGNVDGEQEHGLPGRTQFKHII FGPSRYDASDTSYTFPFIRDAIERHTLDETKDWKETEAQIKKTAEILDRAAERLMH CC84DRAFT_1173619 MDIQTNEVVTTITSNPFASITVLSILLLLSSTLLSFTRRPSNKL PFPPGPNPLPLLGNLHQLPLTKPFLAFTKWSRDYSAPILGLRFGRQPVVVLNTWRAVR DLFDQRGAIYSSRPYIPMVDYVVPGPYHVAFMPHDRNWRRGRAALVSFLKDEELEKVR PIQQAESTQMVFEILQEPAAFEKHVLRAFCAVILESVYGVRGTREMTDRFFEIQDEWA GILDMGAMPPLDVFPWLRYVPSVLTPWPGWRKRSARLAVAQRGYYKELFERGRKGVGE GRAKESFLAKLLERREKEGFTDIELVYIAGFLIEAGADTTAVASLIFILAVAAHPDVQ RRAQEEVDGVFGDQIPTDIEAVKVPYLQAILWEVLRWRPSFPLSLPHATSKDDIYEGY FIPAGTTALMNTWAINHNEEDFPNPDAFDPTRWLVEESSSPLPGYSSDSVFVSTDDSS RRRSYAFGAGRRVCAGQEMAERNLLLTMAKLLWAFDMRPEAGTTLDTNVETGFKDAVL TGPKESKIEFTVRSEAKEQLIWKEWEGADAVLSKFG CC84DRAFT_536334 MPSISTSLSWLSVFGLLFSPQSCLALSKKDRLACQRATHDPLKG CPKHTLLVDAESANATAKKNVFSSIQSAVLSLPHDNSTQYILILPGQYTEQVNVTRPG PLYLLGQTSDAASLAKNTVEVVWHNATGTATTGSYDNAWTSTLTIAPTFNSSTTGAGP TGNHVPDDTPFGNFDFRAYNLNFTNDYLPYSAGPSLALSTSYANTGFYHCSFKSYQDT IYVGKLANSYMSKCEIAGQTDFLYGFGTLWIEDSDLLMRSCGGGITAWKGTNTTYENK FGVYIHQSNVVAANSSIAPAMVGKCALGRPWNAQHRSIFANTYLDASIKPSGYIAWGT TDPRVNNYTLMAEYKTYGPGWNQTGRIDGNVTHILTEKQWQPYSSPSKVFQFFKSGKT GNSAWVDWNA CC84DRAFT_1140493 MSDAEEEVMANEYREAAQYDGMDDLDRVPSMGSGGDIQAQLAAA ATPLEFQATLETKFASYDNYCNLFHFILNSDGPVDIDAPNSSWAWDVIDEFIYQFNSF CGYRQKVAFKHENPEEIQLLRENPNTWGCYSVLNVLYSLIQRSQISEQLNAVKRGEDA KQYAGEYGSRPLYQMLGYFSVIGLLRVHCLLGDFSLALKTLDDIELNKKSMFPRVMAA QFTTYYYVGFSYMMMRRYADAIRMFSHILVYVSRTKNFQKNAQYDSITKKNDQMYALI MICVAFHPTRLDDTIHTALREKYGEQFNRLQRGGPENLPLFEELFRSACPKFINPTPP DFDNPEINVDPVEHYLGIFMDEVKNTMMSPTVKSYLKLYSTMDLKKLAGFLEVEPEKL RCWLLVNKQRNRQLRWSEGGLLEGDVAHSSDLDYAMEGDLIHVSEAKVGRKLVDWYLR NLARTY CC84DRAFT_1214603 MPDLNSVPVSPRGPTSNPTPGAAQAASSATSAQASRQSSATASR RASQIYPMSPPPLPLASPGGTIPVATTHHAHAAPLSPGFAGNIPSFDAAGVPIRHPRP LTAAELHLELEKEQEAVVNRLTRELSALRAHSASVASTASSVTSGVHVDHDIVGATHP TSARRHRSSSSVSRTSTGVPYGIPLNPATASIPHHRYSVSSQPATSDPSAAQQARSAS VVSTPRYEEVAHYRQELEEAKRENEVLKRRIRELERVLRGGDAADTQRGRTGAPSSAL TGSGATATSATSDAAA CC84DRAFT_1214604 MDTLLARVPEPSSQSEVGKDKMSSSQEPPAASFIPQAIIDATEK GMMGTNGSSGSWSTENVADEGAKGKEGKSADGIGEDGCRHVRWKGTDV CC84DRAFT_1162077 MPATPAATPKPKQRPGAAAPSTPTPAQPTPDDRDKTVRLHITPF NPTLLKAYIPPSVLPVAKNISYHTLETFPEKGFGYVELPAMEAQKLKKKLNGSTLKGS KVRIEEAKPEKRKAGDVVDVEAEEAERAAKRAKKEKKRKRKEDGVLEGVQLPDERKVK RGWTEVPVKGKKERTEKKEKKDKKEEKRERKKSKYTKEPELLFKAKLTPVAATEVAHK EKKDKKKKDKKDKSKQEVVVHEFEKNTKTPSFLKATAISTDKKGAVDYVNDKGWVDEE GNVIEPETAKAKNRRVLELVESRPDAPSPKSKKKAPATPPPNGSPAPKSAGKDKKRAK KATPPSESSEPEDSSVVSSSSDSDFDSDSEASAGASEPASPAPASTPAKAKSSTPEIA ITPSSPAKREIHPLEALFKKPKPAPLLTPSSHPTSTPAKGLAPINTSFSFFDGEPDAD ADVHMENGDGDHAAVPFTPQTQRDIEWRGLRSAAPTPDTAAIGRRFSFPWRSGSQEAD DDDDDDQDADVEASAQLSNNTKANATASQLADVAEEDEEEVEGVVGAEEEKEEEGEKE ESEFRKWFWENQGNLNRAWKRRRKDAVKTKRSVENRRAAGGARRGA CC84DRAFT_536421 MRKRASWLLLLAFLSLFSLALAKTPTSFCKCTCAGNSTIVALDA PATSQKSSPNLRERATKKTCNDCNRQFCLGYPFCQGVKEDQVFTTCFQRDSAKDQAVV WIFILATMGLLSYAGVRPWIDQWAERARQRRSYIPVSAEQ CC84DRAFT_1114267 MSKSPKESTRFTATGVWAHTRPGGKATTLQFADPAPKNETPQQK VKRLREAANRAKLAQVTRWDYAYMYGRMAADAVHRFTVYGIIFATGCIGVLAVFSIGD MVVYNRRKRAIFFEDQEREQAKILSIARTAVAEGRATPAQAALVEGIAEEERLMDLKK AERKAPSKILWWLHGEWNEDKALKEQRRLAVEDFQKKEMQAAGQGSVTQAVQAAQQAR SDNAQPAVGGPLDQQAAKATAFAENTVKGASSGWFGWLGGSKKE CC84DRAFT_1162079 MADNNLSPELNLEQILATLASLPQTTTPPVPFEQQIHPQGLFDA QSTGTPASHYPSQTRILYEQSQDPRLNARPQTTLSNRQAPPNSRTTPSPIDPATITEW KHGLRCVNKIATQNPAFVPAVQKLIADQARNVKDWESGRQRLIEEQAVKRENEQTHLA VLSLPGMSDKIAPLRTVEVEKEELEMYGNKVYRAAQRMVELQFKDLKGLGVPFFGLRS EFLRSDGSDEGDESGKKVTKGELLELQRKMLNHLMELYGD CC84DRAFT_1203549 MHFTTTFFSLLLATMASTTAIPEANTNDIIARFPSALVSSDAAL VDRSAELTARACNCGSAFSCSKSVKECCKSTGGYGMCKTDIRKCKCGTQCNPLQCKW CC84DRAFT_1203551 MFGNLFNHVVPVPAARPESSVPSLNPAEPRYPKRKRAEVHYDYE DAENTDDDSELEYTMPVKRARTTKPPPKHKIFPFMALPAELRNRIYEECLPSPTKLPD SYEGNREGIWLSFTQRSYKKTVAYVPSLDETELENVQFGIGFVARATRRGTGRGRGRG QASSLPSNAHDDSESQNEEPQTGPKTFGFNILSVCKQIYDEAAPMMYARPLVFTDVDG LFGFAAKLSPRTAKLIRSIEIRCWTPTRSRKSQGYNSIAMLAAKGVTSLTSLFINCSM GYFINRSYYGYYAGLGRKQRKDTPTPKRIARKVYRDCHLWLEAMGAVHGDFYKGVEVL QLSGEMFLRGGGTWAEVGKEDKAIYKKELQRLLREGA CC84DRAFT_1257000 MLFKTFSLLISVGQAIQVLRGSAPHATSSNDDTTPIPVNGTLTS FSVLEGSGCPAGSYHAQPIEPGKSLNTYVDVDPSIFFFNSTTTPAPVTCTLNINFEFV YPENGQAELFIGAFASNDIKFEEGDTVRETNFNVQVDMLATAGAEELDGGLIWTRIID EGPMVAQVGVGLVPVGTPGEVGVGTFQAAISISTTYGPGEISLSRLDIGFGL CC84DRAFT_1257001 MFGLTKARTSPRTPDEFPVAQLFLLALVRVAEPIALTSIFPYAI KLVAHYGASESQAPFFAGILISAFSLAEACTGMYWGGLSDKIGRKPVLLMGCFGTVSS LLVVGFSSSFWMALAGRILGGILNGNIGVIQTMVGELVKNPKHEPRAYAVMPFVWSIG TIIGPSIGGYFAEPADNFPSFFSSAGIFGKFPYLLPNILCTGLLLVAILAGYFLLEET HPDMQPWSSQADLDATTAETPLLPAQGAIANAPANLTAESYGTFDDVDTHHDELWRVK SNGDWIDENSPSHEKVITKTVLTFVIALGIFTYHSMTYDHLLPIFLQDKRADDVSSMS LSPNAFGGGLGIPLQNVGIILSINGLIQLFIQGALFPVLASFFGVWRLLVLVTVGHPI AYFVVPFLPLLPATLVYPGIYACLTVRSITSIVAYPLLLIMIKEAAPAPNHLGRINGL AASTGAACRTMASPIAGLLYGISIDIRFTPLAWWASALMAIVGVLQIPCMSRAARKCN VSVNSALSQRRKSKVVHIMVEDVEA CC84DRAFT_1203553 MPSSHMCSVAVSSGNEELETSSLCNKCESIFHFEYIGWRKDDMR PHHITMNTLEQSANSDCYICTRLLKSVSGCRKINIQFAGIKSRQWVFEFIVVFCIQYW EAPSDEYEWSPMRVIETEFCCLSLDRARQLSMQRSLQVGTRSHNTMRQARNWIDQCMR KHERCTVFEEQSWIPSRLVNVERISKSTMVAKLCTRGTIAPGTSYLSLSHRWGKGDFL TLKSQSLESWKKRIPIDELSRVFQDAIHVTDALGFAYIWIDSLCIIQDDLNDWKRESM TMHRIYKGAACNLAAAESESGEEGFVNTQRPSNPMFPIVRTLWLDRPQRMGYLIAGRP FEHRHEGPLFTRAWVLQEQILAARTLNFGGESVSWECNELVADEMWPLGFPLEEGRPN TYNPVERRSIDVGVSQIKLRELSTKPLTVKQHVIHHAWKCIIVDYMRRDISKPSDRLP ALAGLANAFQSILPTDRYRFGAWMNDPMSLLWSPRHQEFQEYELANEVPSWSFARYET EIYWRYGKEEARDRTNYMLAQCLDAPACDAFPSQLHIQGPLMLPRRIPPEYSNEFKFS VELRAGGACAFTYHMDTRFLRSVKSEIKDESHPESSFHVLPIVEIWLSQTYGGQKWGV WSMLLKRDHTLGKAFYRRAGTAYHISRVAFGSLLPQLQEGLSEDDYVDMDVDGTCTVI VV CC84DRAFT_1162081 MATSPPPVEDQARLLEDALAVVRQQTMLMRRCLETPGKLMDALK CSSTLVSELRTSSLGPKQYYELYMSVFDALRHLSVYLRENHPVNHLADLYELVQYAGN IIPRLYLMVTVGTVYMAIEDAPVKEIMKDMMEMSRGVQHPIRGLFLRYYLAGQARDCL PEGDGEGPEGNLQDSISFILTNFVEMNKLWVRLQHQGHSREREQRTKERQELQLLVGS NLVRLSQLVDLQNYKKILNPLLEQIVQCRDVLAQEYLLEVVTQVFPDEFHLHTLDQFL SAVARLNPHVNVKGIVVGLMDRLSAYAQRESESESPDQRKKTEEEAIANLMEQLRIQK EQKPVEEAPPETQQNGGTSESETAADSASIATGTTAAEPLESETSEAPEASEANEAAE TDGEIEKHRGIPKNVKLFEIFHEQVATLVKMQRLSIQDTVGLLVSLANLALNIYPERL DYVDQVLAFANQKVTEFANSADLHSQTCQSQILSLLLAPIKTYVSMFTALALPNFIPL LHNQPYPTRRAVAGEVARSLLRNQTHITSVENLESVLEILKVLIKEGMQQATGYPGGP IQRRAQETDETIEEQGWLARIVHYIRGADNDTQFKLLQTARKAFAEGNERVKFTTPAI ITASLKLARRYKAREHFEDNWQSQSSALYKFMHSTLSTLYTRVTGSADLSLRLFIACG QVADQNGFEEVAYEFFAQAFTIYEEAISDSRAQFQAVCVIASALHTTRNFGKENYDTL ITKCALHGSKLLKKPDQCRAVYLASHLWWATEIRALGEEDPKNLYRDGKRVLECLQRA LRVADACMDAAVSVELFVEILNRYVYYFDQENEAVTTKYLNGLIELIHSNLQSNENAS ALENPKRHFQRTLDYIASREYEGVVTAPK CC84DRAFT_1114275 MTGFARAKEDRPTPPEVYNWRVYAMALVISMGVLTYGYDSSFIG TTITQKSFQRDFGMDKMSKKELNDVSSNLTSIYSAGGFFGALFAFFSLELLGRRWTVI LSDIVFIVGALFCTIGTVPSRSLGLIYTGRLLTGLGVGGIAAVSPIYIAEISPPAIRG RLTGFFESFYQTGAVIGFSINYGIVHNLDTNDSNAWRIPMAVQLIPAGILALMAPILK ESPTWLLKRGREEEAYQVYSFIRMLPADHEYIAEDVHFIKEGIAKERAALVGSTNATL GRVMKGAARESSLKGMRNRFLLVFLMFMWQAWSGAAAINYYSPTIFTSIGLTDVTLWT GIYGVIKAAGSIIFFTWFIDKFGRKWPWIISSLACAFCQYYLAIYIAIGHPETGVPQS ASTVAGGKGATAMIMIFGAAWSFGANGLPWIISAEIFPSSLRSISGPFAAMSVWLWTY VVTRALPSMYTSMGWGVYVFFATCLVCASIYAFFFIHETKGLRVDQMDELFGFEKRHQ VGAPAAKAFAEDDDGETTKAKVVKSEVV CC84DRAFT_1162082 MQLTSLYFTLLLSLTSGLPLEGAPNRHLAARSKTYAVVNVDGGS SIAAQPGTTTIVDDQTKTVRVTDAASIITTTDRLITTIVPAPTPTSSTTSISSTSSSR SSSRSASSSATLAKTSTSAQTTTIPTAAFNPATTSEALATTQSEKNPGTSLVTVTITE GPAPTEWYDDGFWHTRYAIKTKWD CC84DRAFT_1203556 MASHTTPVGSAHPIIAPANPVNSQLSSSLNNLSLQSPPGMTTAS LDSANSTTAWISDAPTNSEDSGSNTGGQPVNNGTGAHFVFSPIEETADSGETADTITI ETITRDPRFSCFSLEELRVVDYAQGRKAVGSGTTSSGFSHQNLSSLSTRARILHMLKG SGIDIVVGSKTATFGKQETWCLPKALISYHSLFFKAVCFHDFKEKEENRVILEDEDPR VFSLFVEWMFYNRRQVDVNDIQEPRYETSLRCASYRQLVRF CC84DRAFT_1239231 MAIKSFLTAALVAPAAVFGAAIPPTEAGTSSINIVGGEAASAGD FPFIVSLQVSGSHFCGGSLLNANTVVTAGHCGVAYAASRVTVRAGSLNRNSGGTVVSV SSIKTYSGFNSNTLDGDVSILKLATSIPTSSTISYATLAASGSDPASGTTLTVAGWGT TTEGGSSLPTALRKVSVPVVARDTCASQYKAIDQSYTITTNMFCAGLAAGGKDSCQGD SGGPIVDSSKTLVGLVSWGEGCAEPNAPGVYTRVGSSVIRSFITSNS CC84DRAFT_1214617 MDTLSGPFIIEIDGKPIAKVGSDAEDHVQATTGPDAAVFTLKDR RLQSGDWVLARATVENRSFLPKPVRWFKIGADSEKLPVHPVIAHEEGTSYQIKFANAG LITEDGNVLADLGGEMPSKVVVKMKCDVNDTGLCEHDKNLG CC84DRAFT_1214618 MSTYFSYTTITADVDPATEWVTVTSEALRTGRRGMPAEPTSSIS LPAALVPPQIPSLPSATLASERLQRLELQDSKITAAPPYIQIRGLILLRQSHTDTLET IVTETTTITTTGESTIFSTSTVSDVEWTTSCDTRIAALNAKTTVTSTATLRLQPGETG LPYAEDDEDVVGSGAGNTVRRKYISSAARAGIGVDVALVIAGIAAIIGYFVKKRKNKK TATAAGAGAEIPMIIRNQSYNGPSQNPSGSPTTMFD CC84DRAFT_1162086 MASRLPPLRGVLLWLHFFLLIGLGTAYKPLSDGFLRAIPSPGDE FNIDNSTGILAPLLIPRVPGTAGQVVAQRHLVDYFQRELPKWTITWQNSTQKTPTSKN TELPFANLIIRREPPWVKPGQTNFLTLVAHYDSKLKPDGFIGATDSAAPCAMIMWAAK VVDGYAQQMYDEMSELGEGGDVDMDMGIQILFLDGEEAFETWTDTDSLYGSRSLSNFW VNSPNPPAQKFYKYKTPLSQISLFMLLDLLGSSEPSVPSYYTTTHWAYRAFSNVESRM RSLNLLESSPPSPFLPDVNKTMALGGISDDHLPFIWRGVETLHVIPQPFPRVWHTSAD DGPHLDMKVVRDWARIVAGFMLEWLDMMEVWSGEEPEAGSTLAIHDGR CC84DRAFT_1194414 MGEQGPGVGFEFPTFEVSWKKRDLLLFAASIGATYPDELHFLYE LNPNFAAFPTYPIILPFKHTDSEVIDFYARSNSTPIAGVPKFDTKGVLDGERKLQFLK PIPVSSEGRKFEVRSKVLGVYDKGKPGTVVETEKTLVDAQSGEVYTREVGSGFYVGQG GWGGPKGPKTVNFPPPANTPPTATRVTQTTLETALLYRLNGDYNPLHATPEPGVKMGF GGPIIHGLFSWNTAAHAVLQQFGRSKPENMKEFQARFAAPVKPGDKLITEMWQTGQKE GGFEEIRFIVRVEGGKTVLTNGRALVKVEEVKAKL CC84DRAFT_1185059 MSNDDEHDQIGSNSSTPPGASTPRPDPTDKRLPGILHSYFGQVR DSLIPRRKSSAVNPSPALAPATQTADPSSKEDSDASRLPSNTLPSPTPSASSTSRHPS TSQLNDGETEKLTEGAYAPPYNQATPPQTPRTRSQEGKRPASSLSQSTLASNQQKTKE KETRERGPADVGPPKGKLSVAISEGRDLRPSVDPYVVCQFQWAEYISDGPRNDAAKDK RHPLAIQRTDSETGKPMAIPMRSRQSSNNGTSSDPRENGSLKDVTNPKWEHEAIFDVV GDHSEIDISVYDRSNAEAFLGHVRFCPNLVEYEKPYDGWFTLEPRDGEEDYVTGEIHL KINFHKIDKKHYGPEDFEILKLIGKGTFGQVFQVRKRDTRRIYAMKVLSKKVIVQKKE VAHTLGERNILVRTAMADSAFIVGLKFSFQTPSDLYLVTDYMSGGELFWHLQREGRFQ EGRAKFYIAELILALQHLHEHNIVYRDLKPENILLDAKGHIALCDFGLSKANLTENAT TNTFCGTTEYLAPEVLLDEHGYTKMVDFWSLGVLVFEMCCGWSPFYAEDTQQMYKNIA FGKVRFPRDALSTEGRNFVKGLLNRNPKHRLGATRDAEELKAHPFFADIDWDALQKKN VVPPFKPKLKSELDVSNFDPEFTNALNGNGSLNARAAALASGVNPASTPLSPTMQANF AGFTFVDESTMDQQFAHKNREHEPERMDEDDKDDINWDKPAGRGDRMSGVIPSNDHDI FNHGNFDV CC84DRAFT_536642 MAQDFLIRSNTTYLESVGTAEVDLDDLCTERRGRGRVGEGGTGM PVARETRAGRVGAFPSAPLDDGGRVSARVAEAIAEKDERETGGDVESGELRWLCWITA GSRRSRVIIINPTCLAVWAAFNQKQAGAQNRKTLLRFYRAC CC84DRAFT_1185060 MSDREFGGNDDLSLPKATVQKIVTEILQSEPGMTFAKDSRDLLI ECCVEFITLISSEANEIAEKDAKKTIACEHVKQALEELDFHDYVPAILEVAADYKKQQ QNREKKQTKIEQSGMTEEELIRAQEELFRNATNKFNSEPTS CC84DRAFT_536658 MSGLEAALFNLKFTAKSLNRQALKAGKDETAEKAKVEKAMKQGH HDIARIYAQNAVRKQNEKLNLLQLASRVDAVAGRVQTAVTMRQVTGNMQKVVKSMDVA MKSMNLERITAVMDGFEKNFNDLDVVDEFTREATSSATAVGTPQDDVDRLMAQAADKV GVELSADLEEATPAKTKIGPTEQEEQGLNERLRALRN CC84DRAFT_1162090 MVIILTGASRGIGLAAAHYLLKQSHKLVLVSRTASALTQLRDQY GADKVEVVAGDLADFSISKKAVEVANDRFGRIDGLIVNHGALEPVKKVADSTPEEWSK AFDTNVFSAIALIQAALPSLRKTQGRIILTSSGAAVGAYQGWGAYGAGKAVLNHLALT LAVEELDVTTISIRPGVVDTEMQREIRELHNKAMTEKDAAKFAGLKKDGGLLKPEQPG HVLAKLAVAGGKDLSGKFLSWNDDALAKFQESA CC84DRAFT_1085215 MADEMQINPQRAKQLAENLASITSRINAANKSSRQIRLIAVSKL KPATDILALHQQPQPIHYHFGENYVQELLEKSELLPRSIRWHFIGGLQSNKCKQLAEK IPNLWCVSSVDTEKKCDQLEKGRKALVESDASVEPLRVMVQVNTSGEESKSGVEPDDA LALAKHIVDKCPTLRFTGLMTIGAIARSKATTAETENEDFVALRDIRDKVAEGLSWEK EKLELSMGMSADFEGAITMGSDEVRVGSDIFGTRPAKKDAVVVEKG CC84DRAFT_1162091 MSREFTVKYYQVIKRRVREVKGGLHMRLYSCVLSTSQELQSSNT PMSGKRLVCF CC84DRAFT_536770 MEQDFYKAHLTEKFGLEVIVADEQRRQAVHNSIYTGLVYGVIRE SSQEIYRRVTSEFARAECLSLGCTEIAFLIHEAHSDIPVFDTTTIHATAAADWAMKAE NE CC84DRAFT_1059440 RKSPSSGHRHRHHHNSRHPRSRSPRRDDDKHKRRRSRSPLPHPV TLPYHAKELSKRHFEEYKPLFQSYLDIQKQIILDELDEREAKGRWKSFIGRWNRGELS RSWYDPSMLKQARETAHSLQTTESKRRASPVYSPKTLEPQSDDDDFGPAPPPGGVSRR TGHGPTVPRFDDLALRNEMQVEDRARAQANYVDGIRYERKLDRNVQKERLDELVPRAD PGSRERQLEKKRETTSTLMDFRDAKESGDVEVAEADLMGDDGVEVYKKKKRDAERQKS EREIRKEEIMRARDAEREERLADRRAKEAQTMDFLKQIAKERFG CC84DRAFT_1162092 MANFAPYQDIPETTRALSPPIVSPRTSLDRTRNIGTVAVTSPTT QSYQQRDYFSGDDVEEQRERVAWNAPLGGSREDVDMFSTSIGLRMDHAACLAYLLLPP AGPVALLIFEHRSDYVRFHAWQSSLLFAFIFVIHIIFSWSSIISWFLLIGDLGLICFL TMHAYQDASTLDRYEIPFFGPLATSITDDE CC84DRAFT_1085398 MCIAIVTTEHPEYPLIILNNRDEYLHRPTQEADWWPAPDDQVLG GYDLHRPAHGTWLGITRQGRIAVLTNYREENEDIIQGARSRGLIPNAWLKSDPEARES TEAFEKRMIEEDGVKGVGGFSLLYGFVQDVVKRSEKGLGIISNRTPDVHGVVHLASQP GETYALSNAAYGDRSWPKVVNGEKWTKEAIAQSSKLNETREQLVQRLLDVLSTDTMPK QKENEEWDMYMNQLRHTIFVPSIGRDDLEELKMPAHEIGDTVKQKAAHATDGVYGTQK NIIIMVDKQGKVYFLERTLYDRDAKPIEMGKGDRRFEYEIEGW CC84DRAFT_536843 MASLPPQQHQQRRPSPPAESARPEQSNAARTNSFSADSVDSQTL LLNSPPTEAVKPTEADATQQHAPAQAEAQSAEDNEPRRCWICFNDETEDDENTSEWRS PCPCVLVAHEKCLLDWIADMEAPDSRLRLGTTRGKILCPQCKAEIKLDRPRNVIVEYV RMTEKMTKMLQLPIIFFVAGTATYTTLRWFGKDIVYKIFGVQDAQTILRHRCVPSGLP SESITMHLLNHIRKNWQIDLGLPAIPVILVLSRTRMADSFLPFLPLIFLAGGGADAAQ GDSLLQITWPPSAAFTVAALPYVRSIYNSYYDRFWAPHERRWLKEVQPRAGTEDEIEA DAQDMEDDAEAILNAIADEDEDGEDGEDIVEVEVDVDLLFDWNAGGAADNNNAPENPP VPIARGPAAPPLEAPPVEDGAPVAAAANQEEEDAAAPAPNVPQQHAQPRRPRIRRERN NISLTGSIVDTILGTFIFPGLAGTFGEVLRIALPKSWVTPPSSGKPTGFLQNRWARSI VAGCLLVGLKDAFVLYARWKTAQNHRQRKVLDYDGSKGKRKNKST CC84DRAFT_1257017 MARESRARNAGRRVAYNYKEAFSGIELSGSEAEAEENDAGEVGE EEQDDFVPPAEDDDPDEFMVDEPAEAEVEEEEGEEQEEHVREEDIVVSMKALRDVLRA RSITVEHRNSSLDSAPATPVRSGKTKSLRTTGLTGVRCVGTPGVAGARYIPLGANTAG ATTTRQRAVGEWREGGQESRLRNLFGPTGEDLRPVFDAKKTWLSQVALPSRSFNHLAP SPYVTEETRAKDIKNVRQWYANFGRAAFAQGQTTVQMNEEQAQAYLLNLGPESLDLLM GALNNQRIFSLKKRRHMSAAAPFGSDSKRKGWIFHLGSRIQDVNWAPNQLGITQYLAV IVEQDDMTAKKHKRFGNPKAPAFIATSPFPASIQIWAFDSTKHGTMSAKNEPRLALVL CTDWGAPKAIQWWPIGAEDSIEPDQNGVVRLGLLAGIWSDGMVRILDVSLRNPTAGSS ITQYVHYTEAALELAFRDPPSTDKAGNPVEKLSTLPSCLCWLSPTTIAVGTASGNIAI WTLTHPGMFPPLNRPDAGRPCPRPWFHKQLADTYVVTVSSGYPSRPQFLSLTTADGFA RLIDLRAPVADCISSLRGRMIVHSQAWHEHTQSFVMLDEYYLLKHGTLRRYNQAIYTF RTDSTLTAVATSPVHPAVLVGSSDGSVAASNGLCKIMNAKDIPWSLTWFKHEWRRPVE DSEADNASPVVQSDGSIEGKPACPDDPGIMSRPLTRITEGYKPVQTSMQYPDSTKRHK DGAKFITVFEENSAATRVAWNPNLKCGAWAVAGMQSGMLRVEDLGV CC84DRAFT_1173649 MCVAELNVSLMPCRHRWYHLSRACSPSTDLSNCDKKLGLAGWEI KCDFCPYCSGWNLTKSEYRLVGNDRAPAIGGLSRTPSLSLNTARRESRRGSLSRTDSS NSIAILAQEKNRALNARVDAYLKVAPEPPLPSPYPAPLADVNEDDGVALSSSPSDTSS EGPESVRHSSTSTQGDSVSIIKRMRHKSKRLSMSLFK CC84DRAFT_536889 MHTKQRFDDVGRMRGPWAQLTSRDGGRKATVPSWTGLGALALAS PRTAVLTPSSGLGASCAGRLLVIPGPCPPASRRLGRLKGGPQLPSRSIVGTGVRTLVA QTHRILRQVRPAANGASTLRPHRDMSTPQRQCGEIGSAGGCCRAQRTGNRSAGGRHQL SLAPFPRAPRPASECLACLRKRTRPPGLLGTRRRQATISTRARGLPCVLKPPPSCPNA LGHLLKRAPPPWWCRLIHPTPPVAVDKADHPFVVLHLHSTRPVTTATSTRTFRC CC84DRAFT_536930 MADGAATRVQDGVGAVPESGIPACGSDTVCVSQLLLSASARTVM GWIDNLPWMYVGGADSAAKWVDNVGLWPKTGPVFALVDMRPTRGCDGLGYCAGMVPSH RTSQRYASRCASSSTCPQRPQHAKV CC84DRAFT_536933 MKHRQEKSVLDNTKTPALSACRAIPALGQLAPLLPITYYTPAPA SPAKQAFGMPTNPMAHHAVAHAVAYSAVLAHHHGCTTAEDDSSVYRPGGSRPHCEALA LYARCLYPGAYDSGSVPGSWVGSSRTLCAALAAGSWEELVRRPMRGVRR CC84DRAFT_1257019 MLANSMLLGRDPDPNAAFVDTALFSVAISLPTIATTAVILRCMA KRWTGKKIFSGDDWMIFITLVFCWGHSVNTFVAAGLGGINRITIGQREYANLALRTLW ISNFLLVTALYTVKVSILLFYWQIFYISHRFRKACMAMIGLLSLWWISAIIVIFLIGD PIDSSWKNAALAKHRFDFNAWYLAFCGLSIVFDIAILCFPIPVIRTLKIDTRRKLSIV GIFWLGSFVCISAIVRFVLFYQSINGLSDFGKNQYSSITNAFIWSGIEPNTSVIAACL PTYGPFFRDSGIVPKFIQSVKSTFGTSSASEYKTEFSFQSKSLSNGTYMELEKTKGSE RIAVRKANEDTERIG CC84DRAFT_551816 MVEDVTYKIASSSEQYKRASGEAHKDSRAVLPPKQCNGFLPLIC GISHALPLGHPIRHTYRIIMIDRAETQDYNKSQAMSPRTTKLSSTRYPHFRRASSIRP SSSSGWTYSAAIAVRSGFHERVHSAYTKPKMRQHHSTTAPNTTMPRPFCKSPIDTTYI RGR CC84DRAFT_1162099 MPLPRQVFVAVIGAGGVGKCFLAQLSSLAQSLSQSPAAPTYLSL IYLSTSKKALLHADYKSQQLSSWESELQASSTAPLPPPQLADYLVKAPGKVILVDNTS NQEVADSYPLFLKKGISIVTPNKKAFSGSYKLWEDIFNVASNGTGANGLGAGGYVFHE SSVGAGLPVIVTLKDLIRTGDEIRKIEGVFSGTMSFLFNSFQPTNGGGGKFSAEVKKA KDLGYTEPDPRDDLNGLDVARKLTILARIAGLKVESPTSFPVQSLIPKELESASSGDE FLSRLPEFDGQMDQLKADAEKEDKVVRFVGSIDVQNGDVKVGLEKFDKSHPIAALKGS DNIIAFYTKRYGDNPLIIQGAGAGGDVTAMGVTGDLLRVLRLIR CC84DRAFT_1162100 MSHLSKKDAHLYNQDRPSSRPKGKPISSASSIALSSTLSSLIKT ASSDASKPAAGRARSTKEDIFKSHNKGVNKRAAKDLEDSQFTQKHRKLTSDEKAEDEA HWKRAKRRMEEKARLYNALKRGDIEDVDDKYGVDFDAKWAEQQEKGGAGADSSSSESE ASDEELVEYQDEFGRTRKGTRAEMAREERRKRTLAADEPDRFTARPSMPTNIIYGDAV QSQAFNPDETIAQQMAELAAKRDKELTPPPDEHFDGRQEVRQRGTAFMHFSKDEEERR KQMSNLEKERQETERARRERKEQIEKRKEMIKEKKRAIQEKKAKGQADRFLNELGAEL FGERKEEGDEKGA CC84DRAFT_553346 MAEPTSPLLRLPFEIRLMVYEYLLFPSATPSSSHSTSVANLLPD FHTYHSSDTNDTPFTLAVRTIDPYAGVNSSRGWRLRSTYHVRTGPFLTTTTPTTYRVL LSPYTAHLRHTVPSLLSLSHQIHAEAAKVLYANYTFSFHTSIEAAVPFFSDLTPIARD SVRHVALTKKGLPYTKEFDRLEWGALCGYLAREVCLSHLYLSVVAGKPGDNGWDSVAP ISKEAFALMQRMKNDWGSSVGGADLTWVDQLFEVRGFREVSVKALVEHCPPAVSETMA FWIAFSKSVEGGFGEWVRGVMVGGETR CC84DRAFT_1162102 MSDVQSRPARGRSAARGGRGGHGSRGPRSNKQTNGDAAIDTSAD QGQLGELKKQYSSQLSTLKELFPDWADVDLVLALEESDGDLASTVEKITEGHVSQFAE VKKASDRSRSKVKDTTAANDSAPTPRGGSARARGGDSIRGRGRGTDRGRGGFRGRGGA ANGTRTGGPSGPTSVPTTESNAWETPAATEAKEDAQPKAAEAPTAKLEAPKPAAAAPA AKTWASMFASVPKPAVPKPVAKPAPPPEEPTPSAEVPEVAQEPPVEVAEVPPPLVADD IVEEPPIEETSQVTPDKEEGAGPELTLTPSKDELTKDNIEHLPDDSNGPPTETVASTV ASSKDIGSLASVATPLSTGGQAPIGRPGIGGYQTTALKATSGSHRSTSFQRRLQEQQE AVVMPGNHAVNQAAVQFGSLGLNGDVDADLDVDEDREDAETRTQPPQHSPVAQPRAAL PPAPRQAPAAESQPESIPTPKQAPGLPPVPQQPLSQQSPSIPLTSQAMQNQGSQSQQP YNQFGRYGQAETAAPPQKPYDPFGQQAPQSSQYDYPGQQQAQQQPSQTQIGGFSSAPE AFGSQYPTSEQQRSAAYQQYYGNYGQQGTPSQQEAGVAQQRSGSAFGAGPNDSTFSQS QSQQQSRYNEAQNSGHNTPNPALGGQHPSGPASQQQGQHMQQPHGQPGHAGNYPYTHP YYASNPYYANYASQYGGYGQGGYANFGKSMYGQSHHYGGMPPQGSFDQHSSSPANVGA FGGSSVHGRDSGLGGGLGDYGRSVSGAGQNQHNATAGSGAFGGIPDSYRQPQGGYGGQ SSYGQQQQGPSEDSLKPFGDTKSAGPSPSALGAQPGRPGSATNNPQSQGSQTGLPQQQ GFGGYPGHLNQGQGNQYGGGLGGLGHQGAGSHQNNFGQYGGFGGGYGSYSRGGWGTNY QQH CC84DRAFT_1173656 MQAAVARRALSSLASKIHPQLPLTPRESQQLLNLLTTSFRSHLD REYPAGPSEAPPASTTTQLVKVEQSRRLSSSYDSASEHIDSILSNPLFARKPSRRGSD SAAANALNDPFAWFLDQAAIGTADIPKAALCLDLLQRAQNKTKGRRGSISNGDRRPAS VIAEWLRTSGEETSKAFLISQLPAKGAPRRSLVNRLVPLLLTEGNHAPLWRWYTYEPE EGDMLNQAQLSPFKCQLLKEMVNGARTRDEAFAIFQHAFQLAEAGKNKAYVNSLQVAG ARLVDLIVADSQIPCTPELYEQFALSTHGWLFAWKPVVQAMLCLCHPTNPDPQPALKI IKNADSFLASTHSKPSRQRFFVRMCLGAAQQLIKEEKLADAQIAMQFTKEHFEDLVLI KYHSSAPHQTNRGVSEQKKTQDEKRNIALLDGFLAT CC84DRAFT_1140546 MPAFDDSDFGVNTPLAPVKTNGSTNGTTNGSYKETLSIPADNNG TTSIEVPATRSSISDASAYMHNLSLSPSMRDRRGSRNSFGASLPIPRSKRQSRLSSVH YAEDGKPSRPGMPPVQPTRDILAAQMQDLSGEKVTAAKDMAFVFDIDGVLVHGDRLIP EGKRVLEILNGDNELGIKIPHIFLTNGSGKVETQRVAQLSKILHNPISTEQFIQSHTP MRALAEYYKTVLVVGGEGYKCREVAEEYGFQDIVVPNDIIAWDPTIAPYRVFTEEERK TSRPRDFSKCNIDAIMVFSDSRDYATDMQIIMDLLQSEDGRFGTRAKDPVSQRIPIYF SQGDLLCPTEHPFPRMSQGAFRIGLEAMYKALTGVDLERVVYGKPELATYKYADEIIT SWMETIHSEEKLPKNIYMIGDNPASDIIGGNMYGWNTCLVRTGVYQGEGNDKENPASF GVFANVLAAVQTAIKKELGEEFRFQFDESMNPINGQGVSAIE CC84DRAFT_1085126 MAPLANTKTYKLNNGVTIPAVGFGTFANEGATGETYKAVTHALN TGYRHLDCAWFYQNEAEVGDAVHDFLKSNPSVKRSDLFICTKVWPHLMEPEDIKWSFN NSLQKLRMDYIDLFLLHWPFAVESNEDRMPKIGADGKYILKKGLTENLEPSWRALEEI NRSGKAKAIGCSNWTIEGLKKLLSYAEVKPAVNQIECHPFLPNDELVQFCIKNNILPE AYSPLGSQNQVPTTGETVRENKTLKEVAERSGHDLAQVLLAWGLRRGYVVLPKSSTPK RIDSNWLIPELSDEDFEAVQSVAKGRHTRFVNMKDTFGYNVWPEEE CC84DRAFT_1086404 MAYDTYEIPRLTRTPWEVERYKRNSVWKRAPQEAQLPQHVFKNL PREVYDCVLEWLELQYFGKDQHCPPCYLRDLCSLSLTSRAWDRAATIQLYRKIHVLSH EDGCRLPKLRVAGTSRLKLLRRTLREHTALAKIVREIHLPDLQALYSFATIEREEIVN LVASLVMACPSLERLVGFHIPYTHTFDRLSYALSTRPNLKERLWVIADANVEEDEYDD GSVQGYYHAACDPTEKFLELNTNLQYLSTLVLHQESARPVIDLTYRAVIGTIRQLPLL RHLSLSGLSSSSFPNLALSALPPDLLSLRLENLPGINEKGLQRLSSSYVVTSLKTLAL IDLEINNLDVLAAFLSPHLQHLEKFTLCQHRAPTRHAGSGCPIFRSNVLKSVHWELRS QVTRPPTTQSSLSVRAPQPLCCSNEELVACLATGVLANSIKDGLLPGLRRIRAPHDPQ GVLQALCKPLGTALFRSDTSHLAAALKLDHSSAQSTLKNPEKETTCSLKEFLNTAPDG RADSVMLSPSSARFASHLQDIPQPTPARSRLAAHARILCARENPAVAVRVTDPEDNVR VETNIGGFLGDLRSNITYDLKPDRNREAVDEDEEYVHEWITGIDDVMGEWEVASSSSG CRHAAGGNIARSAVEIQDLF CC84DRAFT_1214642 MAPTDIPPPLEGKKRRIGVMTSGGDAPGMNGSVRAVVRMALYSN CEAYAIYEGYDGLVKGGDMIKEMQWEDVRGFLSEGGTLIGTARCMEFMQRDGRRTAAK NMILKGIDALVICGGDGSLTGADKFRDEWPSLLEELVEKKELTSEQVAPFKHLNIVGL VGSIDNDLSMTDATIGCYSSLARICEAIDSVDTTATSHQRAFVVETMGRHCGWLTLMA GVATGSDFIFIPEQPAKEGWEGNMLSVIQKHRHLGKRKTIVIVAEGAIDENLNKITPH QIKEILSGAGLDTRVTTLGHVQRGGQPAAYDRMLSTLQGVEAVKAVLEATPETPSPVI CMIENKIVRRPLLEAVAQTKKVAEAIERKDFKTAMELRDAEFAEYFKSYQITTSTDQP ELLLPKEKRMRIGIIHVGAPAGGMNAATRAAVAYCLARGHTPVALHNGFPGLIRHHSD KPLGAVRDIAWLDAESWANKGGSEIGTNRGLPSEDLETVSYVFKQYNIQALFVVGGFE AFTAVSELRKGREHYKSFKIPMVVLPATISNNVPGTEYSIGSDTCLNALIQYCDACRQ SASASRRRVFVIETQGGESGYIATIAGLSIGAFAVYTPEDGISLKMLDHDIDSLRETF KKDKGQNRAGKIVLVNEKASKTYSVQIIADMIAEAGKGKFESRHGVPGHFQQGTTPSP MDRVRAVRFAFRSLEHIEQYAGMDPDDIDDDAMSTAVIGIKGAKVLFSPMEAIEKKET DWHRRRPKKEFWMDLKEYVDILSGRPSQNKMQQ CC84DRAFT_1194430 MAHDPGLFSVRRQTLAPMNNNITSIPMPSSAMKRQSSVNGMQQM GAPFTANHARSQSGSRMSLAPGRPSQPVFQRSSSGTNLAEQGLHSAHRNSTSNMFGAS STGARKSFAPGASIFQATPAPPPKFQQESQRRSSVFKSRTSQVPGQSGIKQSFFQTAP QPSGVPNDPRRLKDASTRQQLAAELLEYLTLNNFEMESKHVLSPKCMSSPTQKDFVSM FQWLYHRIDPSYRFQKSIDAEVPVLLKQLRYPYEKSIMKSQLAAVGGNNWPTFLGLLH WMMQLSKMINAYEQGSYDDACFASGHDVSGDRIIFDFLSDAYATWLSAEDVEEGGDEE EAQRKIIEPHIQNMARKFDESNAQHVEEVKLLEAEHKALQDQIDKLSEAGPRIQELTL QIKTLEDDRSKFEAYNNSLDQRVQKYTDRSTLLQQEIEKTEGELKASEEERQELQAII DGRGMTIADIDRMSSEKERLDTSLQAASARVEESKKRVAEKELAASRKLDELEHTIER FNNLGYQIGVIPSTAANAKGLEYELVLTINDGPNFSGSQMGSSAREASDRLLADSGTG YSPHHLLNLDVRGTIKQNIISLRKDIAERRNSALEADMCNHDMLDKVKEAIDDKQAEV EALGHRVAQAEEELEKLREITSTQKTASDAQIEKMEKELGRMRSGLGESVQLMVQREM AVNIEYEQLQLRANTLREELHTEIERMLDDIVRFKLHIQKSLEEYEQFIADEVERSCQ EEDQLGDVEDADEM CC84DRAFT_1214644 MAAKKYQPLTLTPINFSLTEGTSIPAPVDTPPETPHQPAPGKGP LSSHPTPKSAVFPHHEATPPAKESSETAEPNLHQTATNDGASTMSPSSPSSRRPSSVR KFLGLRPLSSHDSLKSERPGSPATIASQPSLSRKKSGSWFGKNKRQSSFIIGSLPEGK ESTTNYQANGAKSPPVKKGPPPPALPDLKSFGVSSDLGADDMFKGIN CC84DRAFT_1214645 MAQSFGIATFRFLFFLSILLLLLQTQSTDAANTVIWKNHCDYDL YFWVVPPGGPERNDAFTRVPARGEHIHQMIWHKDGGIVLKYRDVPYYTRAPAGILQAE YYMDRRENKLWYDSSIIDCDRGLGPQNPYYCPFAHGGVNITCTMATAASSGATTSAST CSMDISQYSRDYGTDFVYQQGDSILCRCSYTPNTTAKAADVPSPTRIP CC84DRAFT_537097 MSLSVPAFAPDGRQLSPGEVWEETTSAPKQEEWRQDLNVLLICP DCREVPPNLVENFSRGDTVCGDCGRVLSERNLDFRSEWRTFSNDDQGNDDPSRIGDAA NPLLHGSQLHTEIAYGDASNKHRELSRAQNKSNDNKTNKSLSSAYASIGHLCEQHSMN KVIAESAKLLYKMTDDGKLFKGKSQDAVIAGCIFIACRQHGYGRSFREIYKLTRVSKK EIGRTFKILEAYINKKKKEQDGPKAAGSGAVINAGNFNLTKATTASELIGRACSRLHI GKLEMIAKDCANKVNQLGVAAGRSPLSITGAVLFLVSHLMGSPKSPKEIGAVVDVSDG TIRTAYKLMYARLDEIVEPGWIEKGGDKSRVPVA CC84DRAFT_1173663 MLAQSIRASRQTLARVARQQPALIARRTFIAPTAVRQADLVQDL YLRELKNYKVPQVKASDADGHVQKFALPKAPPSPEESDLANDLKAYETQQVELEGSSS SESGAAPVEEDWFEEEAEEAPAAAH CC84DRAFT_1085260 MHAVASVQRGHDLLSNALRGRNRAVSEALPPPSERPREQPQLPK AASYTYFPRVKDLDDPHLLELKGTISEEELKTGVSPDESYTSSDGSSPDEEGLPEERM PEMPQLRPRNSRRSSIFLSFSSKSREPSRERKADRTRSDSLKRSESSNAISPVRGLTR LRRKSFVGSRSSSPTKETGSPKKDDDSRKNSLSVEANKRKGLEVNASIPEESRSTQEA SDSPTTHKSRFLTKKNKRLSGIFTPSSTDPVPQPANANEPPVPSFPKSFSTDKLPSYV RSPTSPTHIPPLPQSIAPDKIKGPKTEPRKKDELWTVFRTLEGDLRKFQHKSIAFKAN VLRTGLLPFLRAYAVHPSNHKLRPEDLDRRANILNGWWTALLELLSGRNNQSISGTDR PAILEGISGIMERPEWRLSPSPFCALADRVETASTTTTKSAGSASSSSSDFLTESVYH NVRNIFTQNLLAQMAFVIEKMALRNAAASLVTFCGKTCAYAFCFCPGIADILVRLWTP AHDAMKRVMEESGITRLDRLDDVSEHIVSAFPPTLHSLKFKSLATTARSLRRSAIMPL GTASLDWYGAWVKRWSGAESDLFYVFVKHYHILVSEFLPKTSTSVQRLCAPGMIMVHS QILVNLDATINRFAAPQQTEEPTNSTSSITFDDVLADPDASASTVPIPPANATRQMAE NRIIMLIRDFLSERNSHITSARQIFAEGFSCLLKAAARRVSVYDNNACYRLCDFLEEA FVILARYEQMLDERHSVLDWPFWMSVCKLMASSHNTATEIKLFSFLYSTWSVLVLDER RKESLCLQFLLESEFFESRFNHWCPMVRSYYMRILCWRVARFDGGDGALELTIMKTLS DRLRSVWSHYLWLREQAENKRILPPSTQACNPAPGRRFLIIRNDNPIVTNTQPFLSFD GVMQSPSSRRASPSSKSTPERPLSSASVDSQDFPDEAAPKGRWNILRSFIGGAPKQST KSKSSDALKKEKENTKPIGPAAPNSELDPVPDIKEAPVTAYSHPVPAHRSYSFRFSLE WVDKRFGTYQNMRLSPPRLPLPAQVLLQEKGININPVLSAQPTGPAATSSTYAGRALA EWTFVSHECQNFFDRRKNEGVPSNRQVETPTLAVEAFRRPG CC84DRAFT_1214649 MDENQLRQLERQHKLRVKTGCETCRARRVKCDETKPECLRCTKT GRKCEGYKHVQTARHGSPSAAASFRNSSFLVVPQQPPSPNVPKTPTRSLSPDAMENRS FLYFQAHTLPKWTEFFDSDLWSQKIMQLSHVEPAIKHGILALSTMHERFESTSPIFTS KSNDFAFVQYMQAVKHSNELLRAHSQGRVDVEKVLIACIIFTCYENLAGNYRAANMHL RNGLLILNQHKRGVAPEVRTAAQESIGNVLYRFDLQAMTFSDNTSPYDYGFDNPPDCP QIPEMYTRNSDARNDLVGLMRCMMWVSGIANINLNATDNPIWLRLYSQVVTFFDPWER AFDLYQNNLPPHEQGDPKVYAGNTLLKMSFIMTRIIMAAGTGMRTEMAWDMFKDSFKT IVDLAETLPTLTASVPPVSPQTSTPPSRTETPQPPSSKHRSIAPNPAVPSVPSPTGPA TTTYFSSPSPTRQPTGSPSEFTLPSTSKRKPPSFSPSFELSPIVPLFVTACRCRDPIL RRRAVAILMNCRRREGVWDSFGAAMVALHCIKLEEGMDLAVDPGADNWLPLTNKCRDS SQVQESKRVQDVFVNVNMADRQIGVNYMMCNGVPVEKYVKF CC84DRAFT_1114332 MIQPDMTQSPFAWLLLLLGVSAFAARWVLRRPQAKSTSQPFAPR TKQQPEYSEDAYNSIEPLHSLQLESEQPIQLRTFKPKYHLTMALENTTMSDLVAMDNT YESRIKLRSDLIRENQHDVLAHRPVVGPAVLEFYTWMLEYLPSRFPTVYTRTPSGLLN TITNLTLPQNITDTEQALSLLGSNVDTDFLFLLPIPATDSDPPPSEGTKYHLEGFITC FPSGFSTRSKLGLSLAAIHTPVPGYATKLQKSMDRFFAALPVGRIVKRHNWTINTRPD LFVTSGTHIHSDDELTEADAQEEVDLSRTVLRCERQTLHRLPGTGALVFAFKTYTYPI EQVRAEGSGEALAEAIEGLGRGNVPEMTVYKRQVVWAPKVTAFLRGEID CC84DRAFT_1214651 MSAPFDSRLGMEVPDYLSALGSPIGKGTFGSRNQRSSYPPGFEP ETMMSGPEQYIDELVRRTNLMVQFVNSQIRPGARYCQLQPKMCHDAKFPPEFRIPKTV DEVRAMDPSSVDRVLRAYNLPTDLRSFRAMTQDTINPRTAHQAKLCTLFDFLGATQIS ERQRSKRNNGPPY CC84DRAFT_1214652 MGLLSLLPDEYASVETWLKRLFFLLGVVTLGPWAFLVVYDLVLY IVRSVTYEIPFVGGRARGKARPRAPSLTERPSGHRRKFSLARRREPESPTSSAFQSSP QDTRWRNIQEETHDAANKTSLSSDSTD CC84DRAFT_1214653 MDDLNFLEQAAAADASATSTLMVLLPDGSVVQIHNISRFALLDK CPLLYHAFEFREFSEVEQASIEATSRSAVVSLLRFLYTGTYVSDPEECLGSLLPHAEA FKVAEDFDVPEFQVQAYVNFTRETEFACCNATPPSDLCETIRFIYEHFGGRNSNSDEH RSLLDTILNYCVSVFKYQLLGDRVDFRQTAFENFTFHQDLCRTSMRRNFEDDGANEIV QLPVCRPTPHSQATLLKRAIGDFQYEIFQDDEGPALEGNDTEGSSHTKKQEPSHGGFT LVHRPRTLGGGATCSASDSDSESSSDEFGFSLVHRPKPESQTEPVLTHSPTATDPFAK PFTDLVPSNPFHDPGPWEVIKPDVTVKLESAIKSEPTIKSDSNVKQETAGSTSDDEWD FVNWS CC84DRAFT_546305 MRIDLHIAVAPRAVMRDPASAAQKHDPHHYRHHKRRALQIRASK LLNCKRLTSSKSNHHHLRQPRVPGRGSMPDEPVQPPPYSSPLPEDRLTVAHTLPWDLC YDRPSQDAARRVGNLAGRTTGPAESGETASAAVVEPPVPDNGATDVAPAMHVSQRDIQ SCEPVRSKPLVC CC84DRAFT_1185081 MSASSFQALDYLEQLPVQTHRKLYEQPSTVLAIFRCMLPHLAKS IVMALLYMPAPFPAADLDAWFRADSAQTKRGAMYILEKLHITVQKQDDARTLSYELYP SFASSLRQALEGSGSHRSFGVPSHKSEEKRVSVEFLDSYSQRQWENILFYLVGGTVGF RNTGGQDIGTGTKNLLQMAGFFHHGMITREGFTFVLRDTNAQVWSLLVAYLRNAPQLS MSETDILNFLFMLGSLELGQDYSTATLSATQLQMLEDLAEFGIIYRSHKAASTFYPTR LAVALTSDAGALSQGAGSATGGGSTQKGFIIIETNYRLYAYTNSLLQIAILSLFTHMR TRFPNLVTGKITRRSIGRAISYGITSAQIIEYLDTHAHPQMQKTKPFLPPTVMDQIRL WEYERERMETTQGYLMREFPSELVYKEYVQYAKDNGVLVWQSDKLRMFFVDSFEVVQA KVKRDRERTQARGVR CC84DRAFT_1162116 MPALKFVRSVWESFRASSGLEPRLLDGLKVTSAVPGRVKFELPI EKHHTNRLNILHGGTIASMVDLGGSLAVASRGLFATGVSTDLNVTYLSSGGKIGDLIR AEVSCDKFGKTMAFTSIQFKNEKDEVFARGSHTKFIALAWKDPNNITGELSPRVEKKE CC84DRAFT_1162117 MGGGALARSQAMVNNEVRLPRSSTFHHFVGAGDVPPVPPIPEKY KSASMSMLVPAKEPFESSTRNPTGTIQEESRKTSPDSGDSSVTALPTMLGSGDVQQNN GSDKAWIKKPRLAGKKSKLSIHIPTTGRSFSAKSSCVWSAKTPQDLDIADIGVSLQVK DYMPALYWAGRFQSRYDQWRTEAMQDELNPDYHMSGPLAHYNVHQENVAACHIFIQLR ELCLSHLAADSLWEFEYKYRQDHNLLGTDVPPLNAKPEDGNQGPLGRAIRKMTPRKSS FVNLLKGKGWNAEDVTDRDVTLRKASETSEESHL CC84DRAFT_1162118 MAQQTTLDEWAKDPVDPEIRAHVYSLITALGGTGDDGTYSFGDD ALLCLKDLRKWLKFADGQLNRRDVARCMAEANLVKGDLLEILAKVSPKVTDDRLKHKL AVATLELLVPLTWPFEIDPVEATVNHHRHGPYIQLAQIAYKRAILQYDRTHILQTAVR IALPSMAIPLRERTPRDDGIIRIALYFIRNVVMLSPPKSVPIDIDEAEVSRSAVIDAF QQQDIFQVILSVASSIGEDFVSQDVIVLEILFYLLKGIDAEKLFMEEKKLRSKNTDEL KSLIQKEKSMLAGYARHAPSRHNRFGTMIWLKRGDDEQVSTISGQDVLGKAQKSMQKM DMTKKWNKPKRPGRKTDGEQEREEFDLPVALTSSAQKHIKTFVEEFLDSSFNPLFLHL RKAIERETERVEDRHSRQFFYLVSWFLQAECARRRTMKEKAADSKSKQVLSAEDESYG LVAEVMNQETFILMNRFMQKSEDEKAWQDLNAGMKCFTQVLLTVQEMSDSAVEDDQEI AENIQNRIFYEESTHDRIVHTLRSYKDQGFGYLDAVTELSHVFLRMLERYSKQNVDMQ VRSKRRARTIRKKQAEAQGEDGEPEGHVSEREDLEAAQKTVSERKFDFSRFAAKFINQ SSVNTFIAFLKYYNELDLDQLKRVHRFFHRVAFKMELGVLLYRVDILQLFNKMIKGPE GLDSDSPSFKEWEEFVRHFFRTVVKRIQDRPELIVEMLFSKIPQTIFFLEHGYDRQLP TRTPRAPAELEVKPGMEKPEQIGVVVGVLVNQQKSDALHWVRDVLASAVEERKAWEDM HEAQKELAAVVEPDGQAAIDQDEAEAPKPPSILIKPDSEERRVAMFKDNKLRLLLTLV GFTRLGANDDLDASWVIPSYLTSAELQQAIDLIRKYEFDPPTYDDGKGPEDMLRSKAA AARRPTRRVDWDDDDDGIDHDSGEDRGEYALDEPTARKADRSGKKVLKGRRRARTPVE LDDEEKEERANARRQKEIEKQLKVKSTMFVHDSDEEDDPEADAAFFAREEALRAQTKA NIAKSLVLGNTEPAASKKRKADGTTGMDSKRRKNPPKQKQRAGPFDSDESDEEEADDG SEGNPSSRAQSEERQVNMDDSEEEPPDTPLSSQLASAAELPAKSAPNASADGDVTMAD PDDDDAEDEVVTVRRPSRNTRAGFVIDSDSE CC84DRAFT_1257043 MFAAVSRRAISSAAKRQCRSFSSTAAVGAAAEVKKLGVIGAGQM GLGIALVAAQRANLPVQLIDSSQASIDKGLKFADKLLEKDVGKGRISKEDAAATRERL TSSTKLEDLSDVDFVIEAVPEIVELKNKIFAQLAQICPSHAILATNTSSISITKIAAA TTNDPTDLSASSRVISTHFMNPVPIQKGVEIITGLQTSEATLETALELCKRMGKIPST SADSPGFLANRILMPYINEAIICLETGVGKKEDIDSIMKNGTNVPMGPLQLADFIGID TCLSIMQVLYNDTGDSKYRPAVLLKKMVDAGWLGKKSGKGFYDY CC84DRAFT_1162120 MFGFIADGLTVAITVLLPIFASYKALHTSDPAQLAPWLIYFITL LLFHTIENTFDFILAWVPFYSWIRFFAHLYLILPGSQGATFLYQEYIEPFLYHHEREI DDFITQAHDNSKKAGLAYLQQAVEWAKVNVLGFAPQERAPSPTPGQSYAQNLMSRFNM PSARGSDNLYGLVNQALSGASALYAGNRDAQAAELSRSANIIPDNIRNNDDRLNYVSS QRERLMTLLQAFDREADNVRAQREGGSRYYEGTGGLSKSRSEAEFDRIEVDEASSGSG SERPPYPITPPAFDRRTSSGWMPWNWQRGPPPPPPREDDPLAYGREPGSRRDQGHSTG YDAGDR CC84DRAFT_1086196 MAARRGVSSLITSSCSRSTPSAYRATHRAFSSSPASGAFVNSTP RASTASTSTAAKPASTTTATKPASATSPSYSSTQRTVTPSFPAKEPPVPTARNASATE ATTGQRSLTDGLSDQPAEIEGVPAIDWTRSYHGLGSVSFSPEQAEVLLAPIVQDDVEV KPDGIIYLPEIKYRRILNKAFGPGGWGLAPRGESIVTGKIVTREYGLIVQGRLVAIAR GEQQYFDPDGIPTATEGCKSNALMRCCKDLGIASELWDPRFIRTFTKDNTKEIWVEHV VNKKKKKVVIRKDDVVRYPFKATQ CC84DRAFT_1214661 MSTAAASTGLVGQLTSASASQIFLSFIVILTARFILRGVYRVYF HPLSKYPGPKLHAFTRIPQMIGLWKGEPHKRIEAIHAKYGRVVRTAPDEISYIDPEAW KFVHGHGDTKAQGSRPQKHWIRSGNDVTDTPSLLFAPTGSHSRMRRVFHSAFSDRSLK LQEPLIIKYIDLLRERLREKAPQGAIDIVKYFNFTTFDVMGDLAFGESLHMLNNDEYD PWVANTFEYLKTFTFLEMCQYYAVTRYGVRQLMKLMAKQRQEHFQYTVDKVNKRVDQG RATDGHDLWTLVLDKEGKREGLSRGEMFANANLFMVAGTETTATISAGFAYLMCKNPE VYAKFKKEVRETFKSQDEINIERAQALPYLNACLKEALRVYPPVSNAQPMLTPADGTT VMGEFMPPNTVVSIPQYAMYRSETNFKHALEYIPERWLGDERFESDNRKAFEPFHVGP RDCLGKNLAWHEMRLIAAKVHHQFDFELSPESDGWTDQKIFILNQKEPLYMKLKAVY CC84DRAFT_1214662 MSNDAARTDALSKMQDDDEPDDWDKRIFSTGCADENMKLTDCYY EKKDWRLCKKEVSPD CC84DRAFT_555987 MAAKMNVMVYSGTGTTTESVRHCLFTLRRLLSPSYAVIPVTGDV LVKEPWMASCALLVFPGGADLGYCRTLNGEGNRRISRYVNNGGSYLGFCAGGYYGSSK CEFEPDNPELAVVGTRELEFFPGVCRGLAFPGFVYHSEAGARAADLKIHKDAFSDAKE ELPNSFKSYYNGGGVFVDAKTFASRGVEVLASYTEDLHVDSGEGKAAVVYRKLGQGHV ILTGPHPEFAPANLTKPTGDPKYSQTIDAIAATDSTRVAFMRLLLSKFALSVNEEQQA VPPLSRLHLSSHKPTGVADLVATWSESFTIVDDEDYIVDVNDVFHVKKNDGTWSVRGL TRAVSDVALDVLPKALTGQDDKKTPDSGQKSEQSSDDQKKPKTKEEQIRERVAYTSSA SPDRDIDYDKIIKRVVYYVNELPSKQATPFFDHESYYANLAHHHQKAQNHGPTFGTNL LYSEVTTSTNTILEKNPSLLRNLPTGFTATARTQVAGRGRGSNVWIAPPGALMFSTVI HHPFSLTQNAPVIFVQYIAALAVVQGIKTYAPGYENVSVKLKWPNDIYAQIPGSPTNP LVKIVGILVNSSYSGSDYSLTVGIGLNLDNPLPTISLNSLISALGLKAMTNEKLLASI LASFESLYRTFCRSGWSRQLEELYYENWLHSEQVVQLDTHGGMKARVKGITRDWGLLL AEELGDGERPTGRMVSLQSDSNRFDFFKGLVSRKV CC84DRAFT_1085784 MAEHALRDSDIIGTVEKAEVMHQEDADSHHDELTDEEKRIAKKL VRKIDMRIMPLVILVYLMNYIDRNNYAAAKLQGLVEDLHLVSDQYQTGLSILFVGYIL MQVPSNLALNYVGRPSWYLGFFTIAWGLVSACTSQVRTYGGIVACRFILGLVEAPFFA GVLFYLSKWYTKDELAKRNAIFYSGSLVSGAFGNLIAAGILHGLAGERGMDAWQWLYI IEGAITVAVGILVVLILPDFPNTWGALSPEEKHVANRRLAIDAAEADVDEAGGMSQIR GMKLAFQDPKTYLLAIAYMGITGAAGFQNFFPTLTKTLGYSETISLLLVAPPYVFMVF YSFAHCYASDYYGNRFWFLTYPVFVSTIGFVIFMTTDTFGPRYFSFFLMNFVFAQNGT IYAWISSAIPRPPAKRAAALAFINSIGNSASIWTPFTYAPSSAPHYRPALGVCIGLQF LALACFISLRLYLQMQNRQLEQMEKEDRELSEAERRKLEKTAEMEGVDISKARKMQKG YRYML CC84DRAFT_1173677 MGLTRKKTTYINITPIPSFIPRQLAIDMLHSHGEIIELNPLVLS FEAVKAPRDAPADEFYATWYEITERIQYIPGIGKLGSGIIKFKGCFHDMPWGLQTHTY APANVDVRNKWQICGTQPGEPPETQELGIGAPAEGLYLREDIEIKCNMTMTSFVKKEM KAASKVLVDRMLKKAELLDSGAISAMMENGKLKTINPADRSSVAKLAASPDSPNQQPY KLPNSPMRSPAFPATQMYHPSKHTGEQGAVMELPGDFYHPQPSPNHLNPQDRRYSIAS ELSSNEQNAPDSRWSYQSASTRPSSYNSSGGMRSPGLEYNKEYLAELPTMNEAHEEHA ERRELQEVPWPSKEQHQYRYNPQDFARMQQQYTQPPQYSAYDTRRPSGA CC84DRAFT_556032 MSSTGGPGQAGPPQSAPYAPRYIGLGGRPAIIPDIPITSAFLVF YLIFAVIHIKIMKYNKHRGHKFIFNGALFGFCKVRLITMSLRIAWACYPTNVSLGIAA QVFVYVGTIILYLCNWFFVQRVIRAQHPHIGWSTPYRIFHRGGMIALILALLMIIVAS IQQFFTLDFTILRVDRDLQLAAFTFFGAFTLAPLFMLLVSFVIPREATEKFGAGRLRN AIGVLFIGSFILALGQCFRTITGWLPEVPLRNDQGQPNAVPWYFSKACFYVFNFLTEL LVVIFYAVMRVDLRFYVPDGAKKPGDYRAYRQSQFNVDVIGNEKRLKRQSGPAASVNT VASNETLHEYDASIFEDTRTLADSLRFQSSVMEIDDKTGNWKVKRPSMSNNGSIRSSS RPSSRSQSSLWDPTRATLLSELAPPVPLLPQSADWPLRGSRMPLGQHPVKQQRVRSSS SPNQSSHLIYANFASTPDIEKSDAIDMASRKLEGNAPPDYSFVVLPAPMPTYHPSFDV PQKHTYAPASSTPDILSKRDYTPTPFTLAAFDLPAKKDCSAPVADALPQGQTSSTYSE ANKTSINTEAAESEFVRFSFEASPRNRSFEDERKAPRRFL CC84DRAFT_1085769 MSLPYPPRSAYYDGKLQSASSTSSFQTIDPATAKPLANIHTTSQ SGIDAAVASAKKAFPAWSATPHIERARILQKAAAILRARNDELAKVETHDTGKAFSET STVDVVTGADVLEYFANMVGGGGLNGETIQLRPNAWVYTTKNALGVCAGIGAWNYPIQ IALWKSAPCLAAGNTMVYKPSEFTPLHSTLLAEIYAEAGVPPGVFNVVQGGGDVGAYL TKHPDVAKVSFTGQVSTGQKVAGSAAGGMKYVTMELGGKSACIILPDADIDQAVDGAM MANFFSTGQVCTNGTRVFVPQSIKAAFEKKLLDKVKHIRAGDVSDPNTNFGPLVSKPH YEKVLSYIKHGIQVDKATLLCGGPEKPAWLSSHKNKDYQNGYWVTPTIFTDCTDNMRI VQEEIFGPVMSLLSYADNDIASLIARANNTDVGLAAGVFTKNLNLAHQVIGQLEAGIT WINTWGESPAEMSVGGWKLSGVGVENGRKGLEAWVKNKSTLVDMGGEVPTVFAKL CC84DRAFT_1087598 MAPTTTTIPSNAQYDFVIVGGGTAGCVIASRLTEYLPDKKVLLI EAGPSDFMDDRVLLLKDWLNLLGGELDYDYGTTEQPMGNSHIRHSRAKVLGGCSSHNT LISFRPFEYDCKRWEAQGCKGWSFKTFMRVLDNLRNTVQPVHEKHRNQLCLDWIESCS TSLDIPVIHDFNHEIKTKGGLKPSVGFFSVSYNPEDGRRSSASVAYIHPILRDEEKRE NLTVLTNAWVSKINVQGSKVTGVNLTLQNGEQRVLSPACETILCAGAVDTPRLMLLSG LGPKDQLSSLNIPVVKDIPGVGENLLDHPESIIIWELNKPVPQNQTTMDSDAGIFLRR EVPNAAGGDSDWADVMMHCYQIPFCLNTSRLGYDTPIDAFCMTPNIPRPRSRGRIYLT SADPSVKPALDFRYFTDPEGYDAATIVAGLKAARKVAQQAPFKDWLKREVAPGPDITT DEQLSEYGRRVAHTVYHPAGTTKMGDVAKDELAVVDHELRVRGLQGIRIADAGVFPEM PTINPMLTVLGIGERAAELIAQQWGWKGLEKEKL CC84DRAFT_1162129 MSYGGGSYGGGGGYGGGRHGGGGGGYGGSNGYDTTAGGYGGSAY YDYSGGQYAYGYSGGSNGYSGGGGGGYGGDRRGGGFGGGGDRMSNLGDGLQTQNWDLE TMPKFEKSFYKEDPAVSARDESEVAAFRKEKEITVQGKNVPRPVTTFDEAGFPSYVMS EVKAQGFPHPTAIQSQGWPMALSGRDVVGVAETGSGKTLTYCLPAIVHINAQPLLAPG DGPIVLILAPTRELAVQIQQEISKFGKSSRIRNTCVYGGVPKGPQIRDLARGVEVCIA TPGRLIDMLEAGKTNLRRVTYLVLDEADRMLDMGFEPQIRKIIGQIRPDRQTCMWSAT WPKEVRQLASDYQKDFIQVNIGSMELSANHRIQQIVEVVTDFEKRDRMSKHLETIMND KENKVLVFTGTKRVADEITRFLRQDGWPALSIHGDKQQNERDWVLDQFKTGKSPIMVA TDVASRGIDVRNITHVLNYDYPNNSEDYVHRIGRTGRAGAKGTAITFFTTENSKQARD LVGILTESKQQIDPRLHEMARYGGGGGGGGRWGGGRGRGRGGGGGWTGGNNAPVRNSR W CC84DRAFT_1162132 MFFCLASDVSSNTSLSPQHISLNRRVKSHASHSLLQHVSRAVLI QTGTRSVTSLHLTQATLLNDPLLSSR CC84DRAFT_1162133 MSPKRILVIAGSDSSGGAGLEADQKVIAAHGCYAMTATTALTAQ NTLGVQDVHHTPPPFVKKQIDACIDDIGVDVVKTGMLASAETVEVVADAFRRHNITTS VVDPVMVSTSGSNLLPTAAIATLIGSLLPLTTLLTPNLPEAELLLKTAGKELRSPESV DDIIRIAKAIKELGPKYVLVKGGHIPMTKGRLVPKDEAEKHIVLNVLIGDKDDVTVME TAYLRSRNTHGTGCSLASAIACNLASGMNMKSAVQKANLYIEAGIRTGSDIGRGSGPI NHFHSTYTLPFAPGAFINYLLDRDDVRGPWQKFTHHDFVQRMADGTLPVANFKHYLVQ DYLFLIHFARANALASYKSKSMDDIGRSARQVLHLQTEINLHVQFCKDYGLNPEDLER QEEDQACTAYTRYVLDIGQSEDWLALQIALLPCLIGYGIIARRLYDDQDTVREGSKYW KWIETYVADDYLEAMQIGRDLIETHARKQSSSRIDELAQIFVHATNMEKGFWDMGLAA KG CC84DRAFT_1162134 MRLLVVQKWSILWKAIIASALDATLFPYCRYIRALDFRDLENLL EDDQFTAKVSKHFFGGPMKQFHKTEPVTQHNGRKLERLNVKSIVNAIGEVVTQHTPTL EMISGQLSSTALMQWAPRVPRLQELELWDGSTLEDGLVAASIHENCPNFNSLMIFLWT GIDKDHKFAQFLSALRPNTLQKLNTISDIGAAAESFLALSAHGQSLKSLKICVSDDSL PHLSLLSGCTALEEVRIEDVHSRTTLEDTQNDVFLETINWLRSCEKLYRIRFTGLLSA ATLLTPILLEQKIQLRSLEIDSYVLKDSRQFHQALVHQKDSLAYLSLSGDTDGMFRDD VDILVDSLKELKQMETLRLLLQEMFREEHLINVVSNLSRLQELYVSGLELTDSLLEVV GTLPNLRSVAFAGISKFTMGGLTGFIHQLGPTNAGIRVMIDMADPDTLIDDGEVNDLR ALLAEKVGGTLDYTPWRDPNVSEFEGDSD CC84DRAFT_1162135 MVVLNFTLTPEAASKIHDLLVCLGKFSDTVAIESRRERLVFTAL NSSKSAYAALTLDGKQFFSTYECIPSHGGPEGRFTCSMYTKALLSVFKGRLYDPLGRD GAIDRCEVSVQDRADETQCRFIVKMVCNQGVIKTYKLTYEAVDVMHALFDRNAAVNRW SMNAGAMKEYIEFFGTKTEMLDVFAGDDGRAVFKSYTEKIANGKEILKHPLVTAVAVN TSDFEEFKVQPGLHIVISVKDFKAIVIHADTLKTSLKAYYSQPTRPLQFSYGSDGLLC EFTLMTSGDYNGGTAPPTPAPVAPQIASRVASRAISAAAPDARDNRSMPPPVEPASRR STRRQVGSRQPSSPTRLQDDPESLFVNQGEEEDTQWEPVDYNNNEEEALGWDASADRN TGNFATFQDSGSFSRSEGVQGNADSMEGIAPTQRVSQIKGLW CC84DRAFT_1203600 MIQIPSPGVYVLLRRMGRPDWPAMICTDDMAPNDLPRPNGFLTL VLLIDRNPTFYYAASGELLEFEPVGEHPDKELQAAHDRVLQSMDSSRSSLDYWRLRTE HQRGPSKVTVPLTTLTPISSHKSDYVSDCGEIEIAKILSLSAWNERTERNRMSLSPPA PTAQSRFATAALGRDPRRRMESAQGGCLAGFSRPRPRIFKSARAAADLREHFRPIRSG NRTISTGSNAKRYKPNQKDYINGELSSSCELVKVHVGTGNDEFLIPKSEVEKLPFLSD PQIGCMSTMDDGTSRLDLQCLQDFDPAHFRFVAEFLSTGQFGHSIVNEQTREAVLEEC ADAWPIADRIVLEDMLDHIVAKVQQAQVQEWELAWALALIVYETSGTPLDAYKLMKEL LVEVIAADFLAKVDTYATEHGNDIIDHLRDLPELERDIYRRLLETAEQRVGEN CC84DRAFT_1114377 MMGIANMQPRQLATQVLNFALVLSTAFMLWKGISVVADSPSPIV VVLSGSMEPAFQRGDLLFLWNRGLDTQLGEVVVYNVKGKDIPIVHRVVRRFGGGKSPL RLLTKGDNNLADDTELYAAGQTHLNRKEDVIGSVVGFIPFVGYVTILLSEYPWLKQAM LGIMGLMVVLQRE CC84DRAFT_1214675 MPKEKSTRGKGKATKADAGKKKKDPNAPKRGLSAYMFFANDQRD KVREENPGIKFGEVGKMLGEKWKTLSEKQRQPYEAKAAADKKRYEEEKVAYQNAAEEE EEESE CC84DRAFT_1162140 MATSDDVHMGDAATSKPINANDYTDLLQTPHTDAFAFSETEQFA LQLYDQLRELELEKSLLEAHVKDVSDVSALSDDVLHEHLMAAEREAMEAKAKFEIRNK VSQNVLIMDPVLKAVHGGQHHTVEKRLLPLISENDAVSMVHGLLTTKLANTQRILSAA ERGNIATNKENRNQSRTVLELAEEAKAQSIEDIGNLSLREQVGKAEANVKESRKRTAI IKGILSAMIVGSGINWAADEELRELVMDDEEAG CC84DRAFT_1162141 MSLQIAVAHTGQRFDADPVGFNSVDALKQWIATVVNIPPEHQIL LTPRGKHVKLQTLLTEKDLFVYDRELSAVSQAASIEAPLPEPFAPDDPPDTLSSHTDL RAWQTLFQARRDWAYAVLEQALSMSRAASQTFAEQATIEKATQVAVGNPGAHVKGLEQ KHREAKEWFDGVEKEAVENLRRLDADYGQLGAIPAKGEFIQFLAKELRSAQTVQSVRK SSSAGRAMSLQDFLDFDSIKQATGVSKAVREVFKKHLADMGAQVERIGGEYNDLQGAA GQSQSRSLVDDSEEPPRLYNEIDLVVKKVNSDLGHVMGLPSDPKSVASVSKMALLHTR NFLPAIKEYSIEMSDLVRRSVEQKNNAVRGAVESMRGVADIGSNIARLHAELDNINLP PEGMAAFELISLVGRLPFVYGTLMVESVRRREWAEKMQRDTSSLAEEMATFQEEEDRR RKKWLKQVSDVVNLEAVQTSPSGFEMNIQPEKNWPEVTRVELNDYHKILQGLEGQSTE AETLAQTIKDLDRPTRQQVKRAKAFKMGSVHEPAFGKNSQLMLRGDEELRVLKEANAK LEDELRGSKSRVRRLEDVLHRQNHINRLSIGGGMPSFGPQSPADPSTPTIEAPSPGPM VEHSRRSSVSSRRFSTNQGQDDKRRIVRLEQELATEKEARTSLEKEAQAKKDEELTLQ TQINEAVSTKAELMENMKAQQKEFADERRSLEQEIQAYKSKIEEAEDELDRVLGSRDH ERTGVDAKIQELVAEIERVRKHAAEQSKEANKRVADVRAELEKRNRAHDEQYQSLSNA FTHLQPATEVPSDTQSLVRHIEVLAERSSDHLHALQQAVAMAKAENESARRTANEQET ALKSKLVNEETTTLSLREQLDKANAKVTSISTELDEERQHLHDLRAKFAEGETGSEAL RKRVEEEEEKLGRLQMELAEERGHSNSLDVELMHLQKKILKYEEFDSSRTHERLRRAK ELSKRVYSQQDRLLRLVDSLGYVITFEDGTMTLHRASKVGNSTTLSDTIGLGRSTTTP SPTPLKQQLENYADLAFLHWTESTDPEEEDQRYHELMDNLNRFDLDTFCEFFSKRMRD IEYTARKFKHETRAYREKAKALQTESHNKIAYRSFKEGDLALFLPTRNQATRPWAAFN VGAPHFFLREEESHRLQGRDWLVARISKVEERIVDLSKTIDTAARASIDGRSVASSSA VSFEDDNPFELSDGLRWYLIEATEEKPGAPGGPGLGKSAAAAAVTRIDGQGKMEKKAP GADPAKTLGKSLDSRRSSGTSRKSVPVVSHRNSMDVGEAVVDSGPNSNNATRGASPAG GNGPGPSHLRESEASGAASEYQVRKDHLWGP CC84DRAFT_1239286 MAPTSSTLAALKACNQLERDILEQMKEGMLSLLDGLIAEADKRD ATMEELEEELDTVRALFTWNQTSATGNGKARIFSSLHSALQKVDRIMDDEIREIKNDM IDSYDRYLAATEQARAEYDRSVNDGDSSYKDVQLLQESRNLLESKSGQSQDLVVRVDE IKRKLNGLLSRSAMIPLKYWAHQRRNR CC84DRAFT_1086297 MDVAALRERVKNTLDINAAIRQQAELDLKHAEEQPGFTDALLNV LENEQEDAIRLSTSIYLKNRVSKGWSPAEEFSQAKPIPEEEKQSFKSRLVPVLVASQP NVRAQLIPTLQKVLAYDFPQKWPDFLDITIQLLNTGDVASVFAGVQCLLAICKIYRFK SGENRADFEKIVALSFPQLLNLGNGLANETSLEAGEILRTVLKVYKHAIYYDLPASLR EQSVMVGWCTLFLNVISKDPPECAMSEDVDEREVNHWWKAKKWSYANLNRLYVRYGNP GGLTKNNDVDYTEVAKYFIANFAPEILTRYLQQVEKWVKKETWLSKASLYYTLNFLDE CIKPKSMWNLLKPHTDNLISHLLFPVLCQTDEDIELFEDEPQEYLHRKLNFYEEVTSP DVAATNFLVTLTKSRRKQTYTVLNFINGIVNQYEAAPDNEKNPREKEGALRMIGTLPG VLLSKKSPIADQVEYFFVRHVFPEFRSPHGFLRARACDTLEKFEQLDFKDPNNLIIIY RNILESMADPTLPVRVAAALALQPLIRHDIIRTNMKQNIPQVMQQLLKLANEVDVDAL ANVMEDFVEVFAPELTPFAVALSEQLRDTYLRIVGELLSRNQEKGGDDEFGDFLDEKS ITALGVLQTIGTLILTLESTPDVLLHLETILMPVITITLENKLYDLYNEVFEIIDSCT FAAKSISSTMWQAFELIHRTFKAGAELYLEDMLPALENFVNYGTATLVQNRQYLDAIV DMVRTIFKDDKVGGVDRICGCKLAEIIMLNMRGHVDEYVPEFINLTMNVLTNDELKVK SLRIHLMEVVINAIYYNPVLALHVLETNGWTNKFFSLWFSTIDSFTRVHDKKLCISGI CALLTLKGQDVPVSVQQGWPRLLQGIVRLFQTLPAALKNREEAKKEDNFEYANYDDEE EDEEWGDENEWTNEPEEAEDVKDESAAYLEFLNEEAQKFTSVTDDDDDELEEESLLET PLDKVEPYSMFKHALMRLQQEQPALYENLTKNLNPEEQQIVQGAVHQADVIAQAAAQA AAAAAAGTQPNGGAVAGQ CC84DRAFT_557488 MQLYRLLSRPMLSSSCVRLGSGPRHVLQLRRFRLHSRYLAHLSW ETPTAAPIRFVQHPCRICPFSGAQPYHALPATFPVTASSCSLICS CC84DRAFT_1140621 MEGLAEQLEQLRVSADNVSDLNWVDSLANEQRPPKRQRKSKNDI KKELEAEFLTPTRSFNTRWLNRLQQRWDAPTNYRGLFEVAPTQTRTIIRFTREGLEGR VTGYKEVTVPANSATAKNSTSLLRKPANRAEFVRGAAGFFPFAPGGLDGVEAIAAIED EAISRQEAANGKKANALDRVINFSAEGGLLEIPPGFTRGLDFQKKPASDSKTAKEVED TLSEEPTQSPADAADDDEIEDGLKASNGTATGDDEAQTPGEEEIDALLPVEFPALAPH GPLGMTSAKKGGKEWAHMVDVNREITNFHELVPEMAREYPFELDTFQKEAVYHLEHGD SVFVAAHTSAGKTVVAEYAIALAAKHMTKAIYTSPIKALSNQKFRDFRLTFDDVGILT GDVQIRPEASCLIMTTEILRSMLYRGADLIRDVEFVIFDEVHYVNDLERGVVWEEVII MLPEHVTLILLSATVPNTHEFASWVGRTKKKNIYVISTPKRPIPLEHYLWAGKGIHKI VTADKKFVESGWKDANDIFSGRDKVKAPPTNEVQGGRGGGGRGDRGRGGQNQRGRGQQ QGQRGGGRGGGPPAQRSRGNIARTGRGGGRTTAAQDRNIWVHLISFLRNKDLLPACIF VFSKKRCEENADALSNIDYCTAAEKSAIHMTVEKSLARLSPEDRALPQITRLRELLSR GIAVHHGGMLPIVKEVVEILFAKTLVKVLFATETFAMGLNLPTRTVVFSGFRKHDGRQ FRDLLPGEYTQMAGRAGRRGLDTVGTVIICAPGVDEAPPVARLRQMMLGEPTKLRSQF RLTYNMMLNLLRVEALKIEEMIKRSFSENATQALLPEHEKKVKISEADLEKLKREPCK TCDVDLEACHQACMDYQRLTNELHLSLLNYPAGRAVYVQNRLIVYKKNDIRTVGMLLR GVVNSRGEEPTVQVLEIAANEQRKADDLLPYLAPLARFFRPLPKAKKDLIMKRALIPL SDIECFTATVIDIPEGVENLFRKKDALKLAQDQFIPLCQSWNYQDWDEFDYEKIKKLE FREIDMARRKAGREATGKTCLGCPNFLKHYAMEHDEWLIKENIVQLRQLMSDQNLQLL PDYEQRISVLKDLGFIDEDSRVELKGKVACEIHSADELVLTELILENVLAEYEPEEIV ALLSAFVFQEKTDVEPTLTASLERGVAKIVEISEKVNQLQTLHQVILSADDSNDFVSK PRFGMVEVVYEWARGMSFNRITDLTDVMEGTIVRVITRLDETCREVKNAARIIGDPTL FQKMGTCQELIKRDICNCASLYL CC84DRAFT_1140625 MAAAAAIPSTNDIPDDDELDAILNGTLNGQDIFNISNPELQTQP SRPSKPTADDSGLGIDEEIKVVKKREPIPKLDDNRLLSDPGIPKLRRISKERLKFKGK GHEYGDIARMLNMYQFWLDELYPRAKFADGLAMIEKLGHSKRVQMMRKEWIDEGKPKH NAREDEDEDVVEVDNANEFVQRVDDLMEDVQGEITRSIEEDARRSVANGARGASAPPG EPDEDELDTLLAGDPAPVLPPTAAAVNTATNVEDDDPFADAMDAMADMDGMW CC84DRAFT_557496 MAISAMSSEGSLSSRLSALVQRARAVKQETSIDPPLQISALLDD NEAIVERIEPRDLRELTAESAAKNILYPILSSARINDPSFVDVWNLLDIVQGCADRDL CSNQLVLLLAEEVLDSLSIADCHVAFNYLESRREAILSGGTGTTGSKEMVILRTCNEL LRRLSRAEDPVFCGRVYVFLFQSFPLGHKGSVNLRGEFHVGNVTTFEDWIVSEQTDDQ VMEDASNTEQVESVKGEVKAEETKPSEPESSVERKPTTKDLATLYPVFWSLQHSFSNP PQLFDEGKFKHFQDALEATLAKFKEVDKVIQSGESARKAGSPTTADDSYDEFANAFNP KYLTSRDLFKLELSDVAFQRHVLVQALILIDFLLTLTGTAKSKPHYENAQQSMKYNFT LSDENTEWALGIKNAIANYLQDGPDGKFYYRVVDTVLSRDKNWVRWKMDRCQSFTRQK VPAKIFLESKGGAIAAVTSKKDRKPAGVPPALRFVATAEPGKGLAQLRQRGKFTKPSP QDYTVRIETTDQEPPTEEDARELNEKITSSTWRGLRLASQDQLSLFDRFNHANGLKSL FQPGQTRIEDKGSDPQPEQSVEEPRADQQGIVA CC84DRAFT_1085418 MVDGNLFIPLSPPSSPRMSAYSTTPADNEWHTDIKSLESSSTSE SDMALLQAEVNGHNFDLKRPMLRTHKSFPFALDGKHASSPARERISISNIDEIESNDM PTVTFGGSAPASPVSRLTPPSPHDGLCGDDIKVEGDEIITEEKDEDAEESKDTDKPPM TAAEIRAQKRKMKRFRLTHNQTRFLMSEFARQAHPDAAHRERLAREIPGLSPRQVQVW FQNRRAKLKRLTSDDRERMMRSRALPEDFDMAQALHSPFGNTHGLGTPLASPGSYAPS FPEGNMMRPLSIDTLRRGPIDSHISPTGISPAFGGFTFTPPQSATDTLSPISSHGDSP FGFHSSGLDTSPRTSNPFSSSITASPAYAAHHSIPRLSLHADRLARSRAESLSTPLRA SMSYTSGDSLTSSHDSSSHLPYGIGYSYSPVPGFQASSSRMRSFSSSVPRRIELSSHY TPSRSVTTPQTATFPTYTSSPLVTPQSFAIPQLSAPHHMTSFPNSYLRNDSSQSEQYP GAGAILGEVLDNSSHTDDDHDSHY CC84DRAFT_557518 MLLLVGTPGGCFIPIAFRSPGWVSLLPFSFSRLAGFGNSARCFS TRLSFGLTSMRAGPPGPIVYAPGLASFYLSPLTAATGAGGIPFLYLPFSSSCSRGRWC GRAVVSDTHRVLLNVEWRTSILPMPQHDRDGRRLVRSTSPPTTVPGLDLTRVAVLSRT SSSFLEHMFTREWCINPTKANTK CC84DRAFT_557517 MRWNDRRHACERLVGGVLVSACCWRVEMEGIEDVRVDRLCWLWL ESGAFYRFSRSKRRPHVDTNVPLRSSSLHFLSSSHPVPTLSPPTSLTHFSPLPPHESP SPQTHPPTPITCCVPSLGYTICTPARAPTPHAAGTHAAKIFPAVSPISTLLGVHWPAA GRQALRTYARMRVVGW CC84DRAFT_557514 MRLVRRSLGVQDPHCLFRTGVNAGFGTIGGLNTRSRVRGSHMLA LTVSDLAVPLLPLTASLNDSVLMRHTMFIGLTRWLRIVRMCRLRITASLSSTRLPPWS MQKQTSISLAMAFHVDLPIPLKFGLVIRHGIRGAVRCCSARGGVLHAFGADPRDSRQ CC84DRAFT_557512 MTTTARNDSRVASMSALNPPRPANHTVGDENRPLLRAIDKRQTV ATAISPSALRSSRRAVAVAHHLASVVQSLVIPDSTCGEAVASQHPIRLVHSPKHGP CC84DRAFT_557594 MTSTSTNAQNPPTVAASKPSAPANSSSSGSSAVAAGQAAPTARS SYANATKSPPIANSAAPVVVGGSSNGKSISPVNGNSIKPAVPAMGPTIANGAASQGDH SRKSSVTISAAGTSGYIPNGGPVPNSRAPSNLTFGSIAGSPAPAHAVPHPQGQNLNPQ PSNPRVASPAHSPSPIPTPISSGGKPDNLPTRPNLVFGGGNEADPSARNISLPPQPNS LPQAQHLRRESSQSAHSDMSNPNMNRGFAPNGGRGRGYNNYPPMQQHQHPSASPQPFR AMPNQPRGPHMAPAFQPQMQPNSPYRANRSPAITPAAIHQQANYSGYGYNPQQPYAQP AMYGPPQGLDPYNGYYGQNFPGQNYGMPQGMHYPGVPASPGRGGYPQQAYPVPGYGQP PQAQGMSRTPSNMSERPPSAAQQPSTPAMTNVSHVSQTPSAPSGSPAPGSSFSIPPKT KSKAIVIKTADGQVVDFNTSKKPASPAQPPAPSKSPAIVATPTPPPRPESTHSRAESV AAKSASDVKADFIKQFQQQLQGKDEDKKKEAEAKEAEEKAQKEKEEKEQAEAAAKAQA EKEAQEKEAAEAAAKNEEEEAKRKAEEEQRKADEEMERMIEEMERAEKEEEERERKFA EEKKKKLEAEKAREAEKAKEADERMKQAEREAEEREAAKEAETPEQKAEREKANREAF ASLKKNTMFGPAATQAEEEAPAPPAEPTVTPPTQTKSAGASKPKPAHLKLETTKAIEP PAPTPGMMSLKSARFLQVQSELVKYPEGVLSPNPALNMGTKSKGKVYEPSFLLQFQDV FKEKPSVDWDMKLKETVGDSSESAGPKSARGAPSGMGSRQPSRSGLGGPMGSFTGSFP TGRTLPPGTTSAQRFEAAQRGGGPMTNPLAQLVGPPRAGGGFPVQMARTSSFQNMAPN SPRVGSSRGRGSRRGDKPANKKEEAAMPLTAGMDLKPLEKSTTGWQPHSKTQQAAAQL AAGHLAPDMVQRKVKAALNKLTPEKFDKISDQILEIAGQSKNEDDGRTLRQVIQLTFE KACDEQHWSSMYAKFCFKMLNTMDPAIRDENVKDKHGQPVVGGALFRKYLLNRCQEEF EKGWEVNLPVKPDGDKQEEVMLSEEYYIAAAAKRKGLGLIQFIGELYKLNMLTLRIMH ECVLKLLDFEGLPDESAIESLVKLLRTVGATMSTADAGPKMLSVYFERVEKIMNMEAL PSRLKFMLLDLVDLRKANWRDKQADKGPKTIQQIHAEAAAAQQAAAQSAANNRGGRLP QGRGDARSFSGGGIPPPQDFGSRVAMDDLRKLTKGSSGRNSSGIGPSMLGGRSGSGRR GLGPGGAFGAGADSGASSRTATPPVQKEKESTSHANSFSALMALDNEGAEEVSSPPPA TSPQPSKAAPGASATDAKPSE CC84DRAFT_1214686 MTDQNEAQKQSKGVWGSVTGGMSNTLGSATDTVGKGIGGATNLV GNTVSGLGKGVGDTVTGVTGGLGDTTKAAGGMVQDTTRAGGEAVGIKKNVPEG CC84DRAFT_1140636 MAEDLTAWLREALQSSLNEPTFTISAAGGNNASNPDLPDDPGKA PQFRKLAEAVLRGRQVVSTYNLALIAVLLGLTVWHWGEKVALRKRRRGTRRSKKMDEA VERAWSSSSSTIEGTATPPDALSKKVDETSPLLSTGSAQQVPPGLWKPYYLVKAFMQY QLRPIPIINRAMPTNAVSLFVVAYIALNLTYNFYDMTYEPMYIFSFADRCGLIFAANL PLLYLLAAKNQPLRLLTGYSYESLNIFHRRVGELLCFEAFLHFAGMFIVWYAALRKLG FTLARFIMNRLVILGLLAFLSYQILYFTSLGTFRKRMYEVFLALHIFFQIAGLAFLWF HYHTSRPYVGASLAIFVVDRLIFRLWLKTSTHPAMLTVLDDNETVLVSANWDTSARKS ALVPKTMSNGWNPNDHVFLTIPSLSRRHALQAHPFTIFSAAPTLHTDGDGNASHAWFT LLIRAQANDGFTHHLMTYARTHSSTNIRLDGPYGSAHALDVLRASDTAVVIAGGSGIA VAYPLLYALLSPTSISFDIENAANTRIVKLFWVTHEASHRSWIPDDKWAELITWGLEA HVPAATAEAGRPDVPAALQGMVNGGQTGVVVSGPDGLVRDVRNTCARLLSTGANVKLQ VEKFGW CC84DRAFT_1257071 MSNKALDLFEPTCKDGAKWYACEATSSNRSPFVGCCKTDPCSIS GCALGNLAPVSFNASAYGTLPDPSCGSASTFYSCVNIPKNDTTFWGCCKTNACNHQGE PDNKSCPQNDLTAAVLDTASLQQAYSIDGKTGLDNSSGGSSHTGVIVGAVVGGVAVVA IIALLVFCLFKKRRNAKIDARPASSSSRAAFPGQGEKTEYRHSAYDEGTNTTSTLSPA TPASAHMHPPRGPHDHALGISNASLAPPLYNSPKPPPFAAFGQHQYAPVAQSSEPQEL PADFTAGGTQRYSELPAEGAHPMRAPAELESPMVSPQPFASPRQSPKVPQSSRAGEGT GERPGTADTTYRVSLGGLGIASPR CC84DRAFT_1162153 MQALRSSIRAATRAPACGFQFARNYSAGKTYEHILVSSPKPGVG FIQLNRPKALNALCTPLILELNAALRDYQADKSIGAIVLTGSDRAFAAGADIKEMKDL TFSTSYGNDFIENWSELVTFLKKPLIAAVNGYALGGGCELAMMTDIIYAGPKATFGQP EIKLGTIPGGGGSQRLTRAIGKSRAMEIILTGDNISAKQAGEWGLAARVFDTPEETIE AALTTAEKIASYSQIAVKACKEVVNKSQELGVRDGVEFERRIFHGLFGSKDQTIGMTA FAEKKKPEWINE CC84DRAFT_1162154 MDAPEPDTPFSAVTAQTTKYGRIFQAYLDKSTPFTTYRWVGTGV LFVLFALRIFIAEGWYIVAYSLGIYLLNLFLAFISPKFDPSLEQDEGMEDGNAGGLPT KADDEFRPFVRRLPEFKFWYSTTKAISIGFLCSWFEVFNLPVFWPVLVVYWLILFGLT MRRQIQHMIKYRYVPFTVGKTRYPGAAK CC84DRAFT_1140643 MSTLEDLDDLEREQKEEKKDEKKDGDDGKDGKKADGDADMKDAE PEEEVLDDEILNLATRDIVARKRLLENDMRIMKSEFQRLSHEKASMNEKIKDNLEKIE NNRQLPYLVGNVVEILDLDVSEEAAEEGANIDLDATRVGKSAVIKTSTRQTIFLPLIG LVDHEKLKPGDLIGVNKDSYLVLDTLPAEYDSRVKAMEVDEKPTEKYTDVGGLDKQIE ELVEAVVWPMKEAERFKKIGIKAPKGALMYGPPGTGKTLLARACAAQTDATFLKLAGP QLVQMFIGDGAKLVRDCFALAKEKAPSIIFIDELDAVGTKRFDSEKSGDREVQRTMLE LLNQLDGFASDDRVKVLAATNRVDVLDPALLRSGRLDRKIEFPLPNEEARAQILRIHS RKMTVDDAVNWPELARSTDEFGGAQLKAVCVEAGMIALRLGQQKISHEHYVDAISEVQ AKKKDTVNFYA CC84DRAFT_1162157 MLGLSTLLPRDPFNLVVLAVGVLSVFVLATFAIAFKPKGEASAF QAYLKFAYACFLKPHTGDKNGDQQDALESFYKAQADVYDATRTRLLRGREDMLGLVAA QLKHRCEAGLISQRPVWVDIGGGTGHNVEQMGKFVSVPEFFRSVYVVDLSPSLCDMAR KRFTRLGWKNVKVICQDARTFRLHEHEPQAYQRKAMISQGQIMRDLDENADAGGAELV TMSYALSMIPEFYPVIDSISSLLSTNGIVGVVDFYVQSRVEFNRRNYTGGAINRHCMW ISRVFWRTWFEIDRVNLEAARRDYLEYRFGTVLSVNARNHFFGVRMPYYIWVGCSKDS GASTTKLAEIDAAATESPYLSALDLRTGPAPADVEVRSKAYESAIVNLQSSLPLPATW YQNHHWRIHYDESLPKHTRFNDSYIYAFTWEDDRADARLLKVTPDDVVLAITSAGDNI LSFCLEAPRRVHAVDLNPSQNHLLELKVAAFTALPYPDVWKLFGEGKHPDFRDLLIKK LSPHMSSTAFQYWLKNGPSVFSSDSSKGLYYSGGSGNAIALCGWLFRLLGLTTDVQKL CAAQTLNEQREIWNRSLKGLIHSKLLTWTVFSNEKWLWKALGVPPAQRAVIEADSKKG AEFDTATAERTNSGNAIYEYVLNTFEPVVTTTLLSTSNHYYLLTLLGHYTPSSHPTYL SPKSHVKLSRPAAFNGLRIHTDEISEVIARMRPGTLTIVVVMDSMDWFPPTGTQAGKQ IRALNRALKIKGRVMLRSAGLEPWYLRSFEECGFSCKRVAVRVPGACIDRVNMYASTW ICTKEVNLEREDAKVNLRKNSMGELTIGAPAIR CC84DRAFT_1203616 MYATAQFFAGTKDGKKPAFLNYATGESNLLPAVKKKIHLNDIRT LTIQPTLQSHGFQRTDFSSSIQESTFLKSSPAGPSSSDATSIYYHECESLIRSLTGAT TVHAFHHRYRQQKSSPTADTEKVKIYTTTPVPDIHIDNDASTAHAHLSRLLPEAEVAR WTAPGRHWAIVNVWRPLAPVHQMPLALLDPSSTPIHMTGLAEPVYTRGNYKTHIRGLK WHPEYKFYYASAMGEGEALLFVDFDSRRRWRLGGVAHGAVQLEGGSEGRLHLQYPENK KDTSALEPVRLQHVAEMKAYRNPHNVAWQQHIKKFGSDDIFRGCWLQVAGGPLCCIGV SSTGPHSLEHLIGLGKKRTYVSSKHVGAIHMSASGVDNAWK CC84DRAFT_1214694 MSQIHPQATHGFHAATSYDTHRPTYPPPAISALLSNIRVAGVPG ARLVELGAGTGKLTSLLAAREERFEIVAGEPHGEMRGVLEGKELAGVRVVGDRGEELA SVEGGWADGVVVAQAFHWFAKIETLKEMKRVLKPSGGGLGMVWNVDDFNAPIDHTPST PYEARLKVIIRSLPNPINLYRELKWKDVLRPEQQQLFEWPIKEEELAYNTRLSREHIW DRFATMSQISSLKGGELQAMKDRVLEALTGDDVDEDEEGNIGWHGRTVWYWTFPISDR CC84DRAFT_1114418 MNSQRRKELFLARDGDQTLQPQLPSKALMVENVVPQEYSEKAAV AAHADARELVRLVQCPQCSKPYSAPVTLPCGHTVCRGCLPNPVARTNISYPNTPDRLL GISCPVSSCEAEHAAAECSVDVTLTKIMELIKTEVAKHLPLVEHMSIRLQEVLKWDDA LSMEQEEKMEAKGSSRVLQGGQLLSTFIMAEMGDLRYSSEVEYETLSDTHEYQDFNNA LLERLRDATQKELDCLVCYNMMLDPTTTSCGHTFCRRCLVRVMDHSSICPVCRRGLHI PASLQNKPSNVLLNALLNTLCSDLVEARTKAVEAEEQSADDDLNTPLFVCTLSLPTMP TFLHVFEPRYRLMMRRCAEGNRQFGMVMYNRNSAPQGDLGPTQFLEYGTLLEIINIEV MRDGRSFVETRGVGRFRIRRHGLLDGYHIARVERVEDLSLAEEGILEQSETTVAKDFA ARLQQEYPQMPLPLDIAVNQLSTQELLDNCMAFVRQMRDASAPWLSTRIVQVYGEPPE DPALFPYWFASVVPIAEEEKYTLLRTTRVRERLKIVFSWIRRIRGQRWYVLPPKKSTT VGFRDA CC84DRAFT_1257079 MTPPVRSVNAPAEGDQGPLLAPARSRDGRSLPAPASSIMAGSRS QASYATAREAPGSFSSQLRPATSHDGRLKPDFDTHAIIVGDNNDTTTEQRQAEFQDQI EKETKIKIGSENLLEALNAKNAKNTRDQRLQVEEQLNISNRKLAQLKSGLAAEIQRAK EIKSPPAEAQSRLSYLFRRNLSRSPSRHIAKQEDDEDDETESPTFVLAEILRALEVVG MQPEYYVERANSLVDLFKRHSTLKYELAWSIFGLRMQTMLLSDSREVVAAAYRIMRYT FTDRKSLQIIRALHTDYLVILSLVKESKASVEREQALKFVRAFLDVKGGVEEISRSVV RIIVAVAEHTDDRLRNIAILTLAEILVKKPALLVESGGMGTLTDALGEGNYYAAESVG SAFVYLLDTPQRRRFLRSGYELEAPFAMFTDAGTAHSHLHEEKLKANAKVIASLLRSW SGFLSLCMNDFLAIRSLLISLEIPTPHVRNVLLELLFDILRIKPPSWSTSFLAGRRLT TYGRVTNLRNQAIQDDSGPSTDPTNKWNLLEHYVAMVLAAFLHAGLVPALLKAEEDPL SLPLKRKTTLLLGEVLKMANELLPPSWSSELQVLPQLLRSAAKFDTEARFVAIGTIYQ VDSVNRTLYRSGTVSNQPSKGTTAVDSAASARQTDQSKAQAAMQMDETTFRAMMVDTQ VLGTVTFQKWRWDLIQNIIDGPLLNAKRLDEATKVTKFVHRLLGFYRPFKYRFSEVKN TKPNQRYVRAGCALMRTLLQNPDGVRYLSDSKFIRQLAECLSHFDRMSGLTSESPMFQ EDRMNETLTGGYFALLGALTKDPKGIQILERWRVLNMFYHIIELNDRDDLIKTLLSNM DYTLDGHLRIIISKAMTSGSKEVRIFATRLLRKYATRPMQPTDSSSVAEWAIKSLVTQ LYDPDVEVCEVAIKILEEACNQTESLEYVVKCRPALDHLGEIGAPLLLRFLSTSVGYH YLDGLNYITGEMDDWFLGRNDTYVALIEASMARALADIPEKPQTQLSYDDTPEHQEYG IVPPHFYRELTRTKEGCKLLKQKGHFDEFVANIRDFAMEKDDAEMILKVKGCLWAVGN VGSMELGAPFLESSDVVKWIVKIAEMSEVMSLRGTAFYVLGLISRSLHGQEILLEYGW DGVVNEAGEALGFCLPLDFKKMFLMTPWKAKSDYMSWKRKADVKVAVSDSDPLNARIL KLVTDLGNTVLAKKAANDLQAIKAKRAPGFTKPAIFHKVMQILEAHHFRLPACRFVLD LFDKSVLPQIVLEEEDQSDESTDSDSASEAAGANGVTQIP CC84DRAFT_1162161 MPQLSYAKYGKDNVRLYKVDKNEKTGVHTVIEQTVCTLLEGDIE TAYTEADNGPVVATDTQKQTIYIKAKQHAIDPPEQFAAILGDHFVKTYPHIHAAHVKI IQHRWTRMTIDGKPHPHSFFRDGEEVRVVESVTRENQGVEIKSKIEKLLVLKSTGSAF YGFHRDEFTRLPETWDRILSTEVEAGWKWKTFKDVAEVKKVDFNGAWQRAREITLKIF AEDNSASVQATMYKMGEQILADVPLVEEVDYVLPNKHYFEIDLSWYKGLKNTGKDATV FAPQSDPNGLIYCTVARKPKSKL CC84DRAFT_1257081 MAPKAVNGRRGAEPNFAVSAYQTIMSQDNRSVVTAIGMFAIGVT FLHSSWAEILLPA CC84DRAFT_1257082 MSNVTIQPGATIFVTGVNGLIGSYIVDVLLKKGYNVRGAVRDVK RSSWLLDHFNGKQDTKLDLVSVPDMTIEGCYDDFVKGTSGIIHVASPLSGSNPESTIP VGISAALNALSAAAKTPSITRVVYTSSSIASTMPCYSAEKTLDQSSWNEEGIQKGWHH PADEPESLKGLYIYAALKASSEKACWKFMDDEKPAFVLNTVLPNCNFSRVLVPEKQGA PSTIEWARYAWTGENFEAVSKIITPQFYISTQDCALLHVAALLNPSIASERIFGFAER WDFNKLLAVYRARYPERMFPKDLEGLVDDKSVPPSARAEEILKGVKEGGEGWDKLEDK AEEMAVQFASGEL CC84DRAFT_1162163 MPGPVVPARRRVRLSNFQSKPSPTPPPVQPVQRPKHTCCDNPEI GEDDGRTVCFNCGMIHDESNIVSEITFGETSGGRAMVHGGTVGNDQRHANSMGGQASG INGGDSGQTTADRGKSAINSICSKINVPSDGVIAINAKKWYQLALNHSFVQGRGIFKV AAAAIYLASRRHKGSTVLLMDLAESIQVNVWNLGTVYRSFCQVLMADDSSGINGNDVL EIEPLMLKFCQRLEFDQDSHRVAADACLILKRMSRDWMVQGRNPAGLCGACIILAARM NNFRRTVREVVYVVKVADTTINQRLYEYRRTQSAQLTVKQFREFAPRLRTKIAPPAVY LRQEREERAEARKRKASELVDGETENFDELDDAEEDLPEGATPPAPSKPTKRQAEILK KRKTDSGGQLPTPAQTQELDQANSQGSEDMVVDVDALTAMAEDDIDAGLDALANAEDA AAADEEAIVVPKKRGRPRKKRAPVIIPEEDLEVEQELEEEMLEAMSKWEGIFKEFKEN DNHVTFIDSLEKARRLVELHKPDRDVNSEEEIGEDEFQGDPDVDFCELSYEESQAKER IWLIENEDWLRQQQEKILLKALEEARGNPKKPKQKRRKQQMGDGSILEGQPAASAEEA AKKMLQKRAKHFSSHINYDVFKDLMPTASDASSGTATPAEQAATPVPEQPTYQTVPVG DGDDEEEEDQDVDEDEEEMDPNLLMSDDEQGFGSDENYGDY CC84DRAFT_1162164 MSTRKRKQEAEEEEELQALPSDESDEEEEYEESDGSAFDDEDAS DEEAPPPKKKARQTRKKDDDEDDEEEEPEDDDDDDEAVPEPENDDDGNEDADVKKAPG AEKDRKVKTTDPKDKEAVPVVAADDDDDDDDDEEA CC84DRAFT_558077 MSLKQEIETWVAALGAYDNNEFDEALKTFDQISDTSKILFNCGV IHATLGEHEKAVDCYQRAVRLDQYLAVAYFQQGVSNFLMGDFEEALANFNDTLLYLRG NNNIDYEQLGLKFKLYSCEVLFNRGLCYIYLQQKDAGMQDLSFAAKEKVVPDHDVIDE AIREEAEGYTVFSIPVGIVYRPNDAKVKNLKTKDYLGKARLVAASDRQNAFTGFAGSE IKKLANAAKDDRPEEKLSFAATNLVKPELQSRARQQSEPPMNRNMFPPTPPPESDNRR SKEKADPNAPMSRAQSVRGGGPKPQPLNLGRAAFDRRDDRRDDRQDDRRDDRDPPQQR RGTQRSASERPAPSRQESTRNRDMGRDPRERDMGGRRSREPPRRRGSEDEDDYADDVY DMYQSRGSRSGYGRGSKQRRPAYIDEEDEDDYDGSDVDDADFEMVSRSKTRRRSPVRS NTSAGRSGTGGKIRVKCHAGDTRYVFVTPNSSMRDFVQSIREKFGIRQNFKVEIKDDG DMITMADEDDLDMAIQNAKSMARKEGSDTPKMEVWIREV CC84DRAFT_1162168 MTIPTFETTFAVPMTCEACIKDIEGSLHQINGIKKVTASLQDQL VSIEGNAAPSAIVEAIQSTGRDAILRGSGKSNSAAVCILETFAPNVENKVRGLVRMVQ VAPTITIIDLSIRGLSAGTYHASIREAGDISDGPESTGPIWEAAKAKLDGTPCRGVFG TVEVSKGGVGTVFLDKPIQIWEMIGRSIVVARQQDGAFDKNDPDTLVGVIARSAGVWE NDKTVCSCSGKTVWQERKDEVGRGML CC84DRAFT_1162169 MSQVVGKTRLAYARAWHHVDVASDERALGRLASSIAVTLMGKHK PIFDPSTDCGDYVVATNCEHIHVTGKKRAQKLYRTHTTRPGSLQEISLENLAAKWGGG EVLRRAVSGMLPKNRLRKGRLERLKTFEGEGNPYKQNFLKVSNGGGQGIIDFAQVKET LKAAKEDKVAPSS CC84DRAFT_1173710 MLLFTKPPCFLILCLSSLLLPIPPTSALPTSSFPTRTNTTTINA YVENNCVFPIHALQSWCNGAGGTAHISPHTAIWFGHESGRDECGVSIALTRGEEGEGE GVGEGGGPARLERRMYEVRYQVDSVGGIVYGLGASEADGFGGVAGMLEVEGSGCAPIV FTAGDGRDGDGSGGSEGAQAKSCDQAGDVRFYLC CC84DRAFT_1162171 MGWFWADTTPTAPVARVAPHPMPRGGNAEPPPTCPMHNKMAPPS DKAAPPSTPQGACPYVPPEKPSNAPASTSTEPPQKTGLLSRLNPLNNMFFSLENERAE NQTQDLPLSREQSTIPKADGSLWEYPSPQQMYNAMLRKGYTDTPIDAVESMVSVHNFL NEGAWAEIMGWERRFSRGIVEGYRICKRGEENANKMLGTAEDPFDTTTWDDKSVQPPK LLRFTGRPTELTPKAQMLQWAAWGWPGKFASPPPFDRHDWFVERCNEKGCEEVRYVID YYEGEPEPTGEPVFFLDIRPAIDGPRSAAERLVRWGTDTWWTATGGVVREVRKAEAAR KKQEEELAAKSRSYN CC84DRAFT_1162172 MRLPVLLLRILFHSELFPPHHVRLPFPARRTVILKLHRRPERYA QSVAGGVG CC84DRAFT_1162173 MAQYGYGQSPPYGQQNPQNLQFYSSSFSNQPVSGHSTPFQANYG APQAAAYPAQYGAGFSAPGVSGQMGVGASGLRTGWLAAFGTEGYDGEPPLLEELGVNF GHMKMKTLAVLNPFGRIDQHIMDDSDIAGPVLFFFIFGTSLLLSGKLHFGYIYGLAVL GTILLHTILSLMSPPLSAAEVASSQDHGQHGSSHFSSSLTYPRSASVLGYCLLPLVLV AILGIVVPLDGFFGYLLTSLAIVWCSYSSSSMFTIVGRMTSMRGLVAYPMVLFYGSFG IMAIFSSRGTGTLAKVATPAA CC84DRAFT_1173713 MGPLNVDNSHPHHLANLLSNSLVLRQTAPYLPVASICALAATCK ALHHVVYQSPDVFRYLDLSTVKSAIVPYAPLDSGGISWRSERMDESLTEDEFYSGPIR GIFSKLQRRHVLRNVQTLVLDGLSVPADLVREIIAEDTINVRILSIRECKHLNERKLQ QVLRYAVRPGRPAGTPTTKGIYFFGQRETIQAQQKQKPTNKKSRSPSPKGVMSSQGAQ IGAEWNHKSSEALSAALTPSSDRWYQCSGRMMAKRASLEWAETLKACEGIIAFDAVLC RGPRHDPARAFVSNSDSESSGLPHPASYLSPAVATVALGSKGCETCHSCPEKPAVFGQ SPSSELPLLSPIPLHSSSLRAAQIPHTVDGSKPPPLFVRCEDCLKGRWCERCHKWWDE DCYTVSAVAQRTELQQTEFMENAATDGTAHMLPKQSIKLHSWLAGARLTSASNTTIGN QTWRVEVLTNGLQIIGVRRDCFGCGHTCITCKELFIRECTICHSEYCIEDNDASSATK CDWCNYTSRRTVEMY CC84DRAFT_558160 METEPETPDLTWSAQLTIAHSSRATQLPGDKILLPPSALEQLLA AAPVITTDSNQTHITSFDPYNPYTFAAERQARAQFQDRHQQLPHPLTFRLVNPGNGRV VYAGIREFSAEEGQILLSGFLREALGLEAGSTQSSRKASPDGDTDMVHGAEQLGVDGA DPIQITVHAKQLPKGSFVKLRPLEAGYDPEDWKSLLEEHLRANFTTLTNGEVLVVYGG RASKGRREEFRFLVDGFKPEGDGICVVDTDLEVDIEALNEEQARETLKKIMAKRQKAP GTAGGSSAGGKIDVFKAQEGQVLDGEYVDYELSSWDRTQGLEISLSGVDEEDEIDLFV SPHSSRQRVRPREDEHVFADVSSKYPKRIRLQPTNVELEDAEAIYISVRAYPADDPST TPRQFQLKASIYDPQAGSESEDTSGTESRPDEVQCKNCLQWVPKSSLFLHENFCLRNN TICPQGCGQVFQKRSETFKNHWHCPHDTFTGNTHLSQSKHNALYHTPQACPSCDMGFP SIPTLASHRVTECPGKLILCRFCHLQVPQEGDPTGPPSPELLLSGLTPHELADGGRTT ECHLCAKIVRFRDMETHLRHHDLERLSRPAPRICRNANCGRTQDGANRLGDTRAGTRK GQGPGNEIGLCSVCFGPLYVSLHDPEGKALRRRIERRYLSQLLTGCGKSWCRNEFCRT GRKNSSGVDKAVPTKDAIPMVKPFLEGMDGRGYATPLHFCTDEASQRRRGLAEILSAE RGVEGKGGYGMEWCVAALEAEGGDLDKARGWLKGWAPQRTEKSLSI CC84DRAFT_558529 MARPQTYQQDYIARIRFSNALPPPPCPPKLLDIPNTGLSSGQYT SAAFASRLAREQPLNIEADAELGMPIDLVGIPGVFDGDESAIQALPHAPVLHPADRAL MRPPNALGKSTASAAGASFLRRTEYITSSTAGSKSTFESSTSSNTMRLKKKRTRVNAR EDDPIYITRNILKGFNLAYPEDAYTGPDTTENLRGAEISVEDKRAWKNPKHPRNEKLE LVGSYPLVPDWDALPDTGSYAMFKFQHAPIKDQSNPSAYDPRIDVSILRLAGESVDDR ETHMQEQEAYKLDPSGPVPLPKFHYEFFLPTNRERVAGIKRHFTTNDPDSPELAFEET VDDEGRPRKFFRYENIRTYETATQQSNLDDRYGDTVALALHDPDIHTNENLRDSKLQK AAYFYPIGQKTQIRARRPGRIQMVEEFPKIDYLDASGRAPDEEEASKREDVHRAYDPE NL CC84DRAFT_1085764 MARDTYWGSFEEVSKYNVSLNYAERMWMAWYAYMQNDVLATGIM SFVMHECVYFGRSLPWIIIDMIPWFRRYKIQPNKIPTAWEQWQCALLVLFSHFTVELP QIWFFHPMCQFFGLSTSVPFPSIYKMAFQIAVFFVLEDTWHYWMHRAMHYGFLYKNIH KIHHQYSAPFGLAAEYASPIEVMVLGLGTVSSPILWCAITGDLHILTMYLWIVLRLFQ AIDAHSGYEFPWSLHHFLPFWAGAEHHDVHHERFIGNYSSSFRWWDYVLDTEAGPEAS KRRREKKLAALKKAA CC84DRAFT_1185124 MVGKKSGRAQLREEGLARTDNNLEQTTWPVVSMINQKNYYTEFL KRDEQYLAVRYPQEEERARMVREARDKDRALAKGVSTTEATDEAADETGLSQSRDDPS KLIVLHVGSQNLRIGLGSDALPKSIPMVIARRWKQSESEENDGEPSPKRLKLEGAVPA DALPEKWFGEDFADQYMAMSSELRTRMRSNKRRVLPNSKDLVTNFNRRTPPEIINEHN DVDRIDWTELPSDPKKAPEYFTGRAALRIPENSNPRYKLFWPIRNGTFNEVDYTGRNQ IYHDLSKIVEDAFRSQLGVTSLKELANYKCVFIIPDLYERVYVTMMLDILMRDLGVGK VSLQQESLSATFGAGYGIACVVDIGAQKSSICCVDEGLCIEESRVNLKMGGADVTETF IKMMLYGHFPYSDMNLKRRYDFLLAEELKQKFCSMDEDSVTVKTWDFHLRASGQDTRK YTFKTYDETMLSVMGLFKPSIFDHSKKLDGRRTVIPRSVDLYDGSPNDPISQAQIAVY EAAAGKPINGAQESGGTPAVASTPQRPGQLNPLNRLNDNETPRSSVAGSPAPEGTSTP NPDRDTPMGDGEQTLIFRDPVLEKTKIAEERDKTLPIIPLDQAILESVTQGARGDERK LRDFLGGIMLVGGASKTPGLREFLETRLREQRPFYGKEILVGPPPREFDPQVVIWKGG SVFGRLSSAGNDSWISKAEYDMLGSRLLNNKCMFAW CC84DRAFT_1140694 MMPAVYRDYRTIAAEKQIERQSKIPEEWLLHESYHGLSNLIDIP TTCGILSVLECHITSSHDATSLLEKLRSGVWSAEQVTIAFCKRAAIAHQLTNCLTEIF FDKAVQRARDLDREKRANPTRALRPFHGLPISLKDSFQVAGYDTSTGLACFVGEPAEE DSATAAMLLDLGAVLYCKTNLPQSIMTGDSDNNVFGRTLNPRNIGLTAGGSTGGEGAL IALRGSVLGVGTDIGGSIRVPSVCNGLYGFRPSVGIVPHGGVRDLTPPGTDGVRSSAG PLATSIRDVALFLKTTMQATTWQYDSTVISIPWTNQTPKEKLRIGIVFDNGVHTPSPP VRRVLKKVCDFLKLSQSVDVIPITLPNVQEHYSDLLSYFTMDGGKNYLDLFARTGEPE VPSLKAIGLSSMKSTDLFGFFELNVRRQAAAKNYQRLFRNNSLDAIIMPPAPHTALPP DQWTSASYTGLWNYLDYPAVVMPVDVVRPSDFADEISNAKYGADDTRLYSLYTGPELY KDAPLSVQLVGYRHADEALMHTASLVDSIINRVE CC84DRAFT_561306 MATSKRLVRGIRTACDRCYQLKERCERVSTTGSCERCQRLNQVC LTVRPVRPPGRQAKNRGLCSQKISTLANHRMQSADSVGSCIPNVSNLDLDEQELLLSL LGDPQTLDYPVVSPRFQHAEQKSFTNLWSTAWPVLKDAYLAYAGVLKSLQPGDGLGTD NASKIRYATSAMAVLRSLSINKAEDAELCLTLGFALALSVYGTIGVGVAKICHYCLSV TRSFIEDTTVGLKMEPRISVLVLLETMECIVYRRTPTLRIQPRAPGIVDRHLGLCLSL LPYYYDLCSISHSLVRNIGKTHTELLHQELDKIQADVENWQPSHPEGFLHEFSASEVV QLLAQARVYRLAALLMIHRLRHPFGREDGQADIWSREVMMELELARRISDHPVRFVAL PFIIAAVEIRGTTEREKVLMNVSDYVDQLTPVVQKATKSFLGRVWNERDVLVNFSWLD SIHKPCVILDSIGSTLPQDLFNI CC84DRAFT_1185127 MDGRQPEYSQTGLNSPYPSFPEPPSEDSHADQASAAQYPPQGQD PRASNFSSTATPSSEYAINPSSARSGSFPEYIQRSYQPGAQGAPAGGMAQPQSPSMPL QDGQNNDHQAQQLKSDSDVPIDPSIAAATSPTYPQHQQYSPYTPHHDMQHYPGHPATP MYAQRPEWAGQHPQQHQMPYGYPSSSGPPAPAMVSPVQRPPNGGHPLSTVYSFVPIPG AQQHKRPRRRYEEIERMYKCGWNGCEKAYGTLNHLNAHVTMQSHGAKRTPEEFKEIRK EWKAKKKEEEAQRKADEERARAADQNRGHENGVEAPGYAQMRQQVGVPGQAPGHPQLP PIGYQPAASGNSSGPQYGTQSPGLAEGMAQYSSPTANAYNSPNSNNSSYPQSPYGSNP QMYQQGH CC84DRAFT_562204 MTAASPLHRFFVPHASSPTAAERCVPALCVMDAQSILRRASHWL VRLKTLHTDENSFTLHIAVRHKVRTTCARYGTRSWHATAPTRSNQWRPCHRTSASVLE DERYRQGLPYLPSIRALVTQKLIGFLLMCRPRSTFCKLSASCLHIPHVRLQAQLCKPS TYRVCHLLRMCPM CC84DRAFT_562220 MRLCRRACTPAGRCRRYPGCWESGGLRARAWSVSALAVRLASAR QDIRPYAQSTRGLERPRRHLAASPPFLRPTWRDELQKCPSVLRRAATAVTRRM CC84DRAFT_1185128 MDALWGFAAKIPESGPGAADARTFTIILNAVRQNLLVDAPLGEG ENELAHRRERGVVEGRRIWEDVVGRWRNADLIVEEELVCAMGRLLLVGSRPRDWDDVL SLVEQTMDIPRLVPRLGSIEREALPRIRAPNTLPEFKPENEDEDSKRGGEFLALTVDG KKSRPLTYATPSNETLSMVLEACQKIVAPKAAEEYWEMLTDPGTYAIVPDNNNLHQRL RLLRQNRASTAVVRMLQDKSFANKLHLKPGTFRIAMSTCVRDKNNHNSLKNATQILQL MMDTLPDADPKTIQKYAELAVDFPLAKGEDLVEALTYLQPVANSIKVQLNVGTEKTYR GRSSADIKPLSEEARQDAVAALRKIYAVFDKLILSNLISEEQKAPFKAERARMSALIN RLAFRASVKRVSLKSGPSASEEAQGETDDSAKVEVATEERREKLGFSRPSNNWRTHHN HPASPLTRSPPKSLTMGNDGGSIPKRRELVKEAARAPTAAQIKEARAESQDHAWNHCP LSSKPLAAPVVSDSLGTLYNKDSVLEFLLAEEGSAEKVEGEKVLAGRVKGLKDVVEVK FEVDVEAEGEKDKASGAGRREKWVCPVTRVEMGPGAKAVYVVPCGHAFAGSVVREVEE KICVQCNEAYAENDVIPILPTVPADIARLTLRARTLKEKGLTHALKKAPGSKKRKKTA DASSADAKASTSEEDKKSAAKPEKKERQADNGIKNASTAYLTKRVLEEQEERNKRRKL GQNDNVKSLFSKGNQKADLANSKDYMTRGFSIGGK CC84DRAFT_1162185 MALFTFDDEIHLAASAKSDSLAPPKEQAHLPDIDERMEVDVPAA HTHVGTPPIAIASPIPRRLVPTNAEAKQPAHTDKLDFDAVMKKAQKNRKKKKKGSKAA PAGTTVRGFETPAASGGEESDYSIATTPRFGPSWSTYPSVTSSPALRPTSAAGVIGNL RQQLEALNIGPRVDSPQKQCGCGPGQLRSGLSSNASVSNFSDSDRTEMESYEVDLNED FVSPDVHSKPSTMVTSTAARKMTADDFDTLTCLGKGSFGTVLLVKQRETGRLYAQKQF KKASITVRKKLIEQTKTERSILESVNRHPFIVNLYYAFQDHEKLYLILEYAQGGELFH HLAQERMFNEDTAAFYMGEMVLALDYLHRTVGIVYRDLKPENCLLDAEGHLLLTDFGL SKVAVDDQTCNSIAGTIDFMAPEVIQGLDYGMAVDWWSLGALGYDLLTGSPPFDGNNH AKIQQNILHKKLTMPYYLSQDAKDLLTRFLRKEPKKRLGYNMPKDMNTIRAHRFFRKL DWKKLQARELEPPIIPLITDPELAENFSDEFTTQALSTVVSRKSGYDALEDEYAALEK DPFGGFSFVASQSLLDEPVLGY CC84DRAFT_1162186 MRFPTAIAAVAAVVPAISAHGGPGIPKIAGLNMRDLKARNLMDT IRARAVELTQNAHEEHASLKPRQGGTNGQCGAGFGSCDAGYCCSGAGWCGNTGDYCYS PGCNYQYGPGCPENTTPAGADTSSVSRTKSGSVEYGGNGVYQCTVPGTVALTYDDGPF DNYTDHVLDLFKQYNAKGTFFITGNNINKGAIDTTASHSATIKRMASEGHQIASHTWT HLDLSAISETDRKAQMVKNEMALRNILGYFPTYMRPPYSSCTAESGCEKTMSDLGYHI IYFDVDTDDYNQLQATQIQKSKDWFRGNITAGGATPANNEWLSIAHDIHPQTAYNLTE YMLSTLTTLGYKAVTVGECLGDDAANWYRANPGSTNPTSSAAIPSASSTKKVSTDGTC AGTSGFTCQGSTFGNCCSQYGWCGSTTDHCSTGCQSAFGTCGSSASATKAATSTKAAT STKAATSTKATTSTKAASSSTKAATPSATAKVSTDGSCGGTVGFTCKGSSFGNCCSQY GWCGSTSGYCGTGCNKAFGTCT CC84DRAFT_1162188 MGYGGFLTLLNGSPKDWVVSGQHSYQMDKWEWSTVKAGTAAQVY VEWGQKGNQKDDGGEAYYTIDGTSDTFQVIGKKPDDFHITINLDNMATKQSPKGSSID LGFRHDHAVDFIVSTDELGDWWSNAGDLTDWMQQSLGTLGNRTLKQICMPGSHDAGMS SFDAGTIGAHWTNSQTQLLNFYDQLVAGSRYFDLRPVISGGEFKAGHYSEIEDIWLGG NGEKLSDIINQVNDFTAKYKELVIINLSHTLDTDNDYKDLSQDQWNQLFDKLKAVNNR FTADNPGSHDFSGDTLGSFITDRASVFIFAQLPSGISLGDYANQGFFNQDNFPIYDSY ANSNDAEAMKKDQLDKLKAERNLVADDATRKDKFHIFSWTLTQQAEDVLNFDKAIMNL AASVYDPLFVDAFNAFTPESFPNVLYVDAVGVRDKPAVFPYDKPADVGRNYDIISLAI AINNARAGKNVYITG CC84DRAFT_1194483 MADVAAAAQLKRKREGAEAQRKKAKTGKSKPAAAGEATPSKTTS ATPEARVTPKAAVTPEAKGAQKKQPTPQKAQANGTPAGPAASTPLGSRKSKSTPKTQS ANGAAALAENEKDVAPASAAVAAETQKQVEIFKKERSPHPWSVSAPQGGWFLPQDPVF SPDEKYLLLGKLKALDVYAADTSLLVRSLPLGASSLALAYALSSTNSDLVYVADSAGI ISLWNWTDGSKLGRWGIGANVQHLVVVEQPTTSRDLVFTHEADGKHIVNVHALYTGDE ASKTEVKQILRTSRPITEMQVLLQGKLIVLSTPNSMIVGKRRKFKQTALQDFEYTWRE FEMSRRITTFNAFVQISGGKDKALEDARDHLDLAVGDEEGVIYLFEDVISRFVAVERS QKDASDKKVGPESLTPKRLHWHRTAVRSLKYSLDGNYLISGGDETVLTIWQLATGKQQ HLPHLTAAIESIAISPSGASYGVTLANNSVIVLSTSELKAKTNIVGIQTRRISAGKLH RLSNPHFSPNYLTLVPMDIDPNNNSHITFVTPSSQPRHGGASRSEPYIQTFDLANQRP IARQPLTRNNATDPNMGPDGRPILEPSVNLLRISHDGAWLATVDEWVPPVADLSYIEE GISALNVEERTLRREVYLKIWRRDEQNGQWVLDARIDAPHFIDGVSAHARVFDLIADP SGAGFATVGEDRVVRIWRPKTRMRDGVIVRGADRMRGLVNWSLDRAVKLADKLEVDEA YEAAQTTIPRTCRLAFSEDGSVLAAGVSWASDEDPGVVHIVDTNDGSIRRSITEIDIS ALSSVAILGRHLILLGNTVVVWDMIMDQLVYSIPNKTPGVRISTHSQLLSLATNKEDC TFAVSTPRLQKKEPEDERFTKIATKVTVYSPLASEPVWSCTIPEALVLGLVAIKGSRG FVALDTSSNIRLLTPSVATLQLPSPPPESTSEFTEQMEADEDIMEDAEETAVQNAPGL QLSASEELLRTSENDKPVVSSEQLQQIFDSGPSHALPPVRDLFDAVLGLYGRKPRTAA SVA CC84DRAFT_1085361 MIIPIRCFSCGKVVGDLWESYLEKLDSGMTDVDAIDALELRRYC CRRMILTHVDLIEKLLKYVNFGDQQKWRRTFFEKQ CC84DRAFT_1162190 MEPAAAGALYAAENLLSGAAALVKGITHPTLPLKANLTRIESVP LPRSGHSLSVVKGRAYVFGGETAHGELADNDMHIIILPSSGVLEADYTTLKARPETAG GAVPASRKGHSSVVIGDSIYIFGGEGVQEENGRIWVLDTLRNTWSFLDPAPDTAAPAH RTGHAAVASGLPGPRNVTYKEKAPQQPVDPAKVVPEPADADSWGTIFVVGGRETGTGD LAKDALAFDVRSRTWSNIPTPDGPPTEGASLALVGNSLYLFGGKTATETGESATAKTQ VLDASSVWQHAEDGTTPLASGWAWDSLSASSAANSSSSLTPSPRFAAGLEDVTTGQGR HYLLLFGGSSPAPDNNITLLDDVWAFQLPSERASAAIAKDAVRAGLKRETHEAHWAEV GCRYMDTRGDELAQEKSGIKGFGSRSGFAAAKGTEVDGASVVVWGGVDAEGRILSDGW LITAER CC84DRAFT_1194485 MRSAFWLGRQCLPQGIRRSASFATQASTRRFTTGTPSSIRRRIA TPRFPRKTVLWSGAGAAAAGGALLSPLAFVAISKDGTNIGDKTHEEAMLEASRKELKE QVPKAIKNSKKYRRGIYFFVDLYIIEPICTGLRFLHLVIIFVPVIVTIPSIWFGQRQP GRDNERSGTLWWYAFLVASMERAGAAFIKLGQWAASRSDIFPTEMCKTMSALHSNAPA HSLEVTKKTIEKAFDGRRFEDIFDEFDEKPLGVGAIAQVYKAKLKPDLATLQQNTEEE PPNLRKRIRRNVDTALKDMPHMVPSSHVAIKVLHPNVERIVRRDLRIMGFFAAIINAI PTMEWLSFPDEVEQFGEMMRLQLDLRIEAANLTLFRQHFKERNTAWFPYPYTEYTTRN VLIEEFAQGIPMEDFLQNGGGPFQEEIANEGLNAFLTMVLIDNFIHADLHPGNIMVRF YQPQQIDVGLFTRKEDRTTGPKDSPDVTEEVLRRLRPHRKDTQEWNKCLQEIDSEGYR PQLIFIDTGLVTELNATNRTNFLDLFKSIAEFDGYKAGHLMVERCRQPEAVIDSEVFA LRMQHLVLGVKSRTFALGNIKIGDILNEVLSMVRSHHVRLEGDFVNVVLSILLLEGIG RSLNPNLDLFAGALPILRQVGAQSGSAMIRGGDFSMLKVWVGLEARSFLQASIESVER CVKYDQLSPNL CC84DRAFT_1239326 MGLKTDIATGRHSPAGDTDSYKDNLETDVLIVGAGFGGIYLMHK LRQQGFKCKIYEAGKDLGGIWHWNCYPGARVDSQVPVYEYSFPEIWKDWTWSTRYPGW EELRQYFDHVEKKLDIKKDCAFETTVTGAQFDKPSGKWVIETEDGRTARAKYLLLATG FAAKRHFPDWKGMDNYKGIIHHSSFWPEEGVDVKGKRVAVIGTGSTGIQLAQDTANQG AKVTVFQRTPNLCLPMQQKPLTREEQQEAKAKYPSLYEYRRTTFAGFLYDFVQKDTFD DSEEEREAFYEKMYENGGFEFWLANYKDLLFDKKANRAAYDFWAKKARARITDPRKRD ILAPLEPPHAFGTKRPSLEQNFYEMIDKPENDVVDVKKTPIKEFTEIGITTEDGTHRE FDIIALATGFDSVTGGMKNMGLRDVDGTPLSELWKSGTWSYLGMSCAGFPNMFFLYGA QGPTAFSNGPTCVEVQGDWIVDAMTQLKKDNINYCDATKEATEVWRQKVTELSDKTLF PGTSSWYMGANVPGKPREQLNYAGGFPLYEKECRESLENGLQGFVTA CC84DRAFT_1239328 MEDIDEVVRLYAREVLATLDIDSEPGAYHSNFDPSTMEYEGIAI LSLLSSFLSAEARINVAPSYHGHDALVQSVRSMRASSGDGSSGIMALHDSDNSDSSSY RSVNYGWALLEERDADGRPSATEYRKAFMYLGLLFWDDDGFLQTRLTTINDFQSLLGT LNEGWDEAGVSVYNNACHDTAIFVDFYCRCRLRPDDTVD CC84DRAFT_562339 MPPRANRAATAAAKEATPTVNGTTGTLCAHPTMVRFPEQLLIHV NTGNMRAQRSATRAVNGILEEPKSAPVAKKAAKKSATPAAPKALPKRKKVAETVSSEA DEPAPKRKKDDDEGPAVDADGDVDMEPQPKKAKTTKAPTPKRDLPKGPRRIKGKKTEV NPLRYTEPLKVFVFGEGGQGELGLGATKKAIDVKRPRWNEALSKQDVVKIATGGMHVV ALTKDNQILTWGVNDNGALGRDTSGGEQLKDMKEGDDEDSDSDDDETGGLNPLESTPT AISADHFPEDTVFVDIAAGDSCSFALTSEGAVYGWGTFRKNEGILGFARNNETANTPV YIDSITKVTALACGTNHVLALTKDRHVYAWGNGQQNQLGRRVTERNLNEALVPSRLGF HDTSFKRPSQRIAQIACGDYHGLAIAEDGHIWSWGANNYCETGHGENAGQDNASVLTP RIIPSLEGKGVTTVEGGSHHNIAITQKGEVLIWGRCDGSQTGIPPAQLEAIDDEDRVL KTEGGKPKILIEPTVIPGLSNIVTGACGPEHTIVINKDGKAYSWGFSANYQTGQGTDD DIETPTLIDNTAVRDVKLVWAGAGGQYSALASKRD CC84DRAFT_1162195 MRRISLVSFLLIYGSFAAQPLAPAPKAAPLRELPWAQLNFLHTT DIHGWWGGHVQEASFSADWGDYISFAKHLRDRADADGSDLLLIDTGDRIEGNAIYDSS KPRGKFTYEIAKKQNIDLICSGNHELYKAESADGEFFHTVPDFKGNYLASNLDIINPN NGRRQPLAPRYKKFKTKNQGIRILAFGFIFDFTGNDNNTFVIPVEQTVKESWFKDALR DKDIDLILVYGHVDVRSAEYALLYKTIRSAQWDTPIQFFGGHSHIRDYKIFDSTSVAL ESGRYMETIGFMSIDGLRTADSDKRPAQQQKSKVTFSRRYIDNNLFSMHHHTKKNETT FPTEHGLNVTFAIGEARDSLGLGERYGCAPHDLWVSRRPYPHDESIFTWLDTQVLPQS IKPSERNKKAIIITNTGGIRFDVFKGPFTKDTKFLVSPFTSGLRYIKDVPYKSASRLI KLLNNEGPILDMMKDGNTYLQPPEHVAAQYRPDMYIASQSQELHSYTAQDQTPLLGGS EDELFPGYTTHDDAGKDGDDTIHQVIPFYQVPNCVQANIGFNTGDEDKPEVVDVMYNE FIQKWILLGLGYLGESYTSEDTHSYADGKSFTNIMTEWVKEHWDVASEECT CC84DRAFT_562380 MESHSYALPKGVHALRTSSLDLRPDALIDHDILNPPSITTEKNI WFFWHSGFSNMHSYTQRNIRAWHRRLSKCGWTIRVLDRQPDSPLNIANFLDIKDNNTF PKAFTDGTIGGAYAPQHTSDLVRWPLLLKYGGVYADVGLMLIGDLDAIWNKTVGDPSS PWEILSYNAGGPSSRSLTNYFFCSGKNNPLFERCHRLLLALWAADGGKTSTDGMCDSP LLKGVPHMGGTFSVPGIVNEDGSRIDSEGVGRMLTDYIIQGQVATMVMSLVDEEDGWN GPKYCAEHIYAIDYMEGSQLINEFTAWNGPRAFRLMSLQLPNNGEGETQEQSEARRIV EECLSRSFGFKLAHGLILRVLGDTLGSLWRANEGSDDVPGTYAHWLRYGTMYWCPDEL PQRQEWKVEEPIKRGPLLRES CC84DRAFT_1140738 MTSDLELSQTFSSNGWAIRYGVFGAEKPHDQTVVFVHGTPWSSA VFKPLAKALLGRGGYRIVLYDLAGYGQSQDFTQDNPAKYGELFAGDTSVRMQASVLAA LLKHIRLDQDDSPALIAHDIAGAIALRTSLLHGCKYRSLLLLDINAVLPWGDGFYKLA RSEAKTFLQLPSSVFEAVVRAVIRSASHAPKEFSHAWEDILAEPWVDVDSSVACAKQN SFVRQIAQANDADVAEMLDQNLYENIRCPIKIMWGEQDQWIPREKVERLAGLLKDSVK EFVVVPDAGHLVMTDQPERVAIEIFDWLMKT CC84DRAFT_1173732 MDTARRLRSSCDACGAAKTKCDRIQPQCGRCSSMNLSCVYGPSK QLGKRPRRRLDLGSRISDRGAAPSQANAAYRKPVIEVFGTERSVTNSSFDPTLDLSMT PPQDMSITPPQDIQLPLPQTSIAWPPTDFELWGDRHRCYHESNDVIQLLSIPERLFAD DAPIVLDVSDILQATRRAIESLNRLVDCSCAKNRGHQAMLYASLISRALWWYREASGD AGFRAPEATGMPSPNTPSENSAPPASVHQSTDRCVRIKASAVTVGGFNIDDPQMQRTF RNQLIRHEVTKVGPLIEKYAALATDPDVREEDKILFLTLGAWLRADLSKAIAAVADAS NRAEDS CC84DRAFT_1162197 MLDLATRRKICEDTILRSASITEETPGASLKSEFISSQLPLLDN QHPAFPSLTLKPIKIHNSDAFELARKLYPSKGKVGVLNLASDIQPGGGWRYTLSKTQE EALCYSSTLYATLKPEWYPWHNTGPRSCAGIFSPDIVVFRDTIDNGLVELPAAERHLV SVITVAAPCLPKLTEDGEHFAVASDLRDLREKIILTLRMAAMKGVTKLVLGAMGCGAY HCPPHVVAEEMKKAIERDEFKGWFEDLPFAVYAAGPVGRQNFEVFEKVFKELAIES CC84DRAFT_1140742 MSLFGNLGGNQPASSAPKSLFGNLPATSTASTPSLFGGLGASTN APAGASTTTTAGGSSLFSGLGGGTSSAAPSSSLFPSLGGASSQPQSTAQQPASTIPFA SNRFANTGTATSTATTQPAASTGAFGSTPFGSTTNAQQTGGLGQSTLFGASSAQPQER QQQAGGPLTQSNAGTSAHFDHLLERSRKRNAGENGFGGFGELPTLQLGLGDIARKVRN LGQGSPSADQAQDRTAHYLLSASGVKLGSTLRDLNQFSTQAGISSTAPTSNLMDTDVD SYIANLHQQSTLALIQEGLEQSKRDFDTFLEDNVQIEWDKQRQRIYEHFGLGRQSEEL AASQATFAGGATRGAFGRSARKGRSMGARSVNGASFAASTGPPVIGSVMSPYQKSTIN GDAPDKSILTGQTGLGDRYTREKQEKFMGAVKRLNVSRQTEQSFPILEEFAKIEQDAE GENSDHFVQAYRALSIIVTTNPSDQGTPFVPKERCFAAEYLNEQPNALLSVQSRKRIL NGSRAYLEQKFLEHVQDVLKRNAADARPGGEPTMVSKIRGYVRAKIAQKELGAEIEHL QKIGGESDDYPWVILFYLLRGGLITDAAAYVRERRNFFHNTDRNFQIAVDQYAQSPDR RLEPDMQQKITHVHSQRQRIAPTNDPYRMACYKIIGRCELTRKSLDSINQGMEDWVWL QFNLAREGNRAEESAMEAYGLDEIRETVTAIGKRHFLNDDAEAAGGHGVYFWLAILAG MYESAVSFLYQHNYITAVHFAIALDYYGLLRVSNFNEAGMEILTYTPQQRAQFNFAWV VGRYTADFRAARADAAAEYLILICLNADLSGEAGKQQADICHEALRELVLETREFATL LGDVRSNGHATDGLIKDRIKLIKLTDDDALINSITSLAARKADEAGRTNDAVLLNHLA EQYENVVSILCRALSEALSVEIGQTPLRLEPLKPRLPAVEQQQVQDTGSSLSLLGTDD PVELTRRVSEMYDHNQLWHRNISQASRQTLEILFMLNRAKSVIEAQQYTQALDIIQSL RILPLDSKGNLSDIRTFANNFSAFAPEIARNIGNVLLWSIGCCSKYREVLMKGTYEDP TRQALAADLAQKAKDLMVFAGLIRYKLPPRVFERLAREGGEGY CC84DRAFT_562426 MSFSPQTPPCYNLQGPYNNGKLLPAIFRSYPNYGMKGWTQGGVV RREVNPHYHLVWPKDKDRGKLGRFKDILQGKGPDIHLSISAQKNDYMWNRPVKEWWSG WRLNRSGSLYGWKGQKYLKGPPWVGKQSRFYDFCTRRYEDWHPDMWTDAIWQGPRKNS NWPHQIRDVYGVWHEDDSWDAGAPGQKMKNC CC84DRAFT_1214733 MAAAEAPQAASPIDNYDEAKCLAALAQLEHLKHQLDDLRLTLPR ILEPFHMPSKPPMFHAFKDNLIKAQRDMKTFKSQWQGQETQNILEHARKSVAADPDLS AGAQIQQYGWIEKETKQNDAAKNNGDGEERPDDLGIRITNEERDSIVGQWRQTHPTIK IEEVDDGKQLLIPFVGDSTKYRFRVTISEGATSPQAIQAECEGAGEPFTSVTRCLASR PNPNDLKHLLDMIAAYKTVKGLQCAKCKKMLDDDTTKPIARRCRQATGASETSETVWE PFHEGCLV CC84DRAFT_1214734 MLLPTFIIALLAWPALVLSLSIELTPKQRNVTRGAATQFHYKPK DTVATNVTVIDTLTNKTFHVIDNAVNGTVDWTVPDDLEVRGGYFYVAARKVNNATITV TSASEFQVLDKPKPTPSKSPEHSGAWVNSPSMGTVGSMLMVGLGFLRIVI CC84DRAFT_562461 MRQCSPHFFVRTTRVLRVNPSCHRAVVSREHLLKLWRVHGLDFH PKYIILDLLGAPSKRQLHAYCLYFGRNMMHVYQTAPLFTLLGFASCSEIQPAAVDATI ISTTSTPMCTTVSSIHLGSSASTVKATSTQVITVVLTLSRATSQHGLRTISDLISKNT PCTEAPVLPTVIMEGSSAVDIPVSVTIVKPPTEDHRLSTSITKSLFPPSFALRPTSVE TLTRSRSSSSTALVLHIIPISTDTAPDTTSILYTSATTNATTSGILVNGAKGFCGGVP GLLTAAVVLVLGIVGM CC84DRAFT_1257113 MSSKDPLVWIDCEMTGLDPDKDTIMSLACFVTDHDLNMLDKDGY EAIIHHNKEDLDRMGEWCTKHHGDSGLTEACINSTTTAEQAAEGLLEYIKTHVPNTRS ALLAGNSVHADKSFLVKQPYNVVTDYLHYRILDVSSIKEAARRWAPTEVLKKAPSKKM LHEARADILESIEEAKYYRGAFFIKQA CC84DRAFT_1257114 MSSRAAALAELRKNRAGGKTRLSSYQVAEEEQLYDEVDEEGYKK VVRNRLDQDDFIVDDNGEGYVDDGREDWEVDAATESEEEEDRPAKGKAAKRKREEDEE SKRKRDTGISKYFSAKAAAQAPKAKPVATAADQDFMADLLGEIDTNKSRSQPRIRPVK NESRRKTRLLSPPISENRQSANKRPANGSDAYMPDTPPAENAYDEDPLPIIDDDVPMS DPLPSSPVAKAMERKAQPLVKVEEEDEDLLEVAQPIGRTGISSASVNMTGKRPVAKIL KPEYPTPASSSPPRPAPAIDASTWNDVTNKLNVQSSPAPAITSSVGKLKPEAALEDDG SLRMFWIDYTEINGSLCLFGKVKDKNTGNYASCFVKVDNILRKMYFLPRETRLKKGQH TDEEVEMSDVYEEVDNLMSKHRVTMHKIKPCTKKYAFELPDIPREADYLELLYGYDKM PLAQDLTGETFSHVFGTNTALFEQFVLGKKIMGPCWLKIDTADFNSINNASWCKLEAL VTKPNNITVLDNSDNVEAPPLTLMSISLRTKFNAKDNKQEILMASAMIYDNFSLTDTT PADQLPCKSFTIMRPNGDNYPAGFKMEAEKQKGTIMMKKTEQDLLSYFMAMFQRHDPD VLIGHRLDDVDYSTLLNRMREKKTPGWHRIGRLRRSDWPKTMGKGGGSFFVERQLAAG RLLCDLANDLGKSLMTKCQSWSLEEMCQLVLNKRRQELDNEQALSSWATTKDGLMNYV KHCQADAFFIAAISIKVQALPLTKVLTNLAGNSWARTLSGTRAERNEYILLHQFHNEN YICPDKVWGKGKQKEVEEAAEGEEGADAKKKDKYKGGLVFEPEKGLYDKFILVMDFNS LYPSIIQEYNICFTTVDRSDLSEEDDKVPEVPSEDLEPGILPKLIKTLVDRRREVKKL MKDRSATSDQLATWDIKQLALKLTANSMYGCLGYTKSRFYARPLAVLTTYKGRETLRK TKEMAEEKMLRVIYGDTDSVMINTNVDNIQDALKIGNEFKKEVNDSYRLLEIDIDNVF RRILLHAKKKYAAINMVPVDGKYIEKLEVKGLDMRRREYCALSKETSTELLNFLLSGE DPETVVEKIHEYLRTLSENMRAHTIPARKYTIYTQLGKNPKDYPNGNSMPSVQVALRL MEKGKHVKAKDVMSFIITGESSGSAEAAAKNAYPVDEVLKEGSELKPDIDYYLHKQIL PPVERLCAPISGTNITMLAACLGLDTTKYRVSTASGSGNAQDVEIQPLESQIPDAVRF QNCTPLYLRCRACRSTFPFRGIASRTSTTTLGHNGITCPKAECKQVLATLSIVAQLES SIRQTLASYYAGTLKCNDPDCSCTTRSMSVYGHRCLGPKGLAKDCLGRMAYIYSERDI YNQMLYYQSLFDTERLGKEASGVNGVKIEGEAESREKMRVLAEVNREMLATLKGVVSG WLEKNGRQWVQMDRLFGFVAKRS CC84DRAFT_1162201 MCTSCLRAARASRAFSTAPPAYSAASATSRPLFLDFLEPAIRLP CTRPAGIALPRPQATLRRRLATAASPPTSPSDPQPARAVLNPRTTDSGEPMRISLSPR AIHRLSTIATKDNNPNLALRVTIESGGCHGFQYLMSLIDLTKEPPTEEDTLFEGDKGA KVIVDESSLELLNGSTVDYTMELIGSQFKVTGIPGATSSCGCGTSFDIKL CC84DRAFT_1114522 MPQFSNPYAATSDQDLLSEAFARGEDARDPLSKLRAEFVIPTKG DLRNQRYGPAENATVSAGHVSRDDDHDESIYLCGNSLGLQPRRTREYVNRYLDTWASK GVFGHFKPLQGGLPPWLDLDDALKEQTATIVGALPSEVVVMETLTANLHLLMSSFYKP TSERYKIIIEGKAFPSDHYAAQSQLAHHGIPSSALITITPPSDDSPYLTTEHILSVIS QHNATTALVLLPGIQFYSGQFFDIELITRHCHSLGIIVGWDLAHAVGNVPVKLHDWNV DFAAWCNYKYMNGGPGVIGGLFVHDNHGKVEKAQVDGDAEYNNDTQLHYRPRLSGWWG SDKSSRFRMENKFVPIPGASGFQLSNPSALDMTSVLASLDVFSMTSMEALRARSLRLT AYLEQRLLRYDNKTPPYKIITPANPAERGAQISVLLNPGLLDHVLHYIEEHGVVVDER KPDVLRVAPAPLYNSFWDVHRFIEIFQEGCRRAIVQKGKASAQTPNGVA CC84DRAFT_1203650 MKPTYLLPFAALLGRAIHSTAQFIDPVTFNHTSSKGAEINSTSS RGGMARRLRRRWGRLLSTRVEVVSTSTSKSTYKNSAAVTATSTATGTGLATAVESSIA TTMETSTRHNTATIHERGRVSMTSAPAPDTHVHTCTDIFTQQPTAIPAQTTSTPRPTE TPYLPRGPQEGLVLGLGLGLPCLFIFALACVSCPNMAPLNSLSGPMMGRSSGAAQEAP AGDGKDEELESVVVVPAITYQRAEEEEEEEEEEELGGPAIHQNNTRQTSCAYCSRIYA CC84DRAFT_1162203 MSAQNGGRQSPEPEQQTGAQQSDAPATDINNQGGAPSEGTKQAN DDQKANLQSNPTHPLAKHAEETTSKK CC84DRAFT_1114526 MLPLDDDCDACSPDWMKKRSPSAMAISALPFVATFFIVAVAVSH RLFPLLSGHAPLKNHHDAQLAGFALRERTSVFRNLRITARTIASATFSTNIALSAVLV ELILCEISNTVNRATRTLALKITLPSLLFLIIVLTPALIIHSVVSGWSLSRSQNGQRK LAWVLEITGLAAWLAGFWYLGRGLLGTFLHEESYIHEHTFSEGCLERIGVIGISLMAS LAGFAAVSSLWQTFGVKYRLVTDSDIARKQAGLDATNDMLATKESRLRAVQRKLSDTP QEGFVTRVVGSLRGNPEIVERNTLQLEIQGLETMHNTLQNSLSILQNRRQTQLRSHTI QGRLLNLVSVIFSLYCFYRITATTFTTLRRFSSPNTSFSNTDPINNFLAILAKHWDPT IDRVAWSRTISFLLSGIMLLASFNSVVQTFFLFARVLPGVLHHAQANFALLISQIAAT YVISSALLLRSNLPVEMKSAISEALGAPLEPAFTERWFEGWFLLASAATAIGLWAGKR WKGQGWDDEDEFGEGDLEMGKLN CC84DRAFT_1053976 VSFDTFDKPADFIEETSFTLIAKHKDYEYTKRSRTFLCGCDDNY YSNYALQWLIDELVDDGDEVVCLRVVEKDDSIASDRSVEKGRYRAEAESLMKSVQGKN HENKAINLILEFAMGKVNTVIDDMINLYEPAILVVGTKGRSLGGFQGLLPGSVSKYCL QHSPVPVIVVR CC84DRAFT_1194495 MTSAQVQINLPLPVLPAGWTAEKDFKPVGSLSHAIDRNIEPVGP HFLAHARRKRHKRTFSEDDRIQAQANVKKIEDDDEGEISEPEDPLMLQREAKDWKGQD HYAVLGITRYRWRATEEQIKRAHRRKVLKHHPDKKAAKGGTEDDQFFKCIQKATEVLS DPVKRRQFDSVDEAADVEPPSKSETKKGNFYKLWGKVFEAEGRFSRQQPVPKLGNEKS SKAEVENFYNFWYSFDSWRTFEYLDEDVPDDNENRDQKRHVERKNQNARRKKKTEDTA RLRKLVDDALALDERIKLFRQQENASKNKRRLEKEAEQKRLAEEAAKAKEEEARLAAE REAAEKAQKADSKKAKEAAKNAAKKNKRVIRGAVKDANYFHGAGDAPASQIDGALNDV DVIITKLDNEEIAALTAKLSGAKGADAIKQVYQDEVKQLIGAGKLKEGELKSLS CC84DRAFT_1194496 MFQGLQRIKGALDARIAEEQAKQRASAQTSRSRSGSTPKRSSSR NLSPSKRPLKGKDGDSPSKAGSTGKGPDPSEFDPEFVIGEEDDQPSRAGTPRPKEKAE DGDATGTGEGQGKEENGKAEAAEGNTPPAPEIPPETRARLRKLDKLEPKYSELLRSYR IAHARVSLIEAFEASLRENTPLTSISEPAAFVEYLAQLNVKSDMLMEELKRVSKERDE MNKKLGEAEKKAKEATEEVEKLQAQAAANEVPTDDSTVQTESAAPSSPSKSSKETEAT EDEEFFSYDSELPRLQSQLKESEHKAEKLVKENQSLKQELSVAQESAESFMKNLETTT NDFLTFKDQYTRAQKENDDRQKELGSTINDLQSKLQTAEQELTKQKDDYSAQEKTFTE LTEKLDSTSKELEELHSTRGEELVENHDREALAKEVERLEGELVKLRDTQSNADKRNE TLSGLVNNLRDQLKDAGAQRDTAQSELKQAQKALAAASNEKGADEAKTSIPPPTPVTE SAPSSKKKNKKKKKGGKAADAASVEKTPSEAGDSSALLSPSAAEFSPSQLEDAQKTVS QLKSDLEAKIAAIERLESEVAEKGNFIDNLHKKLKDEEAMREEIETLRQDLLDFGSEH TDAKDKVKQLQAEKGALQESLDKLEKEIAALRTGKSAQESSEQEKKALAAEFAELKAK ADSLQTDLSVAEKLAASRFKELTDMREILTKAQPELASLRKEAIELRGTKEELSRKSS ELRRLEGREKDLMSEIATYRTQVSDKDGDIKTLNDKIKQETTQRLALEETNRKIQRDL QNSESDRNDAIESREKLAKDLSRIQEDLKKSRNTIDELEKQVAKLQREAGGLRDEIQL KSAHMQMSTQEMGTQMKEELADAHRLLSERSREAETMRRLLADAQGRADARERERAEE QASSFGRRRARELEELKQKVRDAERAVTRAQEDKEDLASSEKELRRQKDDLERRAAQA NEEAAEVRTALSQLRDALDDSEKQTRDLEKEKSRLEKLQKSSKAMSDELRALKTRAAD STVQSSRSSLESARSRIASPTPKGGVPSAQAGDGAVDYVYLKNVLLQFLEQKDKKYQQ QLIPVLGMLLHFDKKDEQRWTAAISAK CC84DRAFT_1085882 MYANQLSNSPSSSSSSVDEAENTADEEDSEDYCKGGYHPVQVGE EYKDGKYTIVRKLGWGHFSTVWLSRDNTTGKHVALKVVRSAAHYTETALDEIKLLKKV VDANKDHPGRAHVVSLLDSFNHKGPNGVHVCMVFEVLGENLLGLIKRWNHRGIPMPLV KQITKQVLLGLDYLHRECGIIHTDLKPENVLIEIGDVEQIVKTYVKDDLKKDSERNNP NGRRRRRTLITGSQPLPSPLNASFSHSDLASFPGSTQSLNKIVSDSTGSESPATLRPP TQDGNVMSGGTGTSSPSATLSMSERLGLKPPASDEDVQKQREKTADLLTKEVSGISLD KSSGSSSKNETTAEKLAEDVSFETISVKIADLGNACWVGHHFTNDIQTRQYRSPEVIL GGKWGASTDVWSMAAMVFELITGDYLFDPQSGTKYGKDDDHIAQIIELLGAFPKSLCM SGKWSQEIFNRKGELRNIHRLRHWALPDVLHEKYHFSSEESKKVAEFLLPMLELLPVD RANAGGMAGHAFLKDTKGMESVSLDIAVGSKGEGIEGWATEVKKTR CC84DRAFT_1257121 MGHHHSKPDDPPPPPPPDWLPGNCPTLFECNPNGKLAKRAGVIK HVFARAYEKNPATVRCLVCLLVFLVVVGMCITTWCAVAKRQARRKRVQAARVEAEKGG FSSDF CC84DRAFT_1185151 MPTALPTLYPSIRTYNMQQPPATHDPKAPTIEMSLREHLLAAGA GQSSAPQPMQQHPHQSPQQHAIDPAIAGQQYQMSQGETAHMGDQGQANAKGRRELSTS KRAAQNRAAQRAFRQRKEEYIKQLKDQVKEFEQLCELYKTLQTENYQLRDYIINLQSR LLETQGEVPPAPAGVDLSRHGEAPNPNMNQAPPQPQPDHQAQSQQNTNNSSNNGGLSD RQIGELQMAAQAAAAAQHGGPSLSNGKHPNDGSPFSGDYADKRQKTGDSPASGQQFQS PYPNPYA CC84DRAFT_562896 MLAAQPHPRVFGRVLRAAPWASLRRQLQSQILPNHLIPRASLEL CHSRRWVLCAPRTLATSHSSNNTDVDSNQFAGVVFSAKQPSDLPKRLTKLSNWKLSPS NKGITRQFTFPGFASAWRFMSIVADECKVKRHHPSWHNLYSQVTIEWTTHKPEGLSIK DVEMAEFCDQTADEIGLKK CC84DRAFT_1087491 MAQAPAALFAELSAHLQAVDEDPTTTLDADLLEKCALFASTADY RARIWQETGPLFLQIAALLPKLQQDPSPLIHFVVKLTAPYRFEHIKDVDFEIGLDLQA TPVHALILTLLEKAAAGSNDAQALANRPTVMAAVVRLWLCTSNTGVATQAGDLLISLL RASKNEPATVSGEAPLHTYGAGPMWRRLFADRDIVALYYHYTSLKTLPSPPLPFLSKR DKTVSQARLLTWLPKVGALDWSTITSSLGLDIEKEVGLAEGQGLLHYASSKMVDTEDD ILMHMTLINFFRDLIVTVKTKPHLTHYDSSLSLDFLKEQNIHKHIIDFHISDNPGLDH SFLSPRTAQYISEYASTYPENFENSAELPAVRTYLHRNIRKCEPHDLSILAAMPRATL IPRNGTGLAWDDCILLDIPLTRTNPDALKTLAAVFHGPPKQEITFPQIETIGLDVKRI EIESVFARLLTSQYYSKHPSLFSDLVTHASTIAMKENALAALVLLRAIITSSWSTTPL PDLIPASNRTYTHLQNFPRTGLDLILDPARSGSVLPALLKPATTFSNLVGGRGDAENA AYQVAVARFDVLKALGQRLEQDGGRDDVLQMIRRRVSEGPWGVGGSGGSRIGTLDL CC84DRAFT_1162213 MSRGGRGGARGGGQRSAPGTVMIAGTEMAWDLTGLELQKGPGDL FPSAPPAQAPPPSDYEKTTLKHYIAIRDRVHNGDFFTVLNDGMKTGLKRRAGDMAPTD ESLFDPFVGNETYSARYHKRVRKVPKLDTRPFVHELFPPELRQYLDRGSSKKKRVLAV TTKINEKSSIQQHIEQEEGRTREAEARADDEDDELDEDEAAEDEEEKEKGDDEDNWSA VSSDSEEADDDYNAEQYFDNGEDDDVDDADPYENTYE CC84DRAFT_1257125 MPSNLETLHDALTARSIACTLSDEDSFILSFTATPLSYTLRIAG HRPSTIVLVLNLSTCVTLLYARSHGATQAASDRTDMLVEAGYVGVQEEDDFMPQIIRL YDLSKVQDRTALVKRILLMRDLERKFKAPFEEGKWMGKQKSFYSGYAA CC84DRAFT_1087312 MRPFLLLSLSLPWIASGQYASYPQRYTRVKLPCGTLVNGLIDAN FSSVRQFLGIPYAQPPVGDLRWEPPLAKRFSSPVNATAYGRSCTQPEVLTPNLENMDC PELSIQNKETGEDCLTLSLWTPTNATKLPVVIFFYGGGWYTGGQDVPYTIPTQWVQRT KDLIVVKPNSRGNIFGYPNAEGATNQNLGLLDQRLAVEWVRDNIEAFGGDSSKITIWG QSSGAEQVDYYNFAWHEDPIVQGLIMNSGTAFIEDGSGPKFSNFSYVATQVGCGNRTD AAAELSCMKKVNAATIEKVIADNLNGGGVPPLAFGSSADEKIVPSNLTKWALEGRIAK VPAIVGIDQNEGVGFVTYNADGPSAEDQALADYWFQALFLCPSFKTSKLRAAAGLPTY RYMYAGNFSNISPRPWMGAYHGSEQPMQFGTHGNYRGASTPYQIAVSEAMQDAYRAFI DDPLSGLKGQNWPTFTEEYGVVRWFASNGTVARNAIGELQAWEDKC CC84DRAFT_562937 MSTPPLEKTVEVETTIALAFFLTTAGLCLHEGSRRIRKAHLTAY DCLLYFAYVDVLVKDIIALWLVIKGACSDIVYLNQSRHDEILFDNVFFALAGLRAATT ISVKLAVLFLYKQVFTLDNLHFRIAWWVCFLYLVPCFLTIDLTFYGIIFTNKKRIPHL RLADFQFGKIAHVVVAWLNTIADMSILVLPLPYLLKLRVQRSQKAALTFILCMGFLAT AGTWANAILLSVALAKGRDMSQAFSNTVLIIASVVECAVGILCACLATSKPAVSVLWS WIKALPRGAKKHRTFTELPQRSYHRETRPDMKVSSVEEMVQMPGG CC84DRAFT_563311 MEEVPSPLKVGSPVATRNASEDRVPTALRAGSPAVGSGTPTSQA RPGLTRQNTSGSDRLSQLFPSRPASIASVSPLESPSLSRRTSYPSPLIPAAEPSYRIP RAPAPPSFSDDTSYSPSPDAVSPTGSQSTLQSRSGTNRLLNRLASLRSARSRGGNYNR LEDEESGRRRLQEVEEVDEPLGYDLSGLDGGVPMQQFGQPKKMLSAADAMEAERDLHE AGYAAEFERLEAQLGAGMATVTEVPFTHATPSGDDTARGHRRGLSDANIIDVVAKDAQ EEAEKTGGIVAVAEIPVDISDMAGHGTDFDSRASLVFERGNNNESSYFFPSDPNMPSW RPFSMGWPFLTFLVVVALALAGLQEFLCQLSQRAAEFEPGDQHEGGLVKFKNAKDLTV LQYFTWKYAPILFFVIYGILWQIVDYEVKRLEPYYQLSKKDGSAASESLNMDYLTFMS WLVPLRALRHKQYAVIYVSMATLLASSLVPILQSASVEMYPDEDERDVKDWKAVRIQP VWSRFVTICLVIVAILGMFLMKEMRRKSGLLSNPQGIAGVAAMATRSHILADFHGLDT APLEKIHKQLRHRRYILHKSSLWQGAYIRNVKEKIPDTGSDPRPLMLRLKAGIPYICY LISFAVILPVFLFVEGAQIVTKKLPFLLTTLATVVKLLWNTMNGDIRTVEPYHILAQR RAPAKTLTLDYTGTNPIILPFKALVNRHYIVCLVGVGSILAEVLTVCVSSFSVDGKKF IPGHSGNDSNDGDDAHDRANTDQTFRSFWSSFVLSIGIIFFLVGVACVVYVHRSHKFM PRQVGTMASVLAFIHQSKMLVNFVDTEKFDSKQMTLHLEKSGKTYALGWFQGRDGDDH CGIDEEPILAPYNYGVDWTKARVQGHTIGTWEHY CC84DRAFT_1214751 MSKAGASSRVRAPGSMDPVTYGKATRQIIFEKSINPGWAPYNQH IRRALGFREKNAGGKGRPVFASENVNQLYGELDDMAKKLPNHSQQELKRAWEKQTYTK DVRRLVDNYGEKIWGDSLKDRELSSNPKSQLLTAEDGSEAGNLFYQYERHRKFITLQL EHLLFMKAIAVYHNYHGPSAPRSAAESRPVSTRSTRPGASKPRKRSSSVARYIFTFRL PSDKMRAVLCRTSKRIAEKKRARDAAGRLLYEVTGESDNEWTPTKRRRPSQRYPRLSA SDVTDDDSSASEQSVEGDESSTAGRNGSDGALVVGPVSEEVKRSMRMDMAVSLYSELC HEDDGSHNKLPDANSMLVASKRLDNVIHTLRRQDEDKLRKSLGGSFQQDLRTLDAWLS WREGCWLLSEATGIHPFGGQPTPRFTVGQWNNMFGGGEKCRRIHQTLLKCGQIALGIH DGKDEKTLSTASSLARVFAAMAESPMLEQSLPNHIVAHNKLLSSWKMDFWL CC84DRAFT_1185155 MGSIGYATSKDWLDIMKNRSKLRMHELIERLGLRAKWSQNKRGK TNFESALVRDLVTDMGDIVATVPKESDDLVKFLSAEKSLNEQVEGLLQKHGSAIWGRV GDREHLISVGEPGVEEELYPRDLYFENEEDREQIRILLHWWLGMKAINVILARDRLDR ERKKKEENKRARIEMEVPQEGVSSAFVPLAPNSGVPAPLNTNIPPVPVAEHPRHVQLY KAETTSRGSPISVAVSTPPESSQSPIGPAQGQLGGGHFAPVNVHSSHPSQAQNVVDGV WNRLAEVGIHGSRNGSMSSQATPVAGVSVAGIRQLGAEISQLVSDLTPQATATNGHCA VPAVPPDAQRNAVPYPGLDVETLYALRDYMYQEKTEVEWEEEALLRRLETTWRDGVRS DYNRMLENVPAFIARERAFLTWIELKRHLADLQRAGTRWGEEGTTAPEIERRIEQHRT LMGATREIIRSFEDIAQVAGAGADHDELLRQALVVLAGNKYAVELQWKSVEFVGLMQW LANALENYEKEQEGDTVYYFS CC84DRAFT_1203664 MSQSSRNSPSHMDDAGVARWDRPRWISVFSSHNPTIREVTECLG LGLSASSSTTNRGIPKFDNPEYRKLSGEVTRLSKELPKERDALLDFAADPNSLHRELE DLLQTFGTAIWGRNTDRTCLLTPDPAKKTYPRHLFIEDPDDKEILKIHLHRWIIIKAC YYIRNMKLKRPSSANDYDTVADMEVDDPMSPNKAQLSPNDTPQSRTPSTADGPTTAGA EFAVKPINGKKRKSSAFTSLSDGDEIDSTPAAKRYQSHTMPSSRNSPRKSIISFVADG SVSDNSQNVPPVPSLPHSHSNGHLPTNGATPSDSTRPQLTTLSSNDLNGTARTPNPTP PANGFTAVNTGSFTSVNASPPVRVSPSQKPVAPAPPTNGRNYSSPYDSSPFHGGPMAP KASTPPKAPLLVTASTSAPPFQAIHSPVVGNGVSARNSPTVQHVQPPPIPSQHTIQAQ PQPTSRSNTPIMHHHHHRTSLTQQRSSRSSTPIAPSITSQPMHQAQPAPAPSTHPHQS PRPSGAPMAQNVTGPTSQGPPQYIQPVPATRVSHLVNVAPVPAVPTAYAPQAAPILRS HPQDAAQVPPQAHAELDLGLLQCEVLGSLMQYLFPRVTSPPDESVLLHKIESLWHLGT AHYRKETGQLYDLHSRILLTWITERRKIQQLRHTFAYSPAVPATEMVERLLALNDLRM MRLKWKSMSNAVGLSTEDVLCRTFTIMTNTAGTENLFKDGLDRLNEGIFEFLRTEDMR ILMHNKR CC84DRAFT_1087035 MVLRLFIPPGEGQHYIVPKRPDPLGANATLQYAVVSSTVAAAGH ATFAARYTRRVMPNKKVSGTVLFVRSSGRLGLWAIGVAAAANAYYHKSFTTIVVSQEV RRPTPPKLYEKTEQYTVEDGCLAGAAAGFVAFLPTLFMRRPTVSWWTRMIGMTNIGAC TGVLLSHAYFQYTGERPKAMEELERQRRRRMLEFHHIFWDKMLMQNFDPLIQGYIRHN GVFRAYNLPAEVYDTPEKFGLPSVPAPTADTSTTTDPAAPENAAYYIPAPDWTQHLQA LNAASIQSEIDDCVREKNALLREAEFVAYHLSQKQYAYVHSEHPDEADKQTRIRELQL LTIAYNRIRANADEADRRIFAGEHWLRQTAAFEAQAPREAWLADHPSVPDTESHDPSL TIAELKKLEEQFKNEVNVFESRVRSQAQEDVERREKWIKDLEDARTMLRAVDCISWEL EKQVPKRKVEQKVEEKVARKVEPREGEELTKAEEAAQLGAEEKKKKVEDPPEGLSREK P CC84DRAFT_1114558 MGGYISKFSALIWSKKEIRILILGLDNAGKTTLLYRLKIGEVVT TIPTIGFNVESVTYKNLNFNVWDLGGQTSIRPYWRCYYANTAAVIFVIDSTDIDRLST ASEELSAMLNEEELRDAALLVFANKQDQPGAKGAGEISEALRLGELKDRNWSIVACSA VDGRGVDEGMDWLVQTVNQDQ CC84DRAFT_1173755 MSQITAFNHPLYSAPSTSNASSLPAAAAIPACNQLTALKAKNFD ELMDLAAQVFTGQEDLQSTMSSIMNAIASGPIVHQLAEAIYFRQGHTFVMQYRIDDIT RLQQEHEAVAAGIATPDALAGMSDFVLRQRLSSWVSSLGLAEMEVDIDSFSSSSSSSY SSDEDDDFKEPMKEDSQDSGYESKSPDMETNEENDYDGFESMDENTDYESDDDKKLI CC84DRAFT_1173757 MGGGPKASAANLEPLGKRRRFENLADSSSVEACASHVHEVRSVN DMAPVSSGAKDSRSAPLLSTEQTTTSHTESKLNAKWSDTAPAQVDEPGVSHPPNAEAP FSTMRTTMTGSIANIRSVKGTKDPTKRAPSPRTRLETSGALALAQEWQAKFKPLPKKP SMPNSLHEIDTREQSIRMVSEQRKLDWPDPKRLPAKKSKLKDYTSIATGKIDEESRQY RRKSGTGPQASAANLEPLGKLRRVFSPNASADRSPDPSGYQQPSAQVASVDTPALGIQ KPKPDVALDAIGLSQSTEHTPEGREVLAPLKQLPSKAQDFGRNAARAFQRPSSPRNDP SLFTRTKKTGNIDVFEDPLRKRKRIPSPYRRREECKKVGRWEDRDHRRDSARNDVLGR GRSSATFLTQWTETYPRGAHPSCASVRRKDRVSDSERDRAPARYQNEGQDIEDYSNRD RRIDSRLRDERRPYRDDSLHEWSHAESHPYRRDRSASPRRSHHDRQSNCDNIRYRGDD RRHRGGTDLHARKDTERRSWADYQGREYRHRDTRHFYEVSRSARYESDPHKQSRSSDQ HLRSEHRPDFRGDGIHPMTGRSRDDRYRARDFNRR CC84DRAFT_563429 MDALIPQIRTLYAKADEQTRYKIQQDLRDLQTSFDNEWNLVIRI ASGSLQMPLVKVGTDLSLFTTLSSSKGPLAISTLATSTSAAPKMLFHLLRAMAAFGLI DQPSADTFAANQTTHALADVHVSGAIAHAYDIHLPTAYALPAWLAENEYRDMTDNKNL PFHRALNTDLTPFEWMKQHPEQMASLGHAMAIQREGTWVDSYPVASAIGDFQAAADSA LLVDIGGGFGQQALAFKNSVTSTAGRIIVQDVQATLKSAPEVEGLEFQEHDFFKDQPI KGAKFYYLRHILHDWTDEDSIRILKAVVPALGPDSRIVIDEVVLPDAQLPWQAAYMDL TMMASLGGVERTKKEFETLLDASDLRTLEIHRYDAKMQSVIIAAPK CC84DRAFT_1257136 MVSLGVRLSLFVTFKIIVAYNFPYESVQLTDDDVANNSDIKFGQ LPGDIKAECKTFPGDSNWPTLDRWNAFNSSLGGALLKAIPPAAACYSGIYENTTKCAA WRQQSRSSLFVREDPLIPFNQWTLGNPCPVPGASPPSSNTTIPPLSACHIEYFPAYVV IVSTVKQVQLAVNFARNHNIRLTIKNTGHDYLGRNTGGGALQVYVHHLKDFQYLPSVQ IGKYEGKAARVGVALEQYELFPHMEENNITLLAPGSSTVGAYGGYMQGGGFSYISSKF GLMADQVLALELVTADGRFVHADVEENEDLFFAIRGGGPSNYGIVTSAIVKAHDSISV ARMNFNFQTGVNFEQANIAEWVDNTTAYAEATNETFWNGINAYFAHLVRINDAKGIGW NSLSTQAPNPIFNRTERIFSFTGQVIMPGMSANDFSDFVAPIVQDLHDVGIDIKATVG WWPTYPSYSFRPNGPGEAVGNGRFASRLFPRSIFEDPSSPEFFKAMAAIRIWVEEGHY SFHSVDYHPSYATAGYPGADSAVNPHLRNAIMHATGFDTGSYGPERTAEEMIASHARL NAYAQKWRDATPGSGAYMNEADTEEPGFQESFYGSNYNRLLGIKKVRDPWDVFYAVTG VGSDEWRVEGTDGLPTQQGRLCRRLR CC84DRAFT_1185160 MPYRLYVFGSNGEGQLGIEAADIVQNPTIAHSWPAEKGISALCG GDNHTLVIASDGSLHHAGSTEKLQLGPVPSGKPTICHFQRTDKLGINFCAAACESSAY IRNGVPNMADGSTVTIVSIEGTDHWGEGAFSALELPQTTVDFAAGNWHYVAIMSNGDV LGWGKSRLDQLGPRLSAQQKITEPTLIKEDIPFRPVKVVCGKEFTYLVSDPSTGEHHL LGRDKFSLRSSMPGDIKDWKQIGATWHAIFILFRNGSLTAWGKENMWKLLPTDLPAIE QMAVGSEHVLALTRDGKLISWGWGKHGNCGNLTELGDEVKNDMVSGIWNEIDIPGTIK FIGAGFCTSFVLAEIVEQ CC84DRAFT_1162222 MSTQSVQCFGKKKTATAVAHCKAGKGLVKVNGKPLSLVQPELLR FKVYEPILILGLDKFADVDIRVRVSGGGHTSQIYAIRQAIAKSIIAYYQKYVDEHSKN QLKQALVQYDRTLLVADNRRCEPKKFGGPGARARYQKSYR CC84DRAFT_563466 MVTAPAVPEIFEEELHSAIEARSETLQTLRELGPPDLVHLIKQP VRDVKKQVGVYHHVTGIDASSSASLAAYINTLTFSPHDKQHKVVSGLYCCYNAFSRLD MRVQVYIPGTVESYCIDERGDKRVATDELWLETYLCSVLRAYSYADDGSGDTIKKIVG VRRFNPITSTESEHKFLDAAERLFFNGWQLGSDPEIQVPNLVSNHLTTGLLNYIHTTG RYASGINLFEKLRTKDPEISSLLARVYIEADEEVKAVQLLHEAIQDLPMDYSLLDCQA EFCNKKGRGDLALEIAKRSVVSAPSEFGTWARLAEIYVSLEQWDLALLTLNSCPMFTY QDKDAPRMPEPQRVFLPIMPEAICDEIIDSPVDDVELVHPTLRKLHAAGFKGTFLKAY MLLTEITKKIGWDQLLKIRSQVFVMEEEYRNEKQTAPHGQTTSRNASVTALRSPSPTP KTNGEVHGEVHGEVEETSSDAEEDATNGGPSSSAPPALEKPSHTVASEVVKAGSEDPH PVTPSNPNQQNYTHFHNKRLCERWLDNLFMVLYEDLRVYTIWRTEMAQYRSQQLLYKK SAEEWEILGELAERLHHEEEAIDAYENCLQMKFSPKAMRGVLKLEDGSGGNVRDVCGA LIRLVTWQYRWYSEFSPNLLYTIRKLIEEEGAVKIRSIIQGTSLPQHVLDLTHQYAAL CAAFRSSGSDG CC84DRAFT_1114574 MSAWHALNVEPENEEEVEIDDTKEIQIEEALKLYQTALKHHSEG PQSFERTAEAYKALFESEIFRYHESLTEYKRHELYGDELVFDSILDDIDAGPVQATGG TETAPNTLPQLLHLSYKNHGQFILETMQHWANERGIPLQQDSPGQVGSALANFADALD KEDTDLDLWLRTASVAAMLGSSRIARFCLEAVLDGNDEAYDSLLRLPGLEEGFAGHQL RELVSKLEDSLSLEMPPLATLRKKKLSETLKKRLNPYPWAPVPSEISRPIAPAAERPP QRVILSPLKWDWAGAGDVILRHYMTETNTAIANIHPGCAIALGMPSEATITEPTENAN VEEETVITEPPNVDNIAEQDKLAASARQDEDTMMADQERPENVNKVDEGESATLAENG RELSRKRSTDSAGLPETAEGGRARSKRLRARDSEAGLGAEAMGQAVSNTLEDQLWPFT NADKCLREVMNDQFERLGVEGLGTLEKLRDLVSKSSTGAAATDSVDKAACDMYIALQT SGSKIAPVLLSPEPMDLGGASREAGLNAFLGYSKSSTAQACVKPTLQSEKLASFVQTI NDAWLSTQEVAFSWIEALLAPGFLSTTDDSTQSSKSTYVQYRWAEDLKRQVVQILVNV DEFIHNRMLDRLEALNGRILEAREQAQQYALSAFDRAQIELIETIFELHLDVYSLIKH PSSGVNPSTQTEQNDRLSRWVSLAREAMQLRSSCQPNVEPLDELSLRHIWASVFQMSV DDEVLPQHVLFAMEELKEIFKSSDGHTIEVQNNAVMPELSVAAIDRELVRISMKDFFL KVFDTEEKDPVAVIESLEPILELSDESDLVTNGHTSGTVEEHGETPTTDEGPADVQNA RASPLQEMRKFLDSASVSLRLSLWQRLREAYEAIEYPPKVLSCYLRSIETLTGKFSTL TYQESSDVDRHVELIRCIRIVDEIVVKILQIIRDEKDAFACLTYEHMQSSMSAISQSL RILAAANSLQDLIRVGQHNIPRYAEGFPPSTFANITTRLNDVQVRLWILQYYLFKEGI SQTPDKFELPSDEQFVFLRHVHHALGVRSCGHAAGRLFLRLAKDEMLSFQDIPEPDTL ATELSQVLYDLYGLRTFIDPAECQEYGSNADILDRSAAMKLMTFILSQAAKMNIKDLA KHELKTTIDRIHGALGRPRVHEDISQNGRILKAYLKSPINPTDLLSCLKGVGTLPTKP ILADASVAPAAKGWYFLMGNLALTKFKMQKRTTQQPTEDVNFAQAFFLQDLEFSSERW ETWYRLAQANDTQLEEAVSWTAEKLNNSSVELVTWQRAAIHCYCMAVNCAVREADLSI ETLAKVSRLYADFGNRIYASSREPFSMNAFDIKDTEKKFYSAPDHAPVYTNLPFAPLL PFTAWKFAGALFKLAARGEPEKWWNHYMLAKSLWKMHCANMDEIRRATAEGRPPTLRN GPSWEEVIKAIVNAINTVPEKKERGREPILEPHYKLVSITHKLVQRKTVDIEKGVEVL SNTPFSENLSTPENTDEWEGYILKVLKALRNTDKSSWHHRIIARAAHVIYDESNDIMV AQGAKHELTQQIFTKTMAVQVWKPEHERPGRHFVYTSRYTKFFVHLLNQTGDQPNLEA LARRVRRKQTDFFEHTKLWQELCQTYLQLLRRMGQIPDGQEDIVFRAMNFEEYNVQAT RLEAWCQDPKTQHPALDVLRHTVELKRVNNGLTKAVPIDDLLGDTFALLYNTVGPTLP PLPSEQKPLSTGTATPDAGAQAGPGAPFLPSVLQGQVDGAIPTDSHNAPFSLFHSSQL QPPPQLQPVAEPVPKPRAKTVGRREIQRRAEACAQKPAGAVAPPTTSVMPIRSPPIVA HATLPSTNAVTARTAPSPDNSAAPEPSPTPVTGPSALKPPNATTNGTITAAPSVTADE RSAPASVHDDADDESELSELDEDEVQEMGQQIHGVGNRAISASRPSLFPNLQRSLDRE VKDTDTEGDNEEEEEEEEEEGAGGGDEDGDTEMRDGDE CC84DRAFT_1086245 MRPAPFFTGLAAIALAVEPFFNEPDTGLETFLQQTNNWTEGIQP ALKDIRGLNDFDFAARQKLSTENYFYYRTGSAGEWSYRNNLDIWQKVKFRPRFLRDTT NVNETLRITILGYDFTSPVFIAPAPRAQYCDERGEINYVEASADEDTLYVAAMYAKKT IEEIAAAKSNSTINGPQVIFQQIYTNANLSVTWDNIARAERTGAKAIVWTIDAPGDAV RHRAARFDTTNANSATSKLTWDLYDQMKNRTSLPIIPKGIASVEDAQEAIRRGVRAIY ISNHGGRQLDHSPSPLEIAYEIYRNAPEVFTQVEVLADSGVRYGTDVLKLMALGVKAV GLGRPFLYANCYGREGVQKAIQMMKKEIALDAAQVGIADVRKIGPSVINAKGLEDTVY IG CC84DRAFT_563525 MVASIPNDIFQFSTWTAVHKGFNTGQPRAADLTSHGTDGIGVFE NGDLMILLDSRAYVVSAAGNATPARSNDQLCFAMVTVFQPLRLIKLAQEATLSFAGLK ELLASTDALPTVGGMNSMLPFKVKGTFTNVTLIQKDSSQCRLVEKVKGTLYGYKIPAW MAGISGPSLHCHLMGVESDDDSSDIGGRVESFEAVADPDIGVGKCGRFHLGFPQGEDW ESVRLA CC84DRAFT_1214766 MANEWFRTSSGLRKKVKVFQQENYSEAFVASILLSIPEGVEGST LVIGGDGRYWNPEVTQLIAKIGAGYGVKKLIIGQDGILSTPAASNVIRIRKATGGILL TASHNPGGPDEDFGIKYNLTNGAPAPESVTNKIYETSKNLTSYKIADIPDVDLSKLGS EKYGPLEVEIIHSTKDYLEMLKNIFDFDLIKKFLKDHSDFKLLFDGLSGVTGSYGVDI FEKELGIPNSTQNCIPKPDFGGHHPDPNLVYAKSLVDAVEKNSIHFGAASDGDGDRNM IYGAGAFVSPGDSLAIIAHHAELIPWFKKQGIYGLARSMPTSGAIDLVAKKKGVQSYE VPTGWKFFCGLFDSDKMNICGEESFGTGSNHIREKDGLWAVVAWLNIIAGIGEQTGST PSIGSIQKDFWKTYGRTFFTRYDYEGVDSEGANKVVAHMKELITTKKDEFVGSSISGR KVVEADDFSYTDLDGSVSKNQGIFVKFDDGSRIVVRLSGTGSSGATIRLYIEKHDSDE KTYDLDAQDYLKDNVKLATDLLKLQEYVGRTEPDVKT CC84DRAFT_563590 MGIPGLARRLEAHATRYSLEELKGYHAIIDGPSLAYHAHKLALA ALDRGNVSRIPAYTDITFQALRWLRTLEGINIKVKAILFDGALPQTKEAERITRTARY NQQANGLRSNFPATTCPLPTSLGSVSYSFLAPALREALADSEYAPVTRNVPGEADDWC ALQATEEPASLIVSDDTDLLLYDYSPEFRLLFYRDAELWPEPKFKGYSPSAICEELGL PNLTSFAYCLTHDSHSPESKLIGEAKRVDPTSQTYLDFVKRYTVAPTSEDFFFANRTV SSLQNLDVRISEFIYQGLNSRLNPIVYLPFLVEDQHRASAWAHGQDLRAVSYSIFTTD RSRVQEHRRKAQKVSLQEIELYPPQELSVMMQSYARNISAWIEWTAEREVPHSLTWPL FAIGIVLPELNSAPSLALWLRVLNANFDNTWEYIHLTASLHAALYSLRFLKQCIKVWL SLHADGSSSLLPIASQIHTDLQSMGPITELFLVPGQARKPQGDQELLQELIAEVYASA NVEVPIERVPNKKAKKQQQQQQQREAERKERRQQESGTQAAANSFDVLEFMNARRS CC84DRAFT_1162226 MNGGWVFLIILILAVVGGYGGWVAYSRIRASRLGLPPPSLNPFN RSGDETSNYPGPAPTGIKGWFDAQIFKFKNRNNRTAHGAYEESGTGYNSSRGRGAGHR LDPDEAWDARVGNEAYYEEQELGLHEPANARTGPQSSNPYESQPYGAPSPGFHEPDRG RSRNRDYDERSDGGLGVRQNPFGDENAASLRGVSPRPLDQHVDTSYGGASAGQQKKKG SAENSPTESRRSVFHEEM CC84DRAFT_1162227 MANSISPTVKANDGDASGNNLTTIASVPSKHGALSTVISLQLRA GEEKDDSAVPEVIIKEDNQTQPSIRPHNTSDEETHVIISTGSGTGKAGIFYEKAVKPI LETLWPEGHTNFLTHRTESATTIQELTNDIFFPTASAGISLRIVLLTGDGGVIDLVNG LLAQPVSPTYRAPTVVLLPLGTANALYHSINVENARQDTWGLKALCLNPSDEAHRRPL PIFTASFSPGARLLTNEARDEEVLPQDPKSGRPILHGTVVASWAMHASLVADSDTAEY RKFGIDRFKMAAKEALYPADGSPPHPYRASVSVLHGSDWQPLPEEEHMYVLATMVSNL EKPFCISPKTKPLDGSLWLVHFGPTSGDEAMRVMGLAYAGGKHVEDPMVRYEEVDGLR IEFHEDEGKWRRVCIDGKIVRVEEGGWVEIRKEERRVLDVIVE CC84DRAFT_1185168 MAGTHEKVDVPILKDLTIDNITDNVHLINAQTSDARLKYVLERL VSHMHDFARETRLSTTEWMAGIEFLTSVGKKCTDVRQEFILLSDTLGLSLLVDSIDHP KPPASTEGTVLGPYHTHDAQEQSTGSSISADPQGEPLLCLCNVKSTDGKPIQDVKIDI WETDSKGAYDVQYESYSGDRPDGRGIMRSDDEGVFWFKAIVPVPYRIPNDGPVGVMLG KLGRHPWRPSHMHFMFEKQGYDHLITALYLRGDPYETSDAVFGVKESLIVDLGTVNAE QAKKYGVKEGTKLLTYDFVLVTDEETKKLRDAEALKAMKKLGRENMMIINGQLVPDVD CC84DRAFT_563605 MILLSIMSSFNPPSTRTYTKDQWRLDKIVNRERETYELVSTNMP HADSQVLAGGRIALAPRCRASLLEDTHVPPAKDLKQDHRSKIDCPASAPDDFLALFFS RLPQELRDLVYTFVHKWHNWPHQPTKDIIIQPPYLFLSHACTIPSKTSPYSPIPPRLH LSPHPDSPLLARLLHDITASFFATHTFWARYRYTPALHAFLSTPFENSGVVPGDCLRR LGMLLGPPDSAEDSWGLDDEESEYGWERMFPAARNGRCAMWRGQLEGDFTGLGRVFGG DGGGGGVGDEDGSREAREVEVYLMDGYSGDISGVEAWLGEWVEGVNGRGGRVGTSRAR CC84DRAFT_1162230 MLTAEQSSQWNAIRCRGAGLVRGHPFLTSKHQGRLLCYISVSIL LLSISTQHHISMASNSLLLTKTEDYDNDVTVGTIKCTAPVAFGGEEQWFYLPATKKFD LTRGAAQKMVFECDADERHTGFMIDPGTSVLIVVDMQNYFINPIYRDHAAGLAAIEPT LKVIERCRKEGIQIAWLNWGINDQSLQSMAPAIQRGFSTSLGWHIGLGAQLPAKQGRC LFKRTWNAELYDPFRAVAQPGDLFFDKTRMSGLWSTEEPLHEYLRAVGKQTLLFAGVN TDQCVFGTVSDAYSYGWDCIMLRDCTGTMTGRGAQELTEYQVSTNMGFVTTSSAFCEA LICEHFEGC CC84DRAFT_1214774 MRADARVVRPDGNSTAATSATLFDAETRAAEAALPGSYELQALP DKAAPARFSPHDDSVVPSQPSESLTEIDDPAMSRAPLLLRSSPAQGTGSYGGLPVLSV SSSPDDSDDHVTNFLRRGKRKGKSKLRNSEPSTRRNYIESSNNGSSQSGPVVTASTRR RAKRDSNSRESLDEAFAGQEESLLESRFNTGGIGASGISAPRVFESLQSSSTGSPMDE SEDDDSSEPEDLHDSKTNGHVADNSPYAQVRAAVGASDDFTLSINTPRMWILSIMFAI LGSSTNLFFSLRYPSVSITPIIALLLVHPLGLLWDQVFKRHDDPEELFENGVFVSRGN SRSGSTRHAHVPRPSLPRKSRFRLWLAQGRWNLKEHCCVYISSNVSFGFAFATDVIVE QTKFYKQDLGVVYQVLLTLSTQILGYALAGLTRQYLVRPSGMIWPGTLVPATMFTALH KDENKPANGWTISPSKFFVRVLLGAVAFYFLPGLLFPALSYFSVITWFAPKNVVIANL FGISSGLGLFPVTFDWAQIAYIGSPLIIPFWAALNILGGLVVVMWFAAPIMYYMNVMY SSYMPILSTIVFDNRGKPYDVSKILTDNFLFDKEAYENYSRVFLPITYVLSYALQFAA LTALVTHTAVWHGKDILKQWRHSWAEIRGRPGVAYEPLQTAENGRASSERVHRMSTSS EPELENLLTAEDVHNRLMRRYDDVPIFWYLMTGISMGAIGMFVVEYYPIHLPWYGLLL ALGIAVTLFVPIGIIMAITNQQSSLYLICQLICGVVFPGRPVANMVFTTYGYITSTQG LKFSSDLKLGHYMKIPPKILFNLQLSATIISSLTQIGVLNWMLAFIPGICTSEAINGF TCPIARVHFNGSVLWGVVGPKQFFGPGALYRPLVWAFLVGAIAPILLWLPARRNRKSI LRRISFPVVFGSLSWIPPATGLNFSVWAIVCYVFNYHIRNRKKEWWNKYNMTLSAALD SGLAVGVVIIFFGFVFPGWMDGFKWWGTEVYKQGCDWQACSYRTVPESGHFGPPDW CC84DRAFT_1257150 MDSPNPSPAPPSPSQQLAKHAPVEGSDGFSSYFSQLTGNPFFTA GFGLAALATVGRIGQKSLVQGASLLRRRMLVDIEITHHDEAYPWLLLWMTNFHRSQLA GAQQASQGGILSSIVQRINPRLHHLQVSTAQSKSGSPRSAHFAFVPGHGQHILRYGSS FVLVDRQRQKSYNMSTGVPFETIRMTTLYSHRRVFEDIFAEAHEMHQQLQEGKTTIHT SNGMDWKPFGEPKRKRPLQSIVLERGVKERIVEDVEAFIKARTWYLDRGIPYRRGYLL YGPPGTGKSSFIQALAGHLDYDIAILNVSERGLHDDKLSHMLSRVPPRTIVLLEDIDV AFANRKQADAEGYSGANVTFSGLLNALDGVASGEERIIFLTTNYVERLDEALIRPGRV DMTVRLGEATEYQIGELWDRFYAEFDTEGAGKKQFLAKTNRLGLTNTVSTAALQGLFL YNKDDPEGAIKMVQGLTAGHPRRAEDHVELPGHVDVNDVR CC84DRAFT_1194518 MATVTIGRPYFDALLRRAEFHTSGHDFDTTPSLSNNVTISKAEY DYLLQAVREYNLLKSALFRGGLTVETLDTLLAGEGAAHDEPKTYTSLSSSWEETFARP QSVPVVVSRPQLEDSPPTSHNMTLEGAVKLRKLSQPHNRVYSYDQLDSFETSDKEEED VREAANRVPVHDQRTVLITNLSDRTTHKDLVDIIRGGRVLDIFLRNDRSATVSFVDGA ADFLAHAKRKDIYLHTKRLEFRWNDRQFHVPQHVANKIASGASRNLIVRGALGKLTAD QIRDHLDHIHNLVVVDIYFRGGDAFISTNSIHNALFARTCMMSRTVYKGLRIDWCPDE CAAPLPRPVAKVLDLTPTIQRSMPITNRYTLLDTVSELGSDSDEETYMTSGVRVSSQW ADAAVA CC84DRAFT_1214777 MTYSVILHVPRKSGISSEEFKHHWETIHVPLVKKLVGYEFPLSY TRHYIERPVATHPVDPALDGSGTTVEESQGFSDVDGVAILTFANKEHYDKFSRKLVEA KKHAVYKEDLGGFVDVAALKALFAGETKATGRDGGMVGWSFVGSV CC84DRAFT_1086672 MAPLVKVAVIQLYPKPMQPEHNFNKAATYIRTAAAQGAELVVLP EYHLTNWLPKDPAFVGLCNQWEIYLNKYKELAKDCGICIQPGTIVESHPQEEAEENKL LNVAYFIDHEGNVLGKYVKKNLWHPEREHLTGSGRDVHQVFDTPIGKVGMLICWDLAF PEAFRELIANGAKIIITPTFWTLNDCNPAGLALNPSSEALFLDSMLTCRAFENTCAVV FANAGGPPGRGYAGLSQVVVPFIGPLVRMGSCAEGMSVADIDMQVLEDAEANYQVRAD LGRDDWHYDYRHSNLITKDNEDERLKQRL CC84DRAFT_1140837 MQFLSAACLIASAYTASAASLLERTLALRQNSINAIQNWSNDFA DVDFNNNAGGNFNVTWDNGFGGNFVVGKGYRPGRDMLFNYSGTFETDGWAYLALYGWT TNPLTTEPSATVGKHNPSDNASATQYGTLTSDGGTYEIWQKQRTNAPSIIGDHTDFQQ YWSIRTKMHCGGTINTGNHFRAWEAAGLPLGKQNYMVMGIEGQQGSGEADITVGVRPT VAVPETPTSTYRSVRRTSTRRTSTSTKRISTVAPAQATVTSV CC84DRAFT_1239417 MKATSYVALAISCFIAGSTSAPTPELIDLTGVVGSIANGNTAGN GNGNGNGIGNGNQAGNNNLINIGLKERGLVDSLAGALGSITKPSAGNGNSNGNGNGDG NSVGNGNQAGNGNTVNLGDVNVPSVTLPEIELPDINLSPSINMARSPV CC84DRAFT_1162234 MSWMDSWSRPSKSQATPPPLYLTIGDAVPYCHSCGRVIGERKKH AGQEVKYCSARCRNNKPRPIDRRIEAVFAALLDGATPESLKDKDSDVEGEVVPVGRSS KESNEKSSGKKKKTVKGDPRIIAECATVEEIVFAREKDPTKVFGRRKNRAARGVVEEG EWKSVDMVDDPHVPTTQSTAPDTSSEKDHTSDVDSEDATGGVSLVKKDMNEPAYGFGA GKIRPPQEKSDINGGIGGEKGRAERIHETDEMKAKRKDGERRAEEKEMVKRAARRGVA FGFGDGEEKRKCEAVMKGVVVESSFAKGEWGIRWREK CC84DRAFT_1085793 MADHRGVHSSRRRITPAPQPPPKATTTSPPAARTTRRRGARSAS RDVDEPTKPARRSARQASIASVLGENEPDARALSRAKRQAQKEAIPADLTVVEEVQIP NAPASPPRTSTEIAIPRRSLGAVSEMSGTTAISSFTMVEAETLEPRFMLRYLSRLHEE VVECLDHLVPAEGGMVEDAQRIELLHDPNSDFLADYNAFDLQLRARLSHYRGDNQQYI HIRAAHAALFPGPNQDAATRTGLDLLLYQANLIVFAKDMMPLERNDKTTVAALRDLDT HFPSLFLPALTLDINAAESAAGESALLQETFELALELRTQLAISSLSHGLTDNAFDPD AALDEVFCHPPESIPQLGVFRGWSTFELGGEDSPLPGAFVQKVVARMAAIREFFSTGE TSQGQEGSVNLDDLSATFPWTALVLRLVGWVRARDNELAAAIHYHGGARGILEMIKAE KERAIAVVGTGRVVSRSSPRKSRSSFGRNRRRSSGKFDPNAEVDTEVLSKLIAREGAH PQLQTAPAASTTLQESQEEEAELMAMEHGQEMQQDDDVESQPYRDDEGNDATNAVEQH VHDLAADDIVPTPVEEILNHPLPVQEPEILEASRPPQSTNDFVSLLKETRSSDKENRG ISSLFERQANAQRVQFGDGFDDSQPTPGPSRSQPSAGASRKGKEPQRPSPRKRKIHEV SDDDDDDDAFETAPRSRNIQQQRANAPKRVRIEPSSSGAPTSHQPRPKYFNDGFQSIE DFPRPENDEPSEPEAPEMTEEAPPRSTFDDIRALARANAIHKPRRRRINRPWTTAEEE ALISYMSECPRQYSKILQIDRDSPRKYFHALENGEWIAYRSQVDLKDKARVMAKNMIK AGAGLRPGFQGVITPAMEQQLRADGFHF CC84DRAFT_1114610 MRLRQPRATALLLLLPAVQVLSAQVLADARDAKVARADSTELAT ATDAPVAAAAALAPGNKGTKDAPVDGFDGKPHAGPYVDDKTVATKKGPGGIEELRPGS RKPTTAEKEELAAKIEDDDSVMNDPNRESPKGVTGTEGGVSAKDKERLAHEGKTGEKM EKVPESPKEAPELPSSHGEQQNLKDKLEASKDKSKDADGETRVLGAQGLEKPTDLPDS PHDIPHPIPPSSEKTNPLDTTPKTDAGAVPADGEPGGVIQPFHSFVLSFTMIIFSEIG DKTFLVAALMAMRHPRILVFSAAFSALIVMTVLSAVLGHAVPTLIPERFTHLAAAGLF LIFGVKLMREGMAMSPDEGVGEEMREVEQELEEKESQARQQKGGRRKSSISPYVLESG RARRSRSNSRLPAPARSPSSSPDRAPSPTGSSLSGALSGLNNLFSLLLSPAWVQTFVM TFLGEWGDRSQIATVAMAAGSDYWWVTGGAIVGHGLCTAGAVIGGRAIAGKVSMRVVT LGGAVAFLAFGVIYLFEAIYHE CC84DRAFT_1114613 MVSATPTPAPPVRERPRPPPPAVVEAEEQWLFTEDELLQAPSIV DGMSPEEERALRRKGVNFILQVGMMLKLPQTTLSTAAVFFNRYLMRNSLKPRPGYKPL HHYQVAATALFLATKVEENVRKMKEIVVACVRVALKDPNKLVDEQTKDFWKWRDTILY SEDVLLESLSFDMNIEPPYKTMYDMLKYYNVEHNKSLRNAAWAFLSDSCLTQLCLLFS SRTIAAASLYAGARMVGLELPEEDGKPWWEIQCVKLRDIRRACNHMADIYETVPSKDG EPNIYAGLRSPEDGSYFDTPTPGQHPMPEPELDPEPEPANGNGKAKEDANGGDEVMSE EGELDG CC84DRAFT_1257159 MESSPSAMLKLLLPKTPFIFKTALAHTLRFSETSSKWDLRTELT IKVLRDMLGPSNRMTPITKLQHLTTKDTGVKGTVWVSKVQLKTPEEDDVRQLLFKAIQ DMGDGTEKWTKCDMHNVEGEWTGGRAGVSDTEPEPTGMSERDKYGKLMGEVKSKVTLL YFHGGAMYLLDPATYRHVTGKLARLTGGRVFSVRYRLAPQNAFPAAILDAFMAYLSLL APPPDAPHEPVPAAEIVFGGDSAGGLLCTSLLQLLLQIHRSHSGTGLPTVRWYGKDVE IPLPAGLALSSPWLDITRSLPSVEGLAKYDYLPPPSHTQGMNYPPDAAWPADPPRADL YCEGEALKHPLVSPVAAKDWSNSPPTFFGLGEEMLRDEDAVLAKRMHQQGAVVRWREF EAMPHCFAMMLDSLPASKTFFEEYAKFCSEVVDGKAASSDGEKLLAKSLKREAVALDN VTQLTDEEIAKHMQEGQGLRKWYVCMARDHIIGIANPVYTRHAEALG CC84DRAFT_1140853 MDDVENKHGAPRALFDSVKDISLTPFRIATSPPLLRTYLRTLLL LLTSSLLFAAAVIAYTSFYYAYIPIRGLQVPVYLQYEHSPSVATTRDTPPVDLRIPKQ PYGIANIDGLVSRQKYDVEVTMVLPRSENNLAAGNWMVGLDMRGPGTRSPGIKSLLGW EDEWEVEDYSQGPNSGTTKEGISPEEAAQAALRKTEVLARSRRPAILTYRSWLTEMCY RALRLPLYVVGWGTESETVSVMVMEGVQFEKGWRNVPASIRLELRSKTPLEVYRVSVR FVAKLEGLRWVMYTHRLTSAAVFIGVFWGVEMGVLLFTWALFAFCMGTVDDENTTQGG EKVKTERGTVTPKTEPAESEPPTPMSDTDRAFPTLSTQQPLRYSSSSPKEERATPALE DIPTREEAEADDEDDDFLVEEPIPNSAAGVLTDSGIGTSMESSIERKGLNRRKSQRDR CC84DRAFT_563849 MKHLGDLVYGRFDDNLSGDCRMTLRYPRPSFLVISYDCVVNTQL VMHCMVTKSFPGADHAVGPAKVDTIVHARTVVSRFFLNILSFRCYFDSPFLLSYSSPR YECFVFLDSFQNKHSRTMCADSVLFGSGRHPRRFPWRCQRFLVGVYLDGSGSTSLTAH TTV CC84DRAFT_1085518 MAHSPQSRPAMVELGGQHLHEHQPIHDTPAGVRRSDQRSASSDE AAPNNTGHAGGRGNGQATTDEASNEDDDEDSSAAQDDLEEDEEDPDTIAPSHGRGKGK GKGIRPSIYIEPVDEDESHAEEESVLGETGLTVSKHPVSSLLAGNKKRTFSNLSNTSV LFGDDELDNHSFPRRKVARKLSNGTFKPLLKYKENANEDMNDIENAIETDDEDYSGVN LVPEDDDSEIEDIEHQEESFIIQEEEHGTSALINQFNDARRLSLDSLASDNIFDFTAP LDQTYDVNHADMGFARFFEPAPIPTSPEVVAKRKFSDSSTKRVRFDDEVQMSDSSSSS SDELDSSLYPDLFLDQDKLPASLSQLLEYDQDEDYGDYDSPASEMSFWDFGQDELHQS TAGAEEFEESSDPGSSGYETDMGDTTDEYESDSDAPPETPLRKKSVLRQPPSAPGSRF NSPQAFERSSKPIGRAIPPTRGIFIHEDFSKAIAFTNRTTKRLTFYRPRTPLISWVPL NGAQSSSTSNANDSPRTSLAQLNASDSEVSNEVFSNPFTTSDIMLTGIFGSAPSNDYF FGTDSIGPPEAFYPFVSIGTNGAVLDDEDDPEDEDYEDDLNITDFMDFGSDVDATDVE QDDDETDIPATPAVSTTAMPGSTPAMSTPMVDTPTTRKRTTSDAMLQHFDRGVVTAFR NNQNRYRDIAQLPSDPTIRASVSRPVRSGKSAETLMTPLRKRPTRRTAKSPFQASSPM VNASSPLSGVTKASSRLNKSLMNPPRAPRMGAFT CC84DRAFT_1162240 MSSVHTCFRSALAHTFRPSTAEAAASWTVPAFLVPACTRPRGRP FSAKRRRASADANPIHPERTLEIAYKGTAWDLPQNPTRPPLGSCIPLPAQHVRADIQH WLRAIDPFLPPPLRRHQSNESNGPATSAPSSAGDTLVTASDIAYFLVAAQYYAHDILS HVGLSEKRWDAVIWIAKKLVSAGKASTQAPVKLESFGLAIPLEQQHMSLSELTNDALR IQPPRTAFSIPTKPAHNLNGLTSIPETIEPRHRLIKRALGQLWRTLGTMILAAAEEQP DHTSIMHHVLEILAYLHHKGLIPGSVYAHKPEPDNYALQQPPTLHVLSSKILTALSDA QWRAHEASVKTATERLNASYFLGHEIPGSRYKVQVTGVAPELWLELVLWSCLHGGWTM DGSAILEEIASHRGDRPWVLTSWKELLEAEREEQKTATQKGWGLFGNRPESLPQGDQR ARTQRKISSEVVTAIVDGLVNEVRLGVGARGISPEHLVDRIKVLKKFLDHNLLSLGST TWDSVILRLLESGSVVPEKRPEILLSILDLASEFGSEVSAVNASSKPTATESEPPYFF EPTTLPISLLHRTMRSYINIGDIAGAMSTLEKLQELTDQNKQKSLAHFFTVLMTIPLR RNEHFTSRVPPIEFPAFENQISVPLLAKLLDLITSANMYDLGRWLLFSKDLDGPLIRP GMYGNFVMAASIIRFGTMAGDHDLVLKIVNQTSTADSTPGQPFKHRMPHEFFTALLRS QIKLHRWVSVRGMQNYALESQGFKVKPEILAQFAAELLRVSAQREGAEATKADAKSAF TNMLFTWENLFLNDMRNELYCILAVLSSVHAEWKDYCSGFLAHAAQQDIKLSTDEFNI ILSGVLDGYGTLKAKEVVEKWCYQAPRMFEPFRAPGGLPTMSKYRPTKAEEYASWPEN IEAHKTSGATLILQGRVHANRQTVWAILRKVRQEHEELQRRGEEALLAKRGEMRETLK WAARLLYYMGFDYEDIMRDLGSLADLAGLAAPVASAATLQLWEEGPVV CC84DRAFT_570108 MPYRVEESKNARAGCKNTECKKEGVKIGKGELRFATQVTIQEHT SWAYKHWGCVTPAQVAHLIEESGGDTDMVDGYDELPAELQEKVDFALKNGHVPDEDWK GDIECNRPGQKGFRLTAAQKKKLGKGVEDDEEAPEPKAKRGRAKKEDDDEEGPAPKKA RGKGKKAAKQEENEEEAAEEVEAPKPKRGKKAALKDVEEPEPSKAKRSRKAAPKQDVK EDDSAEEPEPPKAKRGKKAAADKAAPQKRSGRKKAAVNYEEE CC84DRAFT_1257164 MSSNEQIPIGDAGDNDYASRTGQSTIPVQRDEAPVEDPYGSGNA DSDEQLERDEKDAIDSSNIIDSRTRGATKQAGTYAEPGDDEGLPGPEDGTSAGRQ CC84DRAFT_1257165 MAKKELPPGTQKAKGMEVNISGFYGIHPIETGIAYWYRGHYLYS KAGVSVEPIPFATKKGIHIVGTTVDTAPPFHPLGVPGTRHEPEVAALHRSMAHAWEDQ EKNKNEDGGTGAFEGQTGGIPGMTPSNRGANPAQCGESSNLSEGHFRLEIPKNEAESH YNDRAMFLAQSAPASHRGTPTPSQSGTPKPWGTRAASPAPLGTVASTSQMETLLEQGR VIDNLLALQKKRPDLLNPHAVNSYLSDLNKESNQEPSRPGSRATSGIALAEINPTLHQ SPSRAHSRVPSGISLAGSNDTLPRSQSRHPSRVTSGISLAELNDAPLQPPDRPYSRGS GGLFLLGNKPRVTSNVSSSSASIHKDLPGPSMFDLVQGRQKSVQRTGRTRSDSMKAAS LALNEAFNDFNKDSIEKGPKKTGCGILKCCVHGDNCDGVTVINEHLTLQNMKVRGLKE DYPMVANEGRTMIDWYALMNEERDAGK CC84DRAFT_564230 MSAAKRSPPFRAEHVGSLLRPQELVQKRYDVAAGKAPASELIPL EDKLIEQVIKTQKDAGLHVVSSGEYTRHMFWGTFFEELNGMKELQLGVLKGYDQDMFR MYAPDVKSFFESKEVPNQVTVCTGKISHPGHSSNQREVDIMKKLVPESEWKNIKITLI SPSWYHFRYRAGQAYPKEVYANDEEYFEDVAKAYQAELKFLHDQGIRNIQIDDPNLAY FCSEAMLEGWAADKGNDKTADEMFDAYVQFYNKCFERPEDMHLGIHLCRGNYVGSRHF SEGAYDKIAKKLFQDLNVDTYYLEYDTPRAGGFEPLTYLPKNKNAVLGVVTSKFPELE NKDEMVARVNQAADFVAKGTGQSKEDALQQLCVSPQCGFASHAEGNALGYEDMRKKLQ LVRSIADELWPGQQ CC84DRAFT_1162245 MTRILLTGGSGFIAAHTLDVLLKRGHSVVTTVRTQEKADKIKAD NKSAVDSGQLSFAIVPDIAQEGAFDEAVVSDPPFEAVLHTASPFHFNVTDVQKDLLDP AVIGTTGILKSIKKSAPSVKRVVITSSFAAIVNPGKGNWPGHVYSEEDWNPITHEEAL ESPQAGYRASKTFAEKAAWEFLDKEKPNFTIATINPPLVFGPIIHALDSLENLNTSNQ RILAAAQGKWKDEIAATGVHLWVDVRDVAEAHVAAAEKEAAANKRFFTLAGHFSNKEI GQIVKKHFPQFKDLPAESTPGGDYPEGGIKNYFSFNNERSVQILGLRYKTFEESIVDT VKSFQAKGL CC84DRAFT_1214794 MAAEFQTIAEQFVDFYYDTFDKNRPALKALYRPQSMLTFEQQPV QGADGIVEKLTNLPFNQVIHKVATKDAQPSAEDGIIVLVTGALQVDGQEQPMSYTQVF QLKSEAGSWYVLNDIFRLVYPAA CC84DRAFT_564008 MMAGFVQKGVKNILQKNPHDVVFLSALRTPVTRAKKGGLRDAYD HELLAAVLKATITKFPNLDPAKINDVCIGTVLSELGGSKAGRMAANHVGIPTTTSFST ANRACASGLTAITHIANAIAVGQIDVGIGGGMESMTRNYGSRAIPTELWREMKESPVK EARDCIMGMGITAENVAERYGVNRADQDAFATESHKRAAKARESGLFDKEIVPVTTRW IDPEVPESEKEITVTQDDGIRPTTTVEKLGAMKPAFKSDGTSTAGNSSQVSDGASAAL MMRRSTAAELGLTSHIIGKFAGSQVVGCSPDEMGVGPALAIPALLEYTGVKKDEVDIW EINEAFASQALYCIRKLGLENNMDKINPNGGAIALGHPLGATSGRMLATLLHEMARTD KQVGVLSKCIGTGMGMASLIIRE CC84DRAFT_1203699 MSKRNSEGEVLSNKLAVGLARFQQQQFAALFGDSAPAVAESHDQ KAIEQASTADLKGDGDDESFGLGAIIPKDVQDGSFTSRIPTSHERLLENLIGKKAAKA HMAAKQKHAATVKPQKPEKPTLAKDESDEEEGRAASFRSRRQRHTVPPVAKQEDSDDE DEESRALSLRSKKLKTDGQSRDLGGKVDAMANGPENELAEEKAEGIKNHTPVSRPPKA KPKSYLDEILGEKAKKKNKKKKKSKIGGEKTDD CC84DRAFT_1114639 MYNLARARPLTSALRAAAKSSSRPSVAQQSRFLSIHEYRSASLL ESYGIGVPKGGVATSAAEAEKVAKEIGGEDAVIKAQVLAGGRGKGTFDNGFKGGVRVV YSPREASILADQMIGHKLITKQTGAAGRLCNAVYIVERKFARREFYLAVLMDRASQGP VIVASSQGGMDIEAVAKEHPEAIITTQINIHEGVTDDIARNIANQLGFSEQCIEEAKQ TIQNLYKVFMEKDATQIEINPLSETTDHRVLAMDAKLNFDDNADFRQKEVFEWRDVTQ EDADEVKAAASGLNFIKLDGDIGCLVNGAGLAMATMDIIKLNGGSPANFLDVGGGATP EAIKQAFELITSDPKVTAIFVNIFGGIVRCDAIAKGLISVVETMNLRIPIIARLQGTN MEQAHKLINESGLKIFSIDDLQAAAEKSVQFSKVVKMARDIDVGVEFTLGI CC84DRAFT_1140872 MADEKAAAVMAEAIGKNETESNHIEDVHVVKKVHADGHVDLVDA HAIGGAFEEMPDGYFWSIQFIGTVVAVCCGSICAYLGWVLPANTLLLINEDIGPSKDL NWVATIWTIGSAIGFLLVGRLSDIFGRKWMVIGTNVLGLCGCIVGGTAKNIDTLVGAN LMNGIAAAGQLSFGIVLGELVPNKHRGPIVTLVFVSSLPFAVFGPIIARTFILKTAAG WRWSYYLGIILSGITIILYQFLYHPPTYDQLHVSGKTKWQQFKELDFVGIFLFIAGTV LFLIGLSWGGTTYPWKSAAVLCTIVLGAATLVAFGLYEQYVFKGQAIMPPRLFKKLEY VAIVMVACVGAMVYYALTILWPTILGTVYTTDVIKIGWASSVVGGGILLGQIFGGFAL SYLPKVKWQLVILSTMGTAFLAAEASLKPDGYATFITLGVLATFVIGWVDNISFPGVT LLWESQDIGLATGVLGSIRAIGGAVAQTVYVSILNNKIATYLPEFVAPAATNAGLPAS SLPQLFAGITAGNFTAVPGITPEIIAVTGAATQKAYIESFRIVFYATIPFGVLLIVFS ALSPNFEKYLSMNVAKRLQNLNANLQGEGREKEGEAV CC84DRAFT_564324 MIRSLSLGLFAVLHLCSVGASPTSYATPPVNETNCNGKTFIYEE LAGWGTLPGDARDRFGDTIGGIGSAIALDKKSWKKKSGKKEGYEGILWGLPDRGWNTQ GTQNTQSRLHKFSVSFDIVATATKEKPASPNFHLKYLDTVLLTGPDGVPTTGLDADPT GHASYPGFPDLPVATFTGDGFGGAGPGGKRIAIDSEGLVLGDDGSFWISDEYGPYIYQ FDKRGKMINAIRPNDALIPFRNGTESFNAASPPIYNQDFVITPKDPDSGRGNNQGLEG LTASPDGKYLYSLLQSAAIQEGGSKSKNRRYARLLKYRLKGKKVEYDAEYVVPLPILP TDKVAGQSEIHFISDNQFLMLARDSGSGHGQDSSESIYRNADVFDISKATNIKNSKND ATGGAIASSKGVLNADVVPAQYCPWLSFNNNDQLNRFGVHNGGEQDATLLNEKWESLA LLPVDGKFRTKGDGDEYYLISFSDNDFITQDGYINSGKNKYADASGFNIDTQVLVFKV RLPKGAKPL CC84DRAFT_1257174 MPMRRRDRPILLSLHDWLTTSDSEPENFLTPLKERSSPYFDRGP LQGTHVSEEIRHEPRPLLSLYDWLTASDSRQDFLLAPLQSQSSANFDAEPPKGSTKRS KSDSVLVSQQRTSYTASKQRQTAPRTGSQTVHRPCNDAEIRPDYRNTDDTETRRPRRP RITPLRARKSRAASSSSQEADSQTSSPGIRGIPSISISAPILMLQEPREAYRDRLKAP LKSSMKHTPNAEETPAESTADSSSITEHHRPYQSLRRLKPVDFKGLDAIGMRTVLPLK PWVSDTMMNTFEEDKSHGDLTTESQIVVGGDPSCLRPEIKSEMAVPALTKTDVHVVTL TPTYEVNVLSRKPDISTTTPTMQIIKSADSCHEVVWDDIPAEDNKRVHCRISLPSRAL QTLSSARESDLRHVNSNLCEWDWGRGPGLESFAPQVVVFPDDESHAYAVTCVEDDDGN ITIRAPSNSQETTGAPSYATSTPGTASSSRPPSQYVTDAAEKLEVDRFHDSAEESVAI LVVPDAEVALGTIATLSNDFKKPLADRRLSNVDDSEMKFRGHRDSVTIARSRLLHDGE VSPELLEVQKYSSTAKRRMHARNREKSEEGVAKARTATVPSL CC84DRAFT_1140874 MPRFTPYQSTLDISKTGVAAKTKDDHRYNALRNLGSHSDSDTDV EDWEEEADAQPRQKRRRTLWMKIKPYRWIFDTTLLLVIFALLVERRWKLRESHTYELA GDISGFAPKFSQQIVSFKPNTVFAPENASDFWSEKTQKAWLDMVPEGLGYVEVKEPAQ YSNLPKPIHDYTKQTVFTTSMTHQLHCLYTILEAYNTLQVSVDLGFQNKVRMPWHINH CFEYIRQAIMCAGDVALEGAATTFPGDPITGEDLGGSDGWDAKHVCKDYSQVYEYLEK ETINHVKWIKSDERK CC84DRAFT_1214802 MGLIDHPLTFIVAGALLSYIVFSRVQLYLNRRNFISQHGCEPCP KRYNKEPILGWDVIKENIVNKNERKMLERNQERFRQMGVNTYLTRMLHMPMITTCEPE NIKTILSLRFKDYSFGNRYLAFAPLLGDGIFNSDGEKWQNSRHLLRPNFARDQIADLE AFDRHFKLMLKHIPRDGSTVDLQDLFFKLTIDSATEFLFNHSTDSLRMSEDDTENEDA IFARAFQFAQDDILTRLRWNALNFLRSNKEGEDALKICHTYVDKFVEQAIRERQAEKA AGMPEDDRYIFIKELVKQTDDKERIRSELMNILLAGRDTTASLLSNMFFHLALRPDIW AKLREEVAPLEGRLPTYEELRNMKYLKWCLNESLRTHPVVPGNSRYAVKDTVLPIGGG AEGKAPLFVPKGTIVTYSPYTMHRRTDFYGPDAAEYKPERWENLRPGWEYLPFNGGPR ICLGQQYALTEASFVTVRLLQEFSKIESRDPNGGKWQEGLTLTVCSGNGVKVGLTPA CC84DRAFT_1173798 MGWGGCVGIMNASPFIWTQTSQSSYQMNSWSFPGSIDPGQLSTT YIEFDQGIFTTETDTSAVVAFQLNNPSSTEIQIHSEVYRPEDLDNPGNVQNIYVQLNN FPSDQNGQRIDLGFNHDQCVTLYLTSATDSLYANSAPVNWMHATLDLIGDKTLRQIAL PGAHDAGMGPDFMSGTAFSVADNTKTQATTIGGQLLQGIRWFDIRPVMGDGGQWLSGH YSDGFAGWQGGNGEPISRVISDVNDFLSQNQELVILELSHSINSDDSYRSLNIAEWNA LFDMLVGNISHLYTNPVADLSTIPIRDFIGSGPAVVVVARDDDSVLGDYANHGIHPSS SYRVFNKYSESAKPDYVVSDQISKLQNWKTLEPGDNAFLLSWTETESSVNAVPGFGEG NKANAREINVRLFGSLVPVLTKEVFPNIIIEDYVERKDLASLAMGINFRNHAL CC84DRAFT_1185188 MLPIYLVPVQCCACCTSIVATDCSINLSDVSVAGKSCQICALLL RTIRAVHRHPNDGELNVQIVREGSALKIGWDGPQILRLYSDSARFALLRAWLRWCDES HDCNRHSGTALPTRLLHIGDPDILYLYCPKEKDIIRYAALSHCWGEHPPTKDSPRFCT TDDNIETRLKGFSFSELPKTFRDAVRVTRELGIQYLWIDSLCIIQWNKKDWEHEATRM EGVFASAYCTIAATSAVDSEAGFLTRNVSNDYDFDDHVEKARLSTRAWVLQERVLSRR TIHFSDKQMYWECGKGVYCETLTRLESSARKTYFMLDPKFPDRLSASGVYRTMEFIHF LSKVYSRCHLTNETDRCVAMSGLEARIARARGCESRYGIFGEFFHRNLLWLRSDGEKT KRIGYEPGIVPSWSWMAYGGRIHFIDVPSIGVRSSDTGWNIKLQFDKEEKHALVTDIG VFRNCILEQRDISYAVLDSSKAERGRVWYDIEANGDLYTERCVVVGRESGESGENERW LRNKKYYILVVRPTSVDSEYARVGVGWIQSDYVARQRLNVRVV CC84DRAFT_566707 MVGFRRIPERSYCRRHGPSRRATLVLATTQGQTFAGLLTGYTAK RARISSSLACQYSQVYLQSTPPDVPELAAASYISIHRSTYVLYHQTRWNQQPLYMPVI KGPLTLYITKRARNQQQPRMLVFAGLLTRYTTEHARINSSTLTCQYSQVYLRATPPNV PKSVAASHTSIRRSTYSLHHQSCQNQQQPLMLVLSRSTHNLDHQTCQNQ CC84DRAFT_1162258 MPGYRDRESIVPMDFEYDNRIGPVDSQSPFIAHAAKKRTHSIFD SPSKSSFATPNHPKLSDPSGQRFLFSQTTQKALPQTPQNKNVWDLRTPQSIVDSSGGE TPSHDTPAHDSDSATPDTQLALTMGDLTHGDRKSPSKRSSFFKSLPWNKSPSKDKSAR EKPYSKKVENRVLKRRLKSRTRHEYESETESPAKNIQQAAPAIGFAAKIPGVLAWVEA HPNLPNVLSYYMQFLVNTALGFFFLWIVYVVYSAVMADIDNEAHNKVRDIMHEIAVCS SEYERNGCDKPVPALESLCQNWKRCKERDAYQVARASVGARTFAMIFNSFVEEFSYKS MLFTAIILFGSLNLSNWAFGFWRQKAQPHPQHEYPPVPQTPQRYPSGGYMVDNNGWHT PAPYMTPYGNMEQRPMLQHAQSMPAALPSTGEDTLRSPSKKAARR CC84DRAFT_1087539 MGNSQAKESRPTSSRGTGRPSNSRQASSPTASGPEESSRHGRSG SGVYGNSRSGRGSRPDLSFLGIGGSTERDPALEPRRETKAEREARKLEKERQQRAQDR ERSIREEGVDGGFLVTLGTYTGPEDFSKPTVRQLQIERRLAPFWKGLNDHEDTWTEHQ LVAVVNDKPLPAPDEIPEEEPPRPNNLSTEWNPRSSNQNINSLTVAMGGRTMSQASDR SANLTASHPAFSLPSPTSPIANSPSSTPFFRGRAKTLAALTSGSRNASQTEMGPQEVQ LPKDPYVNGQRLEVFLYKDASECPICFLYYPPYLNKTRCCDQPICSECFVQIKRPDPH PPEHHGDSNEPGSPPPEPQEDGTLVSEPACCPFCVQAEFGITYEPPPFRRGLVYAGSG HNSLSMAAASAMSSTSSLNSQGLTSPGRRRAGSLAATDSSVITTDRVRPDWAKKLADA RAHALRRAAAATALHNAAYMMGNIQSDSRGFSLGRRRRTMFGSDSASTSGNGTPRGGD VNGLLTAAAAQGSSSRTEGQSDLTSNRQSSRRGNRLEDLEDLMMMEAIRLSLAAEEER KKREEKEAAKEAKKEEKRKAKEARKAEKAARKSGFFMSTNQDGAEDDSVGGSSSATGK GKAVDRSGGYAAFNPMDEPTSTINASSSSRDDSQKHLEQSRSTLNSSLRREGSGSGTS PSADSFSVEQPSHRAALRNLSNASSSTSSLAESLQGSLQNDSHGNYGASTSSFGPSPN ASGVSLGVENDTPPQGTPGTEPMFNFRSLAEVITKEEGKGSDNPQYIENVAEGKAATP EPAAAIAIEPPQVPTLPALEPMSPLDASVSTIKPGESDRSVDEEDEIEPAPRIEALPG TGSNLDHKHIGNVSMVDRIGQHQPTQ CC84DRAFT_1087686 MRTSCALLAIAASAAALPGGHKPKPQPDADGKYTLTAPGIRAQF IPYAATLTNLFVKDKNGVETDIVLGYDNTSYYPVDPGHPVYNAIPGRYVNRIGNGTYS IDGVTYHTEKNDGPNTLHSGTNNWSFRVWNVTDVTSSSITFSIHDPSNSSLGMIGDVD ASVTYSVSKNKWSIVIDAISPQKKTPLMLTQHTYFQLDAFKNPANRTIWNHTLYAPAA KRALVADQNALPTGEIANITKNSIDDFWTAPHKLGFKSSDPGFENHCGNGCHGYNGAL AFDNKRNKKDVALTLSSAFSGIKAELTTDQDAVVLYTCNWNDGKTEFKSTQGVAGQDK FIPRDGCIAIEAQDYVDGINHPEWGRLDEQITGPGDKYHWASSWEFGTI CC84DRAFT_567985 MIGVPGSGTQERRFWKRTNRLNWRLYGGVEEWFLTVPEHFPLNP EGQTREEAVRRLVHLPSACVIPPSLFFYHQYTQQHPHGVSFRDLRALSTASDWLQCES FVTLLQRSRSWAEASGALPTFFRLSRKPRLTHRISRCYVRITGLVLTISELFTATAV CC84DRAFT_564107 MLGRQLRSEPSQSYCYTLKLCSPPASRLPEVIPGHPTCQLELAM HSVREAVEPMLNILSRWVDARWMQRGRSNHACVRWYGATPSQAQNMNDAKTVLLWLQE HLRCFC CC84DRAFT_569267 MISWRGSPTSKGCEGPLGRTVGEAMERAVVTAAVAGEGMRSVEL RSTDQLGQKRRVERLVDCASSTKGRKVGQQRHLGRPAVAVVQGQDAINERSRSGGCTA RQVARGSGNGQRDKEKGSRVFLERGMRLLVSRRTLARCRLRPRANHSVPSGAARASQP AVRTHPQRRLMSCLCKRAAHQRVESQPILPVTRTCCVTGEIASS CC84DRAFT_1087626 MSNTADIPLQTVVSHSPSHTATLREEKSGSSEKRGIFHGRRRVQ KVDSRGNGYAAPGASQDDEDKTALNRMGKLYMKILNFSIVTRYMIYVAPLALVLAIPI ILAATGVIKKSVRIGGENGAEPRLFFIWIEIIWLSFWACKIVAHFLPRIFEFLVGVVS PGIKKYVQLLAALEKPLSFVFWMIVNQVTYQVLIVQPSDQKPTWMTKVGSVLLALLVC TCIILAERVLIQLISISYHRKQFDSKIKDSKRNIYLLGVMYDASRALFPEYSNEFAEE DYIIQDNMPFIIGSRKATMGHNRSGSKTPMRLLQNVGRVGDKVTGVFGAVAQEITGKK VFDPNSAHSIVLEAIEHNRSAEALARRIWLSFVVEGKNELYMDDLVEVMGAGRQQEAE ECFGALDKDGNGDISLEEMIMTVAEFGRERKSIASSMHDVDQAINALDALLFTIVFIV CIFVIVCFLSPSFTTTLATSATALLSLSFVFAATCQEVLGSCIFLFVKHPYDIGDRVD VGADQFTVEHISLLYTVFKRVNNGKIVQTPNIVLNNLWVENITRSTAMREQVSIFCDF GTSFEDINALKQELATFVREPANTRDFHPEIEVEVVSIAEMNKLELRVEIRHKSNWSN ESLRASRRSKFMCALVVALRKVPIAGPGGSDAALGSADKPSWSVAISPEHAQSVRQKY LDDKDAARLYPTKTPDDNEDTGKSTGFDYLATGSEGAAINQINNRKPGVDAVRDDTWG ARDDGSTIGRPSIDGRPSMSSNRPDLDEVRGLLHKASSGGRRKGGSTLAPTPSGYSTE TRQAMPPLPLAVNPSPQHSNNANSYAPAPLSVPRTPGSPSSISTGNIEEYQYQTMVPP PPRNDARGPAMQQQQSGGLRSTSSNAGTNPYRTQSPTSPPPNEQHPYRP CC84DRAFT_1173804 MKAAIAFLILAASASAAPIAGRAPLAESTLNTRVGAFSAEACYG DWCETKREASPDKRDSTLNNRVGAFSAEACYGDWCETKREVAGHSAKEPREANAKTIN TRVGAFSAEACYGDWCETKREAKAEAEN CC84DRAFT_1214809 MAFGSESGSYRSVTSTETIHEEDHGLLGSEKVVVPRSKWRRMLS DHSLLLSHIATSTLYLLVSSAVWRQSSLENCSRKLSTWSPALTAVRYNKPDFFNAEIS QTNTFRGPNGVRPPKEVDEAWTNVGIGMPGLRLSGEELKGLGKKLVDGTKSQHQIPDA QGGYVAMLEDELRKALFYNWDYYRADYLVQNATRSTIQTHHDHCVDALRLSLMCTADV TPVTFIDDSAVPRRQNSLPDFSTKHTCRNFDAIVAWSWETERAVMWEDVGDAATWDPT LAEQDVGHSHGSHKHARK CC84DRAFT_564799 MEDQPEHSVSNEKSTAVGERQLHRGDYGAETELQRHLSTRHVTM IALGSSIGMGLWLGSGKSLASGGPVGIFLGYILAGSMVWAVSHSIGELAVLYPLPSAF VQWTGKFVSPSAAFSLGWAYWFNYILTVANEIQVTSVVMGFWTDDLPNAAWITIFWAV VTLANVFGVKVFGEIEVVGASIKFGWIIVVIFSLIVTSAGGAPGHGPIGFRYWNSDPF THGFKGFLSVMPTCIFAMAGSENCGLVAAETRNPRRSVPRAVGSIWLRLSLFYILGAM MVTINVDPHNKDLFGQSGTNASPFVIAYREARLPALAHMMNAVILISVVTTGTIYIYS GSRNILGLAHLGMAPKQFKHADDSGRPWPGLVLSIILGGGLAYLNVKNSSADVFSWLS NMTSLFTLFGWGMICLAHIRFRHAWHAQGRSDSDLPWKSWTYPYSAWWGLIWCMVLIV VEFYLAVWPLGLPPSAKNFFSNYVSVIAIVVLYLGARTYYRGPWWIKLTDIDLDANRR FYVDTEIEKVPEKGLRGKAEKVLGFLFN CC84DRAFT_565207 MVSANTQVKPSVPASSALFPNLCAYSSRRRSSLLHSYLLQATQS CTLKLQWALSSPSLPELQQAKNMQRCKPQGMTRERKIDWFNSYAFGHSHPWGSVYMDT SASEDALGGRDSQSGIYWCWSHVRHPTQRSLPYRRISYKVYICYTRKHTSATAIGGAI PLFSQKLYDYASPPDIFYLLRGVSFFLTVVPGIFSLRRGERQA CC84DRAFT_1214811 MDTHSSCPWSLADSPIADSPTLSQRVSWSNSSTSIKNSEGIKYR DEFRRLYESTSEAVYCTPMPKIEIATPEPTHSSSRVGVAPVSEEERTSEDPKSIKQAT EMCAPLDPNLLEWEGIQDSDHPGNWPGWKKTGNITIILMMCVTHAFATTAPIPVIGMI QVDFGSNDVYLSAFVVCAYVLGFTAGPLLVVPLAEDLGRVIMYHFCNILFISFNCWCA KSEDLVMLALARFLSGCGGAVAQSLAARSISVLVQGGVGLLMAFVVLAVYLTPAISPL VGSHIYID CC84DRAFT_564282 MAETRDGWCLGLGLLITNYSDLNNLISNDGVGDATGSLAIAVQL IHGIQPNSISSPSSAHGGCILEHWHWEWLAQPLPKTHKRHAKVAARHGVSIEHLVINL PSAAHQSAKKRRRWLAACGLACTVRRMQTQRPAQSCPCQQTCLSNQSTARYTLSLCSG KPSGLATRAIRSRTTVRKRSMREACTPWPALRQLYTGGGAWMLVGCFT CC84DRAFT_1185195 MLPTPPASPTLNGFCNPEDRLGQILAGRLQLTGILGVGAYGVVY TAVDIQTNTPYAVKALNKIGLEPRQRKFQQREIQLHHQASAHPNVVSLVKIMDAPDCT YVVIEYCPEGDLFSNITEQGKYVGNDALAKRAFLQILDAVEFCHSIGIYHRDLKPENV LVTDNGMTCKLADFGLATNDHITSDFGCGSTFYMSPECQTAAPKAYSCYASAPNDVWS LGVILVNLTCGRNPWKRASYEDSTFRAYMKDPKFLRSILPISPELDSIMRRIFEFNPV KRITIPELRELIMRCPRFTATKSAAPTPMASPPFAPVDYQEASYYQAVPPAAALPGPV YSPSVWELPSPQHSISSGSSNSDTECVFSSCSSASSASSTSSFTHVHPAQKPSVRQST YVSQPPASGWFHPFFQAANLVKHVSFQPPMMAPVHVY CC84DRAFT_570386 MPRDRVLDLLATRRAIARQSLRCCRALQSWCGAGKGSHVAGRPL DTGSERQRRAMIGMFRSRSPQHDNCGALWCHRSITCQHEDSRRGLESLTGGWWVCEAL WKRIVKATASLVMPQEFNRSSHVAESQKSSGRMAAHQCTCWIRLERVPADAQYTTQVA FISMKTCRV CC84DRAFT_1162271 MSTMITFAANNEFFRKRKRVHRACDSCKKRRKRCSHTFDDDADA GSSTKSGQPANHAQEPHASNASYPDQRGPRADSQRDGAGTHSSMAPYSPSDDPPAQTP PNFLGYLNPEAVLREQVYSEKGKSTQSPVIPSIGQWIEGENPALGRPSTSQPGAAPAR FDDTSPTANQDVRVQRALRQYLEAVGVTILPPRECQDGLLDLYFNYVHPLLPLIDQDV FAERYAQGHESRLLMQAICIVASKHADAGSYLCLGDDPRKMGPREFSHRLYNAVIAGI EAKLEKNRVVLIQVLALISLHCEGPDGAEQASMHLAQAIHHAHTFGLQFGHQWKNQKS DDQENLEDLFWCLWSLDKINACMNGRPLLMHERDNSLTKLPTDPEKRQSPFGVWLQIS EMLDKVIDYYRPGSKAEETGWEGDDFLGFEEMVGDGEDKLEGPIMSLLSLFHHTMCMA SHKSLSINAPVKSTPSYVRQSLSATRVIHLLNAEAPERLPPLPLVPYALSVALSVVYR HFRSRRLKVHINRASEELKQCVVLLNRLRYAWWAAGTMADLGTAVLNNAERNTRTANT PAAPADHLHHPVTKPDPAGTHIQQQHHASTPSNWQTLDNATPIDPRLQAPNPASAPTP HMTHLLNPLPPPPTPGQHPTSSERGSHSQHPGAAPPMAHAGQPFDFSEASPDWLNFDT AFENFEGLLGSSGADLSNELFRPLNYESLDGFLDPGN CC84DRAFT_1162272 MAITHQPVLFVGLYRERNRNLQRTRKHGCRIPQKPLSALASAPA TGLMLAFGTGCSSLAVPIGSGWSLKACARRVILAVFAVMRLTCASILLPREADAGCGY AIFKVK CC84DRAFT_570181 MRCGCYDDLLCISECSERCAISEILFSTTQAHQHFHVSPFPTTF NALLKMIAPLLLSLAVLTQSGLTAAASSVTWLQPQRSAIVKLSTRGYPSKDASAPHAL LLKFELSADNKTLLLDGTPFLPLQNYYIPPRLSAYHVPDDTKRDAIIAIAEGEEEGRH HIRGQKLELDYDRLVEGDPTGGPPYYNHQPALRFRVMGLGNDGADFLLDPQEQEIVQV SMRDQNEGGWSNEAASSYTIDRIEILPAEKVYSDLSTSLGDHMGPEADQEKECTRRTW KCPDEGVYEAGSPYRTPYRFIWRRRFDQYGRIGSLRHDFVEQWSISKQKVYDDPQNSA LVALGILLATVLAIVSGLKARAQRAARAQPPVKKHWTERDAEKKAQKAEKVAKKGDPK EGEAEEVEEEEDDSEDDDAAWLSEMAAQSGEVQMTTTEAANLIDLMSDDEDDLDAAAA IPATAPKTIEPKKEKNKNKRVAFKADAEE CC84DRAFT_1173810 MPVESHTQKYRIVECNPERPRRFRRGKTGCITCKVRRVRCDEQK PECNRCVSTGRKCDGYQPISPRNSPSGSHQNTSSTSTEALRKQLRIALPKKNDQEIRS FRYFLDVTAPTIAGVFEAEFWLTYIPRACHLDSTIWHAVVALGAVHETSTKTTGSTAG SGTTFALQQINAAINHLVRPKTPSSGQEQNWRALTTSVVFTYLCSFQGLYSDAAIHLS AAKHLIQELHEMRKTPTRSTFSRSTNRRITDTVPSTFDRAPVPYYDLFSVVACLEVTA QLLNSSTDSVGRELLSDASAYITWRTYSAPSIPASSETCEHGRCHPSRATPSNLSHAG RAIKSLLNGLMAMSQRNGREVARLVLHGEESVLAALIRRQQPYVRAYHELSTAIDAFV LDTFAECTCFNSITTPKKSQKKAVDVLRMYHATCFPLFLDSPTDMFPLNTTYHPVLAA SESSARGLVYRRGRGGILLRDSPSNSPPAIGDTYLKDLQATNPILDEQEILSIHFEQA LTLAESILHEPISQTRSENPSDFVPTLPTTTPLLILANISGITPELRKQVVHLLREYP QQEVLHDSTFAAALCELILGLEASDRHGTLEIEGASPETALSNKTYGANVTFTDTHQA RVEIQTWDDWLAERPGREETLLW CC84DRAFT_1162276 MAASLRAQSQSQSQSQSQSQSQKTGPVYFWKPQDGHGYLGQWFW SPWTYEGDTYKTAEMWMMVGKARLFGDEDVARDILAADDPREQRALGRKVRNFDEKVW DKNKVRIVEEGNYLKFTISEDAESLRAMLLATGERELVEASPMDRIWGVGFAEKNAGV NRARWGQNLLGRALMNVRRRLSEENTRKEKAKGEEEEQEEE CC84DRAFT_1162277 MASGSGGGSLFSRNNSGNVPTMRGLVSFIADLRNARARELEEKR INKELANIRQKFRDGGLNGYQKKKYVCKLLYIYILGWNVDFGHLEAVNLISATKYSEK QIGYLAVTLFLHEEHELLHLVVNSIRKDLLDHNELNNCLALHAIANVGGKEMGEALSG DVHRLLISPASKAFVKKKAALTLLRLYRKYPNIVMNEWAERIISLMDDPDMGVALSVT SLVMALVQDNQEQYKGSYVKAANRLKRVVVDGECAEGYYYYKVPCPWIQVKLLKLLQY YPPPEDSHIRKLIREALQKIMDSALEMPKNVQQNNAQNAVLFEAINLVIHLDTEQDLM VQISTRLGKFIASRETNVRYLGLEAMTHLAARAETLDPIKKHQSIIIGSLRDRDISVR RQGLDLLYSMCDMTNAQAIVQELLRYLQSADYAIREEMVLKIAILTEKYATDVQWYVD ISLRLISMAGDHVSDEVWQRVTQIVTNNEELQIYAAQNILQYVKSDCHETLVKIGGYL LGEFGHLIADNKGCSPIEQYMALNGKMRGCSSSTRAIILSCFVKFVNLFPEIKPQLLQ TFRAYSHSLDSELQQRACEYLAMATMPTDDLLRTVCDEMPPFPERTSALLSRLHQKHA GTSDKRTWVVGGKDANTDLKELSIAHQPTGLKRSFTNATPRDNATSATNGTNGVNALS SDLAGLDMSIDTNKVLKAPNLASAAHLSPDWEIGYYRLLLRSEGVLYEDAQIQIGLRT EYRGQLGCLIFYFANRSPFPMGSFTTTLDNRAAETLKTDIKGLPDTVIPPEGQTQQTI MFEAKNVFEDPPTIRISYLAGSLQALTLQLPVYLHKYMDAAELSAEDFFKRWKQIGGA PREAQRIFGLVNKNRTMSMEFVRRVVQGFKWGILDGVDPNPKNLVGATVLHTSDGKFG CLLRLEPNMDTQMFRITIRATDEGVPPVLIKAMEERLSQGIEGVL CC84DRAFT_1214817 MTCCARNNGECLCAKEATCSCGKQPALQCTCDKAATENKMPTNT CQCGKRAEDSCTCGRSSDNGSSSLETDFTTKK CC84DRAFT_1257190 MFSTRDDSQQSLADLENYLDQGPHAGPRKLSEIASWLQLLEPTG SSEADVKAVQLLGTAAGKQWDEQRVWQDLFREHEILASVLHNLDPSTDCVALNNQYLR VIGNSVAYNDSNREEVVNFFTKIVSCLGQKELRRTALAVLYNLGQDYEPANVQAAEAR LDRTITQQLAADDIPEEAIDFATTIINRTTEKLTPAQLGDETSMAVFDDILRTAAEYD EDHCQDYVALIVHYLQDPEFQQKVARPDVVQRLLELLLDLEFNELTKDEVLIAMQELA TQNNPNEIASEETSVILMVRLVNCVSALSATDAFVKSFTLESRIVHILKEKLVSAQAM PSTVCACVMLGNIATSDSVCIDMVQKLGLHEALIKILAMRKEQALLYAAAGFMRHLTF PESNRPILGAAGLVETCCHLFGNIQDPAVRGEGAAILCKLVTNCLPNIDQVVGKGLPA NIMLAPLPDVPFPGNPRFLHHIVRQALAPSAPLPSTSMKNPMIELSRTLVAILRYIRR PASEGDLEELTRCFFDVPAVARPLARLIRQRFYADARSEGLLGLGLMAQTAEGAACVI EEIKVDTGLLDVIKEFAGEQRGGAQKSNESAGRDYQNAVVLLHGLATNGGDGMDAALR SDVEGLQRELSKLMVS CC84DRAFT_1162280 MTRAQQTISIALLFTSLYLAVFLEIVSFPEKIQSEVVPVLPFWA LVSFGAYLLFKLGWGVFTFNDVPEAHKELMSQIAEARAELSAKGVDVGSD CC84DRAFT_1257192 MEQLGRSARPLALYRSLCASCCPTTPRKSLQLGSERPGLQNSDR IQRRFKGYIAPPGHQAYPIEGYYAEILKKPVSKSSPAPRTATSPPPPAWEWLPKTGEE ETLTRASKFFGSVAGPEERRKEIDAASHEIAGVIVPPKPEEPDNCCMSGCVNCVWDMY RDEMEEWAAKSTEARARMQAQRERGQGSGSIIAGKDTPTHIATSMDDDGGGSETNWVA PASQDALFDNIPVGIREFMRTEKKLKERQKGRAAAA CC84DRAFT_1257193 MTEPARKRRKTASPGGDRLTSPLKQPPRRPSISSRSFFNAEDRQ TSPLKAPPRRFSISPTKGTPARSSSPLKEPPRRPPRRPSFASPTKASLSRGYPDLLQR PSSSDATALNGRADILARGKQARAFILGEQGDMAPITAEEDANGAVAGAQSPHVRNPV SQTTSRAQKTRSRKERLAGETLEEEAALPATPSHRGAEEGYTPRPGLFSSPNKLPPRL KDPSRMSRTPRKTPAVHQDGIDVPMDGQVRNVEGLAQQTRQDKPQPPDPELEEKKREK ARLEKELRLLEELVSKCAEEIGRSQAQPASYVGPPEEREALVKFINELVKSGAEEDEG QPPALSSMLCSFLPFATQRIAPPNPQPDNPVASHQPLELEDPLSYLQMFTSFEFTTKL NVSRGQNPLISSRVHQRHLIDITGPQSLLTASISITIDTLSNAIVDLNLLQLPHWAER ELGAFMRVRAKEKDLGNACWAVGSYWEVIKKRAEFWHRCEIAFRHLIPGRTSDDTENI DVRSRGKQSRILSRQDLSRNLGRDTLVLEDRHVSLKINWRIRFDWTGEAESEVGVVSA VPRVWREVDSNDSFKKIPEIFDSILQSKGVFAATKTMAALLFSE CC84DRAFT_570654 MSDADEDPEDKRLDTETQSSNNVQIKPSDSMKSATSSRSSTAEL FGGDDTDFLDDFFTEDSVPLKFQRPTQALPENNKDNASPESTETGTDDDVGEEDEDSD GYIALPPTSGPEDAPEQSTLPESRDPGEDDHIDSPTDEDQNDADKDEKEEYTQRGAAS EQKAFTEEQVAIVEASSANAEPEPSRQHVFRLNSVDSHEDEGESTVLLPIEQKMCKIF RNVYPALPSHEIPGNMHIIDWKTLMGPDHTGPDPDDEEEFLLHFVKPRLCDAVATILF ESVPSALDAWLDRSKKVVEWWHSPHYLLRGA CC84DRAFT_1203722 MADKSSISDLSLDSIFNAVEKSLARLGTPYIDVLQIHLVDTTVP LKKIMKPLHDLVQAGKYRYLGASSMWTYQLHSCSLMRKKLDGRSFSMNGEQLARPFGK DDSIRATTPHPMTSALTDAEKEIINRAERFSGDSTWSMSVLSLASLKAKGAVPIVGFD SVSTVGEAAGLRGESYGDQHSNCECAPYPKFSGDVLRKERCLSEFDGTVIDRDPNTT CC84DRAFT_1162284 MTTSIPNAKSKPLRIGVLYEEVQLTDLAGLDILGNMSSRIVNMV TNLIPAMEKLKPYARDMEFLYISSSLEPAWATPDMFIRPTHTYESAPRDLDIILIGGP DPQKVPEASLTFLREASKQTKAILTTCTGGMWLAKSGVLDGKKATTNRILLEPARHVF PNVEWQDERWVTVDGHFEGAQLWTAGGAKCGIDMVIEYALQNFHEQLVASSCGSLEFE IEGRSKSYKGPFVPVV CC84DRAFT_1114683 MKSLLSASVLSLISSASAIPYAEYILAPDSRALHPASVHSSNGS VSNAESLTSSPGSAVFEDDAATAYDFGKNIAGVVSLTVGSVSDSDQYIGVTFSESSLW VSNKSSDATADAGKDETLWFQVTAPGRYTAPREKERGGFRYMTVVHNSTGKVEITSAE VYFTPMPHWEDDALRNYTGYFHCDDELLNRVWYAGAYTNQMCTIDPHHGNALVHLGTI NSSVSDATNVTWYYNYTITNGSSALTDGAKRDRLVWAGDMAIAVPGVVASTNDVVSIE NSLNSLFAVQNRTTGQLPYAGRPFFSVLSFTYHLYTLIGVTDHYLYTGNLDYASSLWS EWKLALNFSLSFIDDSGLMNVTSPSDWLRFGMGGHNIEANSILYYTINQGISLAEALN DTEPVAFWQSTAEKIKSAANERLWNSNAGMYIDNETTTLMPQDGNSWAVVSNLTLNSS QIKSISSNLADRWTPYGAPAPEAADAISPFISGFELQTHFLAENTTAALGLMRLQWGF MLNDPRMTNSTFIEGYSTTGELHYAPYLNDARISHAHGWATGPTSSLTFYVAGIQLLG AGGKTWRIAPSLGDLTFADAGFSTGVGFFSAKTQVANGGFQIDFETPEGTSGEVRMPK ASCAGRALLKASSGKYAALEIEVTHANTGPISVADVPGGKWEVTFSCT CC84DRAFT_1257197 MAPAWDPSLLPDLTGKIFVVTGGNTGIGYITIQHLLSRNARVWL GARDATKAQAAIRSLRETNPKGEVEHLLLDHMDLASVARAAEQVLEREKSLNGIINNA GIMATPYALSKDGYEAQWQTNYISHWLLTYKLLPLLQATAKRQGAGSARVVCVTSVGH KFYRQKKIDYGAINSQDSTPYQRYGLSKLGNILHAKQIAATYGPSSALGETGGEVWAA TCHPGNYDTQLTQGGGTLVTIAKPLLKLFGIIDTNMDNGSHSSLFIGASQEFKREMCG EYFVPIAKLARPSAIAQDANEATQLWSWTEKELRSRSLI CC84DRAFT_1239486 MSMLKKLVRINNDLKKGTVLVTAIEKKIYPTPNWEVDGYKSLTY GQYGDSIEKVAHWLDTQLSKATATDTVAYLGPNDLRNAILWPAVVKTGRKLMIPDGRV TDEGLKTLLRDTKAKVWISTEDDVKGPLDSLSEGLTNIALPPMDWCLCASWHEHYPYE KTWDEAKWDEILIIHTSGTTGLQKPIYHTNGWHVCSKARELPKIHFPRGTVHDAWLGK SVVLSCPPQWLGGLVHYVNFLVYASTVAVIPPADHAAFSPDVFKKLIRMDTADGIKCP PHSIHQLYAEAETQQLMKDFQFIVYLGAALVKQMIQVSP CC84DRAFT_1140918 MSNFDAAPPNTHAGGNNNVLRAKGNDPMNPAAPSQYADENVDMV AYSKNVPLWKRVYQHSLTQMMLLSVQAFCGPAMTDAIAGLGGGGLATPQTSNIATAIN YALLAIVCLLGGPIVNKLGTKWALVIGAMSFPIRGSSYYCNSKFGNQWYLILGSFFTG IGTGCWYVAESGSIMSLAPSGARGKYLALWIVSRNLGQLVGGAINLAKNHQKGASGGV TPDTYIAFLIIESMALPFAFLISPLENVVRSDGTRILVSERIGTKQEFKKIKVTMTSK LIVLSALWAFWSFFYSGTWSTYLGTYFSVRSRALSSLVSPFFCIVGCFGLGFILDMKN FSQRRRAQIGLITVVVLNLGVYIWSVIMQTRFNASSPGKIDWDEPLYPIAFLPYFFVQ TTGPLSQSYMYWLLSSFATDAQANVRNGAAFRCLEAVGQAVSYGMNTQIKTSPLIGFC VTFGLMALAFAPMLILVNSVPDRIPADVIAEEQDKVYTKTENIEASNGA CC84DRAFT_1114689 MAILRVDTKFIIVGGGGTIGSSTALHLLRYGYTPSNITVLDTYE IPSAQSAGNDLNKIMGIRLRNKVDVQLSLEARDMWKNDDLFKAFFHNTGRLDCEHTEE GIRDLRKQYQTLLDAGVGLEKTNEWLETEDQILEKAPQLEREKIKGWKAIFSEDGGWL AAAKAIRAIGLYLKEQGVNFGFGGAGSFKKPLFAEDSATCIGVETADGTQYYADKVVL AAGAWSSVLVDLDDQCVSKAWVYAHMRLTPQEVAEYKNAPVVYNGDVGFFFEPDEYGV IKVCDEFPGFTRFKEHQPYGAKAPRQISVPRSHAKHPTDTYPDASEKSIRRAIDTFLP RFKNKKLFNRSLCWCTDTADAALLICEHPKWKNLIMATGDSGHTFKLLPNIGKHVVEL VEGDLAEDLANAWRWRPGGDALKSRRAAPAKDLADMPGWKHDTPKPKL CC84DRAFT_1162289 MSASPSPEVATSSAVQNFAVEIVDTTAPEHAEVDGAPPADELSS DADEETRLKFLTTGTRDQDDLERDIGRQAEQLLTEQADERDKKRMEKVDTEAKRAQVA IQKLRSRLAMPLLPSQKTKIRAEIQEFQKKIDGIDEELDAIQKRINDRHNVEGDEGAA LDGVHGPLPNESRREFLIRTGKITPFSKIAQDKQESGTLAEVMQVAEVDDMVEEASKG PKSHQNLMKPGFDIADTTSNASTPPDTPRPRKKRRTFPASGPVSSREGSSAPETPGDE SDDAFAPGMSDRQLAALGETDDDIEDLTNDDEYSEAENGRKRKAAPKRAKKQTKTIQF ADEQDDLAGVDDGNEKVYQKRIRAWSEKRAAARKQAAERSGQLFQEDEDEDECYKPHP TEADTELDGDFKIPGDIYPALFDYQKTGVQWLWELYSQNVGGIVGDEMGLGKTIQAIS FVAGLHYSKKLTKPVIVVCPATVMKQWVNEFHRWWPALRVSILHSSGTGMLNTRSEEA MEREMELRAYGDYDDTLTGAGKAAKKIVEKVRREGHVLVTTYTGLQTYAEFLVPVDWE TAILDEGHKIRNPDANVTIHCKELRTSSRIILSGTPMQNNLTELWSLFDFVFPMRLGT LVNFRNQFEFPIKRGGYANASNLEFETAMQCAETLKEAVSPYLLQRFKVDVAADLPKK KEQVLFCKLTRQQREAYEGFLNSDEMKSIANGKRQMLFGIDILRKICNHPDLVDHKNL SKKAAYDYGAGNKSGKMQVVKELLSLWVKGGHKTLLFAQHRIMLDILQKYLDRIPEIN YRRMDGETPIAKRQDMVDEFNNDPNLHVFLLTTKVGGLGVNLTGANRVIIYDPDWNPS TDIQARERSWRLGQKREVEIYRLMSAGTIEEKIYHRQIFKQFLTNKVLKDPKQRQTFQ MSDLHDLFTLGSDKADGETETGNMFRGSEVQFDKNGKTANQTTQPASDATGQAELAAM AGVAAAEAYQAPPSDSEDTTREDGEEAKSDSRLMSSIFSKSGVHSVLEHDTIMSTTGT GRKRKVQADPAFVQREAKRQAAVAAEQLKKSMEEARNTPIGVPTWTGTHGEAGRPPPP TRGNLHSSRGGRGGGRGGAAGGPSSSSVLSNLAARQGRALPITPAGTMTPGSSRGNTP QPTSLRGRQMLEKIRDFMLTHGGVVPTKMLVDHFDHYCRAVPGRSEEFREMLRVIATM EKGGGSGRGRWKLKDEWRDTGAGVGAAAGRR CC84DRAFT_1162290 MRPSQLLAAGVALLSVTAATSNVFDSINALGKPEHMLLPRQDNQ DVQSSSAKKDASTTAAPKETNQSSGTEKPTATKSDAKTTGKDSSDKATKTTGKPKVTN FGPDVQPGGIQMVTPNPVLGAQYYKVGDWVTFAWNYTSLSITPSHVDILASCSVNQQT YTIAVNHSVQATDTILWDTGAYQSQHPNGPNFVSETYTLLIYDSESSVSATAKPGYLS PFSQFYFGMYTPQPYVNWSEFECANCLKNDAFSIFASGTTKVLFVTFGTTIASFLYFA VTFGII CC84DRAFT_1214830 MRFASITVLSALGASLAAALPTELTEFFLVTSDQSEPSTNSSNL RGVHATTPFAEDPVSQSTLLLRLIGAGYNSLPNFTLADGVLSTITQGPHGIGSYRYNS TAVTAGSELQFVAQKQVSGNVGLNGGYLVTVDGEMEGWTICNSESGTDVLYWKGKGSD CVSTFLHAVTKPPYRKA CC84DRAFT_1203731 MASPVEDDVFEKLKNKTNHKQEDDDLNARNFAQHQRSQERLAEL IDDNTSLPVTISSVRVLHANRTRRSFLERVVNPILSANRDEQFTLEEALKEVGKATDK LNRFGIFKSPISVFLDRPDPTNPTSSPTDVDVYISAQERGSYTIKTGTEVGSSEGDAY INAELRNLFGGAETLNANASLGTRTRSAYSLAFDSPILANPDFKFQLNGFASSTLKSW ASHEEVLRGGNSKLLWRTKNGHQHEFGYSGILRQVTSLAENASPTVRAEAGNSFKSSL TYSWINDKRDNPMLPQRGYLAKSVSELAGYGPLKGDAAFFKSEAESQIALPFGDTGIT LTAGLRGGLLYPLALGGSGAPQPSRINDRFQLGGPTSVRGFRLCGLGPHDGSDAVGGD VFAAGGASLLFPVPKVGKDTPLRLQAFINGGRLLSLKNPNKEAPMDADTVQSSMKKTL ASLRDGLPSAAAGFGLVYAHPIARFEINFSLPLVIRAKEEARKGLSFGVGVEFL CC84DRAFT_574198 MATPAATKRLTREYATISKSPPPYIIAHPSERNILEWHYVLTGP PDTPYDGGQYWGTLMFPPDYPFAPPAIRMHTPSGRFQSSTRLCLSISDFHPKSFNPAW EVSTILTGLLSFMTSEEMTTGSVRASDAERKLFAQRTRWWNSTGGGSKAQNNGSRGAG AIKAGDGGTKFRAEWPELDEENQTWMKEKRINLQTGLPLASSSQPHCSPDMAGLRKRA NGSAATVGAVVQQGQVAREASQSWFGRNKWRIVIGTLISFSGFDSHAPTVPDRILCKH LPGLTPDPFNLASPLVYFTPDIHSIQSITIIPSLLVVLYFAIEKFSTPISSLLLETTH FIASSPVTFSSKDVCFLAEAYCQP CC84DRAFT_1140935 MKTFYNTYAICSFAAIGGGLFGFDISSMSGVLGTNGYKNYFGNP AGYRQGGITASMPAGSLVGSLISSFIADRLSRRTAIQVSALIWIIGSIFQTAANGVAL LCFGRVVSGISIGIASAIVPVYQSEIARKEIRGRVVSLQQWAITWGILIQYFIQYGAS FVDGGANNPDQGTSAFRIPWGIQMVPGFILLVGMFFFPYSPRWLASKDRWEEAIQVLA NLHGGGDVNHPKVLAEYKEIEEALAFEREQAETSFGALVKPRIFKRVILGMSIQMWSQ LCGMNIMMYYIVYIMKGANIADPLLTSSIQYIINVAMTLPAIIYLDKFGRRPALLIGS FLMMSWLFISGALQASYGEHWDDPDKNTSWRIVNNKSVSQGIVACSYLFVASFATTWG PTSWTYPSEIFPAKVRAKAVSLATASNWLWNCILAFGVPPLLWNINWKMYMIFATFNG VAFIHMFLTAPETKGKTLEEMDEVFDSGIPAWKTHGGSSRLDQLQRDIEKGDLKITTA AHPTATTTETTAPTTA CC84DRAFT_1162297 MAEQQAQSSLEDQLRSMILTNVTIGSTPDSSNAHMSNHHQQNWR SRGRGRGRGRPYAPRGGNYWAGTEHQHRGFPAAPPEQNMAPQSTPRTLQRPYDNGNTL NLAHSAGPSRPYGPHGHPPRRGQTMRSSYANGGFQQHQVSDLTLQAAYLDHLASLEIP KAEISKEEYEEKETFRQQLEAVCQKEFTNKYSGDIASIKLVTFGSIASGFATPGSDMD LAIVPQWKDPTKSQDSSIDRDIPRLLERAVLDAKMGGRLLTRTRVPILKVCQKPTEDL YNALFEERQKWDQLPEEEQYAAPAVPGAAVQNPSEPQEQVNSPQVNDSQSFPTLAEAK AVKGIARSAPSAKVIEHDDEGKAEVLQAPVFDSFKENNGPKDIADAADPSKNQQPRGP KKWLRERKSGPLDFPKVGVGIQCDVNFENPLAIHNTQLLRCYSLTDPRVRPMVLFIKA WAKRRKINSAYSGTLSSYGWVLMVLHYLVNMANPPVCPNLQSSWRPTTTKAEDLQQIL EQTTVGGYAVRFWHDEPAIVQLASAGQLSRNTQSIGELLRGFFHYYASIPQPNHYGQK HWSFFWATEVLSLRTPGGIRQKTEKGWTKATTTISNGKEVRQRYLFAIEDPFELDHNV ARTVTHDGIVAIRDELRRAGRILNSVGRGQAPEGGLFDEVVEQAPPVMPNKTEDGSET AAPVAEGAAGETDGGADKSTTPAATNYAAPGCGTG CC84DRAFT_1162298 MNGAPPPPANAAAAAPGAAPGVKKRRPRPATSVLRQPQRPLKKV ARTVTSLTQLNTRDAPNQPVSIDQLRREFIEAGAASYPLVVTRKELKEMRHHVMRMHA KTHVNIQDEKQFTPPVRLHRRDPRAPPGGKEPEEEKEEDLEEAKERERQEEEREARRL KRENIQAQIAPTGATKNKPFGKKTEQKYRPDDTPEAKKRQRLRYEETLPWHLEDDDNS QTWSGHYEAQLSDRHVMLTLETLPGQNPHIQLAPLERWYKFDPKTKAKSTEDSELVKA KKDSYFEAREAKKMKEEMEHEKASRARQLRTRVGGGADDEGRIKQLDDEDMPRFKREA DADDIDFNVEEDFADDEEGLNGLFDGDEENIKEAQEKLKRDQLQAAAFDLRDERQIWA EEEREKKEAEEKALEQEIRKSLVKREKNYDYAGSDSEYSETDSETERQRAKEEEEKKK AAEQNGKAPEGDKTASGASTQGGSTPAPRSIDVNKKKRPAPGSANLSEASGNESARKK HKKKHEKNADGTRKLGHLRTGAASGSDSEMTDAGKPKKHKLKVRLGGTPGASPSASRA GSPSAQVNGSRAGSPAAPGGVPATPQSRLPSASEIYTALPPDGMPIQTLINKFRERVD KSNMNVFIKLVRAVASYDKARGWLSPLPELPSDEQIAAATKPKPAAKAASPPA CC84DRAFT_1162299 MLLLIAGITGHVGHHLARHAIAKGLTVRGLGRNPTKLDPTIKLE SFVQAADYYDIPALEAAVSGVDAIIVAYMGTPELQVDGHLLLLRAAERAGVKVFHTST WTYDFRKTRFLEHESYDPFVAFARIAELTSPIKPIYVMTGVLAEVLFSLEGRADFSPK SGGVFDPVEKCFEYYGTGDEKYQWTTEEDAARFSIELIMSEEARSGNGGYFSAWSGEH SVKEIADVYEKVRGKPISLKNMGSVEDLEKKALADRANGTPAGFWAYIGAFYQLFTIN GRWVLTDENLFPNVERTSLAEFFKQSNVKTTGIA CC84DRAFT_1140944 MDAAKDAIRQISKREKELEQKIEEKLTVLWNELPDWQQDNQYIH SGYRPATNSYSKSFASVGYIHNETVNIWTHVIGALLALVGAAVAYQTLGPRYESATRE DVLVFSCYFLGAIACLGMSATYHTISNHSHEVAIWGNKLDYLGIVFLIWGSFIPVMYY GFQNEPQLMKTYVTMITTLAAATSTVSVHHKFRTPALRPFRALMFVLMGLSAVFPVIH AKSLYGYSQLRESIGIDWIFLEGFLYIFGAAIYAARFPERVCPGKFDIWGSSHQIFHV LVVLAAISHLVGLVKAFDYEHSARGGVEKFMFLKGAWR CC84DRAFT_574401 MTSRTNDQLRPTSRRGASGAWRRGDRLKPAPADTLELYGLPSKG ETRLNDFRTQELYFSKLIERYMRLCAINEKQLSSLFASITLTDAPTTTPLGLPFPAAP PPPADALPAAPTTFNSPPINDLATVLSAFRKLREAITATARKDAFARRAYFFGIHAAV LCKDWASYLPALNYLLTALHAATPLSPPDLKEYVGLLVLDQACRQGDIALAHETRLRY NLKDRRVDLVLKALVADNWVVFWKMKKAVDGYQRSIMEFAEQGVRVHALKCLGKGYLS ADKRFVERSADREWAELVKDGVGWALTDEDKVVIKKPKQK CC84DRAFT_1140949 MARGNSGRRKQSVADKQAVAKPKEGNPKSMVIRIGAGEVGSSVT QLVQDVRQVMQPDTAVRLKERRANKLRDFTTMAGPLGVTHLLLFSRSEAGNTNLRLAK TPRGPTLHFRVEKYSLAKDIMKSVKHYRADPQAYNVAPLLVMNNFLTSEAEREKLGDS APPKHLEKLVTDMFQGLFPPIQPHTTPLHTIKRVLLLNREPPKEDDNGTITITVRHYA ITTKITGVPKAIRRLHAAEKLIKSREKKGRGLPNLGKLEDVADYMLDPSAAGYTSASD TEADTDAEVEVTAPVRTKVMSKKERERAKSGDGTSKAKTNGPRVEKRAVKLVEIGPRM KLRLTKVEEDVAGGRVMWHEFVTKTKEEMKQLDATWEKRNQEKAERRRIQKENIEKKR KERGQKGEGEEDEDEDEEMEDIDEYDMDDDVWHDEDGEGGEEDEEEQEE CC84DRAFT_1257211 MPAVKRRKLDAPTSAAGPYPHKSKPSKRSERPAKPNAKTAPRKE PEPAPESSEDDAEDAEQVVEAEEESAPVDQGESSKRTFADLGVREELCEACANLKFTN PTPIQEQSIPLALEGRDVIGLAETGSGKTAAFVLPILQSLMEKPQSLFGLVLAPTREL AYQISQQVEALGSIINVKCVTLVGGMDMVAQAIALSKRPHVVVATPGRLLDHLENTKG FSLKHLKYLVMDEADRLLDLDFGPILDKILKVLPREGRHTYLFSATMSTKVENLQRAA LHNPVRVSISSSSHQTVSTLMQRYIFLPHKHKDLYLIHILNDAIGHPTIIFTRTVNEA QRVAVLLRTLGFGAIPIHGQLSQSNRLGALQKFKAKSRDILVATDVAARGLDIPSVDL VINLDLPPDSKTYVHRVGRTARAGKSGKAISFVTQYDVEIWLRTETALGTKIQEEVVP KDEAMVLAERVSEAQRVAVKEMKEIHDKRGRRGGGGGGFKGKRGRDGMDQEEG CC84DRAFT_1214840 MDPPDSKAAGSPALNRPNTVSGAPSQTNLSTSTAQTTPSATTQP SIWASHNTIGSASNSSGPSFPQFSAATAEILKRLQANQGNATAGTAAFEAKRAEVLQN YVTSDKLPTPPPVAGNGRRGRGGRVGTPLKTESGAGSAGPTPASRGSGRGRGRGRGGG RGGKRKRAESEESDDDSDISSSYTPLPTRTKSGRSVNKPVAFVPTIPEPTQTVKRRRS TKTILAAQCKTCHRGTDPTNNRIVFCDSCNTAYHQYCHDPPISNEVVTVLEKEWLCGP CERSKQIVIEGTGGLTTAEGLSIDEKRAYFSTLSHARLVSLLLHASIRHPELPVFPPN VHDLIPDQSAPTSKPSVPKPTSQPASTSTSKPSNGHGSISGTPRSRQLTNPSGSDIDP SEAQLLGEMSTQRPYTMNPPSINPSGALDQADYDDGYDSDPPANYPKAGNGLARTLRP ESEDLQWLVDDNFEVFSHGWKGDGTGMGADGVLADAIEDVESGKA CC84DRAFT_1086211 MLFRPSSQVFRSSLTHSQRNWFTAQSRRWLASAARNEDGTLPLA GIKVLDMTRVLAGPYCTQILGDLGADVIKIEHPTRGDDTRAWGPPYAKYVDSNQEGPG ESAYYLAVNRNKRSIGLSFAHPAGVDILHRLVKECDVLVENYLPGSLAKYAMDYASVS KINPGLIYASITGYGQTGPYSNRAGYDVMVEAEFGLMHITGARDGPPVKVGVAVTDLT TGLYTSNSIMAALLSRIKSGKGQHIDVALSDCQTATLANIASSALISGQKDSGRWGTS HPSIVPYKAFKTLDGDILLGGGNDRLYGVLCTRIGKPEWILDARFKTNALRVQNRDTL EEMIESETVQKTTAEWLDILEGCGMPYAAINDVQATLNHDHTIARGMVQQIEHPSCGP IKLVNTPVKYSESTPGIRTPPPTLGQHTDEILRGTLGMGSKDIESLRSEGVVA CC84DRAFT_1257214 MFTGLVEIIGTVTALEQLDKTASGGGGTSLTIGDAQHILEGAQL GDSIAINGGQPPQFIVAPLKIDTGTCLTVTEFDKTSFKVGVAPETLRKTNLGSLKEGS KVNLERAVAASTRMGGHFVQGHVDTTASIVSITPDGNALTFRFKPRDPAVLRYVVYKG YVTIDGASLTVTKVEDGPDGFWEVMLIAYTQEKVVTASKKVGEEVNVEVDQVGKYVEK SVAGYFEGTANGEFAILEKMVSKLVDERLKALGK CC84DRAFT_1086894 MDLRLLDYAKDAQDTATALSTFLDEIPEYSKEIKGDIAELFAIS SALDVLHEDLALSRYGRHVGRIVHDLDICLPSLEYTLEDIRNIFNKSKRKGRQHPGAF PGTPPYAQIWEDACDEMRAQGIPLSARLEMYRTYLQGLHDILRSKGDDGEVDHIRARL SKILKKQEPIDDYFSRLSSPYRPLSPYPTAPRTPQPYQYHAPPLPPRPHVERGTTYPA YHPHQHPPPPPPPPPPPRSPVYGEIPYIPPIVPEVPQSPTYSNASSNTYSLHSNDSGG PVAHWAMKILDGRHSSTPFQTLGQPTRCLGRDEPQAIELLVKDGFEKVLELPFEATNV WVRLYWRSEDNRARILFLTLDPTGRRMRYCFPLTGLKVLRTESSLQLCRVNREDGQLD LWANLRFTLYERITMVAMKRQDDTQTPPGLEDFFQPGEQQEFGGEIQDDTYAYRHALR IFRDKDSGCVRFEATPRRGPLKTIPIWTAFVTQYVGHRGWMKRVGAQTVSFRELHPYM FTDGYKLPKGSSGRYQLTFTTPNDARSFMETFHQIRVR CC84DRAFT_1173838 MASSHTGAKDPLAGMAHSEAHYFNSYNHHGIHEEMLKDEVRTRS YRDAIYQNGHLFKDKVVLDVGCGTSILSMFAVRAGAKHVIGVDMSTIIDKAKEIVERN GMSDKITLLQGKMEEVVLPFDKVDIIISEWMGYFLLYESMLDTVLYARDKYLVKDGLI FPDKATIFMAGIEDGEYKDEKIGFWDNVWGFDYTPLKATAMTEPLVDTVDMKYVVTDP ASVLTLDLYTCTVDDLSFKLPYELNVRRSDFIHAIIAWFDIEFSACHKPIKFSTGPHT KYTHWKQTVFYLQDVLTVEEGEKIVGELENRPSEKNHRDLDITITYKLNTEDMHRQSA GQGTYKMC CC84DRAFT_1257217 MAMDPPARPSGSLFDVYLRLRPSKASDGRFLTVVDSTEGSHPTH ITIKPPTDDKRKRAVETFAFTKVFEEDARQMELFKGVGIVPMIEGVLGAPGHHGRDGT LATLGMTGSGKSHTILGTKSQRGLTQMALDVLFQSTGEQLVQSFYGAPAFTSLAAADV SEAHMFTATAFLDSMYGDNQSEARFSRAQTPMADCSSFITNGGSRSNRIPRPSTLPQS PSVHDIDIPVDGNAEYAIVISMYEVYNDRIFDLLTGTATKNKHPNLKRRPLLFKSTEQ SPDRKVVAGLTKIICGSFEEALMVLETGLVERKVTGTGSNAVSSRSHGFFCVEVKKRD AKRKGSWSSSTLTIVDLAGSERARNAKTAGETLAEAGKINESLMYLGQCMSMQSDQQA GSRNVVPFRQCKLTELLFSNSFPSSTRTTHYHHPQKSIMIVTADPKGDFNATSQILRY SALAREVTVPRIPSTTSTHILGSGPARIGTSSGRTTPSGRTTPSAILEELDAANAEIA RLTAEVEVFALRLMEETARRKAAEASWSAAEDRMQDLEQEIRDECFADTEAAVDAERR RWQSALDNEQDSQQAHLDSKIDVVIKATKAQMRDEVKVYEDPDPELQDRVDELERENE VLRAKLEAKERQGQLMSASPVKKMRVLKPKKWEDPESGMRMGLDALGLED CC84DRAFT_574718 MANKIENPVIIIGAGISGLLLAQYLTLAKIPFRIFERRENLGTT NEGWGLTLTWSLPTLRDLLPASLWHRLPEAYVDRAAVERGEASTFPFFDLSTGELKGK TPPAPASRRIRVNREKFRNLLATGIAIEWGKTLSSYESAKDGVTVFFKDGSECSGSLL VGCDGTHSEVRSQLLPDANENYIPIRLMGTKLHMSPIEIEPLRNLDSFFLQATSSKND TFVYFSVLDAPGNGSSRSYVAQIVVSWPYRKGFLNRDSPLAMPSSNAARLDLLKTFAE TWAEPFRSLAFNLPDTAELKPLDLTDWPPAKDVHGNGRAMLVGDALHPMAMYRGDGAN HSIQDIQEIAQTIAPLLSGTISESTLRAAIDNYEDEVIARCRPAVLASRQAAFDAHDY RRINPQSPLLSPRVMMIKFDEHDEV CC84DRAFT_1194570 MGLHAEHSHEADVQAYPAVDAAWEFLDKHRNARFDSADIKALRQ KIDWHIVPLMFCCYTMQFLDKVILNYAAVMGLPKDLHLQGNEFSNVATFLFVALLCFE VPNTYCLQVFPAARWLGANVMLWGIATACGAAAHNYQTLLISRVFLGIFEATIGPSLL LISSQWYTKSEQAPRFSLWGCGTGIGQIVGGAVSYGFQQVKPGAALASWRIMFVVLGC ITVVIGLCTFMFLPDTPMQARWMTDDEKVMHLKHVSINQTGIRDHKFRLSEISEALVD PQVYLLVLAVILLSISSGVVTTYSSTLIRNLGYSGPRAALMNMPSGVVSIFFTIFVGY GIRKQSHRWAWIIACIIPAIIGSALMSFLPITNRSGVLAGIYLVNAVIAPLFIFYNLT AANIAGATKRTFCAAVVSGSFSIGNIIGPQTFQARDAPEYRPAKYAVLGTQAGCAFVT FTLFLYYFAMNRKRKVIEASVEEQYMDREVWERLTDKENPRFRYVY CC84DRAFT_1214848 MPLLAVAALISWTVPFAAVISPGSLPVALNETQHTIPYQIPQLP TLFDNYGSFTDTVADGSNPEGPLTSIGGVVTSINGRVATTELWQVALGTAVSGQIAAL AMRYRNMTYQYQFYGPVLKCVTVKNVTKLKNTLNNTFQGNLTSANYTSWVAGSGLVSE NPQIRPETLDQFSDESTGAGIYIARGGGSGYDGAPALSSNTNATECRLHNGSYNVAFQ FRYPEQNLTVLNLDYGAPIGLSQETLYKNLPREAYAGIMEAFCRLLVGYISGPPDPST TPWTQQTFFTSANILHIDWSEAEKTRTGLEQLFQNITLSLLSRSSFIKNSTEADFIPI TAFSFVNVYRYDHIGLFLAYGLALLGTLLCAIVGLHAAWANKGSYRNTFSTFVRSTDN DELRSLLDSEDDGRDPLPKQLGPVELVMHRSR CC84DRAFT_574955 MSALQQSHGPKSASPRLQTALLCLRAPAWNASCASSQERRPPNC CCLSPHCAGVCWHAGSSRLSLRVLPIRASADLVERGQPQQRTDRPARGPSVVCVESAA RRARVPSLASHRSASLCTLETTGQSIARLSIPPLLATPCSRRARLRVPTASGSEHPNV PVPRSFPW CC84DRAFT_1203745 MPSTSHLRIQTHFEPISAETTRTPFVSSPPAKKQRMSLTQTYYI AASARSKLGKEACRADHDLRLLVGHANLLDSLMIELQDAERQQEAWFHSTVAKAQKSE EPRHIQWADSIPEDDDDDSSDSDSESDYYDEEGDFDMVMPRRIAQAPVQISTIEVDDE DDQYDDLEDDEGLALTRVPSQSPPELVHDEDSDDESPPTPPQPTMEFSAKEAMLTTSF YDEKSQPLFQSEEIYLPESTAPLITSY CC84DRAFT_1086976 MSGGVVYKDLLPIPAEDPREVHGTTPTLTDEPTESHALAVEAAK NPELAGAAQMPHGEEVIDLGWNEPKEKVEAPLVGGLGNEDLWLLVRRFNKQMYHVKEI PNPPPGGLDLNIADEEEFSPDKLRSNIERLYMTIGLGLMGFGKHIMRLRSWRETRRTS WFCAAYFAAWFLDLLVPVLSLTAVALIAYPPIRPIMFPPAPIALVSAKTGGIQKPKSG TLGSHDSATGAPENHKGEAVEQEASNFVSGVASIALSSATGKHPQGEPPAGDDSSADA VPDPTSIAIGAADAKDKAAGGIPTKKHDKTKVPMETAMWSKMRPIMHGIADATDTWER FANALSPTPPFPQDRYRIRLAAVLAPIVFLSLFITSYMFMKGLTFGVGFGFFGDPIIL PAARYLNREFPNWQKLLELRNTLLKGVPTNAQLTITLLRIGEANKAPLPPPPHIAEPP PDKPADISEEELRATGADYPLNATQEELDEAMTHDPTTAHETAGPDIDSAKNQKHGKK GTKILNFFKGTVKGGVETTIGADKVKAKVGDMHAKNRLGAVQKPDENLKSGPVEFKAR YHGHKGHVYITTKATIPCVAFSTDSTIEKVGTEQREDLHPQWSIPIGEISEMRKVGGY GWKAKLVVGWAMGREVADGLEIVLKDKPRMPGEKTTKPGEPIKYKVTAVPLRDELFNR LVSMGGQKWECW CC84DRAFT_1056656 MSDDKKQKAKQVAAENGVVNPGGAAILGAAPLYLALIPLTSYLT KPDSVVQKLSETLIKLVPGVGISSISSGRAIPALSAIYLFWTFGVSGAASAAGQAMGR EEGFDNDHPRKHVTELDGLPLRLRSAHYALMENFPGFALAAALAQVIAPTDSQVVNLL GFHVVAKLLVHYPAYLANIAVPRTLAHISATSALINVCWRLATG CC84DRAFT_1257223 MDSNQGPRIQGAALPLQPVPGGNVRGLTGLSSGMYGAAVMSATQ LIAAINAEENAALSASTNDTPGTVFNRLVGRIWIVTMELTLPVFNEYVHFTEEPSEEE WAFIEARLQIANTYFFDHVFGEENLAICGHLSQTAWNHLDSYHIRQRANYWRMIPLTH MDIINFMTFIGPYFRKDEYLALLGPLKHYIDFNELYEQNSLGLRRKVAGQDLLPAQES CLNLFQSLGQFFVTYCYILWTTFSHGGLMLASVGEYNFPPTARLRTDFAALYADFKKL ALIPLAYNVPVVEAWSVGGEAK CC84DRAFT_1214853 MKTILAPLFLLAGLAAANFDLYQVDENNSWDGTGAFSQWMIFEA EPDCDQALGKRDSFRVGSSDDVSGDAGVRCEPVEQCYYYGNPSEISTIEMNFNSDDPA KYHFTIYKDRNFDMVGLDGNVYGNCIVFPGDDFQCSEGTWSNGGKRMFRCLTEVTVQN IIDAQGGMVG CC84DRAFT_1214854 MVVREDLVESAVSFLQDPSVASAPLEKRIAFLQSKNLTQEEVDA SLARAAEGPSHASSPAPQSSTPSNYAYRPPQSAPAYGSYPPPGYWQQPPPPEPPKRDW RDYFIMATVMGGISYGLYFTAKRYIVPLIAPPTPPQLEQDKASIDESFKRAFDLLDQL NTDTSALKASEEARTARLDNALGEMESVLASLKESSKRQGDDNRRIEDDVRGLRDLIP KALDAQKDATDTRLKELSSELKSLKTLVGNRMGAGAARPPATTTPPSYYGSGQPLGSA PTANNISGAATPTPAPVSAPATPAPEQPTASTSVSDSQGTSAGTTPAPAPEKPAAASS PYGRMANGRAAIPAWQMAAAKKNEESKKDTSESGTAVEASASS CC84DRAFT_1214855 MAGNPQPQSAPMLDPARTVTIMPARVLSMNAAGGYDPDAITVVG SRPGSYKSSEPLSPSASQTDKAVDNAAAYCDTGTSVAAAESQDIPREHAEPPTGADSP GYLRIAIMRKNILSSEKIFVLVSILLLATVQSLDNFMRVLYQYEVATSYQRSGMLGAL NTVPTLVAAAMTPLISKSADVFGRPETLIASIAFYALGTALQAMATTIQIFLGGTVIW AIGFLGVISMFEIIIADLTSMRLRVVVFYLPALPYLVTTWFSAVLRNALVEACPPVKW RFAWSAILYTVCSVPLVIGLFTIERNAKKRLSPSELENAMRIPVRGCVSRLFLRLRQM DLLGWICFMGIVVCLLAPWASLPLVVPHRQGYGSSPATISMTITGLLCIVIFYYVEKY SKYSMFPSQLLGEKRIVTALIMGFLYHMAYYVQSTYLLIALGIRYNNNDDNSAHIVGL YTFTSTLVGLFIGVIISITRDLRWYLRFGAIFYLASFVLQYTRASGADNVSQLAVTCS QVLLGIAGGLFPFPAMAFVQGARDHAQLSTLLGAYMTACRVGSGVGQSLAGAIWTNAL LPKLHQSLEWIVTENEIDMMYAMPTAHEDLYPWGSPPRVPMVEAFVQSHRYLCAIGII ASMLLIILAFLVRDASLDPLPEDEGIDLKPRQEDVQLKQASETIIPRSLRPSRHPIIM CC84DRAFT_1203751 MEPWKAQTAKQGVKAGFNPSTKKTGVIKNNKLAADARKPGDSNT ANGTKSASGHHFFTADGLRVPLYDARGKRITQARDGRAVAHKISRQRLLDEYVRKYAG NDKVDEMEGKTQGAQKWSKNQIGDWITEVETRAYGRGPKGRTVKERKEGDGASTQSNG LEEREEAPMGRVTRSMREQIMAMLAMGGETADVSAPIPVSKKAPVGAKNVVVTNGGRV VTTNGDANSKRKLPAQDPQATNKKPKLDRTNAGLPYSIIQKPAAATPAQSTSITKAPF NQKAVSTDDILPRKRKPGVAADMPPPKRKPATALSPTASKSTAARPAAPSTNSQTIKA PPGSKPQPKPPSLNTFDWNHAKDDLYLPGSVSCLNKAEPHPFLSTFSLDPTFETTLGC TANTTPLRALSLPASRSHLIMSTTALKLNSTSVHLVAIPDRDLENQRAAAAVKKKVGP PHRRKRNMIPAFLKPGTHGWDYEKHMWGLKGDKDLETGRGHQVDPEDERRVQVGEMAW SEFGEKYPGVKGRGGMWPCGCVVEGSGESEEE CC84DRAFT_1162318 METRKTKLGADHPDTLTSMNNLAFTWKSLGWTVKAIYLMQQCVQ RREQVLGASYPHYLSSLLILEQWEEEQADVELLNRFKDMRL CC84DRAFT_1162319 MRLLQRLPSGTYELTYFDHDDPPPYAILSHTWEQGQEVSYQELN AGAGRDKTGFKKIRFCRERAAADKLQYFWVDTCCIDKTNIGELDTAINYMFRWYQRSS KCYVYLSDVSVGDHDPAAFPITWADAFRRSQWFRRRWTLQELMAPASVKFFSREGKRL GSKITLEQRIHDITRIPIDALRGQNLAEFSVEERMSWVASRVTTVNEDRAYCLLGIFG VFLPVIRGEGEEHAIRRLRKEIRDQQQELMTKQTSSGSHNTERLQTIRPSLVIPFRRD PDFVDRGTLLDELKEKCSAPASWLALVGVGGVGKSQLAIEHCYRMHETSSGMWVLWAH ASSTARLEQSFHDIADRVKIEGRRESQVNIFKLVHDWMCNTDERWLLVLDNVDDAGFL FDAQATTSKIAAKPLHEYLPYYAHGCVIITTRNKEAALQLVEQRDIITLDLINALQAQ TLLTKKLGVQAASSNAAELTALATILEHMPLALVQAAAYISQRAPLCSVAQYLDQFRK SERKRTSLLSYDKDHLRRDREAKNSIITTWQISFEYIQQTRPSAADLLSLISFFDRQG IPKNMLQTQAEHKEDKYNRKFNTTKADCSENDNNLQFECTDSDVENQSWNDSTDNFED DDFDDDGDDEDDVDDTDFEDNDSKDDAFSKDITALRNFCFISISTNGTTFEMHALVQL SIRTWLAANGRLGRYRDQFINNLCEAFPTGQYENWTECQALFAHAKAATGHKLEGASS LVQWATLLYRAA CC84DRAFT_575432 MDVRCIISSISSSYSHTQRVHLYRRALSQAFLILLMSTDGRLPL ISLLITNPFPSPTVVPIVPCPLLTHPASPSKSPFRIFLSTAKQPHRHFHNNRPTNIQH RPASPSHFPYLRPLFAKIDQVHGNGFLHCNPPTCGLTSPGVGEITPTEMRPSRSFAMS TGAPAVSST CC84DRAFT_1239536 MSSSKGQEGSAVPTKQKGSWSSFLKSIASFNGDLASMTAPAFIL STTSLVEFSSYWTEHPSVFVAPAAEKDPAKRMLLVTKWFLSTLKQQYASRSEKLGSEK KPLNPFLGELFLGKWEDEAGETQLISEQVSHHPPVTAYSIWNSKHGVRLQGYNAQKAS FKTTINVKQVGHAMYHIDGFNEDYLITLPPLHIEGLVVGSPYVELNSATYIQSSSGYT AKIEYSGRGWVSGKSNSFTASIYPEDKKKEPIYTAEGQWTSKFHFKDPKTKQIVDTFD HTSIKPTPLNIAPVEQQDDFESRKAWKKVADAINKGDLDTTSAEKSIIENRQRAMRKT EKEQGKEWERKFFKRVERDPVFEELAAKIGEQSNQDATNGLWNFDQEKASAAKSPFHP DVNPPIYIDTDDLSRTSTKSTTTA CC84DRAFT_1214860 MACMGSCFAIVLIDGRERVVEYERRIQNLENLLKERSELQPQVQ SQPLQPLPLHDPSESISLSMWVDTLRTEVEQYPIQVSSDLDPSTYQGTYRMTGSASSM SGFNTSVSHEPSEEAVLPSVDNYEPSADFEEDAALLQDPLFQPPSGLEDLTVNDSVIV PSTMCAKSLPEPELGASLLAEFLVDFNTVYGLYRPNEIAEHIRICYEGYSDGTALAWA SAYVVLGIAHRARAQSNIATPMDNELADYYLHRILPTVSGLLIAPPTLGLVQCLIGLA MLIRTSSHSQPSGVFISTALRIAQFLAYEQEDGDDLSTQVAKVDVEQQRRVFWCAFML DTVESIFSNAPTTHRKEDIRAPFPDENPQDSLGSVAAAEGDWKVNLFALRIRLALLQS DAIEQVFSISARGGDREAAARDVLSRLQAWRDNEVFRHSPEQLMQLLYRSDLMHVLAV EASYFATVFRIHAFLSLGKNPLVNPFSADVLAILAGTKEHGAYKDAERLLTHLTLIPH GDIGVCWMIKRPVIAALTTVLAHHVHALDSTLNSDTMREYARILNTLRILAEKSQDLE LLRARDVCMAMYSRVETGLRVRWLEEHVDAVGIGSNRHSQTLA CC84DRAFT_1162332 MDSVANPAVQLQEMPAGGTTANEEPNMLEKAISRLSRRVAVQTE KSHLTTAAPMRERSSSRKSSVGGVIDEEIAEEADTENVFPEGGLRAWLVVAGATLMLL PSFGFMVSIGTLQDYWHQNQLSDFTARDIGWIPSVFVYLTLGLGLWVGPYFDRYGPRW IALVGSAGYVVMIFFLAECTEFWQMILCLGILGGVTAAMLTCTALAVVAQWFKTRRAL AQGIAMAGNSVGGLAIPLILKSTFPAYGYAWSLRILGFVFLLCLVVSNILLKARFRPS AAAKKKAIISLHIFGDLRFSLFTISVFGFEVVLFGALGILPTYASISTDFPPNTGFYL IAVLNGVSCFGRVLPGYLGDKLGRFNTLLIMIVFTLVFMLALWLPLGTESLPALYAFS ALFGFGTGSWMALTPACVGQLCRADEFGRYYGSMYFVASLATLVCIPISGELVQTVGP EAMVGFFCCILVLSLVSFIFSRWACLGRRWVLMAKV CC84DRAFT_1162333 MADTQIQEGDKVSWQWGSGQPGGEVAEVKTQGEIAIESKKGNTI KKNADPENPAVHIERPGNDVVKRASELEVEEKANGNSEQTNGDSKSEGKQPEPGKADK ESESDNKADEEAAEPEKKDVEMKDAPADDGGANKQADQEDSEKQNGAAEDAEASKGDA DKEEPKEEAQEEKSASKNEKAEEDLQTGDKRKAEESAAETNGAEGDEEHASKKAKADE EKTEDKKKPGRPKGDAKKEKKEPAKKKEPKKAATADGQPRRSGRNRS CC84DRAFT_1185236 MFDWLNAPQDCPSTALHFTKNTHLDVYPEVDPTVPANNLSGKVV VIAGTSRGIESDGMVPPFAKAGVRAIALLATDAEKLASTERKIKKINPAVDTLICPLE ITWVKDAEDTFADIKAQFGHADFLWQNFQVNSKFTYLLIHAFLCQPPYPDMPTVIVNV SSWQTFFIVPQMNGYFMSKFSLDHLSTCVAAEYPSVIATSLYPGFVPTDMFREPFRTL FDHASAELIGGTAVWLCHEKTKFLSGRWIATNWDVEDLFARREEILSSEQWALGRIGV TCLASLLPTRCFGQG CC84DRAFT_1085776 MRFIDTATLALAAGSTASASTLKPRAAAIDQLVGYGAGTTGGGS GAGTTVTTCAALTAAAKNGGVIKISGTLDNCGIVKLTSNTSLLGVGSSAAITNGGLQI RKVSNVIVRNIKFHLAPEGKDQIDIDASTKIWIDHNDFSSAGITGDKDTYDGLLDAKH GADSITVSWNKFHDHWKGSLVGHSDSNAAEDTGHLHVTYHHNLFQQVSSRLPSIRFGT GHIYSSCYINNPTSGVHSRMGAQVLVEQSSFTDTKRAIITNLDSDEDGYAIQKNNIFT NSDISITQTGSLTIPYSYTTDAASGVCAIVNKSAGVGVVTF CC84DRAFT_1194580 MAGTNVSSNRSRSALKPAERIDFTRSVQCLMNLPPKTPIEVAPG VTSRYDDFTATHINNTLLIHVNGPFLAWHRHFLYLFQKALTDECGFKGTLPYWNWPWW SDDLLSSPLFDGSATSLGGDGYYNASMPPFSNGNYTFPRGHGGGCIKEGPFANITTGF RNFKNEEILAGTLPPDALDYAPHCVTRDLNSVISSPSHQPEVVHELLVADSIRAFQSV MDGTVRNTSHLSPHSAGHWSVGTGMQDQYASPSDPVFYLHHSMIDNMWAQWQLRDRET RTFALDGTVTTLNNPPSQNATLDWVVDFGWLDTPKRLGEIMDSQSGLYCYRYEYKEAS EKPC CC84DRAFT_1173855 MSCINILTDIFMLALPLPVVWGLRINKTQKWLVSLSFIMGGSAC IVCLVRLLFVLRVASTPDPTWLKGDSIPSGITSTFELSVGIFAASFPTYRPLYRWLSK GTLEDTTAHSNSFGSGRQWNGRSQAQRPDPHRIIKLTTIRSEVDPRDTNEERLYVVGS NVSTNRDGTP CC84DRAFT_1239547 MPTRFILILLNGVDLGLLPQFYQAAIDGSFNDSMIPKITILVTL LVLQFLLLKATYRASLHPLARYPGPWWAALTDWYTVYHIMKGDRHIDFYHLHEKYGDI VRFGPRRISVRSKNPLKDIYGVSANVKRSQVYASTAAFFGGTPHSNTTPDWKEHAFRR RVNVRALSPANIKGMEEKILQNIRYFHDTLVDGEGQDWSTPRNMSELIGYLISDIMGD MTFSKSFDVQRKPDNRDVMRGLPRAVADIHAVGYMPEIVTFGLHKIFFRNMIADITRF IALSASTFQWRFTQESCNDIFSTLLQARDDKTGEGFSTEQLVAEAGLFTVAGSDTTVT ATTAIFFYLSHYPNCLSRLEQEIRSTFSSVDDIRIGAQLASCHYLLGCVEETLRLTPP VGSTLMREVLPGGLSVDGKWFSRGTDIAVPHYALHHDERYFPDPFTFKPERWLSQTTG CSNAIDVGVAPDLEPEPADSVREKSASPGSLAASVFVAFGVGRTSCIGKYLAYQEVLL VIARTLWLFDMRLERGSTLGEGSVKLGPGRERREEFQTWDRFVSMHQGPMLQFRRREL LSI CC84DRAFT_1239558 MSLIPRLYKPLSPDVESLFDRGQMYKLLEVYEPCISTASAADWP RHRKTLAAPFNETAMGVVWSESLQQTEQMVEAWSSPSSGGITSVAKDIRILSLKVLAA IGFRRSFSFRQADDSVGIREGVVHSYRDALQIVLENLILLMLIPSRHLVYPWLPASLR RIGNAALDFKKHMVKMLDEENTSMNRGDKGSGSLMTSFIRALDEWNKGVESNCISGAL SDEILGNLFVINFAGHDTTANTLAFSTLLLATYPDVQQWVAEEINNVSSEMVSADWKY ADVFPRLLRCRAFLVSFMPIVSS CC84DRAFT_1114769 MSFETLSPPRAQALALVVLALVLIKTSQVLLRAHRRRQFARQHG CEPVTAQYPHKFPYLGMDRIREVRQARKEHRMIKWVHQKFREAGQYTQSIKIFNKTII VTSEPANIKAVFATNFNDFDTAGRLDFIGQVIGKGIFTTDGEEWKHSRAMIRPNFVRA QVANIDAIEVYLQDLLKLLPSDGTSVDLQPLFFALTIDTSTEFLFGESVHSLRGDEPG KPSGVAFAHAYDTALQECAIRATQSPLARLMGQSQQDKQNCKTVHDFVQRYIGEALRW RQTWDGKEKALPTGQYTFLYELVKETTDPIVLRGEVLNMLLAGRDTTASLLGSMFFQL AKRPDVWERLRAEAAELHGAKPTFEELKNLKLLQYCMKETLRVNTIVPTIVRNANKDT TLPSGGGKDGQSPIFVSAGQMIFCQMAVMHLREDIWGPDAGVWNPDRWATLRPGAWDY LPFLGGPRVCIGQQYALTEAGYVTARMVQTFSGLTSRDAGPWQEKLTLTCASKETNVS LTLA CC84DRAFT_1162336 MSLGRCYAGHSMEHKLSMAAKHGLQGIELFYEDLADLAEPPTPS NLLSAAAYVRSLCTSLGLGIICLQPFMHYEGLLDRSKHAKRIEEIHLWIQLAKVLDTD LIQVPSTFLAAEEISDDVKLILSDLRELADIGLQHSPPIRFAYESLCWGTYVDKWELC WDIVTRVDRPNFGICLDSFNILGRIYADPTSPTGCNAGAEEEVQASIRRLVQQIGPHK EKIFFFQVVDAERLEKPLLPGHAFYNAAQPARMSWSRNCRLFYGETAHGAYLPVRDVA HAIIKEIGFEGWVSMELFNRAMERKDEGVVEELASRAGESWRRMVVDLGMEAEAEEVR KKSIVRTDSGTDIVEREAGLARL CC84DRAFT_575803 MSAAFRQPVKLAIVGTGLIGPRHAEAVLRDANAELLCIVDPNPA AKAIAGKFGCAYYASIQGMLASTQPDGAIVCTPNNTHVALSKELLKDGVHVLCEKPIS ADSESGQELIDCAEASGRHLLIGHHRRFNRYVVAAKNALPSLGKIVAVSGLWTIYKPP EYFEPPMEWHRAESAGPVLINLVHDVDILHYWFGPIVRVSAEKTMSQRGHPAEEGAAI ILRFANGIVGTFLLSDAVVSPHNFECGTGENPTIPTEGRDAYRIFGSESSLSFPDMMK WTYAGQRSWTGPLACEHVDVPDMKIPFELQVEHFVAVIKGEEAPSCSGIDGLRAVMVC EAVKKSIADGCPVEIPIRS CC84DRAFT_1085540 MPTQGQNKRPTTDERPAKRSRVSRACDQCRTAREKCDGTQPSCL TCSASSRACTYTAPPKKRGIQPGYIRTLELALTWLFQNTDCEAVLNKKLVQEGTSSIF LGRDTKESNRLHKSWRKSKFCKDVDKLLSGEQIVPGDDPSPQSDEEDSEPEGEQPGLQ DQLSVLPPDDEISPSAHFASPQIPLPIQQSILYPHKAAEVPTLFHQQLVSATPLPGST WRLLEVYFAYTQSWLPICEKHDMLRVSYSYPEAGLVLSDSNLSDHGDHAELWSVLAVA AHQERISTQEQERDIIQDPARLYSVARSLIPTESGSFAVGHVRALLNLAVVSLASGNT EVAWLLVGSASRILTVIERSSQTLPTRWKHLLAGCFMLDSFLSLKLQRRPYLLKSDAI RSGPIEEDGIEEWQPWNPPLNSSSSLFSRTPALGLSSFNKLTDIVEVLNMCCLEGTTG PQHSPQNILHQLESWKASLSTKFSYIGDELKATPLNPPAILLQLTYLSCVASLLTSPT HIQRMSEILTQYVAQLGIAAVPPIILCLLAHVQTNGMFSTLDPRLKSSVRRHEADIIR AWSTTQIQRSAPTPIVAAQNRSTYQIPTPESIQVPFNSAYPQLENSSRSGRHRGSASL LDDLLPDMNPTIPTSHRPAPQQDFRVPSADEDPRRPSLRHRNSAASRDLETFFDELAS LDGAEMVDNQPQFMQNLGFAPDANMADFLALELGQYIPANSSTFMPQSNDPAHLDPVF FDGT CC84DRAFT_1141014 MASVAVGTKRTFSAMVGDTARSSDWASPARSPSSHGFERPRVAG VEETYSMQSSARSTPRTTPTPIPPVESRRKFDPNASIVLIGIRGTGKSTLAIMASIAC RRRVVDVENVFQEATGFSTSKYRKQFGASNHNLRQEELLRNILQSHAKGAIIVCNGSS LERSGQILLQDFSRSHPVIHVLRDIKSVHDYLEVLEFAKLKDIVAFSAPMFRRCSNYE FYNISETKAAFSVAPANQPSVPAFLTLKRAERTFLKFLSLIVPTQSEDEPLEQGLRLP SAAERAGFPLSGVPVELRKYTCAVQVPLTDLCSEDVDIENLEFGSDAFEIVVEPEDVD LYHFDNPSRATMISRAVSRVRRSTVVPIIYHVTPAEGPQRTSYIEHVQHGLRLGPEFA TVDLRLDEETVLNVIETRGSTKIIGHLHASTDWYDAFWVDNYERAMRLGCTAVRFTRP ANYMDDNQSVQSFRNKIYDKKDRIPLICFNTGRAGRRSACFNQVLTSVIPESVRDSPS FTERVQRNPETSWLSVREATHILYASFTYDPMKFYIMGASAGYSLSPAMHNAAYKACG MPHHFQRLQTSTLNTLQELVQDVSFGGTAVSQPFKLEVISLVHSMSRHARAIGAVNTL IPVRHLNKDGSIPGDLELFMERNQSGPIKALYGDNTDWIGIRSCIRRGLSPANAVRPT TCGLVIGAGGMARAAVYAMLQIGVKNIIIYNRSHANAEKLVAHYERLVSSASSTGLLP TSHRDARPTFRILRSLDEEWPTDLRPPTIILSCIPTHPVGEAPAPSFTLPKQWLHSPS GGVVIELAYRTLNTPLMKQIREETSGAWVCMDGLDLLPEQGFAQFELYTGKRAPRRVM REEVLRSWTDEQGRGDPAMVQTRLEAIDDQEP CC84DRAFT_1162338 MSYAAPVDARNTEETVSLTSLESGADDFDRRMIQTVRDARRNDA PTPKVHAFSKARVRPRVGVTLENLERHNAKNSVTLGPNAHVKFQSPPSSSGSTRSDPA LNVPAGWGRKGRVRRNWMRDITTDEKREPVAQEDTVDRLALDPEHTPPRDADEPRQSI EDSPLSHKSSFHGTPSSQRRRSIEDWSFDMNEASLIASTPYRPRNTMLEDIRQREIES LKEQGVATDRLDRIRETSPEEIRRPRSASTKSATVQENVTKQEETSPEQGLSELRLHK RNKSWQAVGKAPAMTGEGTEGSPIVVYKRSSETIGMVDSRLLAGQSNVKPPAARRDDS RDLLRRLARASSTPSPGRPELSRPQVAPTSQTGSSSRTMVTETSPSAHTVREGSGAVS VTEHIGSEKGHLLAETDQAQNTEKGRESRVGQLSTTEIQRNTINATPKPAERSTFNPK TPVVTGAWVDTMVGTPGPSTVQKPSGPSTSSTSPQKGSPRKQLPQDTPAEDQQPTPEV SKPTLPRSVLGALVEEARASGQRRPTDYGDSTINSLEELMAPIADTSESGEPDEDTIP LDISTKPRTEAERRRQEELFHIQNMDRRLRSTRTSLRDTSRGIRRVEEQIERGGERTT IILDGNENEKSIDREYKCPCVETGGHQSSFWQISKLLFYDKRLKPKRRGWGLTWLSIF LLTFVAWFILENICCEIWGHPTFASSYKGYGVVWGAPEYPYVLPTMTYRALIKPWWRP LHTFLSWIWGAVGFENVEAAPRARTTATATGFAERILVRDQARVAFEEEAASVLGMTA DEVVR CC84DRAFT_1162340 MQFRHFPILALASLVAAQDSGNDTQSLNATLAGNDQLSNLTNFL SQYPSLLSALSQASEITILAPSNDAFTEFADTDTGRTISNNSDLLSALLQYHVLNGTY QASQVTNQSAFIHTLLTDETYTNVTDGQVVEAVQVGNDTVFYSGLLQNSTVTTADQNF TGGTVHIIDRVLTLPPSILEAALALNLTSLYGAVNLTDSVEAASKRNITVFAPNNEAF NSIGSALANLSSDDLQSILEYHIVENVYYSTDLANGTTLQTEDDDRDLTITTNENGTV FVNAAEVVVPNILIANGVLHIIDNVLNPSNTAAPSASATAGAPGFTGSAVSEQPFTSG QPTPTTQVNPTSEGAGPAQSTAAGSESSGLAAPRCTGGVEYAALVGAGAAAMVWGF CC84DRAFT_1162341 MFPKTLTRLALGILFPSLIAKANAQAQICRSNEPFVYFNSSKTV ALPALNNNGPMLYDDPDETWYFSTRTVYKTPGADAMTTMWLNTGNSSMYDIGSCWQTT WTHGINGFTFSRDVLERSVDDKGDCKIMLGEECIAGLKRHYLDAAMRSASRASCDGDI FNATVPQECAGLVSGGSVWRGGLFGSEARLDYALNETMLEQEGCPNNSVAVNNSIHGG SGTGGSYNHAVRFPQPYFLTFWPNRTHDPRSAGMESDYVRVELLCLRTDDIEEGSPVP PSAQELLDAQGVEYAGNASNRSSGSGGGEDSTGGATAMKLMAPFLSAAAIAGLLLA CC84DRAFT_1173866 MFTPRKTSSASLVEARIPRTHKTTVMWTSQHPEYNINEDLPRFT RGPNGSRLAPVSQYNSAAGRELAQEHTTGQTMGSGSAFQNNLPGTTPSTNAEPTRPRN FSLTLSSTHKHCGRDALPSQNPLFSPYIVSHDTSHAFIATGRPVPIHHPISPTASVSQ VPPPPTQKKNNDTPCPLCNQTSSSPSPSSTFSHICRECKRFVFLPPPAAHFAVAALQP TKPQPPIPPRKNIPQALKCVGCVAVPGYLVEELDRVCAGEEMACLICGCLSREGIAEL GAGGLAGLGASGKMKAWLEAVAAPRQGRDGGSGGVERSPSEPSGSALAEDVVASRSAA KGASLRRTGAVKRGKERMDRAGRATPGRGKPWVHTNPFLEDVSYIRGSEQMGEERGLS ASSMGSFWLAGRGSASIGGEYGRGRGPGCGTRLGDAGHRGGAEAGHDSSPPVKEMAVA SLRLGACAVRKYTFQRLARLFEGGNAQDCSGRSRRGWLGSTPHTKAMGRVPRNAKLRD GSNTHRDVCALVDATCWIRNWMVREIVLTQKWLVMCRERSRRAQMPDAILQERKIEAS NLKQQGLQDRRGTKALQETQGLFTYQPPLPIPAGRGDQGGRMGTRRCVVLFVG CC84DRAFT_1086883 MQSSSLANPLATVAQLETSGSQLDGVPPDLENSIRFAGAQLTQA AGILLRLPQEVIAQALVVFMRFWAGGEGGSLVELGAEQVSAASLYTTTKLSAWPKSPR SVINAYAYLSTLPSLSPPPGQPRPETYYVSEGTYQTRRTLLFQAEQRILQTLGFHLHV SLPYTLCITYLQALDVFSHPRAAELAKRAVAYLNTALLSPQLLYLTHQPSSLATAAIY LAAKETGIKMPDVEWWEVFDTDREELGFLVVGLLSVESFAEQERAKWQGRKIPMTVEE LDAEMKRGSRDD CC84DRAFT_575886 MSMMALRRNPYRKCKFEEVLDTAVVGQESKKRKRSCAVEVPAMR VPAKIQRVAHNKPPCVPFVSTAQKSPFAFFDLPREVRDHVLSYLVVRRGRQLPLLEAK GILREQKKRATVLRNREKQNIKRAQTGRPPVAHRDAPTESIVCLDAMRASKTLYHEAK DCFYRCNHFAVSLDSFPATTMEIPAGWDCSRIKRLQLELQLKDSPRMNSYIDWTSFFA KFPSLVHLRIIPSFHPRYYEWARPELDDWNTAHFVFRAFFRELLASIPEDLSCKLGQS MDPQEDMQLEGKAPVSNKVLWDMYTDLGPRSGIRRHAAQMFDLVQRPSHVNEHWAHR CC84DRAFT_1063702 GRKRKGSLRQGLLGKNMLRRGPQEDHQPSAPPALAELSNTNMAE TMPGEETTPRPANYQHATRNSPSELGWKQTAASSVSASTTRSSSYELDEPNPGMQASD IARSATPYASTTDDDEVVPFYRSTTARGCEPSSPAAASAVQHRRPIRAIQHTSLSTVQ SPTDLALEEEWDYSETEWWGWIILIGTWVVFVVGMGSCLGVWSWAWDVGETPYAPPEL EDDDTLPITGYYPALIVCTAVMAWVWVVVAWVGMKYFRHSKMVGDDG CC84DRAFT_1086033 MDALSPMSATFPSERYGTLAPSDSLSQKASPSTIYSPMSASFPY TPASAMSGASDAEAPSLSSAGDARNPFNFQPVTYQPNKPAGVKNDIGRRRGHKYKHSS VSHQIFLEPPPRAPLQLPASLPVPTFKEYRASMSKEQRLRLAWCFCHLCVAGLVGWGA HESLALTVLSRLIFYDALGAFLCVAVDIGSNFEVWKRSTIRHPFGFERSEVIAGLGMS VGLLFMGLDLISHGLTHALEDKGGHTPHHAHTHERVSPGSIDLAALSGIICTVVSATL LKNHARIGKVMRLGALANLPSVLSNPSHFLTLSCSALLLILPLLSIQMYVWLDRTLSF SVALAMVALGWVQGWSLGKMLMMSYSGPGIPAVMYDIETDPAVSAVEEAKFWQVHYGL CQANLRLRVRNLEEIGRLRDRVASMVRNRLGGGYGGGGGQKWEVSTQITLEKD CC84DRAFT_1086967 MDDELPATKTAKLACGHRMCHSCLKRQFTLSVSDPKHMPPTCCN AEHIPLQKVERLFDDKFKRLWNKKYEEYTTANRLYCPTRGCGEWIKPSKIRMDLTYGR KYARCGRCSTRVCVLCSGKFHTRRECPRDEETNRLVEMAKEKGWQRCYNCRAVVELKE GCNHMTCRCTAQFCMVCAAPWKTCNCPWFNYAHLDEDDRLNDMRVPYHDVVVVEEEMP SQPDPVPLRRASTRSRRRPERERDLDRADDILAAHLQAQLRMDGPPTASELHRADPVV GVYGLGNSGGHHMNDSYAVRPLATAAARTATRSAAPRSFFGSRRVVRETHREAPRPQT VHTVQTVRASAMAGLSRDGSKRGANRVGTWLSHVSVDEEAIHTAPRDVEVDDWRVDGS VRGID CC84DRAFT_1257250 MSFFRSSIVRASTLRPTASLAPRAQFHTTRVLCAGDYGSGDGNP NAENPQQQGKRGREELEHPGPAPPKAGQGNKTSPNSDSFNTSSTGNSTQSGGGSSSAE KTSTSGNGMKAEATDKDVKGVKGAQPKIHNEGAPKEESEDVKKHNREMDQRAEKAHER SD CC84DRAFT_1141039 MRFSTVIALVVALAAQATANSWFGKSVYNKWHETELERWLSDHN IPYPKPSDRKDLENLVKDNWNDKVVTPYNSWDTQTLTHYLTSKGQQAKKGTEKDAKSL AEQVKVYWTETEESANQAYGSVKDWIFDSWTDSQLKAFADKHGIPVPQPRQRDSLLKA VRENYQTTANKLSESVNYPGDWLYESWSDSDLKAWFDARGYPVPQPSTRDGLIANIRR QSRVASLNLQGAYAQVSSSAAAAQQSLSDALLDSWSDSQIKEWADKNGIKVPQGSKRN ELLALARKHRARLYGDSASATVESAYGAATSNVGSQYAAATGGFAGYTNWIKAQIGLA TDAAVASASSASNVAGSSASSLSGSASKSAASASSSASKSAASASSLASKSSASASKS VNSAYTEAAASAASATDRVKNEL CC84DRAFT_1162346 MAQSILLLNGPNLNLLGTREPATYGSTTLSDVLSAAESQCARKK ISFAHLQSNHEGVLIDRIHEARGKVDAVVINPGALTHTSVALRDALLGVDIPFVEVHI SNVHKREPFRHHSYLSDKAEAVICGLGVYGYEAAIEFASRSIKPRKEA CC84DRAFT_1114809 MADTDISRADLDEIYAFAIQLGKDAGRMLMAAAHARMGDAGSSS QKAHTQKMNAVDLVTETDEEVEAYIKSQITARYPAHKFVGEESYSKGSSRDYLIDDAP TWCVDPLDGTVNYIHLFPMFCVSIAFIHRSKPLIGVIYAPFLNQFFAACQGRGAWLNE SQALPLVRNPVPPMPEKAPAGCVFSCEWGKDRRDIPDGNMHRKVESFVNMAAELGGRQ GRGGMVHGVRSLGSATLDLAYVAMGSFDIWWEGGCWEWDVAAGIAILLEAGGLVTTAN PPHPNEDTAPIEEVSLGSRLYLAIRPAGDSPTETGRQSQERTVREVWKRVRNIDYNRP GA CC84DRAFT_1141049 MAAQQQEIKDSRLDTVERHGYLFGHPIAHSLSPLLHQTIYDGIG LPWSQFPLDSTDMDLFLRLREDPRFYGAAVTMPHKVAILPHLDVLTPEARAVGAVNTL FLRQDASGKRLFCGTNTDVVGIRDSFYANVSDPDASFHGRPALVVGGGGAARSAVYAL RTWMKASKVYLVNRDREEVRAVIEECTARGYGDDLIDVSTVAQASALEGVGAIVACVP NFTPKTAAEKEARAVLECFLHKEHKGAILEMCYHPSPWTEIAEISQREGWKVVLGTEA MIYQGLEQDKYWTGREVGEMPVQKVMEVIAGKLSEARL CC84DRAFT_1257255 MGILAFKEDRPTPKAVYNWRVYMAASVASWASCMIGYDSAFIGT TLALPSFVKEFSFNKMDPDELALTKANIVSVYQAGAFFGSFGAYASSYYLGRRKSLLI WCTVFILGAGLMLGANAERGLGLIIGGRVLAGLGVGGASNMVPIYISELSPPAVRGRL VGIYELGWQIGGLVGFWINYGLQETMEPSHKQWIIPFAVQLIPAGCLLIGAIWMKESP RWLLSKGKRDLALKNLCWIRNLPADDLYIVEEVAAIDAQIEHDRIHVGAGFWKPFAAL KQRKVQWRFFLGSMLFLWQNGSGINAINYYSPTVFKSIGVTGTSTGFLTTGIFGVVKT VVTVIWLLWLIDRLGRTKLLMIGAAGGSVCMWIIGAYICVRGADTKNPAAQLSGGGIA AMFFFYLWTAFYTPSWNGTPWVINSEMFSQNTRSLGQASAAASNWFWNFIISRFTPQM FNKMGYGVYFFFASLMILSIPFVYFFIPETKGIPLEHMDELFEIKGTRKAHGIMMERL QREGEEFRHDAEGAGLTVEKTKNEQVESV CC84DRAFT_1141053 MPTMDEKEMQAPALDSTATTPRNLSKDKIEVASKPGYEAPKAKA ERLENARGDESDNLIHDLEKELEASGYKKGFFEMEFKNPKHFTWILVAFASMGGLLSG LDQSLISGANLTLPHDLNFTAQQNSMVNSGMPLGAVAGAFLISPCNEYFGRRWAIIIS CILYTVGAALEAGAVSYGMMVAGRVILGAGVGLEGGTVPVYVAETVEARMRGNLVSLY QFMIALGEVLGYAVAAMFITVKGSWRYILGSSLIFSTIMGVGILFMPESPRFLMHKGD TLEAFKVWKRIRGIETHEAREEFFIMKVSTEEEEAEVAAGRTNRFPWMDFFTKPRARR AIIYANIMIFLGQFTGINAIMYYMSVLMSQVGFNTYDATYMSLVGGGSLLIGTIPAIF LMETCGRRFWAIAMLPGFFIGLVLVGVSYQLNTLSAQLGVYLTGLILYELFFGSYAAL TWVIPSEVYPTYLRSYGMTTSTGWLFLSSFIVTYNFTGMQNAMTKTGLSLGFYGGIAV LGWFYQILFMPETKDKTLEEIDQLFHKPTRQLVKENIKSSMEVTSDLLHGRFHKVFIQ NNQRGHE CC84DRAFT_1085979 QEFIGTGWLVNSTTVATAGHCLYDREDTGGYLHLKLGVVGFPGD RDFGQYLYEHWEDVDIDLASNDVLLSYKIDTTGGQSGSPILRSDLKAVGVHVAGGYPN IGSCIGPAGNRFEEYILALDVKGGKSSSNASVAKADDSFASVPGFQIVSVSPTAFKGP NEKARPITNGNLNGHHYDDVENEVAKTPKGSSELEKMIDTLFGGSKKPTPKGKIATGK ITNLPEVLRIDRNETGEATPPSMDMAAIMKRAGDQNDPPAVIRVNFPTSEMMSGKARE SYVSVKDMIASWSAWATTTSERQVQLQIDKKALSADAKGAFARSSHRAMVWDWLFRQS TCVNDTSKQQYWIMLTRYSYDEYSDSVDACIQDLKRYTVGKSSYEEVNIDMIFSQYEA NFNQKIFAKIQDEMDGNQVAMGAELMKKSKNADFEIPVSG CC84DRAFT_1173878 MPFIAILIQHSSSLLPAGTHPGFLRLSMIPKGLPTGDPALQCPT PRSTLSPVPTSKPTLKRFKSLSTRTGPKDDPFRQAQADSSPLIAIPDEDDDIFGTPCR KKRPSQRRLRGSRNRTEEHRDDDELASLLENWQAFLTPEKLKRKAGHTEPRAIGPNLR PTPKGSPLDSGAWLARHRSQSFASSSPVRSTPGSTPLQQSITEGPHIKRPRQAIANLT LKRVRCADEDEIGDYSSGLPPPNAKRTKLLSTPMKTDYEELHESKLQPPAPSKNDSPG SITQRWPPSLVNLSRKKAIRTAHASTSGLATPDASATESPSPTSSERGVRIFSSSQDT DDRRPGADDTLSSTSASSITLSPSPDTTPRSIKPGKNLSAKIVQTKRKRGAQEAGLSP TRPELKRAKLAKPPGNTEKPTALVRLDPKTDAPRRKLTTEKSNSNKTCMLARLCEVS CC84DRAFT_1214889 MSVAGLESLAAAISVVHIALVKEFKTSREDPTFALLYVGVWTLI ELNIAIIFASLPPCL CC84DRAFT_1257258 MPFKDILAAQASTLTQPQMKYTRLGRSGLKISAIVLGTMGFGSP TSSIGPWVLDAAASLPLLKYAFDKGINTWDTADFYSQGKSEEVIGEAIRTYGIPREKL VLLSKVFFGVDEGEVVGEDGALDLGKAMVNDGVMVNRVGLSRKHILDAVDRSVERLGT YIDVLQIHRFDEDVPPEEIMKALNDVVESGKVRYIGASSMSAWQFQMLNNVAEKHGWH TFISMQNYHNLLYREEEREMHPYCAHAGIGLIPWSPLAQGKLARPWSTSSFRNDSNAF SAMFASTSADKAIVDKVEEVAGRLGVSMAQVATAWSLSKGVNPILGLQSEKRIDEAVG AVGLVLQDEDVKALEGAYVAKGVAPLW CC84DRAFT_1194600 MEQPSGIPRPSSGIPRPTSRLPVLRPAGSQSQLRSTPSTEQLRK KPSLQPPLQKKPSLSSVSRISQPPPSLQKKPSRTSLARSSIVPPASANPSSNRVSVLS TKRSIPSLAGRNSAADAPVFKKPTGRPPSRQTRASVQPAKAHNGAQNDDVLGDLDAFR SASRASSRASSRAGFHETEPQYALDIDEDAPAPAVRKSRPSLRWQGASEVELLQQWGL NGSAAETCLSVCRLHHKEALLSLSERQCLHDRHIWNSQQEIIHRPTSFHDKKAASFSV SKFAVNAKCPAAIQQQHRGGEDAEITDFYCRHFWSSYITAGDFTNANTPKPSSSQALR DQIAKAKAAKRANVTSPPRPRTNTPPRDAIIPDPVEIASFDFGLDDPFNQRPKGASLL RKRVDAARADGRLNLAAMDLDEIPEEVLKMYEYDPEVNTAWGEVVDLTSMIMADNNLH VLPDSMFPYVDYDAVMESEDVVPQFGGILNLDLHGNAFRELPLGLGRLPQLSKLNLSR NKLTTDSLAVVFGITTLRELKLAENQLTGALPSQIENLTSLETLDLQANRLSSLPPEI RALTHLKVLNVAENKLTGLPNDVFTSMPVVDLNASKNSFSGTFFEVETVSNLQTLSLA NNQLTSLCTSDTISLPSMKHLDLSMNRLSSLPNMAAWTNLVTLLIGENSLSTFPEGLC SLKQLRNADFTANSINKIDESIALMEGLENLTLAANPLRERKYLTMNAEDIKRDLMSK LDPGVVPDGDMLQDLAGIKDLEAEINGWKLTPSGALDLSSHNMTEVDEDELASFAESH NIKQLYLQQNQLTRIPMAVAQLSFLTVLDLSKNSVTDPLLESLELPKLREIRLGNNKL KSFHAITSKLSAPSLHHLDVSNNKIAGSLPNLRESYPALLTLLASDNGIDEVSAESLE GLKIVSLSNNEIARLEPHIGLLAGTLTSLDVEGNKFRVPNYAVLKKGTDAVLTWLKDK IPSPTDEFDPGSPAF CC84DRAFT_1257260 MDSQAPLSQASQESVLIPSTQPSRPATPALPSASRSASPIPKME KFTSPAPRTTSSMTPPPSSQLPDTRSVVRTPSPPTPGLSSPPPTSKLPNQPSALTESA AALYTPEQVDNASTEELRAMVNDLTGKLRDVRLSAAHHKLQYNMAVMERQESASRMAV ELAMAQREIDVLQKAEEKRRNESKPEQTYQESPNVAANAIVMSEMNRQIQLLQNENEE LRDLYDQQKRLTEHREGELAGLMEENDRLKSRIRKNRDHIAPYLPYLEQMNESPRSAF GTPHATPRHKLNRVSALSDERSRGQSNFEALLLADKMLSQETATAPSTPARSHGPRSR FGHTRGAQSMSSLPQTPRARAAHVPLEIPRTPTTFSAINIPQSAPPAHYQQESGAVKA AHARRESSNSTITASSVDEEEAYTDREEEVTESQASQLATSMLRRAPSVPKRPSAPSS QSSNLVQSKIFGQVKKGHGLTRSAELDKKRQLSEHHASPTKRGRIDGGGVGLGIGLGL RD CC84DRAFT_1162354 MAIKHNQQIQKNHFHKDWQRYVRVHFDQAGKKKSRRDARAAKIT KVAPRPVDKLRPVVRCPTVKYNRRVRPGRGFSLAELKAAGIPRKLAPTIGISVDPRRQ NLSEESLKANVERLQEYRKKLILFPRRNGKTKSGDASAEDVKAAKKGETVTSTSSVFP IVNKPIFEEASIGSVEATENAYRKLRDQRAEQRYAGAKEKRAKAKAEEADSKKK CC84DRAFT_1257262 MPADPNPANASISHRTYFYPLPHPLPPGTPIPYSAGLPSQNPLD LPATPVEPTSSLVLTSTTKQFIDVRVFKPILEEDPELPNEGGPRARLDWAFAGTSYSV PIPDPYPHGVTEHAATASRHAEHHRAWPAPITHATWTHWLDSRHPISSSPTGMPKDEG TMFPLSATQTLEFGEGINPATGKMWAYEELWTDEAPRAAPTREEADPLVYSIVLRCED EAHDVRGVVMRLGRYCQGILMKGGYVSVERWEWVVEDGLDKEVGGWKRSVRIGDQFLP CAPTFTPAVLSVGGKVRYWDYEWVCEEKVAWREGPGATEAGVRERQE CC84DRAFT_1114836 MLDLSGRYTVDLPLTLFCFCQLRVYPATTINTSKIKDDDIGGDN HSVASFSDDAALDEDIGVAHYDEIHLSDPMTFDEDLNFQDLGDYVRDSSPVRLQGYDD YIGANAANDDLYADDGARSAKRSKTTLAVRSKNTQPAHYRHTTLAAERSAQRVTADDS VNYHIEDDVGIAYTGPSRLAGTFGKINREKGSTLPAYHKKVSHELDSDDELMMVMREK GFSDKQIADRLLKAKRVKYDSKSVSTRIQRIKVVQAQRADFELENGIIEWKMEDDHLL LRAYDIASIEIAYEIERLRAWRFKKTAEWMRRMNKTSLFSGKACHARYAALLDGTATI PCDVDDDPAARHTAMAAFRAEKEAERDAEREAQEAAAAEQERIKLEAAERQAALNLEK AKKQAAKKKASNARATQQATKKALEARQAEEYLRKKEAAAQEKAKKKADAEKNFKLRQ DFALRHFRNVTEETPDPRRVLSIADLRMLCRARKMNDYVGRKEGDAKAVLLKRLQDAD EALRATKLREMVREKGIPSGGNKVQMLYQLALFAARECDSYVANREGEEVDGGDESEG MEVDGMV CC84DRAFT_1141075 MSLSYFNVKKFRRQSKQAEPTEETVEKAVDAAVGKPASPPAPAV STPSEEPAASPVLDEEDEKFMARLAAIAQEPEGERPPLPTRPAEVVENGEKKEGRDAQ EALMDGADKVPLPMSPPEVTVSDADKQGLGRKKSVMGYFALAQSRFKKATEKKDADGK AKEKDQKKAATITPKDKQRAADDLLSAAESAKTEEQKEKEKEQQDLTAILDDLNLSAV NNRVFSFSKESEELFGKFTLVLKDIVNGVPTAYDDLEKLFTEYDANLKKMYGNLPPFL QNMVKSLPAKMTAALGPEILAATAEKPGFDAKQKQTWQDGAKSYAKKKAKQKAKIPSL KSLLSAEGAVATMLRSILNFLKLRFPAILTGTNILMSLAVCLLLFVFWYCHKRGRETR LEKQEREKNNLTAEGPEGGDSALASSASSIASDADSIFASNANLRGHGNGESSRQGAL QEETQPPLVISDERGQGLEQEKPRATVADLPSVKDLPDPAVAR CC84DRAFT_576397 MVRAGVLHAAIRCFLVDCHSCNVLYTFLYAGRMLSICSSSLPCA SIFSPIAGWADCGSCCSLARNRFMMYHLRHPLEVLLKPKWKNVSPW CC84DRAFT_1087532 MSPSTTLPDPTAGEPGRAASTPAAYSPSDVPTRPRRTTAQSDIH ETILHPGTVRINVQGAFIVSDEPTTPRSDDYEHDPRDIRLPNHTAVVSHIAVDARRSL AKLVYFSREPEDSLGGRLNFLKFETDRIDSCIEFMHKLQADYRRQNGSGPEELCVMAT GGGAFKFYDKIREALGVEVIREDEMECLIIGLDFFITEIPDEVFTYREESPMDFIPYP PQPPSIYPYLLVNIGSGVSMVKVSGPRQYERIGGTSLGGGTLWGLLSLLTGARNFDDM LKLAEKGDNSTVDLLVGDIYGAGYNKIGLKSTHIASSFGKVYKMKRAAEDEAEDGCGN GASSHYSEHDHVAGSSELPHPPAKFRPEDISRSLLYAVSNNIGQIAYLHAEKHNLEKI YFGGSFIGGHAQTMHTLSYAIRFWSKGSKQAYFLRHEGYLGAVGAFLKRQPRNWGRRG SFEGR CC84DRAFT_1141080 MAYHILLLASLGIWLSNASPATISKRAALDDCLKTASVPTLASG SADYTQSLKPFNLRVTFKPAAYAVPATVKHVQDAVACGAKNSVQVTAKSGGHSYGSHG LGGEDGHLIVDMRNFASVTVDQTAQTAVIGTGGRLGDVATALYSQGKQAISHGTCPGV GVGGLSLHGGYGLISRLKGLTLDNILSADVVLANSTLVTASPTQNADLFWALRGAGAA FGIVTNFKFRTFTAPESNIVFNYYFSPSSATALATALTALQDFTRNSQPPELNMRLFL SGFTTFSGVYYGTRADYDKLMNPLLSKMGISSGSVSTNTWLNTLTSFSNGPLKQVTPY DTHENFFAKSLMPEYLSPAAITALSNYWSANARSNSRSWYLLFDCHGGKGSAISNVSA DATAYAHRNATFKMQFYDRIYNGNYDSSWFGFLNGWIKAISDASPGVNFGMYINYADT SLTKDEAHSHYWLGNYEKLTKLKAVWDPTKVFEGPQLVGS CC84DRAFT_1239566 MAPITSPSGRGPLETTGRNLMNPHHDGIIQMGFWVPNRAYATVM HVYPLRAEISSRRDGNTPLTLHPTVQAFKTWVEANSVYRMWINSMIAQANDYVLTCGI QNDIGILKDGDGLWIPGFDWFFEALSLIIQTSPSFNTTVQVGTPMNAFLAVGMGTPAG AALFHDAAFNAQFKKVLDAWNTFLKSEASLDKLDIADPEKRGSWISKKAFDAGVWNEM QYDASKPGYGFDSWNSFFIRPFVQDARPFQGDATQVVNLGCESTPWSYVDNVSAADSQ FWVKDVEYSLPDLFGGRKDIAGLFEGGQVYQGFLSATHYHRWNAPVEGKLIETWVQPG TYFAQRPSQGEDTGTWEGTESQPYLGHVATRAIFLFEHATIGFVAMVCIGMVEVSTCK IAEEYNTEGKISPMKIERAAEIGHFEFGGSTHVMVFQRGKVKLADWAVNAKMHQSDPK PIPMGSVIATAITAP CC84DRAFT_1194609 MISGPQVPSLFTSLTNADIGPGITVSRSGRKFSNYPPGLDANNT NNGSNDKYTVAELIGNNTERAYPSAEINSPPGGSINYSTYPASGANYQNYLIGVQSVV LDPLDRLWILDTGRALTPNSTLVPASYGGPKLVCVDLSTDAVVKTIIFPSTVAYADSY LNDVRFDLRSQLTESGQGVAYITDSSTEGRNGIVVVDLGSGESWRHLDGAAEVRSNRG FVASVWGEPTYYIPGPGQPLTYLPFGADGITLGADGNDLYWTSFGSRTLYSLSTERLL DRSLHSVSDGMETDTNGFVYAGNMEQNAISFFNPNNASSTLFVRDSTINWVDTMSTGA DGYLYFTVNQLSFSAAFCPGTDRRVRPFVLMRAKLPGNGTRVSVQ CC84DRAFT_1141083 MSGADPLSSVALRPWPAPAKEALSTEDMFAQVGQLTAERKQWMR DIKEQALLDDIAAGRDAILESVEGGKQRDQDEVLSHAAMLEKLGKARVEVHSKLEWAS FAAGNALNLVSLILSRDLAKHPGRKESENIYTPMFQAQNVPRSSVGLVKEALVEQDPS QMRPEERARIEYTQRRKVLAMKGSRMGALDWATDTLLKAATDLESSIRKETKYWDEIL SISDKGWQMQRTRRGVRNAPFAVKYGPAEASNHFRARGLAPLRMDKDGDIILDPALTL KPKTLRVRISENDKIVGVSQATIRGALNELAIEKSIQLARASLFEEEMFYEMSLESRN LLSYGVELRDTVIHVTVPGEHSTHRKLLIDCIAQDDNSLSIHGGSQDWLAHDIAEGLR ILLAHEHRMRLFRRTRLPPPMTQHKHQQPPPPLLRTLLAMFSHLNAVDSLYAYLRAVA NTLKSAGLDVTLEATRELTLEKLTATIKEAKTKDLTATDQLLASLIRPFEGRAALSLP SSTESSPESLTIGTRTYIGPPHFGTEHKISLPPSLIQLLNLEHDPQRQLKFSSTGEVK SYLDWIISLDISQTLLFNEFGGRSVIKSSEPCISIVSKSSKKSSIREDDLEIELEKAS LKATATAHGQLATGAAVESFTWNGSPGRQTLKDKVKSWLG CC84DRAFT_1162361 MTTRYRVEYALKTHRRDQLIEWIKGLLAVPFVLHSQPTAVFEPD GEAVEATATRTQRRYAEIMRDVEDIVNDHITHQQTGTQHRSKLKLLVPPVANFFTPLA LHDAFIWQDQRRFISMRRFVPPSFNDVRLILNTAQVMSLVRNGPIELVTFDGDVTLYD DGQCLTPDNPVIPRILNLMRNGSKIGIVTAAGYTEAHKYYERLYGLLDAIYASDLPQS AKQGLIVMGGESNYCFRFDGGVPDMLALVPKQEWLLKEMVLWEESDITALLDIAEASL RDTVKNMRMEATVVRKERAVGIIPREGHKFCRETLEETVLIAQKILEMSEVGTRLPFC AFNGGNDVFVDIGDKSWGVLACQQFFGGIEGSKTLHVGDQFLSAGANDFKARLACTTA WIANPSETVGLLDEMAELEEVQARKLR CC84DRAFT_1203789 MANTSSWRGFCTVYINLAQGLHQEAAKAASHDTQNRRNQRLAMP RRDIAVRDENIAEKDVLIAQQEDTIKAQHEESLIKLYGEDREMVKKIYREDREARESL VRATIEAVDRELSAAIYDGALSSDGEPNDDGEGVIAAERDDAVLQVVAGQQVEPRYIL GEASGLSHCFHVTPRRSYEDGCRYCWSKETISQILSRGHGIRGQ CC84DRAFT_1173893 MPSGIRRPLPPRSTRPTRREKFLEALILLQADRAVELQRDIALR THTIASQRELIDALLKQIVAEQDRQGEEKDKEIARLKGMLEAKGIDAGTGTTTMPASQ QTTSRDAGAGALNDESTVPAASAALDDDRDNTD CC84DRAFT_1162362 MRALDAWRGVEVAAPPTSARVNVIAPTSREHHASCNSASHHRRR IVGFQSTTMASKTLSTAPRVARAGARPLQCLRKAPVHAQRRGIAAYGYEQAKALTFKE YGDPPAVLSLHSHSISPPHGDLMTLRFLASPINPADINQIQGVYPSKPTFTTALSTPN PIAVAGNEGVAEIIALGSKPKEAGFKKGDWVIMNGPGFGTWRTHAAARVEDVVKLDDA MREGISAVQAGTVSINPCTAYRMLRDFVDLKEGDFFIQNGANSGVGRAAIQLGKQWGL KSINVIRGRDDKAAEEKLKNELREIGADIVITDAELQAQGIRDMAKEWTNGGRVPIRL ALNCVNGKAATAMAKLLASEAHFVTYGAMSKQPLTIPASMLIFKDIHFHGFWVSRWAQ RHPQEKKKTVADVLEMTRRGTFKDTPVDKIAWEWETKGEELIGKVKDTLEGYREGKGV FVFGKT CC84DRAFT_1114858 MAPIKVGILGYGFASKSFHLPFIRALPSSYTVTAILQRAEAPSD PSSAAKGSHCTVDFPDIKHYRKAEDFFADAEIDFVVVATHADTHALFAEQAMRAGKNV IVDKPFARSTAEADSVIKVAKETGRIVTCFQNRRWDGDFLTLRALLENSMLGTPVEAI IHYDFDRAPWLHRMTAKEYTPGSGHLYGLGTHSLDQAFTLFGRPAHVTAFLRSQRAVE NPDAPSAVEDSFTVILQYGGPQKDLLVTVKTAVVSPMDKQLKYWIRGTKSSYTKCQQR STCPQEESISANLAPTDADFAREDDRFRGTLASYEQVDEKIQSFDEASQRWVGRVPNV RGRWMGLYENVAGYIRGEGELEVKVEDVRDVLRCIELARESSEKGMTVAWR CC84DRAFT_1162364 MRTALHSNTSHTIPEDAYTSLSHHLANAAYPPFPENNTSNFGAS SPPEQHALPSHIDQNGLHLDPNLSAQSSRHLNEPGPSTAAHHDSHDFTEPSTPSEPRA IAVPPPIPPNTAPPGQFDMLPPLPSTHPTWQALHTYAQTHASAHGYALSINTTAKNRS RIKLACVCYGAPKNTHKLTPETRVRKNRVSYKTGCKMWIEGKKGEDGLWALRVGEGAH NHEGRVTQGWAVQRKRTWGVQGGRVGTGGVTALEEQERQRMGSVDQGQPIQDDDVPPD DTLSTPNGSSNRKASHSLESGGLVWKIVEQEMLRKNVAGQGRDRGVGRTVQILEEKLP GIHIFKRDVYNIRAQIKRARKAAGQQLGENLTLSDDEENELSEAEGSPHAHPNIHHNY ASAAHDHNDQVRAGFAQIDPSLIAQCNSALEAVPRSEDEETELERLRREVGGLRHALM LRTKEVEEKNAEIENLRVQVEIGNIRELAGQLERVRQA CC84DRAFT_1162366 MPSSYDTLSSVLIIGSGAFGLSTAWALCRNPQYKNTSITVVDRN SFPSTDGSSVDSSRIIRPDYAAAPYARLASVAQNRWRTDFAPEHYHETGLCLTACGPE QKYVGDSLANVQNIGTDKIEVLDSAKDIARVCGLERTLDDACGSTGYVNWSSGWADAE GAMVWLRKEVNKFNRVNFVVASVKRLLFDFDSNTVSGATLSDGSELRADLTILAAGAW SASLIDLRGICKSTGQVLCYKPISADEEAALANRPTILNLSNALFMIPPSRGKVKIAR HGHGYLNPTTIPHPESQDPNEKITVSLPYTHVTDPNLVIPAEGRRACNDFLASIHPSL AVPSRPFTTTRLCWYTDTRDGDFLITYHPKYKGLFIATGGSGHGFKFLPVIGDSILEC VEGRTPEEFKERWRWPEDRVPEEEWAGDGSRGGPVGMVLEEEFRKGEAKL CC84DRAFT_1162367 MAKLPKVPKRIPPKPRVALTHSPRSRPQYPGPRPSPRGINPSDT ELRRVAKEVWAERPKISDIRMLSEEQKHAPSYINRLQRWRQIPIFLGGLTAFGLGVYC VQLYYSVSNAEVPENLPEDFIDRFDKEADGYDEKVNTAETLLLLNKRRKDMMRKVRGH VLEVAVGTGRNIPFYPTKQCATVTLLDYSAPMLAVAKRKWKDTHPEFFSRVFFKHQSA LEPIIPPFDAQQGYDTVIQTMGVCSTPDPVKLLHNLEAATKEDGGQILLLEHGKSHYQ WLNNLLDKTAPGHADAHGCFWNKDIGKIVEESGLEVVNMKRYNLGTTWWIELRPRQGR GYKQAPSPFVGGEKTVVSQAPDSVVLQRPWWSLWG CC84DRAFT_576648 MPSSSVTHGDGKPCTREHERSRSVRAVAGEGQQWCDQRHPKRRA LRACFWKAAGIPVSQAGASIAYDNAREPTRCPLRIVAHPIPIGRLASHKAAACSSLPH KNVGRSGATGRAPAASYQLRFGCGVSSAATKRASSFRTIARWLFATLISRDKERLSHA CQLQGGL CC84DRAFT_1203795 MVSQYNTRRKSLSLPSLGIALPGRSVRSPPSDSQHIAKRQKRSH SGSSSSSPSSPPRLSALRFDDKSNAGRVPDSPPPSPGGEATKVDTQGIDDTIVVGVIE QLEKTGNRPHLLKELATVLSPTIPIVESSANPAAIISSRLATYLKRNWSALSRCPLDK KLVGTHPKRVYYFLTTSPHQPIPEDAGIIPSAARIISPSLSSAASDDNDADSHTRDVR SPSPELDLSDYDDAVSDPFTNATHQPTTHNIAHNRRAQSPPLEVDEREFTRTASSLQE RRRSAQAERERSNSAVVENTTRLDVAMDMDGIPELVETEESAARKNSETAAALFGQMQ HAAFDPVLAISSPLLKPALNIEMPPSVFKASDSWSEKDDDEWAMKSPENIELDELDDL FGNY CC84DRAFT_576672 MRPAPLHLYTGHQALLGAVMAGITLWGAVSWHTRVPDLAAPSED ARCAGRCRCVKPLFTAISPRRRWRRRCTVTSCPIRTPGRFAACEIETEVRRACPFQPP PATPSKAQAKIVATSTPKAQRTGKSCTVCHVTLLLTFLAPYVDCARIRCWRNKLSAGI AHAAVA CC84DRAFT_576677 MSHAPSRARSHSRAPSNARGSAPIVVPVPPKPPLEIVLPASPRP RSRGPVFVDAPGLSGGRGMFKRLIVACDGTWLNSDNGMINGKLSVPSNVTRMSRAIKA VSQDGVPQIVNYHFGVGSSGGRLNRIISGATGEGLGDNVREAYSFLANNYHPGDEIFL LGFSRGSFTARAIGGLIGEVGLLTKKGLNALPEVFEDVQHRRDPKYVPKNPDMPFPHK PSASSPRYADELERRGLTRLDIRIKVIAVWDTVGSLGTPRFGFLQKLGLQNSESKEMS FYDTKLSNCVENAFQALALDEKRSAFSPAVWEKPPGNKTTLRQVWFPGVHSNVGGGYD DQQIANITLAWMMSQLAPFLDMRDEYLFELDEENERYYRREGQDIRPWSFGEIYNSAT GVYALSGTALRTPGHYMETDPFTCRPTDRPLTYTNEYIHASARTRVRLGGPGPADKSF YECPALTQNYRLVVEYPPGPNADPDIHWKLKWKDPLAVKILPEAPLWGIEKELCRRDP ETYDYAKRPPATKKEKKKGRPVSASVASPTAVRSSRRSFTEPGAGLGRSSTVVEKKGR SKSRVRTAEYERGGTIGGGGRSRAGSFDERSTVIRESMPHRREKDKTWWEGARSIDPR EGDGGRSPRRSSMRV CC84DRAFT_1086625 IWSDLICMNQTDQHERSYQAGFMRDIYQSAKVVLACLGEDPSNG RCIRIAERLELWSALSVEPDDMKVLIEGLISADFRDEQLQLDWSALHDLLDCQWWQRG WVTRMLSSRVRFI CC84DRAFT_1214914 MRDTRSREFSKVIALIHWVISTNAYKLVFWMTAFPKLNSSFVAN VREELQSSVRGDGALIIPSLQTQTTYLTALLQESMRVFNSSSSARFLTTDTQIGPIHA QSRPSTPYPIQTAAS CC84DRAFT_1086136 MAAYGTLAIVVAFTLSSLSTVVVALRFYARYYLVGKLGPADWVM LAALIATWGTPVLNYYQVQYTDYSTTIDSKGNLIVDEFKRIASGGLLTMWIFRLNYII NHLLIKTSILLFYSYVVSASRAYYWTVRIMLGFNIMSCLAMALVSVFICNPPQLSWDG DVFYKEFFGIFPTQCINPSPLWLTQASYNLATDAILWLLPVPFFLNLRAMPVRKRVEL VAIFSIGIVAISASAVRLSVTIRWLSGFDELGLLFTSILVWSQVEQHSGIIAASLPFL RPIFRKLVKKMIPRSPSPEFKLVPNISPQGPPMPPRPPIIPSPVATFGSDESFRPPPT PLSPIKPEMEMFHTV CC84DRAFT_1162372 MPCFSPGARRHTSACLASAFWTVLTWLKMAVIVCFCIQPYPRHP SPLATPRRIRFSEHVSWGLNA CC84DRAFT_1085164 MSSQQPPWGPPRHLQSTRLTPISTNITNEQRNTPSPSGSRPGFS PATSSFPSLPPSTARHVGSRKLSAASSTSAPFSPSHAGQQPPVGQLLSSRSRTITSQQ PSQLASAAAAGGASSSGGGASVSRLVRASPSLSTSSTVGSPSTSANPASASAHNQNLS RIVIAQVFLLLSQFGPVKDDKDRAKWETQAEQIRKLIDSNGMEVFTKYFRRLLQNNAA HIFSTGGRSADPNGNYQILVTEMQKLRTDPEQALKIAESLNSPEGDLFREFDLTAFIS HFQLDVFSQAMLAAACKLGSNAELKSRADAILGAITDDLLMAIARPDPTQLPDSPTYL AALVDRILQDPPSDWNEESVVKLTAALTYRYQNLHSAMPVEVEAALQLVELSNASQNP LVKLIQRAGPRGTESVEACKETLASAETRDISYQQVANVLVFLAVSSGYNAKNFVAAL REHRTGQRIEWQEVVHAFDRENLRVSKAQFLTIFHALLPVAQESEAFDIQLMWGGAWQ NELTQLSFLSRFLECTPEELDVAQIPRLRESYSLATFENGSPEVKAIAEQAVKHPYVS LDATRALFGMIFRSAESYADAQVLGIPDAVINPHTAEFLVAAAAVPKPWGALQEQALK QLFEPYFWRKLPKHEFVIYGLWQQDPQWLIGRFNDAYNSENMSLNVILQHAQQNGWLE ALIRSNTDISLDLAAQAHAQGLFQIEPWLQQTYDQAGVLFRQILQNFLNARANEEMQR SRDDGHMSNSLPLPVKTVYPILWFLADCNLADEDLMTLQRGCIQAYPRLINYGEGVDD IIDANGQNGNALPEEADKKMQEHFKNMYSSESDVREIITVLKKYKESRDPAEQDLFAC MIHGLFDEYNCFGEYPLEALATTAVLFGGIINYNLLSRLALRVGLSMVLEAVQDYRPD DSMYKFGLQALIHYSPRLHEWPTYCEELLNIPGLQGTEIYTKAEEVVRSQMGEVNGDN QNAVGLTNGNHAGEQLQAEPAVPNFTCLHVDPPLRPDLYEDPDEEVQDRVLFVLNNVS ERNLQDKIRDLTGAVEDRHHQWFANYLVEERAKMQPNFQQLYLDMLDLFDNKILWAEV LRETYVVVVAMLNSEGTLGSTERGHLKNLGGWLGSLTIARNQPIKFRNISFKDLLIEG YATDRLLLVIPFTCKVLAQAAKSVIFQPPNPWLMEILSVLKELYEHAELKLNQKFEIE VLCKGLNIDPKDIEASTCIRMRPQIEEEFIGQMPPPDGLEPFGDLGLMSLQRARGPSE RFSSAAITAALPDFRPQLQHPPSSTNVVPHSTIKKIFETAVQQAIQEIIAPVVERSVT IAAISTSQLVSKDFALEPDEEKLRNAAYTVVKSLSGALALVTCKEPLRMSIQNNIRVM ARDLPEQAIPEGHVVMFVNDNLDLVCNTVEQAAEVSSRTEIDQQIQEAIQARQDYRQQ RTNEPFKDAAISPWAFYIPEPYKQTTGGLNREQLAIYEEFGRQSRNAPHANNVSQDSG RQLPDVLQDQFATVPNLPTPAAAPAEPRQAAQQPRLQSLQAAHVPAPQQINGYMEPAG LERGWRGVEDLLGEMMRLVKEAPEERISDLQPTSPIHHTFEQLVSSISFAGPNKEAWA FRIAGQVTNHLFSDSLSRLEIETLAHLMGGLCEMSVSTSRQVLMWLATLNDDDRIFNA TVMVALMDVGLMDMHRLNTILAKAIQDRRVAALEMLSILMDEILLNEHPSALRADFAL SIDALTNWLAEDPSLEIGKNLLNKLRIDTADQELTPPSTGQKDQLEYVFDEWVHLQHP DTPNKSIAAFIYQLHVSQVIKSPRESIEFFRTCIDASVAAYGLEQSLPYGSGNPDIAT VKVDALAKLIVDLIVYQGEQEGAVKESKAKYLDQILLVVILVLNRYLGRGDNLGARGD SELFCQKVFFRLFSSILFELNEAAKEDVFAGFKSEIFLAVAKAFLILQPTHFPRFAFS WLTLVSHRIFVPALLDDSHSQGWDVFAQLMETLLVFTGELIRPAGETIMAQTFYRGVL RVLLVIHHDYPEFLAENHFRFCNSIPMHCTQLRNLIVSAYPSNILEMPDPFTTGVKVD RLDDSRQAPTIRADLDQMLRQGGVKDLIDGILRASEPRAQDVEQLCNAVYYDEPKPAG FEADVTTADPALIHAITLYIGTSALAMQGAKGPIFDGTAPAAKLIERLARDLRPEAKF HFISAIANQLRWPNSHTQYFSCALLHLFGSQDNEDVKHTITRVFMERLLVHRPHPWGL IITLLEILKNRTYGFWDLPFVKAAPEVERIFSALFTNAQQSPRPIA CC84DRAFT_1257281 MLIKLVAIGAAAAALIPAVDAAPTNNTGSLAFPFIRRSLEARSS IIINCKLLCASPRWEEGNACNTVCQGNKNDESEHLNKKQRKNLALALFPDDADHINTL SRHGIKDYLLEHLDRSLFADSKRHHLDRRWDIFDEPGDIKDSHCVNCREICEYGYRYR RPADIEACRTVCDGEEDDECEYMNDDQMNAYLTAYFGPNWLSIPGLLKTWKDCHMGRA PCPVDNNDYGDKKRAVEQSAAATNATLHRLQARKTTQVNCKYLCDPSTSVHNQCACGA VCKHGRDKQDCKEEIHRMSKLRKLTECFFPGEAEGLYQDCKHAHHSHKCFQDLFDSQW PDMKHFHSSGDC CC84DRAFT_576746 MSHFLVLSMFARSSGFSPPRCLRTLCHSCCVPMLAQHHVPKPSR SRSLFRSPFPERRQRTRDHVFRTRQCPFSNNHLPHHQMALAKKRPQQIMSMSVAVDLR STVAPTEPHSLAPPRRTTQTLNITAAARPRLTSSLLD CC84DRAFT_1162375 MGAPAATEPPSVTLSFANNFWGKDDAGVSPMLERMHNAKVTSDE LKSFYAARAAIEDEYSRKLLNLARKPLGSSESGTLRMSFDVIRGEVESMGKAHQSVAQ QMKTELEEPLQAFAGGMKERRKIVQNGIEKLLKMKMQQTASVNKARDRYENDCLKIKG FLAQAHMVMGQEERKNKAKLEKTQIQLSDTERDYEAAVKILEETTGRWNRDWKAACDK FQDLEEERIDYTKSSLWNFANIASTVCVSDDAACEKIRLSLEDCDVEKDITNFIQGQG TGQEIPDPPKFINFARGDADTASQTSEDENFSVAQFARTMNPAYRASSPAPSSFDSHN DPNNPLARELLGNNTKPVPQEQINVAPQQAQSTRPAATSRASHHSGHSAHSNRAPQPD PRLIQAQQQAHQQYQQNKVPLNDYPQDGMTQFCRVGPPAEQSPAPSPVRPSSRDSQSD YSNPTSFSSIEPPSGSASPGKPMFESPQPVEDMPVQQKKGFFQKNPFARRQSKPEVQP PPSITPSGRNTWGPASRVANNENVSPTRPHAGRERGHTLKDVPTPSPEPVDPRANFQL NIGNNVFDVASPDSKKEKKSVDPQEELDPIAQALAELKGVTKQTSLRVSADRYHGLAT PQPPATPAVGANLPGGAPTPLVNASMNAAKRGTPPPSYDAPPMSRLGAPKPAHTARQM QKTTEMYVQQKASVFNTGASSRPSTRGGAQQEAPRAPSPAPRAVSPQPSMYRSQQQQA PASPAYRAASPNPYAGGRPRAQTATQQQAPNPYGTPTGRGSYSRQGGGGGAGSPGMPR AASPQPPQPQYAQAQSRPGSRAAPSQSSPQPAYANPRPASRAAPASRAASPNPAFRSS YDRPSSSRGSDMALQLAPAGSERGDGSVYGGSVRGRSGTGQGQQRPQSSYYGGGGGEG LQHSPSTMSSRVRSKSVAEPRQYTRDGRMIVNYSRAMYVYNAQIPEELSFAKGDILAV LRLQDDGWWEAEVVGKDGRRGLVPSNYLQAC CC84DRAFT_1173906 MGARHSNLDIRTNEDKGGAEAVVHAAHQAASWRSLTVQSDVGNR KEFAPDIRTPLQGHHWCMWNIARLAVVITQPACPKPDPGSTERGLLSEVSADAELPPK RLPGTPTQPLTCCRACPRNRTRRPRDTEEKEQPGRCQRLTDSQGQTLGRSYIVSPTAL SGLSASASDDHHSNPHCFFLALKLSSAHIIHTALSMSRVQIIMTSASGYLRILRYCAP FTIVVCGAFSAAAIVFFVPPSRRATLSASQRALSKHFIAAICSLHFLDGLIQSCHATI EADAVARPDCVAYTTLNFLLWLVTYIIQVDAKRLYWMSNLATWTLALFLDTVITLSTF SSYPSNVFEFIQLSIGLLRIVCLVFLCVSIIASGKPRPRDAESEPLLQASHESRSSHL DDDLQDTKQDADGADDTLPTSEQQEHIEKVGGWWAYLCEVKFLLPYVLPFKDARRQIY ALVMVVLMVAGRVAQLFGPRLLGNIVQAISNNHNDSTLSHQILIYIFAVKVPYDVLIE PARKWLSIRLFYGSYLDLLMSLASHVAGLSYAFHENKQTGEIIAAIGQGQVVNQFVDD FVESTLPLVLDIVIAFAYVTYLFDVYVALILTGTYVFYGIVTYKGTVLCAAARRKYRE MSRVEWDVLHEAIANWMSTFYLNRQEHQQNRLKSLSLQVLAEQAYNYDLTGIMRTCQT LVVTFGYLAVLLRTAHLTTHTKDAVGNFVALLFYWSFFINPLFKLAHFYHSLVQILVD VERLRQLMQTEPTVFDNKGAQDLIFYEGKVEYRDVSFSYDGKNSVINNLTLTIEPGST VAFVGQSGSGKTTTCDKLLFRAYDVTEGSISIDNQDIRGVTQKSLRETVGIVRQEPVF NNDTVMENVRYARLDATDAEVVAACKDAAIHDQIMRFPMAYNTVVGERGVKLSGGERQ RLAIAQLFLRNPSIIVLDEATSSIDNVAESEIQESFRRICQGRTTIVIAHRLSTVQHA DQIFVMDKGAIIERGTHEELLNQRGKYLQLWSKTQTVKKLKSDLDRIQSQASEEHNDC GHPTSFEESECEDDSDYPADIMQVDGAGTSKHNDEERPRMRQRMRSLRKKFRINDRAR EGDCMDESDDEDENMAPIRVISPRTTEASPPPTFSRRSSLSLRRPSRTRKASITSTSD SPNRNQLRISAPMSPIRVRTQEIYALHDPVGLQPLQGPTPPTPKQPSHSSTPSRIPIS NSPLTTPVRNRQSTARETQLQSPQSPGRNAALRSHPVLRTEEEADGSETTYHMAGMSL ESHERPGDDPKLTAREPWCLRQSNFGDVVEGYKTVCTGLGAYARLQGHGRIENSVI CC84DRAFT_1214920 MSSPYANYGTAFFGVEYRVNGLWNNLLSQFYKTGAADKDFIISP EAYPKPDDTKGLKADLLVSSLISKGSGFSISTPMLVYEGKGANGDSFPDIMDQLENWL AEAALLKPFDCWAIGTRGSQVAFLVWQQDTIQWLNWGGSKPIPVSSQKVFDITKDADW NTVVAMLAFFNGNPLQRIDV CC84DRAFT_1087295 MLQHRRQPAVNLPILRSGLGTSNIPKAPRTMVQKRYSTLGSLLE QIQDNEAKKLYPRLALFEALSGFKDKYKPRQQSEDPICEARRNFLDSFAYLCDVQKGG NTVTAVALQQRPHSDFLWMAANEGIRDDIRVYATDILANLRTATLENQRILQDTIFKL AVEKCKPRIQFYKENVQKYATNCRMSLRHREKDDTVKLIRAKLKKLSEPRSSWTVEAY VDLCHDMRNAEYHQIKRYSANAGDEFSRLAHYVWRLGATREAANTVVEAMVTVPSLKR VHEIRTVSAPATVEKTIHPSCVSPHEVLHGISADSASRNPMHYKHAFARLHELDSPFV RPIHSSMVSRQTIVTRVHAELQIADTFSRSRDIEFVDKDKYIGCSKSACYFCYNWLCN HKHYYVQPATHHKIIPGCRGPDDNLNETGRNVLVDMYSKIVRQIGQDILDFLQENLQP RLQYMSTEASSRATSRLSATRR CC84DRAFT_1087410 NAVETGVMLSMLGSVKVLLGKNDMITYIGARVRREVVIAVECYA LSESGYTGSCLSLLWLKRILDPETQERAKHKSGALKPQVLICNGFSTHKTLEILEFCL SNNIILCQLQKPTG CC84DRAFT_1203804 MNSTIQSLTPDVMLVTLKTTLFLNAYMLNLTALTGTLSLLSDQR ARVVLRAWRAVQTTRQLVRSNAMAVQEEGGEADLEQLAHWVRWAKGVVEQACELNANV DGRDSGGVRVPMAMRAANGTFAIHPTLLAEQEEQDAVMVWLEGVEAAKVDTTQAEQVG REIDIFQVIEEDSESIFSFSTQPGLLASVTKVQGGGLLPEQREALEALWNEVRAASAK PAMPADEMCLRTRQAKATGMQMVLLGRKLIDACIGIANTIIDIGRAVHDAQGLPPKLR ELLEKLPAIQELLESAQESCEEGRITDDASKSAQPILKQCAEALAELRDIFRKACPKD GENRTKRIWRGAKTVFFGRDNQVQKLLVTIQDDLRLLEQKEVYVIGDKLDALQQVTQG LADNEDGKYTHTGAGNIIANEGGSPTNYVVGGSNNRQINNPGVYNEGPSTRPEAPPAP FCAIPFRRDPDFVDRGTLLDQVREKCAAPASCIALVGLGGVGKSQLAIEHCYQTADAS PETWVFWVHAGTRARFEQGYRRIAEATKMDGWDDPKADVLRLVRSWLCDKSNGRWVMV VDNADDASVFFQNPLQSHATGSFDQSTELLSDFLPQSPNGSILVTSRSRDDAYRLTGT YSSVIEVKPMDKDDALALLKKKLGIIANEDEAVELIDALDFMPLALTQAAAFIRQGAT RMSVPRYVEKVRKSDRDRARLLMKDVGDSRRDGKASNSIIATWQISFEHIRTRTPTAA RLLSLMSLFDPQGIPESLLHNRYSEEGDGEDGEADFDDDICMLTSFSLVRMSVDGREF EMHRLVQFSTKKWLELCSELEVWQSTYAALMDDNYPVGRPENWAVCQALFPHAQAVVN NRPKDVKALEAWASVLFKAAWYASEIGQYSKAYEMGSAALHFRETILGEEHPDTLNSL NSLGIVLNWQGQYSEAEAMHQQGLEAKERVLGKEHPSTFTSMANLASTSSTSLRIIIS GSSGNMAVRGNGT CC84DRAFT_1114889 MEVPTATSLRDIYPEDAVPVQAKRWERILAKFKETYGKPAEFVA RSPGRVNIIGEHIDYSLYEVLPMAITADFVMAVAVRSSEEKPRVRIANVQSEKFPSRE FEIPTEGTIAIDASEHEWTNYFKSGLKGVTELLSKKQGKQFVSVGMDVMCDGTVPSGG GLSSSASFTCTTALGVLTANGETKVNKKELCELAIVSEREVGVNSGGMDQAASVFSLR GSALYVSFKPTLDFKTLEFPHTDPELTFVTAQSFVAADKHVTAPVCYNLRVVECTLAA VFLSKIFGLKDLPQDSSPLGVSLRGLHDTYFEQKQGVADNTKSSVSEFEDQLNKLVQL VGDYLPQEEGYSRSDICALLGVSEDELNQRYMSKFPVRAERFMLRQRALHVFTEALRV IKFRQILSSPPSDGIEFIKALGDLMNETQTSCRDIYDCSCPELDELCDLARGAGAAGS RLTGAGWGGCSVHLVPKDKVEAVKQAWEEKYYKKRFPDITKEKLEEAVVVSKPGSGSM VLKVVGDSIV CC84DRAFT_1214924 MQDSQDYETASISHCDASEDKQLLSEHADKPARQLSWYRATWTL RNVLLSHLLTCLFVYLPVVYFAFQREASSQACDRKLSTWSPALDEGAVSYLPPAPFAG DILQTNKWRGEVGVTPSAEIDKAWTDIGVGVPGFRVSAEEVRVLGKPFRKGTRTLHAM PDGKGGYVAQLEVNHLLHCLNNLRQAMAWNYDHYFAGIPVRDVQIHHDALRASLQCTS DTTPVLYYDDAAIPQRQFSFPDFSTKHTCRDFDKLMGWTWSTERMVLWEDVGNSTFHQ PELSREAREKEAQGDGPHGHLGHGR CC84DRAFT_1257288 MKYTAVILALAATALALPKVDPATINSRVGLSDVCYGCKREAQP AAGTVNARVGLSDICYGCKREAIAEIETIVQREAQPGAETVNARVGLSDVCYGCKREA IEAIENIIAREAKRDAAPETENKRVGLDDKCYIC CC84DRAFT_1162382 MDDRKPDRLSLSDSDSDALFDAPAAQKNQPSAHDTADDGAAPGK ARPKESWSTQEEREAALRKELESVRNVNKVIEGVVESLQKAKSNMDTVSRTVTNASTL LQTWTRILSQTEHNQRLILNPQWQGATQDLVDLQEEEVHKQQAAERRAAEEQARREAA QRKAEEAQRKAEATAKPTTRGRGRGGVRPRGASTSSTGQYSVSGQTARGGLNRSSSTS GRAGSGIGRGLRGRGRGLG CC84DRAFT_1114892 MDRTPSPPRRVRTPPAPLHGPKYDNYEPFSPRRSSRVAAQHGTH PHPHHEQSTSPARKRTLRDVTPTSSRPRSINRAAHFALSPPSSPSTPAKAPSPRSTRR AQHEPAALDSDSEPFAPTPARRLLSAMAPHGMLPTPAKTPRKRPLHTEDSLRPTARVL FANRPSTVEDAMPTPRRAHKSMRSVLDLDSFGEQMSTSTPKIGVYVDSKERVPDREDE EINPFLTRRGKGKAKAAPRKERKVDEKTRQIFEAAARDEGIVYTHRGKTIFRQFEDVT RTSDAEAGRSSEDETRRKADRGGSRRITRSAIKPKLLFKEEIDRLKRENGEDDDEEAP TDIELPIATPSRRTRHVGPAASFPQESKPVVAVTKTVKRQMSFESWSRVKPSSRASAS ARGTKRAAPPLDEGAEPEAKRARSEHSPTSASAATASSFASV CC84DRAFT_1162384 MATATASPPSPGPAKIYALIAYEDPYVQPLIVAAVQKHFPPETI QLITSLDDVPSKSSRLLQWVQYESINFEHLLSHPSAHLANAYVIRKALIRKHYLSTTV ANWVVKHSDSVLLKGWKQGVEFEVDYAEFLDDSLVEAWELRESWARGEKGEGREWWIL KPGMSERGQGIRLFSSEEELTRIFEGWDPESDDEEEDEEDEDEDARSDAADDEEKGVD DGNGIITSQLRHFVAQPYIHPPLLFQGRKFHIRTYVLATSALQVYVYKPMLALFAARP YVAPSSTAFEEGDAEDALRSHLTNTCLQDTGEREGSVGLFWDLPESIPSQPSFSEDED AKKEIEIPHAPTWKSDVFTQICAITGEVFEAAARGMSIHFQPLPNAFEIFGLDFMVGI EPDGELRTYLLEVNAFPDFRQTGDECKGVVEGLMDCVVRTAVVPFFDAEKTAEVESGQ DGGLVKVLDIDLGRR CC84DRAFT_1141130 MIVRDSPKARAPKEAPNWPPKSPLHAILLSPSARQKYENQRQRQ RSATSPSPTKLRPMSRTQSTISDDEEEEDEETLQLQLQAIEARLKLKKLQKAKRAIDD GDTNSIDISSRPGTAASRRPDLPKPRSEVQVPVSPQRNRREPEEQKSPARVLLGIDKG LRAQDVSLKRASSLSARQAAGHSRTRSAPAVEAPKIKSFSERIADSRNKEKEREEKQA RIEKSRTGGFGLQNIEGVKDRPASRAAFSLSSRTKTSDDSASLGKSLAQSRHMNNLRE TATPRPGSTLSGRLERTPSAASIPPSRGFGPTATAAKYAEISQRDDSTDAPSFESFSG LHLKSREMQHNTVTRTLDGKTVVTIPQLLKTVKAPEYEPPDMENDYVVMGVIAAKSSP MATKNAVKQRSAGNQEEDAHATNKFMIITLTDLKWELQLFLFDTGFSKYWKLTPGTLI AILNPDILPPRDRGSTKFSLKLTSSDDTVLEIGAARDLDFCHAMRKDGKECAQWIDGR KTEFCDFHLELQVEKSKRGRMEVNTMTGFGKAPGAGRGSMFGGRGRGKGDELRREGRY HDAFLHETMYITPGAGSSARLMDRDEQPYSVTERAEKHRRQLAEKEKERELAKRLGDL GSGAGGEYMRRAALISASAMQAESSRSSSALDDPFITKPSGPTDVLGLLNKRAEDVSL DRGPSAAAKRKRAISGKSTASNEPVGWGGAGKRGLLLSPTKVERASSMRGTREPSPAK KKARLLLPEKGIREPGRDSLGTMDVGLLAAMDDDDDLEVV CC84DRAFT_1141132 MARTRSKPKAAATKETTPEPPQSTAKPLPPSTSNPPKVFVLPRH TSHESRIVTLNNPANGAPSRYYFCPSKGFYEFTRIAAPKKDCKSWLITPEKTSDNVAK EQEAQAETTTDNADTEAGLGGGYLTNKADMFLATPIDLLFLILPALAPKAGAKQGDKQ YFLSFEDHLDNLASLSRQWKVLLSQHPSLKDRVEQRMAAVCDTVTAGDETMYRLSTDK LTRVLTRKAERMCTQGLPPSMEERFVKTALEVPVMNVLRSDTFTTTSALADSSATITV ESHTTATSFTSTTDDLVAKPAIHTPPEVPHLLRLRTSLTYLSSSYLPPTLHPLITSSR TPDFSSLDTHLAALTKLRAEALALRSISDNISRKRGYDEDEGAAMEREEKKRKKEEEE KKKKSESRGVKQLKKVDTSGMKKLSSFFAKKAK CC84DRAFT_1162387 MDDFPRSTVAVRELSHTSQGSSITNPHHAPPSPSLSKASTESSR HSCEAPRSPSVTHKRETENLTSFPSPSDFKPTTAFDPDAPQPYREEDFQGKSKDEVRR MRKRDYAVEISRLMGRQLVKGMSGKGEDK CC84DRAFT_1239619 MNTDTGIYLYKPSLAGAIIAAGVFGISASYHVFQMVRKKAWFYS PLTVGACMMTAGYVFRYLSAKSPASLGLYIGQSLFIILPPSLYAATIYMIYGRLVVFV DAPDASIIRPTRITKIFVCGDVLAFFMQAGGGGMMAQASMATMGQTTMLVGLFIQLFF FSFFLVISLVFWKRLRNSPKGYKVAQHGKYTWSALLKLLLSAAVIIILRCVFRIVEFG QGHDGYLASHEVYMYMFDTVPMLVVQIMFHFVYATDVFGAGAVRAGSAETRA CC84DRAFT_1141135 MASQQDLQALLRFLSQDAKIPLASAMGKIKDLQAAKLITPDSIA KSDIGSLKKVLGDEKVARQVLNAAKRVSKKRTNSDLSTASTSTIPSSVKRAKTSFGAP MDPATFEASLELPCSSLPASDLEDIVLFTNRAPLVLAVAVTVLKYTMPTQPLSSRLSL AQAVCSANSQSKAKYLGIQNGASAEDEGWGEGQPLITVLNRQIRVMKRWGYTWKEEPE VKEEDLAASQATVGEDDAQDTQETVAPDEPALWGVDLEALKKSNGPVTIGARQGSTGE LPIYRPESTRAYLMKSFGMKSSDDAKPGKSLTGKKAAEEKERNLGLLLASLDLLCQSW APILSSEDLDKRAWGWYCSVRPEVKSGVAGWGGKGDVKLADILRLRRTE CC84DRAFT_1162389 MAFRISLNKAGKAAQLGAKAKAEEDAKAREEKNALDKVMADFME EHGEEKGVLGETEKDHEAEDVFVPTGSKRHFTGRPRSMKSGPGTLDAEPVPAYARPGA PGGYTGPPHSRFGGVAAGQDEDRANENVYTTVVAKASNLPPAINPSRVEELFAEFPSL KVVKVERIPPSRPSSPSQRTRPSASMKVIFDKEATARDLDDAMNKMNDKKYLGKGYYL HLDRYLGGRSVSTKQHEEPFGATLQDVEISKGYAPPPDLGGNNRDRMREEMMNKRMLV TANAPPDLPTLRLIHQTIEGVIEGGTEFEAALMQDPQVQSSERFAWLFNQKHPLNRYY RWRLHEILSSTSRPDVFQRHPEWRGPKEALMDEYASGLWDLNHPYADEDSEDEDDLPA HARTTLPVGDDYPGRAHTGYGIMPPRDRALLVWLLSTLPPSSALSDEIASFSTFAVDH VSKGMDEVVSLLVTNIFQPFFLSKANPKLARAEFTEEEESRRRGQIPQLTTNALRIIS DVALTTQKEPGMAYKYRGVIGSQLVDRKVFEYLERLPTQLEMGRLAENQYRDDVNAIL KVWMDEHLFEKESLEHIEQAFNGRKREREQEEIERRAVERRRAKKGAVPKRERAEEEG RMEVDGPADAGTPEVKDEGTPMEVEGETPARAAPAAEARAEKEVEKEKEKRAEPPEIP GETAAARARRLRPKAEDMFASDED CC84DRAFT_1162390 MASHGRINSTDERRRGAYIACPVAIPRRSTKGPLDVDDDPLAAL AAQTAPPTSSRLHSPSRPSHSPAPRSRLTSPPPSDTGLPVRLHDHAPLLKDFSFLQDA SAYHVLPASTVPPPFLNAPNIPSVASPIDTLLLSGHYRLAAIAAARNLVANTPATDYT TLFHLLHIRLSCLCLINEHALAAQEAKVFGDLNSSFYYRDDGDGGARGHLVPWELRVL VVRLAALGYGEWRKGIMGYYELARECREAFSKAEDDAEKEVWRTRLRDCGVRVANVLV EMGDLEGAGRHLAGLDAGGEEGQDTRLMETLVWLRLGDVAAARRCLASASTSTSTPDA LLDGTLHALLHLADGSPSAAVSAFSALRTTFPSDAMVAQNLAVCLLYTGRIAEARSLL QDLVDESAPFHSLVFNLSTIYELCTERNREGKMELARKMAARRGDGGVGWEMSNADFK L CC84DRAFT_1162391 MQQSRTKAIQALRSSRCFSTSTARAAASPYRSAQVAAASPKITE AKRTQSTAAAAKAEPRARPAPAFNREDYNVQPLRRQQPEMDHSLVGLKGGEIFHEMML RHGVKHIFGYPGGAILPVFDAIYNSPHFEFILPRHEQGAGHMAEGYARASGKPGVVLV TSGPGATNVVTAMQDALMDGTPMVVFSGQVVTSAIGSDAFQEADTVGITRPCTKWNVL VKNIAELPRRINEAFEIATSGRPGPVLVDLPKDVTGGTLTKPIPMTSSLPPHPSAATL AAREVSRKTLQASIKRAADLINIAKKPVIYAGQGMVSVPDGPKILRELSEKAQIPVTT TLQGLGAFDEHDEKSLHMLGMHGSAYANMAMQEADLIIALGARFDDRVTLSLSKFAPE AKAAAAEGRGGIIHFEIMPKNINKVVQATEAVEGDVVQNLHQLVPFVNQVKERPEWFA QIKDWKARFPWAYEKEGPNGFIKPQTVIEKLSKLTEPMKSETVITTGVGQHQMWAAQH FRWTHPRTMITSGGLGTMGYGLPAAIGAKVARPDALVIDIDGDSSFSMTLTELSTAAE FNIGVKVIILNNEEQGMVTQWQTLFYEDRFSHTHQRNADFVKLAEAMYVQADRVSKLE ELEDKLKWLINTDGPALLEVVVDQKVPVLPMVPAGNGLHEFLVYDEKVVSERRANTRQ RSGR CC84DRAFT_577203 MQYSITCASIFSSAIANTTESILERKRQNCSPTCTARVSYTRKV HRVHPRHLVSLEISRGTGNSSQFSCTCKVH CC84DRAFT_1141147 MHTANKDTGVLVVSSKQEAAVAVVELNRAIKRNALSQSLINELL QTLRELDRDVEVRAIVLTSTGESPFSAGADIQELAKISTADAYRIGWLKDLEAGFATL RTPVIAAVRGFAFGGGFEVALMCDMLYASENARFGFPEIKLGTIPGAGGTQRLTKAVG KQKAMEFILTGEPVTARDMERYGIVNKIVPAEQDVVTEAVKLATRIATFSAPAIGLAK QAIRTAETTTLEAGLELERALYYSSFSLADCKEGIAAFLEKRTPGFIHE CC84DRAFT_1185294 MASSGEFQSISSLSAKDQILFTRFASGTTVIAPHDIVHEAFECQ VDAHPASIAAKHDGSTITYADLDVQANRLANYLIERGLKPKQRVCLVVQRSFEMLVGI FAILKSGCQYVPMDGGVVSEEALQHTLRDTQASFVLALPKFESKVERCAPQGVRIVCL GTKQEVSAPSHRPVVPVSAGDGAYAIYTSGSTGKPKGVDVAHGNVTNALLLNPGKLGI VPGTKVGQVLNVSFDMGAWETLGCLMNGGTLCLRTSSWENTLEEIDVLISTPSILSKW NRSRFPNIKTVVTGGEPCPQSLADEWAAGTSYYNICGPTEITILNSAHLHTPGQFLTI GKPLPNTTVYILDEDENPVAIGEMGSMWVGGAGVTRGYINLPELTAKRYKMDKFRNDG SRMFNTGDLVRWREDGSLETFGRSDDQVKIKGFRVELDGVTAVVEAFPGVSRACAKVV NKRLHGFYAAEPGIDEQALDSFVRQHLPFYSVPERWIHVPEIPLTPNGKVDKKMLVEL ALSAAVSASTTGAVPIIAPPVPVVAAPARGVESCLDLEKAERYDSGVVRNSLSIIKGG TSSISESLESELDKLPGKKGFHGQRWLRHRAFILYRRFFSVVLLANIATACFILYRRV EQDRYILADVSTAFAANLCMAVLMRSEPVVNLLFTAACSVPTSWPLAIRRHCARVFHI GGIHSSCAIASVGWFTIFTVGASLELTKQPDLRCLSLAPTILTYLVWPILMAIAGTSH PTFRAKHHDIWEMTHRFGGWTALILLWVQSFLATKDLAVGVAPSRAYLTSPGIWLLSV ATAAIIFPWLFLRKVPVRSEVLSDHAVRLHFDYTDPVVGTAVRLAERPLRDWHGFATI TNADGRGFSLVVSNAGDFTKRTINRAPTHIWVRGIPTCGVLRIAPLFRSVVLVATGSG IGPCLAVILAKKVPCRILWTAPNHEKTFGKDLVNEVLDTDPRAVIHNTRTMGKPDMPL MAWKLYKESGAEAVCVISNKRFTQQIVYAMESRGVPAYGAIFDS CC84DRAFT_1239629 MYRSAPISFDTRSRSAARSHAARVGWQRSSKAAKIQERQRMLKD FLPESAWEPRWNNQEAVNRQPNQTSTQRNINAGSINDAIRVIDVSLAYGKIFPSHGAI SLPMLDETTDSTAFHVAQFLGTFIWPTVGGHIAAYQWFQDFCTSRVLFHSQSAASATY RDLMAGKPSISMTRRNFQHKLEAIREIRSSLTRWHMVSKPEREQVIFAILILASHEVK EKDFLETTSPFSA CC84DRAFT_1239633 MRIPKWQISLPVIYGFVAAQNTPLELQNLSPVQYVNTENLRIAY YDSGPKNTTTVLLLHGFPYDINVYYDVVQNLTRHGYRTVVPYLRGFGGTRFNSAVTPR SAEQAALGKDVVDLMDAIGLDRAIIAGYDWGTVAANVAVALWPERSVGMVAANSYLIQ NRSTALQPSIPASEALRWYYYVFLTPRGYAGLVQWPKEWARTLWSRNSPQWNFTESDL DRAMVAFDNADYPEIVVNFYRSRLLYTPGDPAYANLADALDAQPKIMVPSVTLDPTDS PVLPPANESVAAKFFAAPRIHHILRDTGENIPQQNPDAFTRAILEVAELARSSGNL CC84DRAFT_1141151 MSLPSLQHYHKRPYGAISPFRPELSQDGRTVIVTGGSSGIGLAI ARGYLAAGASHVILLGRRQEVLEAAVAKLRHEAQTYEQSGQVEGIVCDVYNLALIERL WRSLEARVVFVRVLVLSAAAYGATETILQGGIQKVWSDFEANVRSPLAMTDYFYKQKS GGYLVNVSTCAAYMWTTMGPERPTYGLTKNSGTALLQQIAKDVNPSDMQIVSFHPGGI LTDSARKLGAHENMGLVFDDENLPGQFAIWAASSEAKFLHGRFVWANWDVSELSSGDL RRQIDEDEHFLKVGIEGLTEKTGGMILT CC84DRAFT_1162393 MNQLNYYPAPCELEYITNSAITACDGMDGLADGVLSSPNRCDFD ATTLIGQLNGCSGGQTQVTSQAAQIAMAAWSGPTSTGGHRLWPGLNRDAALAGLPGLT TAETNCSSGTSQCEGVPFSVAEKWIRLFVEKDTDFDIKGMSQADYELSFEKSLSQYDD IIGTSSPELSAFRNSGGKILSWHGLADQLIPPNGTAGYYDRVFRQDRKVHDFYRLFFA PGTRHCQAGAGPYPYDSLDALVDWVEHGKAPDVLVATKRPGDDAVTRLLYPYLLK CC84DRAFT_577483 MASTPTEQPSSTSTFPSRQKPIPYSAANGTRTPLSSILPPLIFG TATFNHQFNNDPFALDTVGLVTSALTHGIRAFDTSPYYGPSEQLLGDALATPFVRETF PRASYMLLTKVGRIKSDEFDYSAAWVRQSVQRSLERLHTDYLDLVYCHDIEFVSLAEV LEAVTALRELRAEGKIRYVGICGYPLDVLGDAAEMLLRETGEPVDAVQSYAHFNLQNQ TLGGPRGIERFRAAGVGVTTNASCLGMGLLRREGVPVGGMGDWHPAPRALRTAVHAAS DFCDSHSEKLEVIAIRWALETWVSAGASCGSRGDPASGLPWKHESNSEVGGAALGVSV IGVSHPAELDKTMLVWRSILDGLEGGEETAVQAGRWYRAWEWSRSRRAAVRILAEGVE EILGEWFGYSWDSPEKGFVNKHKPVQGKNAVDGEPSLLTPAASPESKPVDGGEGVEKG IPLR CC84DRAFT_1162395 MVQRSTSRFACALFATVAAATTIAPANSSTAYNNYTGTINLQDN QGRNITTLVPLTSGADDVDLNAVLFYTTTETANNISRNQIAYVSCDLSDYPGNIHVDS ILSELSHIASGAILYSTTEDYCNYTSDNANMDLFALYSTTNKGDAISQKDTLEALQPG QMFNAKITRANTQNNSTDPTQNPGQTNPLGPSPSTAVAMIILYSITGVITALFLVIIV TGAVRAHRHPDRYGPRDVMGRPRQSRARGLGMAILDTIPIVKFGEREQPKPTDVELGS TSEARGVDGTADATATTTPPADTAPATETGTGSHTPPTAADHAEHLDSGIAPAAGAAS AAGANGAASAEEGLGCSICTDDFEKGQDIRVLPCDHKFHPECVDPWLLNVSGTCPLCR VDLRPTTSHTSNDAEADPNSTTLAPPLQSEEPANRRHSTLRDILSFRSRPNASADERI SALRRLREQRRNGSGEVAGVGANVSSEDVGAQDRRRSKRMSLFGRRRGDSVREEPPFA GEPSSGAASASAAPQPARNDESTALVREGDDTTAGAVAPNSSVNTRN CC84DRAFT_1162397 MAEGDRPAPGGKSNTSSASISRRPSDFDTGSASASQHATGTSSS EAARRRPQISSAQPAGYGSITSPIDRRQQQATTDASSSTSKPKKPSMSRRATSARFPT KGQEFSVDDAQDEIDRELAEQQRQQQQQQQQQQQQKSARQVPGQPLRRKPSTVRRRTT AAQTPSLARVNSLEDESVEQTNAAAPTDTEVQPASSGEGTLQPDDDDDDSGNGVDEEV DDDDGGDISDAESFTLKDRQEAINVTHPFGIRIWKPALYKKGRSVQRNAEEDIHSTPG HHVSRWLVLFNIAWTLVFGWWLAIFAAAGGLLCALFWFAGSCQEYSHLLFHLAAYLFY PFGKYVKLLQDEAYAEEDEGEGRSISEYEQWQSGDIEEGRLFFGPSEGAGSLIGRRRN SNDSANETTSLLGRDGRANLTHTDTARTKRRLFGRGKWNVGRVIFFVFFYGVFSPVLF LVSGLCWFFVFTIPMGKVTLLLFDHLRRHPLALSFHSDSGSVRRQGESSSILLCTYRA VGTKYWKYTIDGTNIFLINLLGLVAFVIFDYFVLHEMLELKNAFTDTFFLFAMALLSI IPLAYFIGQAVASISAQSSMGVGATINAFFSTVVEVFLYCVALNQNKAQLVEGSIIGS IFAGILFLPGLSMCFGALKRKTQRFNVKSAGVTSTMLLFAVIAAFGPTLFYQIYGSHE LVCASCVHRSHGLDREQDCRRCYYSQTPAVDNEFYTEAVQPYTWIAAALLFLSYVIGL LFTLRTHAATIWSTEADEKKAADMSVSQLSNSGHLEFPSSLSRQATSNSVARADIRNS QLYKRIVGQTLQQVGYGTEEEQNAKRPGSRADGQTTPHVVPPKDGEQRTASANFHLEG LSEHDNESLVRQITEIAAHTTALATRDVVKAPRKAAHMATTPAKDRPHHHRTGTFEAA VGAVEEPAAVHAAGGHDAPNWSRTKSSAILLTATIAYAIIAEILVNTVDVVLEGSNID EKFLGITLFALVPNTTEFLNAISFAMNGNIALSMEIGSAYALQVLLLQIPALVFYSAW HNRGFDPAKVVDQTFTLIFPQWDMVTVILCVFLLSYMYGEGKSNYFKGSILILSYLVV IVGFFLSGFNDFDRMGVDPKDTLALGAQLQSMKFTTYGGGLGGGRGGRAF CC84DRAFT_1257306 MALDTFYHNKIESMKLEIIQGQAVLRRLEAQRNDYNSRVRLLRE ELGLLQQPGSYVGEVVKVMGTKKVLVKVHPEGKYVVDISDNVDIAKLTVGKRVTLLSD SYKLEKMLPSSVDPLVSLMMVEKVPDSTYDMIGGLDQQIKEIKEVIELGLQHPELFES LGIAQPKGVLLYGPPGTGKTLLARAVAHHADCKFIRVSGSELVQKYIGEGSRMVRELF VMAREHAPSIIFMDEIDSIGSSRVEGSSGGDSEVQRTMLELLNQLDGFEPTKNIKIIM ATNRLDILDPALLRPGRIDRKIEFPPPSVEARADILRIHSRSMNLTRGINLTKIAEKM NGCSGAELKGVCTEAGMYALRERRVHVTQEDFDLATAKVLNKHDDKATSLSKLWK CC84DRAFT_1185303 MVVDDVLREAPGAVLDGAVDPVYEAKARVLNKAIQDIGMGRYQW QLFIVVGFGWAQDNLWPIATSLILPPIRLEFKPDRAPYLTLAQNIGLLVGAVFWGFGC DIFGRRWAFNATIGITAVFGLAAAGSPSFAAIGVFACLWSIGVGGNLPVDSAIFLEFL PGSHQWLLTVLSIDWALAQVFATLVAWPLLGDLTCEETDPVCTKAQNMGWRYFMIVLG GVAMAMFFGRFVFFTIYESPKFLMGKGQDAEAVKVVHEVARRNGKTSSLTLDDLSACN TLALPGTTPQRSHAAAAVQRNLEKINTSHVKALFATPKLAYSTTLITLVWAFIGLGFP LYNAFLPFIQATRGADFGDGSTYLTYRNSLIIAVLGIPGCLLGGYLVERPRIGRKGTL AGATVLTGVFLFCSTTALTSASLLGWNCAYNFMSNIMYAVLYSYTPEIFPTKDRGTGN AITASANRVFGIMAPIVAMYANLETSAPVYVSGALFVASGLLVLVLPFESRGKASL CC84DRAFT_1185304 MSLVVDQIRRIDSQLDRLQLSSTSDGGAFAIAAEDIHDPHRVAR IEQLQRLIKSLSTTNSAKHSLVPAAKIRSILQEADISSQCATCAYLFEQDDSGSYHRQ SAHAHESSYEHELEWLLLSKATTQAYGYVLSTILEQTIPLEDDIWYWDDIISTYRFAG LYSIQTSPIRIYKWSRDVYRDVKDKGGRLAVDGWRDFYTLVRDTIRDRNVREIQRRVV SPLGVARNEGRKKSAALKRMRMKNANALGLLLGEGLGSESIHDEGLHSPSQYGTADKR HRWKSTVAKSIALMDAVIHNVNDAELHVDKFDDSVAATTQDDHYYELHEPSGERTATS LKPSDVVSRLDGLLSHALPAYTSNFQATVREHGRPSRLVRYWLPVSIALVSSTTILRI VINRQEEIITWVRELGQTVIDFWSNWVVEPAKKVIGTIRHNEDSEVSILSKRSLESDR ASLERMVVDFAVQNPEGPALNDTQIADIRAKVREGDLTPVLRAYERDIQSPVKGAIMG NLASALLIQVQKTKVDVEVAMSGIDSILKSQELLFGFIGLTPGVLVTVGVYRWLRGLV TGRKGVKQFARQGQLLLILRNIDRILVGATPNEFGEIYYRDHGLLLCEVHLLRQEASR VLPRRIFHDFLQEIDELVNIQSGLERQQKVVERIREDSFTPSFITTIGIDFKIRTIEL DGKRVKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDERSFNNIRTWFSNVEQHA TEGVNKILIGNKCDWEEKRAVSTERGQALADELGIPFLEVSAKSNINVDQAFYSLAAD IKKRLIDSARTEPSANKVDVGTDAGGSGGSMGGKCC CC84DRAFT_577860 MRGNIDGGVGYGSAARLEQLHAHVGVMCLIPLPLHPHLVIVFWR RPCCVRTEVLRSLGSLVMISPPLNIVRRQQEFVI CC84DRAFT_1162403 MKASIALLISGLAAQQVAAHWDRQATNYNTPGYNNNECTDKQKG GYDWSDLNDGDKPGKYDDFDFGGGWSCSTKKFGKRDHITKRTFGAKALTNSCGKEKPA SFSCDKKDGFSVTHMDISVDHDAELDFHYKMKDGSICKQRTSCKKEGTTVQNTQCGGA QSVDVYLGNSSEGKDSCDIGVHNVGFDCNPGQSYTPPPPYTPPTTPEAPPSTSSEVPS SSSEVPPPPPETTAPPQTSDSPPPPPESSSVSIRTPFCGYGSCGSSSSFTNSSTAPPT PPETPSSTPVEVPSSSTEVPTSSAPSGECGGYGQPACETSSAVESTPAESSSVVESTP VSSAPASSTPSGECGGYGQPACETSSVVESTPVSSAPATETAPTETAPATSYNGTVPS SPVSSQPPTVTPPPGGYTPPECLPKCLNTWLKLKSECKDNTDSACYCKVPDLTDNVID CVKAYGTEEEVKQALSYFVGICAPQIPENPHIIDKCTDVPLAPPTAPVTSAPETPATT AAPEQPQTPGTTPAPAPEQPGSIPCTTITYGSSTYTVPQVGFTTETPGAPGANPTEPI ALYPAAPTTPAPAPANPTAPYPIASGASGFTSVTFPTGTGAINSPPPSEFSGAANALN VKAGMVFGAAFAFFAM CC84DRAFT_1162404 MSSVNHGACLYILQTAVVDAHEGEILDKKDAYTKTRSYAQNDVQ TCEPSGLCSQGKPTFPSPNGMSRAYSQRPPQSGVAELRRRFGERSHGSRRVIEGQR CC84DRAFT_1194641 MPGAARPLGTADPATKTRCHILYVDAYDSFSNSIVGLLEQSLGA TVTTVRIDDTTAYDNFTTILKAFDAVVVGPGPGHPAKASDVGLINQLWNLGSDDLLPV FGICLGFQSLCLAHGASIQRLRQARHGIISSISHNRTDIFRNITNLSATQYHSLHAVL GEHSSDSEQLQWQTNDQCPDIQPLAWDIEDETNGTILMAARHVSKPFWGVQFHPESIC TSEEGIELLRRWWVQAEEWIASRSRIVAHDRTTALNYISPAAITVTARDKIKHKMQDQ HHSAALSLAKAIQLSTGSQTPTLQWAAHLTNRFSPVILAESLGLDREEVILLDSQNHS MGRFSMLGVVVPGETVKITYRSWDRTLRYGTGSENEQVVQLRSIDQTWPIFQEALDLH DPKVQRQHRAFNSTNEDFPYESPFWGGLMGYISYEAGLETIGVEPHESVAENSIPDIS FAFIHRSVVIDHTTGKTYIQSLLPNDSAWIAHVGQTLKNLTQEQKLGSESRTATADIA VSRELREGLEMEKRLSLSQIRTPQEQNYRNKVLRCQDSLAAGDSYELCLTDETLIEVP RIDGRGLNAWALYKKLRRKNPAPYGAYLQLSNATVVGTSPERFLKWDREGNCQFRPIK GTVKKSAMMTRETAHEILNSSKEQAENLMIVDLIRHDLSGVIGAERCSVPKLMTVEEY EHVYQLVSVIEGQLPKSDRDEGPTGLDVLKASLPPGSMTGAPKKRSCEILRDIEQRPR GIYSGVLGYLDVGGAGDFSVVIRTALRDARDFASRESTETWRIGAGGAVTIQSTDEGE FLEMETKVMTALTAFQSK CC84DRAFT_1162406 MVLTKYKAAAVTSEPSWFDLEGGVRKTIDFINEAGAAGAKLVAF PEVWIPGYPYWMWKVNYQESLPMLKMYRENSMAVDSEEFKRIRRAARDNQIYVSLGFS EIDHATLYLAQALIDPNGEVINHRRKIKPTHVEKLVYGDGAGDTFLSVVPTELGRLGQ LNCWENMNPFLKALNVSAGEQIHIAAWPVYPGAETLKYPDPATNVADPASDLVTPAYA IETGTWTLAPFQRLSVEGLKKNTPEGVEPETDPSTYNGHARIYKPDGTCVAKPDKDFD GLLFVDIDLNESHLTKALADFSGHYMRPDLIRLLVDTRRKELVTEVDPKGSIATYSTR ERLGLNVPLEDAKKRGPVGVVDLIDGLEKQEI CC84DRAFT_1257313 MSSLWDSFENFRRKLIVFLVRNVFNLVHLLAVQRDRTLATTAYA ASAETLYIPSRDSTRKIKAYLYTPPTGSASPSLPKPVLVNWHGSGWTIPFHGSDVAFC ARIAKELDVYVVDADYRKAPENPFPAALDDVKDVLDWVASQPERFDASRVAVSGASAG GSLALVASSTLRASLKVDIKAVLAHYPVTDLGLKAEDRVIPKPVAPLPPPILELFYSN YVGTDVAMRKDPRVSPMYARVEDYPQTVVIVTCEGDTLSVEAKRLAEKLDDGSRKVVK CVLEGMNHGYDVGAKPGTKLWDRREKAHALMIESLKDSFRKG CC84DRAFT_1162407 MGEARENEAKPEGGTSAIITRIAASLNPSEATAFKKLVERCETT QLLDRPSNLDKNDLPSGINDPSTLLRFLRARTLDAEAAHTQFKEALDLRATTSITTFY DEIDTQDFDEARKLYPHWTGRFSKAGLPLCFFDIAHLDGDTLARYNKPHQGREEGVGT KHTLAVHDFLTRFVLPLADVVKGETAEGPVINCLYLVDASSLGLKQAWGVKKYAQETS ALLAGSYPEVLSRVFVMNAPAFFPAAWKWIKPWIEAGTAEKLVFLAGEEVLPTLQEYI DADSIPERWGGRFEWRHGMLPCLGKTVKEMLQWDEAQEFPVGPLKWRDDGDGAIACFT GSENGVLRQGKVVKDTETSVINSAEPLVMSGEQTVGTA CC84DRAFT_1203831 MSHQFSTRIRQHGSLPWDASHMNIGGGYDMARRESLPSPFEEVY IDDSYDSTDVFPQVDMHTQLFRDERELTDSLRSMTTASVSHLSVASVNTVSGYIRESK CNSQNMCLILRCTLSMPPEHFDRELDLTEQARRTLETDPDGFLKQYGEYCITGQIRQS SFYAVCTYSSTEIGQLDALAAALGGTGTVNQTSFSAAAQLMTSMALHSPSVQETHRFH IAGVEGEGGLSWLENAKIPEAWEGFRTEYKPIPQVALVKHYSSVIPGKIDRPVTGYDV PRDIAEALWQCALLQMAARSKATFQGTLILNLDRIQERLSILTCSDDDEDHTEVNDIL KTLENIQVQLRQPAWPAIKAEIGQSSATPENKWSHSEFVWDSCGDDLWEFGISPSRAV ELGIPAANIETHSKTCQLEDTGRLITLKPDPIRLETPGRRIIGAKLLNKYLDPRQGGK WMHVGGRLGGDTFSVKVETRHNRGCHWVLTVWSIPEGLLEGDVSV CC84DRAFT_1257316 MAGELPWPEVPVGNKNHANAAVVIIGGGISGMCMAIDLLKRNKC RNFIILEKSAGLGGTWNDNKYPGCCCDVWSQLYSYSFAQNPDWTREYPGQEEILAYLQ HVAQDFQLLQHFRFNTSVVDAKWDDEAKKWKVHVKTAPGSKEAEYNPEYEIKADFLVS AVGQLNVPQWPDIQGIETFNGKKMHSARWDWSYDLANKKIALVGNGCTAVQILPEIAK VAKHVTVFQRTPNWVVPRLDAPVSKTWRNIYRYVPGVMARKRAALMDFRELTHGFVAS ADSDVSKMFMRMTKEMLQNQLPNRPDLHKKLIPKYQLGCKRIIISDDYFPALGQDNVT LETRSIDHIEGNSVKVANADGEIVSAQDDYDLLVCATGFKTVDFMHPIQLYGKNGRHI GDIWKDGAKAFYGITVEDMPNFGMLYGPNTNLGHNSIILMIEAQSRYINGLITPVLEA RKKGQALSLTPKKERLEEYNDQLQKELQNSTFNDPNCQSWYKNEKGIITNNWSRTVVE YQKMVEDVKFEEFIAEGSGRDEAERRKVMHVGRVKEESSNNGHRDRDTLTHVRTCMEQ YEVFRGMDTYQVEVEILHPYFSQLPTPHYSNCTRSSE CC84DRAFT_1173941 MSLADYLAKNYLTADSDKKSKKRKRKHKDGGLKIDDDDISGWNK GADDDDDDAPTIAGMTLSSKKSKKKSKTAEDVAVKRFGVAAPSHAAQLAADEAVANEI IASAASDRRQADENEDEAPAVEDTEGVFMESGVRAGLQTAAQLAAMMKKKQDEEKRKT AEAAKEGGPGETIYRDASGRVINVAMKRAEARKKAEEEERKNLEKERAARGDVQNAEA AKRRQQLQDAKGLTVARHADDEELNDELKEQGRWNDPAAGFLRKKKAGRSITGKPLYQ GAFKPNRYGIRPGHRWDGVDRGNGFESEWFSSRNKKANIEKLQYQWQMDE CC84DRAFT_1114960 MAPGERSEEAYLWFSTVCEAIQEIPSGKVTSYAHIARLVGKPQC PRQVGVCLKHLPAASTDPGKKSPKWHDGNVPWQRVINAKGGISPRGPSGAARQAAALR KEGVEVTQNAMGEFLVDFGRYGWFPSVLPSEAREAESSDGGDEDEDEDEGEDEDEEAA DAAAYHT CC84DRAFT_1086226 MASEQSFACDLRSEAKESIRDKTSRVKWAHGASYGPGRRAEENR PRPARVAAVPKALKSSAGIDKNRTAIRNDIAYASGDSSADEDVEHPSVAPVPDAGVAY SFDAERGPSHGSQILGLALAKAIESYEVRQTDKLIKEEYEVLRLDDDALSPGPKAARK GVAPEDEDYEFIEA CC84DRAFT_579669 MTADNAPAERFGRPRWLLHVQAEIWRFLMGIGMMLHKLARPLPP KPSFNRNIESTVSPLKGNFKLHFYVPKDYNRVKKLKGAKRYPCVINFHGGGFVLGSAL DDARWAGVVVQQVGAIVVSVDYRCAPEFPFPTAVEDGADAVLYLAQHADEFLIDPSRF AISGFSSGGNMSFTVPLCLQGELLDRSQSGEKIENPARKGSVPAINILAPPTKVHLRV PPPANSSGSRVALSRTPTGNSRLDRIAMLRSTGHSALSLVSSYKEGSAVAVATQGSTA EAKIRGIVSFYPPTDYTQTRAQRRATCSRADQLLPAVFTELFDDSYLQPPALDLSHPW LSPGVAPDAMLTALPDDIVLFCCEWDMLLAEGEQFRDRLKNGLGKRVHYHKVPGVPHG WDKAPNPLRESPGARDQYKVACRELKRMFEIEEEDVEGDLCECSTHAERDEEASIGMG SLKEVHTKESAREGTQ CC84DRAFT_1087382 MVSIGKDSTESCLIYQAFYVAEESTNIKCRSEVRLTGLCERCQS DDLEGFLLGKYECPETPESELYYETGSLRSDCICCVQIASLVNKSTVGYTENGSPKRP NAYFIGRRGLKNRSLSIRIPGHSVHEWWTGEVHSSPIHPLPHRSSRSTEVVGIETIRY KRIKRWVKDCETYHRHTCWHSYPEWKVSTITVIDCNSKSLIDIRSDQRYIALSYIWGS NTHEALHYQRDLPDRLPRTIADAIQVALNLKIFYLWIDKYCIDQHDYEGKERLIRHMD RIYTDAFLTIVASAGDGPHHGLPGVSLTPRSPVDRTILGQCTLVNPYETRAHIEKSLW NARGWTYQEAMLSCRRLVFTQSQTYFQCQLVASLESVTLASRDLFIGKVFSNISKNSF EVYSCIEHFWHRQLSFDSDRLNAIQGIFTALEGVE CC84DRAFT_1162414 MPPKQSKNKYSVLLPTYNERRNLPIITWLLNKTFTENDLDWELI IVDDGSPDGTQEVAAQLAKVYSPHIQIRARAGKLGLGTAYVHGLQFATGNFVIIMDAD FSHHPKFIADMIRVQKEKNYDIVTGTRYAGNGGVFGWDLKRKFVSRGANLFADTVLRP GVSDLTGSFRLYKKEILEKVIRKTESKGYTFQMEMMVRAKAMGCTVAEVPISFVDRLY GESKLGGDEIVEYAKGVLNLWLKV CC84DRAFT_1114974 MHATRPLQVSSHNRFSKNLLLCFDAFGTLYKPNVAVPAAYALAA QRHGINCVADGVSSIPIEQWTPAEYEPVSVSFKQAYKRQSSENPNYGKETGLGAEKWW ANVIRGTFKPFLKLQEEVPDALIAELLKRYSSSEGYDLFPDAKAFFDKLRQARAQPSA SPWRWEKVVVGVISNSDDRVPSILSSLGLNVQPRRVGSEIGPIAESEDISFVVHSYDV GVEKPDRRIFNAARDLGKALGSMDDCYRLYVGDSIGQDYHGAKNAKWHPLLIDRDYKI GGTKPIELVNNWIHVVRGLDELVNYDKYIEAHWELKSKARESKAQESKAQESKAQESK AQESKAQESKAQESKAQEVKTQSGEEG CC84DRAFT_578116 MPCFLGLAVSIHTPNGPLPEYSIQKQTRAQRITSYIPVPPAKVP TGATKPEQSTFAISITLLTPGLHVPYSTPKATPDDPHPRPQLVGGAPGLGGNKLIAPY KPLTSSPNETIAAYIYFDGRAKEEVATLLRRGEETWVNSRWVSVPESEGGGLAEREFL FREVGLERWLNGLDLEGKDVAATIERRKQRLEKKRRKRREEKANEEESRRESNGVLRY GENQDAPVESLSGNDDFFTSDSDSDDEPPQPEAAGQIKVALFRVLASGEIKRGEYSPQ FDAHDDDENTQNGEGEDVDHTTSFAKPKTLDPKSISTQTVTGIDPPDKPYAVFTFLYR GERQLRKMGILATEKKAAAEPTPRRKSGGLDLSALKPLNATTGTKNFAGYRESAQKDG KGKRSEDAMDSDAEEDDDPVKIKVEDDEDKDPSGKGLLSPEDARREGELEEGVRKIKL KRQHSAEPLNRTGESANRGASGSPISGTPVSAGELDSTSAVKSSVPDLVSEASVGSPF KKQRASLPGFDEGVRKSLGATLAEAQKERGNSEGNLPTPSIVETKMDEDDEL CC84DRAFT_579493 MASQLPRERLPEQDGNMGTMVGSPAGAAPDLVTQPHHRFEHSEP QPCQAPGADHDADDMDPEERAYHERFMREAINMAELALKSDETPVGCVFVRNGEVFGR GMNETNRTLNGTRHAEFVALAGILSKHPISDLKETDLYVTVEPCVMCASMLRQYGIRA VYFGCWNERFGGTGGVLNIHSDPSVDRPYPVTGGIFREEAIMLLRKFYVQENEKAPEP KQKKTRELKTEILPMDIHAPKSTPTPPVGASTATA CC84DRAFT_1162418 MTLSEEFKSRNFSIYAQWTGVICIFLCFALGISNLFNANWVIAF SIVCLVYAPVILFLEVPLLLRICPTSPKFDTFIRKFETNFLRAGLYAAMSAIQWASIA ARVTSLIAAAVVLLFAAVFYALAGLKGQAFQGSKTLGGQGVAQMII CC84DRAFT_1162420 MSAPPQIPPRPARAQNSTPNSAHMDVPKIPPRPKRSVDRSISPG RDAFARSPLNDPSFLHTKPPPKDSHLSAELPARPPSVSLPSLGQEGSEYASFEDLSKT LSNQSQNGEAPEQTKQVAGDLPLHAPKASLPASSAKTRIQTVTRTDSDQAAAAGFGKT LAPEGKPSGDSSRSVSSRPSSIYREEEEHGIPEIGVQVPMFRNAGDVQAPTPSPYETA IPTGVGFFNKGDKPRHHSRTKSGREIFHGPPGSYGLHGHGKIGNDEFEQNWYKKHPED LKREKAGEYGPHIQENRKDYHWGGEKLDKLVRNAIVEGYGLGTSREAVSTPDEQIGFL ASEEYASRMASPRPKSSGRPASISEKSGAPVAESPLRQANFPTDVLSKQKTNESEAEE DVIHIDPPNRRENKIHGGGFDPSTHDLGRQGGNTDEKGGWVSERGYGTPILASDEIKK DPESEWRQPAIPPELERRGSGDYTVNDDATPSYITKQRTHSRPHSRSSSRNSNHANPA RIISSPQFDRSGTPLDSMKEYEPLFPEDDEDPKKAKTPIDKIKKRPDLARHQFPSQDV WEDTPSSLQLETTVDTAQDPEEPKSAAAEPDASQVFEKPQTEQARKEHITKEDQQSFL PENTKKFANKHLKNASEGRPGVQRFPSQDIWEDSPEHFHLETTVSTPQTPETNEYADD SSVVEKPSIPARPNIPARPQAAKETSPVDKKAPVLPERPKPQVPARPSKPLTKSSDKV PTVGAFPDDKGNVGSEAQQQAQQPKAKPPVPARPGGSKIAALQAGFLKDLNSKLGLGP PKVKEPEPEKEEEKEPPKPLEDARKGRAKGPQRRKPTKSPTPAAAATGAGDGGAQSLS VGVVSTLWQIGDSGEVEAPAAELAAKMQNALKPTTSATSSASDSLALPVTGAEEFKET EDMEVLEQEEHVELGEASLEPAAVKDTEPAAASAAVAEPASDEPVEGQTVGRTDAVTV EPADADPLVEGKVPGGVSGEEVREIKKEIKEETREKLAVGGGEEKAAEV CC84DRAFT_579975 MTIRDTATNVASMLSIIIIIITTSFTTPTYAHAAIGPGMTITYM YCYVTLRYGRSAQRSHICVQSKIDYRVSRLSRSCFSPLPDRVFLFQSIMFNTRSTSQS IPKTHVLRAKNITLKEEQKDAAALKYNAQMVRAEIAAENLGWMGGLQRGTACMQKR CC84DRAFT_1087117 MATRNSIAKVPYGLDTTSPNDPPRGAHQRGPNSQATPLQHRASV SSTASFATMATSDGPFSSGPSHPYGMYPQNTMVRNTSISTTSTQQQPPAIPQLPTGPS HPYGMYPQNVVEDEPPVPVVQTAIPVGFPGINNGFHRQIGPDGEEQDIVGPFGHTEQL PPYSRYPEEGPTKASMAAEASATPLEARANPMAGSNDTLLIDAAPPAPPSPVSPVSPL APIAPALLPQQRPETQTGNVAPRPATTSESASLLTMTTDDGVVAEKAEPEPSIRKQSW RKKRLWGKVPVTVALCLLILLLIFAVVLGAAIGTFLTKNKEKSKQDKNRNGHDKPELA VTGNTLFGATPIATPSSLPALPTGAFSLPLGYAQESSPGCLLLGNQYAAWSCKMSFAP LQVVINSTTDGYQASLQTWVAPDGSIQYGLQTPLVPPSTLQLVSDLDYRGYGPAWHFS GRYDKVVVLQNEEFAAGTNLRKRDDDDNKPSFRHRFQVMPGDNPWYCIWNSTYIEGYI YVTDNSTAASMTNYPTPNPSDPFNGVMTPTATISASFPETSTASATPPPTKRTPQERG DDRSNFRFPFPYPRIVKIEERRLPGAPQPYCQKMQLLDNGQIAPASGSNGGNVIVWLQ ESDPAMKEFYGAPPGPPPSSTEAAKARRQQERRSDPSDACHCQWMFQ CC84DRAFT_580000 MASRPQNIGIKAIELYFPSQCVDQAELEKFDGVSQGKYTIGLGQ TKMSFCDDREDMYSLALTTVSSLFKKYNIDPKNIGRLEVGTETLLDKSKSVKSVLMQL FEESGNFNVEGVDTVNACYGGTNALFNSVNWIESSGWDGRDAVVVAGDIALYAKGNAR PTGGAGCVAMLIGPDAPIVMEPGLRGSYITHAYDFYKPDFTSEYPVVDGHFSLRCYTE AVDACYKAYNAREQTLKSQANGANGVNGHSQELETPVDRFDYMCFHAPTCKLVAKSYA RLLYNDYLVNPSNPIFAEVPAELRDLDYATSVTDKAVEKTFMGLAKKRFNARVQPSIE VPTMCGNMYCGSVYGSLCSLLANLNGENSTGKRVGMFSYGSGLASSLFSFKINGSITE LQKQLDVKNRLENRRVVAPEVYDEMCQLRARAHLKKDYKPEGQVDTLFPGTYYLTGID SMFRRTYEIKQ CC84DRAFT_1162427 MAPFTNRSFANTLPNSIAARYRKALTKHPFLLFGLPFLTTIVAG SFMLTPATALRYERYDRKNQQITQEEKMGLTQDRRKVNMKDEYYRLQAKDLENWEQRR VKRLPGEVDGILE CC84DRAFT_1086470 MARRGGGSTQRQAPQPGPNSHNLHGYANGRPPPSTIPAQIVRGA ANVRAQQDTVNKQPFIELVQEFLSNPVLEDPDPVCIAFILAITEGGIDPLFREDPFAS KHLEEQGTQCIAALIIIFQQKPYLLFAPTHAEEEDGTRRPPVLVWLFSKLLGLILQQG RLSIHPTVLELLSTCLKSFTRSASRLRQAWSVFRLYRSCVDTASEPNEEIIDSLYPSF DLILPSSSSIGDVWPESQHLVALPQGLQRIVTSKVSAAYLGFRLLEILIDCCFTSQQW TTNDSTFGSMRLWALNSCLDLWTSLTRWTAGRERGPLHDDVEALYMQSLAILALPDFD KEDDFSCSSKALVSFMSGILEGLRSCLFKPFSQANQARFGYVLIRLKSITQQTLKDER KRVIVQSVKKTINNTMIPDIAGICQNVSELVTLERDLQLSICLWTKPGDWPAEVQKIR ALLCSEIGRPFDNEELNDQSVHVISAFRVANKGDEQPPAKRRRTAPEPDSDAPADVLN HLVLLLNGSSAESPVLDLKGFHISVQTKYANLPDDTQASDEEQIEMLVALTKIACAAT QCLKASDISQDRWKDSPCTLCDSTDKVQREVKVYWDQRDSSEMWKDAIAGMIAMTQEA RFQSSSRPRVLMAVAIGRLFNHIRDAEYLSLEISSLGEWLLKSMQRSLRELRIAASRS LMTFLRDDICRHVRDKNRRATMQFLAELTRRNVPAQQETLIMAYGQAARTCSGEELHL ILAQLVEYLGHTNTVIYGAAYNELCSLADDLHTSPQELLRPYWRTIGFSIVHDLNTKP QKAKFVVELIGEISYVSQLLILIHEDVLPVLVLNKRTDILQRIANAKKTTIEEICLQP RAHLARIIALLLCQPGPDAERRAMDTLVAVAPAVRQTGQKLHDLVQLDAAAMASEVFK LAADRDANEKELYYEGIRKLAALDDVKSDIDSKRQKDGKRKAPPRRKTKDLVETFILK HVLGVMADFTNPIENQLGNHHLTERRRCVGAIKELIMFAREDSCHALTQIRACLQSAM ADPHLCDQAFDVWSLLLTVLEEAHLKLVLGQTFALIVHHWSSFADETRLKASATLDVL RVQHNQLLQDLVGQLASLSSIPMLAKLEAEIARLKAKKDPITLLSYFSERCNDENSVV VQHALKELVPFLEEHQKLLQQSAIDQKPLPALISLSRSLLDICVRFPGHHVELRTLCA QCLGIIGGLDPYRVETVREKKQVLVLHNFEQAAEVIQFVAFMLEEVLVKVFLSTTNAR SQGWLAWLMQELCALCGFNSVNESGRGASQSTPATQRWDEFPEPVQKVLTPFLDSKYR FTTNEDVPTAQYPIFSLEISHATWLRTFTYDILQKAKVQNAKMFFPTIGRVARREDLS IASFILPYAVLSVVVGGDGSDAHKVGLELLSVLETDLQGADHTEATNIKQCSENVFQV LDYLALWLQEKRKVMSDARIMAGKTGRGISEVDEIESIKQFSAVESLLRLIPAKVISK RAVECRSYARALFHWEQYYREEQHKSETSGEDFIKDDLLQHLQHIYAQIDEPDSIEGI SAHLLVLNPEQQIMEHRKAGRWTAAQTWYELALAEKPDDPETQINLLTCLKESGQYDA ILNYVDGFHASRFASSSTLPFAAEAAWSTGKWVQLDRILTEPSGVLNASSDFNVGIGK ALLALRHGKTDDFRHTVNDLRTVLSNGLSVSTTASLHNSHDHLVKLHTLYELETISGL SSRRPPDRDVLFEDLDRRLDLLGAYTSDKQYLLGVRRAAMQLSALEFTNLDIASAWLT TARLARKGDFSSAAFNSIMHATQLGDDASKIEYSKMLWKEGHYRKAIQNLRGALATNS FATREMPQMDVSVSVSTTANGDLAHATNRVKCHAQLLLAKWLDRAGQTQSLVLKEEYA RGIMTFPKWDKGHYYLGRYYLKLYETEKALPVNKQASNFLAGELTKLVIENYIRSTVY GSKYYYQTIPKILTLWLDMGMEMNSQPRQPKDKDLHQHKMNHLVHINGYIKRYASERM PAYPWYTAFPQIISRINHPNKSVWDVLQLIIIKVTAQYPQQALWGLLAVQNSQQDDRR ARGGAVLQKLRDHSKRRNGAIELKSLITHGQRLADALLAACDTPVEQRVSHVSLSKDL RFNTKLAPCALVVPIESNMTPSLPNTNDSRLIRAHNPFASDVVTISEFKDDVLVLSSL QRPRKITVQGSDGRSYGLMCKPKDDLRKDQRLMEFNSMINRALTRNIEASKRRLYIKT YGVTPLNEECGTIEWVEGLKPMRDIIIRLYRQKSVSIDYQEIRMLLNEACADPKNIHL FTHKILTKFQPVLHEWFVETFPEPEAWFAARLRYTRSCAVMSIVGHVLGLGDRHGENV LLEEGNGGTFHVDFNCLFDKGLTFEKPELVPFRLTHNMVDAMGPAGIEGPFRKTAELT YSLLRQHEDALITILETFVHDPTADFLGTKKKRKIPGVPESPQEVLEIVKGKLGGFVR GESVPLSVEGYVDSLIRQGRDPMNLASMYIGWCAFF CC84DRAFT_1115000 MADSEYNAAEAAEIKKKRTFRTYSYRGIGLDQLLDLSSEELRDV MHARVRRRMNRGLKRKPMGLIKKLRLAKAAAPPNEKPPLVKTHLRDMVIVPEMIGSVV GVYSGKEFNQVEIKAEMVGHYLAEFSISYRPVKHGRPGIGATHSSRFIPLK CC84DRAFT_1162430 MRMRNVSAWRTAALLVLLSGTAYASLGDRLPEFKDCLKVCHEAN CGVDATPIPIHRRLLLWDCPAECDYSCQHIVTDKRLARDPPYMRPVYQFHGKWPFYRF LGMQEPFSVIFSLFNYLAHSWGQAQIREKIPPSYAIRKYYIAFGYFGMASWMFSMIFH TRDYNLTEKLDYFAAGAQVLYGMYMAAIRIFRLERKEPMRQSVLRVWTTTCIILYTLH VTYLTLWKWDYTYNMAANVAVGVVQNLLWSGFSFTQYRKVGRTWAMWPGFIVAWILVA MSMELLDFPPWKGMLDAHSLWHLGTVVPTIWWYNFLIKDAQEDLAGPRKD CC84DRAFT_1203844 MHLYSLIRILLVELVLGLVVGTNIRAIYLFKDVLKSNTTALTTS GFNSLIIFGVGILEDGSIMYYSNTPGSVDVKVASGGAYIGGTALATKMQSLKAAGSSV TRLEISMNSQHVKDLMAKPGPSADTPLYKNFAALKTAWGLDAVNNDDESLYDVTSTVT FAKMLGKIGYRYTGAPYTNTGFWKSLIAQSNAGLAEPDLLFDRAYLQCYDGGAGNDPS AWANNLGLKVVPLVWVTNDSKPSYGTTAAAAKTKFQGWKAKGGVVGGGYWNDYDIEKM GLSYKGYGDALASTAPPPSTLPRPPQPQSWHPSMSLTPTSSATMAAVAGAWSPPPAPK QLKRSRARTTDTLPESSWAETNRSHGVTTKPQMDSIESAMQ CC84DRAFT_1257334 MAGSFSDLILSDLFTFYVGTGEKKEVVRVHSRAIAATCDYMKNL IEGDMKEAHDRTAKQDVELEEFLRFVEYAYRGDFTAPSYILEERSAQAEVLVADVAQS PPAEVIPPAPTPPIWGQAVVEAADDAPVAADGGWGDWGVSTKKKKKEKKVKSKVDLFR TEEFNKRYYLLDAGPQMGFAPASNSAPEENFTPVFLAYARLYCFAEMRLIHGLKASTL HKLHFALSSFTLYPERIGDVVELARYTYENTPNRRADGTVDELRNLVVDYVACESAEI GKSNAFKELLEEGGEFVSDLWNVVSKHVI CC84DRAFT_1162431 MTAIKETPDVAAIKALIKSFFDRINASDGKGLATLFLPGANLSI LRQDPARDPASDSPWSEGPLHPTTPQNAEEDDKEEKIVVILRTSIEKFVKMIEDGEKK RKGKPGPKVHETPDLEATDVKVEHLFGAAWNPFRVTFDGVLHHYGTFAFTFGKVDGGE GEGNWDGKVWRIEGLTQNYRRSRGWEEGGEL CC84DRAFT_1203846 MLKQRILCRGLRLMHILSLLTILVKGASLPGSVSSHANGNSSTG LSSLLVRYFYEGTWDRNGLILKTTTFPQTCCRNIHSSTNTPNSPLGTQERALVMKDVD EIISAYQTLRVEGDRADALEKLTGIEEHSKRDTLAVLQGGYQHQRDTMALHKDALRLL KELPPLPKGENTEYPAYNHNILDDEDNRRLNEWVEQQRQQPRPIPTLSRWSSTSEASS KYSEHTVYSSDPPSLYYGDSRSSSRMSDALPADPASPPTQYASSKPSSTQGTKLFSAD DRMKPKRYYASLVSSRTQSPVRQVKSRTESPIRQLDSRANSPMGQIHCLTEIESRTET RTESPIRQPLSGSLAPAFASSRPHTPTSTARSPYGSRNASPEPRGRSRLDTAPMLHLL RPVASNSQLSVTPSVKTTITTGAQEKMMYGRPCKDNDYFGFCRGSWDTREDASKGLVL TSRPEGMFSSSQVWQCRHCLFEGPSRSVPHPTKKNKKKAVLDTQIHMSATDIRYRWSF LAKSHVKKSSYSTAGRGSAGEEAECNFGCLICSVEGTVTGIYGGVETLMEHIANEHVY AGNMNHMTMVRSKVVVGRTACEEEEWDVNIPSQEGLLF CC84DRAFT_580222 MARMLGRSRSFRMLKGGYKETHQRDADNTTPQPTLSDVESDRLK APTPVTRAESRVETLDPDMLPRPRTSSGPGDRSTLFHKKVMPIQSVDDSRFDVSYTSP SKSTSTLYTAQLSEQEGIIGIALGSPTMPPQHWHAPPTDFVTQTQGTITQISSNNQSP ESIPRAYESQNEAPKPKLSRWKSIFKKTAPPPRQNKDTFYQLAKAVNSARLDNQPDND SLYSRSLAQEDDVAANPSPTYTFKSDIRPSRNKQTGHLAPDTRPRALTAGSASGKVKS PLSRFALSPRPQIPTNSYAALPSVTVSGASKNTSPQLPGGKPLLDVDIPSVQMERYSV MFSGLLQPQTTNASSSLLQRRQGAADKVKPLNGLSVKSNNDQSRDGLQRRATSPSLPS KSPSGHLSLFPSANTSRAPSPHIITNRPRPLQRSKTAPAVSPSQLNFPFQSTKKAAAP QEIDYPDTPSLSRTSSPTSDRSFDSDSEEITIVATPQNAPPKPWRPHAQIRSDEREPV WEILPRQPSTVTKASALRSHPTSAASPAPQEPMSASVATVRSPVLNRTRSHTVLTSTS PRPGPEHSTTVTGTATFGIARSVSVSRATRSPGAALSPAGSEGVVPPFVRSPDGLQPP GKFLGASKPLTPTLVELKNRRSHRVQLVDA CC84DRAFT_1115009 MTSAGPFTLRPLERSSGGSLDQTAFRVHLSAKELKNLGLTAGDR IRLSTAKGVHGFALAWLAQQTNPGNKPIAKVSDLLREKYELALTDAVFIEKVQEAPRF ATSVEVAFPPASEALKRYATNEELEHFAKISLEDLEILTPGCMFDIEKKPKSLSSGSK LRMTVTNVSSDDPDEQRPFLFHRKCELLFSNQPTTKATTAITPDPTLRLDGTGIGGLS DHIKTINRKLRAISESSFPRLDSTNRRPTSFLLHGPEGCGKTMLLKRLSEASWRKVYR LDEIWLTENRKGQAEALSEDVFEAARRNQPSLILIDNLDKFVQKAESLVDRMQEELEK LQNTKVVVAAAARTIYDIDARLRSQAGLTIELEVFPPNVKQREDILRQLIGPKIEHCN IEWTNLAEKCHGFVGRDIRDLHRLARDHCDDRILDSLGEDARATINEALEGADFVTQE DFDAVIGQIQPSVLKDSIIEVPKVSWEDIAGVDHVRSLLESIVVRPYKFPELDSKFGA PQSRKGVLLYGPPGCAKTLIAQAVATESNQNFLAVKGSELIKMYVGESERAIRDVFRR ARAAKPCIIFFDEIDSIGKSRDKGQDSGLNVVTTLLNEMDGIEALKDVFIIGATNRPD ILDSALIRVGRFDVHIHIGLPTKEARRQILEIHTRKWPIAEDVDLDVLASRTEGSTGA DIKGLCAEVVEFAKTDYLKQDSDSEPRVHMSHFERGLAEHTPRTLAEEAARYEGWRPG KSLAVE CC84DRAFT_1203849 MPPPRLTRPLRPWQPPSTSARARWLSHRPRDQSTPRRGDGALGG GEPGQPATEAKPEADAEAASSSHDAPAAGWLRGLAARVSTLVAKPSPSSLPPAPPNRS PPAPFEDQPRAPASSEHDAPPASASLELQSGTSCLVCHKTFENPKKLRYHIWNENHVE SWPLAFQTREEAVDGAARATHWLRFQKELGPNGWEVARGMTQIRKEGKTCIACQTPLP TLEAHVQHWLFNEACRKDQRVSPSLFACWRCFKTFKSYAQAGEHVQSSPDCLGYIKAR YAPKLLPKAEYIYRRSVAELLAASSALETFSSPRASSLAHGVHHSLRPLFSQAHEARD NDLLALFHKHPQAVNAIRKLASPEAQQQTKSNTSTRSLTGPRQATQRKPYSTEPRVLP TLEPRAHQRPLVAKSSSSSQASIPEPQSNSDARQKAGDPKSDKDTKLHDKPQGQDIAA RKDAIPRSKAARGYKISLRNLRANSEPQGGGSTPVTSKSDSSSTKVVNAAPEYGPPLS GKDKPAISFANPDANNAELRLQIDYLAEQVRFLNEKIAGQATSDAKVPSVKPTVASAI DPRTTQDLDGTSRSSTDFTELSLPTMEQSTNHAPVRRYGLKSQAQLSNEKIRKVLSDN DSTQLTVSATASHPLPDVDIATKASTDRRRLVRETPTQAQMQTQARMLRSLDSPNPSK MNARPTPSVDSQTAQTSPAPPPTQTPLATGEMSEQSLLAELFPEASNYIQPHYDKRNP YPKLDLPSSKPIVWKYAPTVKPKSSREKYLKAFQRSTEKLTALQLLHCSTELTEVDFR RLVPQGQHIEGWAPDGDIHQIIPGRDPLSLERLPFYYLIFKNPEAALRYQSNASRLDR LSKLHGPTSSLSVIPPPPGLLENGEDLSAALSSYLLTPQDQPLRLNMVVQPYNPALAR LIADGGYAPIVPSTTSAGKPIHKVLFYIEGYEPSPYDLYQIFMQDALSRGLTWPFLHD HQSIHRLRDIVDLKARFTALSTASPRASSSTKRKLAEVKSHDPYTTFLSPNDSGEGDD AEGQDAQAMNQVIMNRVYNRWVVEFSEEAGARRFARLWHRKVLPMQGSVRNRTWRDVE EVRLCNAEYLW CC84DRAFT_1115013 MKSPIPDYLTKVLENARANDDGAPAAYIETLANADTSKMAVALA TVDGKVYSAGDDQVEFSIQSISKAFVYALAIEDAGLSRVLEKIGVEPSGDKFNHLSLE KKTNRPMNPMINAGAITAHSLVVGPDATLEERTDRILNALSKLAGRQLHVDEEVYEAE LRDADRNMAIGYMLKAAGIISCDPRVVVKGYIRQCAINVTVNDLAIMAATLCNAGVHP VTCERIMPQLSVRQVLSVMTTCGMYDAAGDWVSRVGFPAKSGVAGGIIGALPGQVGIA AFSPKLDERGNSVRGVIMCEQLSNDMGLHMMDVSQLARATVQTHVARIVAGKHEPHHP HCNKDVVVFKMRGAVRFAGSERLTRAIARELGDPDPRDPGSGAHSDACAVVFSFRDAY SLSSIARRIVHEDVNRLLSDGKSVVVIDPSRVLEWDLKTNPKYPVVVENETQALDHIG GAGCKAVSQDDSW CC84DRAFT_580469 MGDIYRNAERVISWLGTGFLVSNFIKGPSYSMDDVYSRTERGFR AFSKHKYWSRAWITQEVTLARKLILAAGDEEVAFDVLPGIIRESVLPHSADSLDGQRF MYLLHLFREKSCSIAKDRIFSLLDLCDGQDRSRITVDYSTSDAELFVEIIRAWEDSLC FCTVSLVRAALNLDYLDIPPHPYINIRLKRHDDQDCMCASTLRISLGSRSKAFSSVPN ML CC84DRAFT_580328 MAFAQPKATPEYNKKRKVDTGDLNPWPWNGWSYLEFKKHFPEAE RTAMIRAREKQGEKAVSTFRYAPLPQLRRIIRLIEIYPDRSPNGLIRCRMRRMLICEG SQPYTCLSYTWGSPTEEPRNSIVIEEPEFQRIGVFTIRQNLYEFLEASVMQISLVYSG SFTM CC84DRAFT_1115015 MAGLTRPASPVKGTTKMFGSGPQTGISTPRTQASLRPLVLSHGS LEYTLLIPVALHYNAQQLRDVFKATLPEPTDELAQDDEPSSVPELVARYLGFIAKEVE EGEDPDTFEEVLKLVLQEFERAFLRGNEVHALAATLPGITDKKLITVQSYYAARAAAD RPIKYHESALLREASDENAFIYAVLGGQGNIEEYFDELREIYTAYPSFVEDFIAAEAQ HLLTLSRDPRAEKLYSKGLDVMRWLNNRDSQPDTDYLVSAPVSLPLIGLTQLAHYVVT CRVLGSHPGHFRSRMSGVTGHSQGVVTAAAIAESKNWETFEQAAKRAIEILFWIGSRS QQAYPRTSLAPTVLQDSVDNGEGTPTPMLSIRDLSRKAVQDHIDATNQHLPPERHIAI SLVNSARNFVVTGPPISLYGLNLRLRKVKAPTGLDQTRIPFTERKVRFVNRFLPITAP FHSPYLAEAAKQLEEDLKDIHISPRDLGIAMYDTHTGEDLRESSEDDVVPRLVRMITN DSVEWEKATVFPRATHILDFGPGGISGLGVLTNRNKDGTGVRVILAGALDGQNPEVGY KPEIFDRDFDHAVKYAIDWVKEHGPRLVKTSTGQTYVDTKMSRMLGLPPVMVAGMTPC TVPWDFVAATMNAGYEIELAGGGYYNEKTMTAAITKVEKAITPGRGITVNLIYVNPRA MAWQIPLLGKLRAEGVPIEGLTIGAGVPSIEVANEYIETLGIKHIAFKPGSVEAIQQT INIAKANPGFPVLLQWTGGRGGGHHSFEDFHQPILQMYSRIRKCDNLILVAGSGFGGA EDTYPYLTGTWSTAFGYPPMPFDGCLFGSRMMTAKEAYTSKAAKQAIVDAPGLDDGDW EKTYKGPAGGVITVRSEMGEPIHKLATRGVLFWHEMDQKIFSLDKAKRIPELKKMREH IITKLNNDFQKVWFGRNKHGESVDLEDMTYAEVVQRMVDLMYVKHQDRWIDQSLKRLT GDFIRRLEERFSTSSSESLIQTYEELNTPYQTIGKVFKAYPEASEQLINAQDVQHFLL LCQRRGQKPVPFVPVLDDTFEFFFKKDSLWQSEDLDAVVDQDVGRTCILQGPMAVKYS TKVDEPVKEILDGVHNGHIQYLLRDVYGGDESKVPVIEYFGSKPVESIEGVEVDGLTV SQLENKIVYRLSAAPNSVLPAVKPWLHLLAGATYSWRHAFFAADIFVQGQRFQTNPVA RIFAPTPGMIVEIANPKEPAKTVITVKEPHNGGKHIKTIEVSLVKDEIVLNMFEERTA LKQPVALPLKFHYRPEYGYAPIHEVMEARNDRIKEFYYKIWFGEEACPFETSVTSKFD GGRATVTSEAINDFVHAVGNTGEAFVERPNKEIFAPMDFAIVVGWKAITKPIFPRAID GDLLKLVHLSNGFRMLPGAEPLKKGDVLDTTAQINAVLNQDAGKMVEVCGTITRDGVP VMEVTSQFLYRGVYDDFENTFQRKTEIPMQLHLATSKDVAILRSKEWFNMDEPDVELL NKTLTFKLESFVRYKNKTAFSDVQTIGEVLLELPTKEIIQIASVEYSSGTSYGNPVLD YLERNGSATDQPVNFENPIPLNGKTPLTLKAPASNETYARVSGDYNPIHVSRVFSSYA NLPGTITHGMYSSAAVRSLVETWAAENHIGRVRSYHVNLVGMVLPDDLLDVKLQHTGM VSGRKIIKIEVSNKETEDKVLLGEAEVEQPTTSYVFTGQGSQEQGMGMDLYASSPVAK EVWDRADKHFMDNYGFAITNIVKNNPKELTIHFGGPVGKAIRQNYMSMTFETVGSDGS VKSEKIFKEIDETTTSYTYRSPTGLLSATQFTQPALTLMEKASFEDMRSKGLVQRDST FAGHSLGEYSALAALAEVMPIESLVSVVFYRGLTMQVAVERDETGRSNYSMCAVNPSR ISKTFNEQALQYVVENISEQTGWLLEIVNYNIANMQYVCAGDLRALDCLTNVTNYLKA QKIDIQALMQSMSIEDVKAHLVEIIQECAKQTEAKPKPLDLQRGFATIPLKGIDVPFH STFLRSGVKPFRNFLLKKIHKTSIDPSKLVGKYIPNVTAKPFALTKEYFEDVYKLTNS PKIGNILANWDKYEEKEEPTTAAA CC84DRAFT_580340 MGISRVHEGKGQAAGVRPCRYYCGEPGQSLRKLELAQLRGRPTV GPGCGKWFDRCGRFRKGSDPALAVYLSGNTRWRRQPSLCIATFSRRILHAQPSVQTPR LLSGPKPTLSERHLEKSRRATTPSYLPTPSSSRPSLTLSPPSIALTAPSL CC84DRAFT_1162437 MRPEVEQELSHTLLIELLAYQFASPVRWIETQDVVLGEKTTERI VEVGPADTLGVMAKRTLASKYEAHDAALSVQRQILCYNKDAKDIYYDVDPVEDEPEPA APSGDAPAASSSAPTAAAPAAAPPPPSAGPAAQVPDAPVTATDILRALVAQKLKKPLA DVPLSKAIKDLVGGKSTLQNEILGDLGKEFGSTPEKPEDTPLDELGAAMQATFNGQLG KQSSSLIARLVSSKMPGGFNITSVRKHLETRWGLAQGRQDGVLLLALTNEPAARLGSE NDAKAWLDDISNKYAAQAGISLSAPAAGGDAGGAAGGMMMDPAAIDALTKDQRQLFKQ QLELFARYLKMDLRAGDKAFLDSQKASSALQAQLDLWTAEHGDFYASGIEPQFTPLKA RVYDSSWNWARQDALSMYYDIIFGRLKAVDREIVSRCIQIMNRSNPLLLDFMQYHIDH CPTERGETYELAKELGQQLIENCKDVLNEAPVFKDVAVPTGPHLEIDAKGGMNYAEVQ RPSVRKLEHYVKEMAEGGKLSEYGNRTKVQNDLQRIYKLIKQQHKLSKSSQLQIKSLY SNVIRSLSMNEGQIIPNENGKAINGKKGRNGRVHAPSKQGKVETVPFLHLKRKDDHGW EYSKKLTGVYLDCLESAAKDGVTFQGKYALMTGAGAGSIGAEVLQGLISGGAHVVVTT SRFSREVNEYYQSMYTRYGARGSQLIVVPFNQGSKQDVEALVDYIFDAKNGLGWDLDY IVPFAAISENGREIDGLDSKSELAHRIMLTNLLRLLGAVKTQKAAYGYETRPAQVILP LSPNHGTFGNDGLYAESKIALETLFSRWHSESWGSFLTICGAIIGWTRGTGLMAGNNI VAEGVEKYGVRTFSQQEMAFNLLGLMAPPIVNLCQIEPVWADLNGGFQYIPNLKDLMT DLRKEYTETSEVRKAVIKENAIENKIVNGEASEALYKKATVEPRANIKYDFPKLPDWE SEVKPLNEDLKGMVDLEKVVVVTGFAEIGPWGNSRTRWEMEAYGEFSLEGCVEMAWMT GLIKNHNGPLKGKSYSGWVDAKTGEPVDDKDIKSKYEKHILEHSGIRLIEPELFHGYD PTKKQLLQEIQVEEDLDPFEASKENADEFKRQHGDKVEIFEIPDSGEYTVRLKKGATL LIPKALRFDRLVAGQVPTGWNAKIYGIPDDIISQVDPVTLYVLVSTAECLLSSGITDP YEFYKYVHLSEVGNCIGSGIGGTTALRGMYKDRFLDKPVQKDILQESFINTMSAWINM LLMSSTGPIKTPVGACATAVESIDIGYDTIVEGKARVCFVGGFDDFQEEGSYEFANMK ATSNAEDEFAHGRTPQEMSRPTTTTRNGFMESQGCGMQVIMDARLAIDMGVPIYGVLG FTATATDKIGRSVPAPGKGVLTTAREVPSKFPSPLLDIKYRKRQMDLRRKQIKQWQES ELMYLQEEVAAMKDAAESFDESEYLQERAAHIEREAKRQEKDALNSLGNNFWKQDSRI APLRGALATWGLTIDDLDVASFHGTSTVANDKNESDVICQQMRHLGRSKGNALLGIFQ KYLTGHPKGAAGAWMFNGCLQVLNSGLVPGNRNADNVDKIMEKFDYIVYPSRTIQTDG IKAFSVTSFGFGQKGAQAIGIHPKYLYAALDHNEFATYKAKVEARQKTAYRYFHDALI NNTMFRAKDKSPYEEERMQEVFLNPRARVTVDQKTKKYTFPKNLDTGALKKDAKAQET QKMLESITQASASANTKVGVDVERIDAINIENDTFLERNFTAQEIAYCQKAASPQSSF AGKWSAKEAVFKSLGVKGQGAGAALKDIEIVNDENGAPAVKLSGSAQQEAKRVGMKKV TISISHSDDQAVAVAVASF CC84DRAFT_1214984 MDAEIRHRLAEAVIAFMRRPYFSRVWVLQELQLASTTSFCCGMD TRSFDHLIGVTMLVDFWINVREYVAYWYPMTRLAVSLLFRQPWILRRLKVCRDLNVAL QEVAPQRGCLALASGVRGTRRLSEVLEHMQYFSCADARDKLYGILALVDWPGQSKPDP DYSRDCFQVAIAIYNIYWKHPTCAPVTGSVVEWVGRLRETFGISLDQNTLREAAALRY PDSQLPDVMQTRRGSYQSLSSIKRQSIGGASIATLRSLQLGRRLSKNRSKNVWYGIKL QHARNMKQYSASRYSSVKFLCLEDDASEPISRIYDQDGKLFAFAPKGTRASNWLLISD KRQQNARNALALVVKFPESNYGQYRIIGQASVCYQDPESIYQFLEWETFSAKWYPEDL FVLEWSHHNRTSGDLSSNEIIQWLRLKICATEESSSFDGPPFESLESFDSSYPHHHIS RRNSDIRFDRADVEEVFARMNPGLVDELLSDED CC84DRAFT_1087293 MEQVPRYQEFRHEPLPDSTSHIRLLEILEGEPGRSVVCQLLAWP IETAPSYYAISYTWGEPRPTSLIIVNGRYTEVGINCEYVMRQAYNSGASKYFWIDALC IDQASRKEKTHQVAMMGRLYERATHVFACVG CC84DRAFT_1115025 MPPSNSPKSVLIVGGGTFGTSTAYHLSLKPETYHSITVLDRFPV PSQEAAGNDINKVIRNDYADPLYARLTTEAMKLWGNPHGLYKGLYHRTGWLLGAAEES KDFVRQSAETARKLGLVVAQPVSRDEIRSRWPLITGEMHGWSTVWNPSAGWANARGGL TKLAQAAQENSVRYVDGAQGHVVQLLYDQNGRCIGAKAADGSTYFAEMVILAAGAAAA TLLDLKGQLVAKGHTVGHIKCTPAEVKKYRQMPIVAHLEGGLIFPPQEDGILKLGAMD FVTNFAGRNVSLPRYRSDNKGDGVPKPIEDKMRRWLSECFPELAHREWVESRICWDAD TPDLHFLIDKHPNHPGLHLAIGGSAHGFKMMPVVGKYVVDSLEGCLENEARNKWRWRP GAKMQHANPHPGRLLELSDIAGFQ CC84DRAFT_1194663 MKESEKAPECEATSLPFPNPKNGTVEDVPNHGEFRRSFTPRQIH VLSLGGQIGAGLFISTGTNLLNGGPGSLVLGFIVVCTCVWGMLQTVSEISIAFPVSGN FIEYADRFVDPALSFAAGLSMWLGWTAIVAAEATFFSVIVNYWAQNRVHDAVWYTVFL LVMMIMFMLPSVWFGWFEYCAAILKIIALLIFVFAGFAMVLGAGPKGYLHHGDGWKED PFKNGFKGFASSVLLAVLAIGDNSFTGFLAGEAKSPRYSVGHAAFLIPIRVTTIYLVC IIFISILVSADSPSLYGSSGVAASPFVIALNEAGITGLPDFLNCVILVAVASIGAESI FIASRMLRFMSHQNLIPQWVAQVDSKGRPRWAIAITLVAAIALTYCNLSAGGIEVFTW LAQIASTGYFMVWVVVAIISFRFRAALNAQNDPLFKEPYAWKCTLWPMPPILLLTCCS LYTACSFYLGLYPISATSPSAYSFFQYMIGLLLIVFSGIGYKIVFRTKLRNPAKVDLH TGRRTLTEEEIFALDAYYSQSKAKRFYSFVQLW CC84DRAFT_1239704 MIVPLLELLGPIPLLAVSSTLVWASTVIYSLYIHPLSKIPGPKL WAISRIPFARSFIAGTAVQDIEKLHRRYGPVVRTQPNAVSFAHPDAWQDIQGARSSSG GKPYLKDPTWWKSMSSASRSIATAIEHDTHARIRKTLTPAFTLRALKQQEPLLQTGVA LLIQRLQERVLKADGTGDSFDIIPWFNFTAFDIFGDIAFGETFDCLQTSNYHPFVALI CESIKSHSMVLGIRLFPKLEYVFKKWVFPHMMNENQKKYGTAVIDRVNRRMQWEVARP DIMSYVIAGNEKGAMTPGEVQATFQTLTLAGSETTATTLSGVMTQLLTHPEAYGKLVG EVRGELKKEGDITLDALKNLPYLNACLNEAMRLCTATPFTLPRVVPKDGDMACGTWIA GKTSVFILPWAVNRDPNLWHDAISFHPERWLPGAMDGNSPFVNDRRDALHPFGEGPRK CIGMHLAWAEIRLILARMLWSFDFELVKKTVEWEQQRVYVLIERGPLIVKLKERSDTK CC84DRAFT_1194664 MSSSSTSSSSGRPESKEEVNPIVRNALRYSLSPREYQLLHQYLL SRAPAVRKRTLAPKKYDVIAKGGDDYNAAAIRASLRLAVVAFSGLKAWDLVRTKLLAR GAPKSPQRPLPVYKSPNVRLTCSLSLMLLFHRLLRRFFIRLRESLLKQEAKPFRRRNP RIARSLTSRLAPAIGSSLAGFFLAVYPGDQLRITIAIYIFTRALEFSYNRLEELGYFR NRPAWFGSWMLMPVACGQLLHAFVFDRDCFPATYGKFILDNSPEYIQARPSGYPKHLK WPSTFDIVDNLAQISKNSWPSFVSPIMFPNSDTLPRSLTAISPITSPAHPAIKHLSCA LLHPNDPSCLRTYLSYWIQAFPKVARFFTIIFTALSVTRYKSFLNSPISAVNALAKSI LRMSLFICGAIGTSWGSICLFQNLFPRNLLPTQRWFFGGFLGGMWAFLERKNGRGNFL YSARMSIDSLWKVGVKRGWWRGIKNGDVLLFVLSLATVNVLYELSPKSVSSGVARKGL GVMRGEGWVDRAVLPREGKAGVEDEE CC84DRAFT_580563 MRLIIRDDPGTASKYIADYIIERIKTFNPSQERPFVLGLPTGSS PVLIYQYLVQRHKAGEISFRNVVTFNMDEYVGIPREHPESYHSFMYKHFFSHVDVRPE NINILNGNAPDLEAECHAYEEKIANAGGIELFLGGIGPDGHVAFNEPGSSLKSRTRVK TLAYDTILANSRFFGNDLDQVPRMALTVGVRTVLDAREVVIIITGAHKALALQKCIEE GINHMWTLSSLQLHPHPMIVTDEDATLELKVKTVRYFKSIEKVATEQGFRQMLPRIKK RDSVHETDGLLPAPQPQRNGLTLAVPPQDISRSASPALEPMSARISDSEASALRAVDL NSELQFAPMSARVAG CC84DRAFT_1203856 MADVDPKKASHEVSSPEASVTEPHGVLELPNSWKYKKILGRFPW YASPPVQLLIVSFVCFMCPGMFNAINGMGGGGQMDATANNHANTALYSTFSVVGFFAG TFTNKLGIRTALSFGGIGYSVYVASFLCYNHTHNLGFTTFAGALLGVCAGLLWCAQGA IMMSYPPEDSKGRYISWFWMIFNLGAVIGSLIPLGQSINTTAAKTVSDGTYVGFLILT LIGAALSWTLVDAKDVIRSDGSKVIVMKHPSWSSEIMGLWETFFTDPYILALFPMFLS SNWFYAYHFTEINGAYFNTRTRALNGVVYYSMQIVGAYVFGFALDFKGVRRTMRAKIA WASLFVLIMIVWGCGYKFQTTYTREWAEALVPGTGVNGVDPVLLNDKKDWTDPGFGGP FVLYMFYGFSDAAWQTCVYWFMGALTNNGRKLANFAGFYKGIQSAGGAITWALDDRKI SYMNMFASNWGLLAGSLLVALPVILWKVEDTVSLEKDIKFTDETIDEVAAKAHVVPAG EVVTEKA CC84DRAFT_1141271 MPSHHLLKRFKSRRMTLLEDDCALDASNPLARQPTVCWYKKPMF DFWQSLLAALERLAMLPSLIHSVLPSLPSRKRTRDAVRTYERTLDDLLREIQRLLEAP LDTAQLLHMSGRLHDQFAPKLQASDICMLPSYNHKLPTGLEQGTYLALDVGGSTFRVA VVELNGRQPAAKSMRIVNMKSYKIDNSARYLRGTQFFEWMAEKIETAISDPQLKKING TSTFPMGLAWSFPVEQTSTRSGNLLAMGKGFRATEGTLGQDLSELIMAPCRKRNLPVR LDSIVNDSAATLLSRAYEDPATRFAVILGTGFNIAVHLPTSTLASTKYKGYPQKWRDE ATHVLVNTELSMFGGKTVFPMTRWDEQLNDAHVRPDFQPFEHMISGRYLGELVRLIVS EAVRTAGLFGGEMPDKLNEAYSLDAGTIAALEMDTSKNLSNGISLFQTKHPLSRPPTY NDIHFVRQVSQLVAHRAAAFLAAGIHSLWNLRTTSEGLTPATAGKMAIGCNGSIIEKY PSFRELCQSHLDELTTASGAEPKSISLEIAVESAIFGAAVAVCCLEE CC84DRAFT_1162443 MRLAWCAGVGAGVGLHQPTSASEWIGGHLVEWVPRKLEETVSSW SYCMHYRLKTFPGPSDVSARHGSLLWDRAGVGGVITYVSMMSL CC84DRAFT_580608 MLFADAIPSSPKRTCILLLPFGVHSFSLLHSVSTLSSCCRRGSF EAYGSRISWIIKDREPAWLPWQTVCQLFLLYMLQSPGPERSNSAATGRAQPDCPTSQS LRSGRVYHSNPSQVTHRRLLTPNALEFKRALDQDPQSFWHYPGDIVLNSNLSVESAHP TLPLVFRASVTSSYRSTTRS CC84DRAFT_580617 MEGFDTITMSYLAAPMPLQNVTGPDQLQAPMLPMDAFDQRSNYD AETFAGEDQFAQHHIHAPPRLQRFPSAYDDPFTDVLSTYEQPLQPDANGLPESPSIDR DNKLLSFSLPSVPYTLLNYVAQRVSISMSAQLHGMFFLAESPWASAGDVAAAPTELTC YRRNLFQITGTVTLPRSLQFLLTDQGDQLPIIGQELTISATESLEGNPVKIISVPWKT PATTATVAEDKTEKEPPSFPLDLNSGQDMDSDYVNFPISWKRLQFRIATANNGRRKEL QQHFVVRLKVVAILSNGAKVPVCEVHSGAIIVRGRSPRNFQSRKDMPISGTGGSMRKA HASQQPNRTPTGDTQQSNHSNNNNVQTTPGTTKAMDSLQLPFDFNPNDPILSPEFSMD WKVPAAPMTAIPPDPTPPPITPYARSTPDLSRGGTQAQHAVMAPVSLSLTDDEPKKSA SPAEHPRKRGTPARPPSFSVGLINSPDESADLLYEYFPLGLDDWMPPVDAVYRPHVVH HTNLPPDPKALAVKSKSKRYFSDAW CC84DRAFT_1115046 MAAKPEKLDPVWDDLDRTMGQLFMMGFDGTSITPHIKTLIEKHH LGSILLTAKNLKSAEETTKLCYELQKIAHDAGHPVPLAIGIDQENGGVNSLYDEIYVR QYPSSMGMAAAGSIELAYEVAKATAQEISACGINWIFGPCLDVLTNARNQPLGVRTAG DDPQEVSAYGVAFMKGYKDAGIVTLGKHFPSYGNLEFLGSTLDVPIITESLEQLSLSA LIPFREAIQQGLDSMMVGGCAMSSAGLNAMHACLSEQVVDDLLRKQMNFDGVVVSECL EMDALSHNIGVGGGTVMAVNAGCDIVLLCRSFSFQQEALKGLKTGIENAMISKARIYH SLRRVLNMKSKCTSWEKALNPPGISFLESLQPSHTSLSTRAYNGSISVVRDKNRLLPL SNILENEEELLLLTPLVKPLAASAASRQLSESANTNSPEPAAWAQSSSVMSGERVFRE LGRSLARQRNGRVLHTSYTSNGVRPVHENLINRASAIVIITADANRNLYQNGFTKHVS MICNMQYTTGGEKREKPLIVVAVSSPYDFAMDSSIGTYICTYDFTETALNSLVKVLYG EISPTGNLPGTISKSQKLHSSRQHWLVEIYNEERDANALDNLITAVMDGTPPNQRSEL SSATSNSFILHDKEVEETHFVVRNSSTNALYGFCSTYFFKSTGTGVIGALFVDPGRRK LSIGHSLHNRAIRTLLQRDGIRRFQLGSRLPSIFLGIPTGHTTERKRLRSWFANLGWN AALSRSVCNMVARNLQAWSPPEGMAKSLASAGAQFDIVYGWDYASPILDHIKTSNRQG LAEVYKIALSDPSACGILRAKRPEDGALVGTVVLYDHRSALYDFIPPLKDLKEAAGGI SSPVISPGVGEYSTLLQGLVLLGMRQIKKLGGNACLLDYMDGDGNFDGFSAMGFEVLH NFEEVSCDAATWTMIPPS CC84DRAFT_1203859 MPSVVRPVGTAAVTRRSGVVKFTNCRLVKGTDLVHEDLWVSSVT GKILNGQELLYGHRTAPDDIIDLGGRILSPGLIDVQLNGAYGFDFSVIPEDGSIAYGK GVQQVNRNLVKGGVTSYLPTLTSQRPEVYHKALPYLGPSGSTRDPALGSESLGAHCEG PFMSPTKNGIHNVSVLQVPRDGIASVAGCYGPSNLTQPSPIKLVTLAPELPGALSAIQ SLASLGIIASIGHSEATYEEAKLGIKAGASSITHLFNAMKPLHHRNPGIFGLLGTASS SISKPYFGIIADGIHIHPTSIKIAYNAHPEGLILVTDAMRLSGMPDGVYDWTNGSRIV KQGALLTLEENGKIAGSSIELIDCVTNFMNWTGASVPEAIKAVTETPASMLGLAGVKG SLQEGADADLVVLDVQEGAVGEDKRVVVDQVWKFGVKVHEREDGQLKA CC84DRAFT_580661 MANSLEAKIVVLGSQGVGKTSLVHRYVKNAFTPPTTQSTIGASF VTKKVVDIDTSTTVRLQIWDTAGQERFRSISKLYYRGANAGVLCYDITDPSSFDEMGR WLKELKQNLGDDIILHIVGTKSDIVAEDPSLRQVPFERCIAYVAEHLYPNQATPGAVS TTWQSGGMASPQSNRSSGIWGQEIGWDCCHEISAKDGEGVDEVFRVITRKLVEQQQKK FQQEQEMLALAGATPGINGNGNGNDYFNYPGSGNGSFRLGAGDKRRSWMGFPATPNVG GDPQQHWLEDDIERTGTSKGGRCC CC84DRAFT_1194673 MNHDSNDTQKVKLTGEQVAEHNSKDSCWVIVHGRAYDVTEFLPE HPGGPKIILKYAGKDATEEFDPIHPPDTLDKYLDKKHHLGEVDMNTVEQEEKEFDPDE EERQKRIETMPVLEQCYNLMDFEHVARRVMKKTAWAYYSSGADDEITMRENHTAFHKI WFRPRILVDVENIDTSTTMLGTKTDMPFYVTATALGKLGNPEGEVLLTRGAKKHNVIQ MIPTLASCSFDEIMDAAEDGQVQWLQLYVNKDREVTKRIVQHAEKRGCKGLFITVDAP QLGRREKDMRTKFQDVGSNVQSTGGSDVDRSQGAARAISSFIDPSLSWKDIPWFQSIT KMPIILKGVQRVEDVIKAVEAGVQGVVLSNHGGRQLDTAPSGVEILAQVMPALRERGM QDKIEVFIDGGIRRASDIVKALCLGATGVGIGRPFLYAMSAYGLPGVDRAMQLLKDEM EMNMRLIGAAKVSELNPTLVDARSLHLHTTTVPEDTLGRRVYDPLVGPKEKAAANYSE KAKL CC84DRAFT_1162449 MASKPEEPSTSHSDAAVAPVEKQSEAPNSASTPQAPVTEKPTAL PADPSEQPNGDKLPEPKTDEATAAAAPIPAPAPAASAGDAKSSPASPSWPETPAEHPL TKFFGQIEALTKEAAHNEVYGVTLSPTDEFHTKLILQKFLRANQNDLDKAKQQLLDTL KWRKEFDPTKAAGETFEKHSFEGLGWVIQVEGVPESTNKSDVVTFNIYGAVKDNKKTF GDLDAFLRWRVGLMERSVQHLNLSSATQPIPDFGAGPDPYQGIQVHDYLQVSFLRQDP LVKTATKKTIEVLGRYYPETLSRKFFVNVPLVMGWVYQAAKMIVSKETAKKFTVLSYG NALAGELGKGLPKEYGGEKGALSEIGEGMKLEG CC84DRAFT_1239722 MAQNASFADFVKDAREKKRNEALAQHFLGSRGRKANGSGSGVAS PNGRAISQKPTLLSRMSGVQKQRSSSAKPATNIDGKWQHDLHKLNNPNGPPRKGLNRT ASTSQIERNTRTFDKFAPALNRDAFARNARDGDLGLNIRGAATSSGPHTVMASNFAIG TTAADIEQVMGQVGGELLECRVVVAKPTVMAELTFATKDGAENVIATFNGKKADGKTL YVYMKEGGPSSAALHSRPSGRLAQSSYDDIDVDTNGGAHAGSFQDGRYGFNDRGNPPR GPRRRY CC84DRAFT_1214999 MLLAKLFLLPAAVASSVTVYMHSVPSTSSPQTAVIPSPIPLVQI SYDADQTTGTVVSYTPPQGSYASDHLLRIGLHDTKAGTWKGILTSAASFAEEYKKKFV VHVDEKGEPYHVGFSTSVKGAGEGDELEVEVVRREVGPKPVLNKPVVLNADGRIDSKE PEKTFIQKYWWMIAIFLVVQLVAGGGKE CC84DRAFT_1141297 MTANFARDEIRPHVEHYIGIDVGTGSARACIMNDQGDIVGLASE NIALWQPQTGYYEQSTTDIWRCICSSVRRAIDQHGIDKTSIRGIGFDATCSLSVFSND TDEPVSVTGPDFDNKDGQDRNVILWLDHRPVEETKKINATNHNLLRYVGGKMSIEMEI PKVLWLKNNMPKELFDRCKFYDLTDALTHIATGNETRSYCSVVCKQGFVPVGVDGSVK GWQEDFLKDIGLEDLCEDNFKRMGGVDNVNGRYLTAGELVGTLSEKAAADMGLQPGIA VGSGVIDAYAGWIGTVGAKVKLDEDTLDMDAPKNDVSQAFTRLAAVAGTSTCHLAMSR EPVFVNGVWGPYRDVLLPDFWMAEGGQSATGELLKHVIETHPAYHEATTVAETLNLNI YDYLNQHLQELAEKQDAPHTSWLGRHFFFYGDLFGNRSPVADPNMTGSVIGLSSDKSL DGLALYYYATMEFIALQTHQIVSAMNEAGHVISSIFMSGSQCQNDILMELIAAACDMP VLIPRYVHAAVVHGAAMLGAKAASTDSEGKSEPLWDIMDRLSKAGKMVKPTKDKAVKG LLQAKYKVFLEQCESQQRYRKEVDEAVSGWKK CC84DRAFT_1115070 MSTVHVENISTKTTQDEIKSFFSFCGKISDISVTPTNENSQSAS VTFEKPAAAKTALLLDNTQLGPNSVHVTASKSIDELAGDKAASAEEAKDGDHHIEQEE KPRARIVAEYLAHGYTISDKAIEQALALDKQHGISTRFTNVLQTFDQRFQATQKAQAV DTKLGVSDKVVAGWRGLNSYFDKAASTPTGQKLREFYVQGNKTVLDVHNEARHLADLK KTGGEKSSSEEATPHPIEGSTKTQCNCASDSGKCGCAPGKCACSGCAKANAPTTST CC84DRAFT_580776 MHFFSILTLASIAAAIPVDLVTRQLDRTGTTEHEFSLSLRCAKV VFVWARGSTELGNMGTVIGPPLGDELRKEYGSDLQIEGVDYAALLSTNYLPGGTDLAA ELEMRGIMNDINRRCPQAVIVTGGYSQGAAVNHRAIEDLDSAVSGQIAGVVTFGDTQN RADGGRIPNFPTNKIKIFCGGLIRDSVCDGNLAGAVLAPHLSYGSDAGEAGRFLIGKI NAVLKK CC84DRAFT_1194680 MAPSLEEPVQIDLNAPLKAAPKLVAPEPEHCPGPESEQAGVADN CAGCPNQKICASAPKGPDPDIPVITERLASVRHKILVLSGKGGVGKSTFSTMLSHAFA SNPNLTVGLMDTDICGPSIPKMMGVEEETIHVSAEGWEPVWVSENLGVMSVQFMLPGR DDAVIWRGAKKNGLIKKFLMEVRWGEMDMLIVDTPPGTSDEHLSVNSFLKSSGVDGAV LVSTPQEVSLLDVRKEIDFCRKAKIPILGIVENMSGFVCPGCKHESQIFRASTGGAKR LAADEKIPFLGAVPLDPRIGMACDFGESFLDAYPESPACKAIRHVVRRVAEEIGMQGD VLEGDE CC84DRAFT_1141308 MAEEMDIVPSSPRGIKRKGDENLPLPAPRRIKALAQDVVNKIAA GEIIVAPEHALKELIENAVDAGSTSLEVVIKEGGLKLLQITDNGHGIDKEDLAILCER FTTSKLKAFEDLSSIGTYGFRGEALASISHIAHLSVTTRTKESSCAWRAQYSDGKLVS PKPGQSAEPKPIAGRGGTQITVEDLFYNVPSRRRAFRSASEEYAKILDMVARYAVHCA GVSFTCKKHGSSTADISVPTAAGTLDRIRQIHKATVANELVTLNTENERWGFKCEGWI SNANHSAKRTTLLLFINHRSVESSVIKKAIEQTYATFLPKGGRPFVYLNLEIEPARVD VNVHPTKREVHFLNEDEIIAIICDEIRNSLSKVDTSRSFMTQSLLSNQPKIPLATPVK QTAAGVSTPTLTSERSDPRPPPTTAMKKRNENNLVRTDASARKITSMLQSHPSADGAA NEDEEMDYEYTEKEPTSCRLTSVKELRAQVRDAMHNELTDIISTHTFVGIVDEQKRIA AIQGGVKLFLVDYGMLCNEYFYQLGLTDFANYGALRFKPPLLLHDLLRIAAEQEQRNA GDSADEVDWDDVVDSVKQQLIDRRALLAEYFSLEITEKGELCSIPLLVKGYAPSMAKL PQFLLRLGPYVDWDSEKECFQSFLRELASFYVPESLPLPLSMPQDESGKGKVTEEDPE IVARREKVRKALEDVIFPACKARLVATRGLLKGVMEVANLKGLYRVFERC CC84DRAFT_580881 MSAAPTTPRYTRPTAAWQTRVTPERSSVRYPARPHLKRPPRLLL MSPRDPTQPCLFSRLPRELRLQIYECVLPRDLFLAPASGKYMCGMKERFKPALLHVCG LVRLEVATLLYGSTRITYKLTNFDFRPFEQWVTTIPKEHVNYMSRNKNLIMDLSGHIF PPITKKRFELCDTFGNRYLIPKGNSYNQFINFCLLAHWTQWCGNHSIPKKLHWNYRFG INTEFGSEADTFSVLRSFHKWFSSYLWTIAQPNVQNAWLRNVRRRTMKRELQKMLESI ERAWRVHHTRMEDTKDADTRKSVSYSASAYASLRDDWATRVAIVKEVISSW CC84DRAFT_1085956 MAFILAVLSIYWGVFFQVQHRLNHLIVYVVDFDGLSPYNTNPPL VGPTITQMTQQMLTSGEPTLGFSVRSPSEFNNDPIQVRQAIYNFDAWAAIIINPNATS LLYSAISTGNTSYDPLGACQLVYQDSRDDTNWYDFILPIISAYMTQAQSMVGTQWAQT ALQNASDTETLRNIQAVPQAINPAIGFSEFNLRPFYPYTGIPAVSIGLIYLIIISFFS FSFYLPIHMQYLNPKGHPPLKFYQLIIWRWCATISAYVFLSLAYSFVSIAFQINFTRA NPVQSETQVTDIAYGNPPAYGNGTFPVYWMLNFFGMIALGLACENVAMIVGAPWMGCW LIFWVITNVSTAFYDIEIAPSFYRWGYAWPLHSVVEGSRQILFNLHPRIGLDFGILIA WGAANTAFFPVCCWFQRWKSKKHVTEYWVAD CC84DRAFT_1141313 MITIPDPLLATVRLLLPLPQLPRISTNSPQAKHLRTVPHHIYTL YLFTAADFPTFAVPTTLFALFASLSGPHLTTNPQPALLPTLTRVPLALAVTWANLLLF NISNQRSPTAVEEDRVNKPARPLPAGRLSCNSARRLLLYLAPAVMLLSWAMGVWEECL LQLAFTWVYNDLGGCDEHWLLRNALIALGYGFYSSAALRVMAGPEHTVTPQGLRWIGV VTAIMFATQHICDIKDAAGDALRGRQSAPIVLGDTVVRWSVAVPILLSSNFCAWYFAS GLPAYVATLGLGLLVAFRILAYRDLKSDKKTWKLWALWTVGLFALPLVTNPAVFERTW VDLRVALWEVKCLLCEGERCEMLDLAAVSGIAMVFEGRRMYAQGLGAAGNGSVPEIVV ESVVRST CC84DRAFT_1162462 MADQSSLFSEGELDSWTRDLATVESALKAAKLALTVMLEGPSDR RTTSEDLLTAIVRAMKRVLESCIFNVLAASRKDADTSLFAYATDHRPEISTVLRLCTS LEDLLAQTIRKVTLPDSALYDVEFLALALLVHPNSDSEKDSVLGIQQFERLRRAAMDV VTTIFAFRPDHQKQILDEILNSLEKLPDKGSNTRQFKSVQVEPIMTVSAIFMQFVQVA ATHTQDQRTKVTSDPGEDDSSAEDESDSDSDENRRHARKKKSSKINASAEATTDRLMN NAKLVAQTIATTITERAKNVTKSGDKPFRNLLDMFVDDFCKVLGSPEWPAADLLLVPL FHLMCKYRNDSSNRNMALSILGTMGGGILDFKKRTRQLRRDIDVSQSDLSAKLYRLTE EILEDDAPTIKRSDVIALKDPYRMVLESLPDYLKVTDDLEDLHLLSVRGCYVSCWLDF ISQVISQDKEAAEDPDIIELQKKVRSMALEPKWTSQEYKYQTVSESQSRLAAGATTVK NRFCRYFPTIVSVMFEQVRVGGAQQKTSAIRNIDSFLTKDPHAISDGHVNALVRNLGD NSPSVRATVLSLISKCWKSNPAIGRLCLPSVLQMTRDTHNEPKKRAINLLKSFYTKSE SLEAKVQIVTALLPASQDHESTVADMARQALEEVWLKVLDIKVHGDENLRKLQRMERV SLIVQTVQRAQRLGSTDSMQAFEKFFYKALAKGAPNATVNFQICKNLVADLVEGVISP DSMAEDYAQNSVLQTLSIFARVNPGMFTLDQIQRLKLYIIDPKTAEDIEILSSTVTVF RFVIPHLSNIPTQFADDVWRLLGVAISKLAQAAASGTILGKNTLLGVGHCMWIIRGVA TNGLAKLLAIVGSSLVQLLQAVAMASDPPTQEAQKKRIMSWLTIIGTFGKVCDWTEYV TQFHNSVANSARKIVASKPAAEKQLKTLLNPSGMAPSLILLETVRAFTKQSWVLDIRE SAMCAVCEVCQGCPDLFRRADVDTTFKVVFKNDINSLKQIVLTQFHEYFVKKEQQSQK EGPIEQDSAAPDDAKNGISRMGTTFEASPDQVNVSYLARSFLQAIVDIALQNDNELAL VATKTIVSVSRQGLVHPKEVGPVLIAIGSSPNPQLSEVVASEHNAIHAKHESNFHNEY MDAIKMAFEYQRDVFHDPHGMTKPPDCKPKIAHVFNIMKDGSRKTVKTFISNLLGKVD FKLSELVEPTASLNELLYTRFCLENLALFDVAKMEDVSLIITALESIVLKNTGPSVGV AIETEMPKQAPAPQQLPLDAAGSFLAPDTAMQDAMRDDHVSVSDDRLMQITRACMMLQ MMWETRCFIRKAYNIKVPRISKTALQNTASRNNLIKGADLWEKFAHIFNAVETRRSMI DHCYEFAELLEVDKDFAIDEDEGEDEEGEGYTTPQEDEDQGVLVPTSGRGRKRKSSAS LTNTPKKARGKLAGSKNKKRSSKTPEWDDGD CC84DRAFT_580966 MAAIGSLVFCTDCGNLLDGGAGKQNAILTCQVCGASCKDTSLKT IVTRSKPDAFPSALRTKRSEVQSVDDADIGGHATIAQTCEKCGREEVRYYTQQLRSAD EGSTVFYECECGHKWNTNN CC84DRAFT_1162463 MAAPETPAKDAQVQALRTILTSEQEALARRFRALFSLKYLASLQ PPTEQTVPAIEAIAAAFSSPSALLKHELAYCLGQSRNDAAISPLRHVLQDKDEDPMCR HEAAEALGALGDKGSLDLLKGLRDDAKELDVVRETCDIAVDRIEWEHGIQSKDEHLKK SDFASIDPAPPLPQDQQAPSIPELEKKLLDTSLPLFKRYRAMFALRDLASPPDLPSAV PAVQSLARGFADPSALFRHEIAFVFGQLSHPASIPSLQDTLSNQKEESMVRHEAAEAL GSLGDEDGVEEFLKKFLNDPEQVVRDSVIVALDMAEFEKNGEAEYAIVPEGKAVAT CC84DRAFT_1257368 MPFLHRVSERVWDYISPRKTQQRRDKPFRVPQLPVRSKMAERAS PETTPNSKVEHWAPNTPASPTDLDDTLLPPSPPASLHRYEDDFDGDTLVHDSVEDGYY KDAESDAYDANDATMVVDDGQYMEMRKAVDRTNEQLRQEIQCRELRQAGWSEDSIFMF QKLNMRGFEPLLPDPWQKDFVTLPLNLFTPNDSKTFIKADGQSDFRAQYALNQLFTVG GFARDAVLTKARKRTAERHIVQSVKKYSQWAMRDGGMRHTYKGIKLFDTVACPRNIPS YVCEQQMIRKLNKMYQKWDDEMLGHDKSGLVAAPEEIPTLYGVIASHTVMAFVSYVLP TEPNPNGSLRTIAIFDFGEDGHDVWNSLAIAIFVIHCRNRMQELKEFLPEPVVVHSRD PDL CC84DRAFT_1141324 MTPQPHQATALKALHTSGQPLILTNIWDAISARAIASLPQTAAL ATASYAIACAAGLDDDALTLDANLRAAAAVAVVAREFDKPLTVDFQDGYGDALEEGVR KLVALGAVGINLEDFAREKGGLYDVDEAVSRIKTVLRVAGEAGVPDFVVNARTDALLH GRPLDEAIARGRAYLDAGATTAFIWGGRERGGTTREEVERMARELGGRLNVILVRVKP GGLTIDELRGIGGCGVARISVGPQLMLRTTHAIAEEAEKIMKGEGV CC84DRAFT_1239732 MCMMVAYYFLAADRIPEIATRTSSFIHHFAKLCGNIYVHTADEI DSREASAPAPIDGPRVGQAIPSTRLSRSLDQQDRASVLPLLETQQQDSHRKQGADDDV FQTRKDTSDAQIIASTYHVGDKGDRSSVPPVNGDSPRISSPMVWDGSNVSPTTQLPRN STDRLMDLASPKGTPEIELQNREKVIQPVLAEKKALNIDDLAAFVARFKELEAETTQL QEHRVANADLKGLVSQAKDDAKRACTQVQELQERSLNQKSEIEELRKELARTEKDSTD MTLKLTTDLDQERQKLQLVQRAHVQTRSKLSTVQAEKEQLMQRVAWVERSRPSAQKLA VMEASLQAMEADKKGMQDRIRILEGQVQAEKNKFSEYKRKIRNLSLDP CC84DRAFT_1194690 MQYKTFALASLSVFGAATAQQVGTSQSEKHPTMSWQTCTGTGGN SCTTKQGSVTLDSNWRWAHVTSGYTNCYTDNAWNATTCATGADCAKNCAIDGADYSGT YGISTSGNALTLKFVTKGQYSTNIGSRTYLMESDSKYQMFNLIGKEFTFDVDVSKLPC GLNGALYTVEMAADGGMGKGNNKAGAKYGTGYCDSQCPHDIKWINGAANSEGWEPSPN DKNAGSGKLGACCAEMDLWEANSISTAYTPHPCKQLGFVACTGTDCGDGDNRYGGVCD KDGCDFNSYRMGVKDFYGPGMTLDTNKKMTVVTQFIGSGSSLTEIKRFYVQGGKVFKN SDSTIEGVTGNSITDSWCNAQKKAFGDKTSFQDRGGLKQMGASLAKGHVLVMSLWDDH AVNMLWLDSTYPTDKDPSTPGVGRGTCGTDSGKPEDVETNSPDATVIYSNIKVGPIGS TFAQPA CC84DRAFT_581002 MTAMLELLVTRYVELPKRGHPEQYAFNPFIYDPNVPCAIVGAVV ILSLSAVLVYQYVRYRSWFFWTALIGILMEVIGYICRLVSATDTERNGPFLIAFLMIL LAPSFLAAACYMAFSRIVWFSCPTHALRFKTLWCFPRYITPTFVIFDLFSFVIQLAGA STISRAYDHDASPSRSIESAEKRVVAGRVILVLGLILQLSCYMAFAIIAMRYFVISLH WRHEDLGDFHMFRRLSYFINMAAGLITLRAIYRTLEIPQDKTTGLQYLQQHEWCFWCF DAVPVALVLLVMAVWHPGRYLPRSYTGWVLDKTRAVREKEALRPGSPTEEAEMKNFTP KDFQPRESVTIQV CC84DRAFT_1141331 MAGIFEQQRNAGTLFLGGTKISGQDIRDQNALATQAIANVVKSS FGPSGLDKMMVDDIGDVTVTNDGATILSLLDIEHPAGKILVELAQQQDKEVGDGTTSV VIIAAELLRRANDLMRNKIHPTTIITGYRLALREAVKYMNENISTSVSQLGREPLVSI AKTSMSSKIIGSDSEFFSNMVVDAMQSVKTTNNKNEVKYPVKAVNILKAHGKGVTESQ LVKGYALNCTVASQAMKTRVTDAKIAVLDINLQKERMKLGVHITIDDPEQLEKIRERE AGIVNDRIEMILKSGANVVLTTKGIDDMCLKHFVEKGCMAVRRCKKEDLRRIAKATGA TLVSTLSDLNGDEKFEASNLGYAEEVVQERISDDECILVKGTKAFSSASIILRGANDY ALDEMERSVHDALSAVKRTLESGRVVPGGGAVETALHIYLEEWATSVGSREQLAIAAF ATALLAIPKTLAINAAKDSTELVANLRSRHALSQRTSSDPTTPENAKQLAKSKNYKNY GLDLVNGKVHDSLKAGVLEPSMSKVKQLKSAVEACVAIMRIDTMIKLDPEERGGDDDG HGH CC84DRAFT_1162468 MAEASAAAGKMKDLSISEVKKNKKDGAPKAAKKPQQQKKKIEGA ALIGIDVAKEDNLADWYTQVITKGQMISYYDVAGCYILEPGSYAIWENIKAWFDAKIK TLKVRNAYFPIFISSDNLEREKEHLEGFAAEVAWVTKGGKSDLEKPVAVRPTSETAMY PHFARKIQSHRDLPLKLNQWNNVVRWEFKHPMPFIRSREFLWQEGHTAHLTQEQATEE VLQILDWYADVYQELLAVPVVKGTKTVNEKFPGADFTTTIEGFIPATGRGIQAATSHA LGQHFSKMFNITVEDPTAKEAGKSEHVHVWQNSWGLTTRSIGVMILTHGDNRGLVIPP RVAEIQVVIIPAGVTAKTTPEAKEDLYNKVDKIKSDLESVGVRVETDLREGYSPGFKF NDWEMKGIPLRIEFGPKDAEKGQVTTSRRDIDDKTAARGETKIDDLKTAIPELLEQIQ KDMLAKATKAYDEHRVQLTNWDDFVPTLNGKNVILVPHCEGDKCEDEVKAKSARTALG DGVTEDKQAPAMGAKSLCIPFDQPEGIKEGETKCINPDCKNFAKKWVLFGRSY CC84DRAFT_1087305 MPSSSPRAHAPSTSSQDAYELHPVSPMPERDMDSNYEDEEEDKD AESRSTSPASSKFYTPTESARVRRKLDTHLVAFLALLYSLSFLDRSNIGNARIAGLVD DLRLTDSQYEWLLWAFYITYIAFEWMTLLYRVVPAHIYISLCIAAWGVLASLQAAATS FPVLVVLRGLLGVSEAAFGPGVPFYLSFFFRREELALRTGLFISASPLSASFAGLLAW GITKAGESGPVSPWRLLFLIEGFPSVLVAVWAWDFVPDGPGTAGWLSGREREVAVARV RDADEDDHDGDAEIEGKHALTTPQPHGLDSHAVFLTLKDPKCYLTAFMFFAANVAFAS LPVFLPTILRDMGHTALTAQALTAPPYLFAFVVVLLTAYLSDRHQSRAFYIILHALLA TTGYATLALLGLFNISAPTLRYLALFPATSGFFAAITIIITWTLNNQASASAKGTGVA VMNVIGQTGPLLGTSVFPTRDAPFYVSGMSICACSMLLVALLAGALRWHLARLNAGTT TRGGYASIGGKQRSRFEYML CC84DRAFT_1194696 MDVQPQLHPPVPSLPADASAKPAATRPRRRSSVPAVPADIHVKK RSANIIRTQTASPEVISSLIDSLSAISASKDNYFENLPLGYGESLSVPTSPHDRHDAV SAAGAFQSLSRQPSSLDIDDACEPPVIKTSKPPSGYSPLTAPKKKDKENSLRSYMSRG GDSSASVHSAQSLRSVSSIGNISVEAGIPRKPSDSSTRTSAESKRSAKAHRSLMFMPS RERLRLKDAERKRHTIQTQAQPTDAAVLPDIARKPVPKRSFAEDTINEEPVVAESSRA AGSRPARSILNFVDGNDGGSPTEKNLIPERGSSLRHSGSPSRKSKKGDRGKSTRHDSY RASTVLEEDENVDQDTAPDDKILQELEDKDDEVARRIRELRKQKMLRDKTAGKQPVGV HAGASVGSARVSPGATIQPSPTSSVSSMSERRMQDPTKAHRVLGITMQQGAPDSQERQ LPELPNGNNRIDKTRMQVRGHTRTRSSTTNDIDELTPLPINYTLALQSLERLDSNPPP PSSGNTSTTVSEKASSTPPRRAKSMAVGGRSAAGRKSMIIGAPPEHRSSTGVNTRESS DSVSRPIRSASEELATRRETLGLPPSTSNSLKHRPTVKKKRWSHPDLPVKAEKAHNAK VDALNAATARGVQIRALPVQSPPHSVIEERPASIDSIDMEVEGYLNSPRLSQRIRHPQ TGRVISFSEVGDPQGFAVFVCVGMGLTRYVMAFYDQLALTLKLRLITPDRPGIGGSQV DPNGTPLSWPDDVLVICQTLKITKFSLLAHSAGAVYALATSLRMPQHIRGQVHLLAPW IPPSQMAPIGINQDQPPTQQLPRSQRFLRALPPSLLKVANSTFLSATSASLQRTGPKN SPKTKRKSVSPAFDTTPQERPVTRPELGNARRESMMKMDQVIPKTSSLSLALANPADP NYEKARQMKEAFTFAERQRQHDFDERLTFAIWDRATAHANPATDLIVCLETKQTIGFR YEDINRSVVIHHGSKDTRVPVDNVRWLGRLMRKCEVRILEGEQHGLMASAQVMGNVLT EMASDWEDWTTVVQGKAHGERSISRKRTAERLRNIASRHGKDTAN CC84DRAFT_1194697 MSLSSLLTPLTLPLASLLALPLLSSWSTSLNLLFISLTWTTLAL SYSPLQLEFFGPLLLRSTLYLLPSLLFLSFDTLIPSLAVEFKAQGERGLPARQRGGAG KIRNVVFWSVANVLLGVALQGGIEFLVTDGLRMRSLLVVKGSRWSLNHLPNPWGVVKH LGMGIVSRNILQYYIHRDLLHSTTGGFIARLHQEWHHSVTAPYSFVAAYDHPLPYLLH RFLPLYLPAITFRFHLTTYLIMIALTSLEELFTYSGYSVLPSTIMLKGMARRVDAHMR SGGEGNFGPLGVLDWINGTTLGGRNVTDDLGDEVEKHHVEERANGMASKLKSKARKGK GRG CC84DRAFT_1185366 MADQPTTKKFAKGERSIPHHSQKASKYYPADDAAVPKKARKAVR PAKVRQSLSPGTVVILLAGRFRGKRVIVLKHLEQGVLLVTGPFKVNGVPLRRVNARYV IATSTKVDVAGVDDSVLKKASESGYFTKDKASKKTGEEAFFKQGEAPEKKETSKDRVA DQKAVDKALLATIKKEAHLVDYLSSTFSLRSSDRPHAMAF CC84DRAFT_1162471 MSIQPLITFKAGQCDVSGSAPTLKVKPQQTPGYVYLYQGDDEFV HFCWRTRDSPVDQSDVDLIMIPGDGSFIPYTGSETAAESENVRSPTDGRIFVLKFSSS SQRYLFWLQSKSQHPRGDASWFSPRDLAIGQIVDRLLNGEEVDVPTELAVAASQNPDE DEAMEDADDHAANQIQHGSTGGAGAGATGGDIREEGEEAREGGADGGRAAGAGSTDAS ALVQNFLQSLKPGGSGGASSGSNDPFTTLLDLLSPPNTLPVIDKAPDEYIDALCAQLP TTLFLIEAEVDDVADIDPDSETAQAVLLSLGQEEKRDVLKRVVTSPQMRQSLGSLTVA LRDGGLPNVSQALKIDVQNGGYIRGGGMPLGGGEAVKAFVEGVKKTVENEDDDEMDTN CC84DRAFT_1173998 MASDTSASTKPAEEPLPKLTPAQFRIYNSMAEHMEYFHNHFRHT WNTVYKACETNKRPAGMSIRQFLATLEQFCGQLTMHHTIEEQHIFPVLAKKMPAFREE LELLTQHKGIHDGLEKLEEYVAECRTGEKELQMKEVKRIMDGFGKVLWSHLDDEVKQL GAENMRKFWTEAEMKRMPISCETNAIVTLGIMERERHGGDIYDPLGAHPGSARVRGSN ESLTDIPEDVEQTSTTIGSPEIAAKDGAPTLDFIPAEDVEQVPGMPRGDTESMNFDGE DENPAVPELFQRSSSPSNMHKQRGAAYEKVGEEGINRMHKFYLYETSTRFYLIGADLL DKHYRVLKIDRTAPPGHLSIFEDDIVYDRKEMNHLLNAINDGNKATGGVKLKCSAWGL MGFVRFTEAYYMILITKRAQVAMLGGHYIYQVDGTELIPLTTGSSSRFQKDRNPEEAR YLSILNNLDLTRFFYFSYSYNITRSLQQNIIRERHAFNQGLKHPNRDYQDIFVWNHYL LEPAREVLKNVYDWCHAVIHGSIDQSSLDVFGRRIYITLMARRSRFFAGARFLKRGTN DLGYVANDVETEQIVSEALTTSFHAPGPRLWSNPTYTSYVQHRGSIPLYWTQDNTGVT PKPDIKLNLPDPFYSAAALHFDDLFERYGAPVYVLNLIKQRERTPRESKLLHEYKRAI EYLNQSLPKDKKIIYEAFDMARASKTRGQDVILSLEHLGEKVLRQTGFFHNGDGDFDA PQVQNGVARTNCIDCLDRTNAAQFVIGKRALGRQLQALGVISGNTVEYDSDCIDNFTH MFHNHGDAIAMQYGGSHLVNTMATYRKINQWQSSSRDMVESFKRYYHNSFLDSQRQEA CNLFLGNYIHAEGQPMLWDLQTDYYLHHADPRFWLENPRRDYISWFTPHFLEPRSLPP FLVSHKDHKQLVRSGTARRDDYWLEYYRPLAVTSFLKVYAFRLNCPPTKEKDTSYPSR LQDASPFVVRRKQQEQTTVAKDNKKPARKGVTILEPSSDTESRHRNSFARRRYNMHLH IPESGFPAKQSILRDPHFETQMPASAPPETMTFPSMDNSSSTGFTSNLNTKGFKPADK ALIHQWTLAQFHENSLNPSVTSTEEEEYERYIGHPLNLPLVVSNEAPVADDATALEYL EYLDMSEGGTQPAQPDQDSDSESFYYVPLSKSQTAPAHHLRLDTDVASIRSLPLRPTS SASFTYPLTPFSHPHAHKGFVHNLHNYNQAHQNTFYPEFAEPTNLPADDPSSQNTATA GSGKFQTKEEDIEEFEEFLHVRDNPLDVEEEDGGKKRYKAYRQWLRGKSFFKQSKVDP EWMSQLPVR CC84DRAFT_1215025 MATQQNEGGVPFAVAHDFKSFRLLELPPELVQLLEAPNPPQLSI KSLPPAASDRPNAQPAYAVLCTPTKSFQIRQVQTSNSVFVTQPTLDAHGNDIPVPTTC AVAACTATLELHPADASAVAYLEDALPVYDIVGGEVDTTHNGKSKAAVFSDMPLSNGQ CEQGWSEVVAFELSGSSYRPSVNTLRQVWSSINAAALAEGMKLDSQFLGSDLAELVRE EGYPASLAQAIFAHLAAAGQDTSGQWSCLHRAKVVSFVGRMLLQAKQGSSGHSIADFV EEWKDSLPEAWRGDADLKAIDGAYDNPTATTIVAKANSASATPESVLPKATAAKGKWH ERFAKTRKK CC84DRAFT_1162474 MVTITPEPAALSASDLSLFYTTDELLSNSPVLVFYGPTSTSTQA THSRIQAHVFTPAGLQNFARLIISPTAPYYQAVACLPREEQGDEICRGLAFSLYKYFV ELPQDVKNAWERQYASATRLPSAPTLFSESHAAIVAARMVRVENAADVIVDVRHALGE QTLPWLDLDVVLPHGSIKQLDNARESAQFDESEDDVLQHRYGSYAPVVKLLGEAAFIP TSRLRRAPSKPTALNKSQAFSRNQKENLRREMCELLDTEENYVSKVYELVNTVAVEFR QKAKQKSSSSTSPNEQALKALFPPSLDAILDVNAQFLDVIRTVLEDTENDAIQDIEQA TDDIFVAPLRGQKDPADVTGALAVAKALVEWFPRFGNCYSDYMAAHADFSQLLKGFTK DINSSFSKRVYETGEQRLMSMLIEPVQRLPRYNLYIDNIIKQLPMRHPAIKVFLKARD IISEICSREGPSVQQIKILDRLRKMVFSWPATCVPQTRLITAIDFVELSPPYHGELHG PSTTAGIFLLFADSLIMLHKPNGCPTTARSLMADLDDPKFTVADVGSSELIFHQQLKL SDVFLSEHSEGNIMQMISPTPTVSQPGRPRSRDRQVLGIRMFYLLGIYEGKAQKCVEE ITKARVEGRFPETERESHMWEVRSLTGDLNMFSAVTEDRAGQDVEGRREPAKVQVIVN MADAAQPVQPVKHSAVELTVSISIQGNEFYQLEFNGNNGYSARDKLTSVEFLPVLTKR LGNFFQSRNNIKYPALAEAYLFRNRQILKSLKLQLGEITEAHDEKGRPHSPVKMLSSL FGSSVREGGPKKLQRNPVSMGDIPKIAPPPLHPTRTHSRDGELSRPTSSNKTIGFNSN ASPTDSLAKLEETLATLILALHARKGNIVGRSVRARVVADELLVNELYNSLLENPSNL DVPSQSSVDVLFAAFEKFLNIAWKEKMGPVLAHATLVSLQMKSDSMYPGEFEDFFRTT YSELSPQNQRALRAIIKLLAELLDGMGNDGDRGILTAAFAEILVAEGSANDFISLVDR FIQDIGVLFPEQQSGYNTPNFGSMDSRTRSAATGSLTSNTSLRKRFGFATLTRQDSKN EKESTVGSLWRSLSKNSHGLDGQSSSASKIGPASLGRSNSTDAAMRLSPKRPSSRDRP TVLGAFPFEGGNVSPAGRGFLGALGTIGEREVPSNAGPPRKKRRSSLSDLKTLQDAND APTWSSQTPRGPDSPQREPRQASEEPLSPRTPSRSPAKPSSIPAPARLGSPVARLGSP IPPMPPRLGSPMRRENTPVNGRERAGSLRPVSQDEVVTIKTFRPTKKRGESVSAIPTL KPAAGLSERPTSGNTVKVPSTPRSPTKTGIPMSPSKTSPTKKLKMQSPQKLRERLQIQ QRDIESASRDLQSELSAIGHELTARTPRLTAQFPPGLHDAPSTKALERRIAALEVSVK TTLDTLSTRTSTIAQDVSTSLEVSERRAKHLDKLYRDSNAENEALYARFNEELEKVEK SVRRGKGGEEVDRRLKASEEETARLRKENARLKREVAGLKAQIKE CC84DRAFT_1257383 MEAIQRRSDSVVAVHTNPTNDGKQLQFSITDVAPGSSSSTPAIA PDATDVPAKGAVFQLPAPLQQGWPERRIHRWKSPIMMVVFFLVGLAMSLAHCIFYPSL KGKVVGNSDAQEEKIRQVLSPSRVVTVANFSDQIRYCLLVHSPDQPRCSDLGKLHSVA MAYGEKEGNDRGRVECSFWSRYFGALAAESGDAAGLLLPPFFTPATLFVYQSLNVESI DGLMPFPHIANATPSVGSTYSYSPPARRGRIQFVDDVSRVFVGPRTIVSLIASATASL GEILPIKLPYQTSSHEIEFHAPIVQCLDANTTAVELIESFRKQDMAIGDGVVVETDSV YYAFIPSYNETGDLYPVLTPRLQTRSNASNELWMTFLRPVIKSGERVTERRYQVCKLH NATYNLRIARDLGLQNISKSYTINEQVHYPRDNSTSISNMAQHAYTAFMWALCDQLVG KFSWYNNTAWSQNSSLSSPSGAAQFGVLQSPISRTSLLGSLDLDAFFDLDEANKLYSK PEGNGSLSDQRLMDKAMAKNRTLDVLIEELSFNISVGLMWDPLLTHRKVVPVNITTQV NRYAYKAHGLFIPYVLANISSLVIVIFGLWSYIRDGPMPDKKFQDLVSAAEDSSVVHI IRNRKRSVTAVLRNEKLVLQAGNSLDGNDKAGLPAMLKKVWHGGRGKLTCTD CC84DRAFT_1086237 MAILSRSLWAVAQLALSASAASFPPTPEGLTIVKSEKFAGVSIS YKETTICETSEGVKGYSGYVHLPPAPEYNRNYTSNMWFWFFEARNSSNEAPLSVWLQG GPGVPSITAALGENGPCKVLDDSRSTENNPWSWNDKVNMLYLDQPIQVGFSYDTLTNG TIDLVKTPFNFEPADFSDGVPETNLTFLTGTFGSKDKSKAWNTTIAGAPVMWEFMQAW IQEFPEYKSPTNSISFWGESYGGHYVPAYANYFAEQNERLAAKNCTSEAEIQIPIDTV GLVNACIDHSIQTPFYPEFAFNNTYGIQAINESYYQSAIAALPTCRNLSATCVTLADA HDPQGYGNVAEVNKACLTAYSYCFAALHDGYNASVNYFDIAAPKYPIAFPSKTPAGYL NSAEVQAALGVPLNFTGNSVPISEGFYMTGDFVRGHGLAALRNLLHKGANVALVYGDR DYQCNWLGGEAISEALADGATGFSSAGYAPIATNASYSGGLVRQAGKLSFSRVFQAGH EVPYYQPETALAIFNRVMFGADVATGTVEAEGYVSAGEVSAWSESEMPPAEEAAKCYV WDIMETCTKDETAVLASGKAVTEDFMLVGKVE CC84DRAFT_1185372 MSSDAGSRASFFPKADAAVLRTSYEPPSPSTRPVEVGPILDPQN ANKALDAWSAAITRDIYPSTTDIWGPAPIPDESLATTCIADRYLFPLLTRNERLRLTM LFYYTRGIVEDKELISRLQEKVHLARETVGWEFAITGLLDHNTYTRIVTVGLPLAVLP RRESTCAHTVNQPPGKIFNLANMAEDWRFMASPHVEQGGLRAYAGVPLRFETEFGQEQ HVAFGSLCVASNTEQPPLTKAEQMSLARLADWIVADIVQSARARRQRERRTMMELLAV AQKQIDVDGNFEVVILDMLEKVYPKAVVSINTSIDDQLILDGGTEVPLKQIEQGLWED GEYFDHLIEQSNHLDPVAPRIVRVVAVHCASQRIPTYLVVGSKDFRVVFDDVDSSFLH MAAEMLSRGWQNLALREALSAKDNFLRGITHQLRTPIHGILGSVELLAEELGTRETIS QISGSRRGSTTSSLSGDHTDPDTYIRTIRSSAHDLISTVNSLINLNRWTDIAQAERIV APHHIYEIETALLNEVLQPHLDEISKRPSIMFKHHFPEDFDTLALDMRLFVDCIQPLI TNAIQAAEGGVVCVTTTVTDENAVLVVDIEDTGKGIPSSDHESIFRAYEKVNTHTTGA GLGLTVASRLASIMNGVVTLVRSEIGKGSHFRATFDRPAWLAAQRRTSITETVLLRFD GPMHPTPLSSFYVRYLLHRGHSLSENHDGSIYVFDHSTDFQHEQDLQSGRAGICLIPD SANHPLMHDGDQIRRDKNSIYVKGPFLSSILEQAWSQAYGIHVELEALRNASTTDVPP EDAIDDNEPVATSDDASGVPTTLSSTSLASGPTLLETADTLAMSLKSFHLKTPSPVLR RQPTKPTTLIVDDNAINLRFLEMYCRRRGIPHSTATDGLKAVRAFLDHQTAALAPDSV ATAVAPIALILMDLQMPNCDGVEATRQIRKLEEANGWLKTTIVIVTGQDSFQDRTLSK EAGADDFFVKPVGPKVLDQGIKDWFPEAKV CC84DRAFT_581559 MITVPPRTFRFRTCRPSLCKSCHTMLLTIGPQTRQRARGKVDYR YPPLDPHGARRPPATRFGRLDFVKTPFNSNPVAIRLFASAVVCSMLIPILWRNAANAT CC84DRAFT_1174004 MASADIQAGATRYDLQPLEDALKARGLEGIYTRDFMVQLSNDTA KLRLGELSLAALKHEDTAPAWLRNSLTGEVNPPNPGLRTYSGHWYNSYLRIAAYGYGK GPDLLIKARHTDGLIAPPDHGFDPHKACSPVQLTSHAPHQDDQLTQKLQNWAVCNDVL GVSRTRTLAQLQIIQHYLQSGAPRIDKVVCMGLGSLSRQTGTLSGLDAWFRPYSQHIV ALSIAKALDDLYEHQYERYGDNDTRVRVLAQDPGYSDSDKQVLAENGITVLEDPNGLI AIDEKTFVMSAFPSFPLYEIIADMLPGSGPAAIFDASLPASVTGSHHLALR CC84DRAFT_1215032 MLPSFNTSADDSPPKTPVSPSPPGFVKKIAEKYERPKLQIPDAD PARPLRGLKKECKDPWKEEKRREELRERIRKGDGGLDPHLREPWVRAREEEARASPVD GEGRGEDVESGAESDEGERDALVQLQLPACLHLLRAQPSLLPLHTTPFAYAVDPYLQN NPEYRHYLSPTTPSSSSTLSNLPSGGSGVHADEVSARTDEAFPEIPFESIEVYLHERD KLQVRLQLQQVRTLLVRCTVLVRSAWALEQTGWRSTACARGRSVDAVADDESRVEHAW YKAYSKAGRAAEKAGQMAKALGIDGLQARTWYWKGQADAGRRYWDEAGAAFRRAEQFD REKSMALKVEEYGQIGLTPVERWDVGELRRKCEEKDKRVQRRRQQRAWAMEMAGGEER DESDDDLEEELVDLEVLRGVIRGEVRAEDSVDAAKKRLWKLKEEEALEAISVARAKNK SRWYSGILSKEELASIIDSVPISEKQAEKSMKSEEFRDDLMD CC84DRAFT_1063345 DVAAAVLSLKQERDTWRAVAESYQKAFEDQTARLQEVQAICCAT QMELENERAANRRSQKSSEVLQASLRGGGHRTVDGTDDKLNDTSLGTAAIHEPSHAEL TWRPNFCFRRVEHFTTLRDYGTALKELDSLLRGPLTMEARVQGLLLKSTIMRKSEWLF DALAACSEALELCNRLNELHAYLPRVQYQRGICYYQLQMLKQARDAFHEVCMNDDLLY AVASEMRDCCDDQLQGRRSGFEAHRTVTEGLLSQMYDSRSESKRRRVSQHFRYHAAKA KRLSLPQRWM CC84DRAFT_1085917 MALLSKFRPFLRPVSRLPLRAHPCRALHLAPPWLLDDYIPRYHL ISSLDAAKKRSLAYAHLRECNLCPRLCGVNRYEKTGVCLIGAEKVKVNTIAPHFGEEP CLQGHNGSGSVFFSGCNLRCVFCQNHDIAHQRNGFDLTPEELAEWYMKLQEVGRCHNI NLVTPEHVVPQVVLSILHARELGLRLPIVYNTSAFDSLESLELLDGLVDIYLPDFKVW SDATSKRLLKADNYTATAMESIKAMHAQVGDLCFTGDGIAKKGVLVRHLVMPGKEDEG KEIVRWLAENVSKDMMVHVMEQYFPRAHVGKPRRGGKDASVVEPGGVPATEGAKEQVR YADINRPVDLREVASVTQAAREAGLWRFVEAAKHGGFNV CC84DRAFT_1174006 MRAVILSVVFGLGVLADALYRDYPHLIIPLDAKAPDKAYGTVPT FHISNDNWTAANWDVPNNNATRCQLGFTINTDENRGAPWSLWGVNDDEAYLINIVALE NDSIKPNTTFISLPKSGVTVATLAVKSSGDTNLTMVKELVCQKGQVASFLLQPADPLR QWDSGLSCVRLCQSVQTAGYRAMPCFSSSHTTVHIRHNVDRHNQGQRG CC84DRAFT_582210 MATHPAVVTVGIKKRLEVHQVPTVKPQGDEVRVRVEWTASTPLD LHQNDGGLLVTHPQRLGDGTAGTVVEVGPDVTRLNVGDKVFGFTWRTAQEKAHQEFCT TNEWLMAKLPDGFTLQEAVTLPNNFVTVFHALTTDLGIETPWPKPEGYVPEGAGRAVL VWGGASSVGLYAVQVLRYYGYTNIIAVASSKHHPKLKSLGAKHAFDYNDPDVVSQILA TVEATGGISKVLDCIGSQNGSIAPISQLAQAGAKVAILLPVIVRDSSETEDPVYEMDV LKAAVWKEGVQAVGVRTHFYLQNDFFKKHLQPDIMYTMLKDGIVEPNSQRVIEGATLL ERAQRAMDALRRKEASGERLVWRVAD CC84DRAFT_1047736 LAAFATQVAAHGYLTSPKPRMPGDALAKACGSQVFNMWSSDING NVQTALQTASNQNDYNEAACNIWLCKGMKFEDNKANVQSYTAGEKVPITFNVAAPHTG VANMSVIDTKTNSIIGSPLITFDDYASTATGVTAEEKNFDITIPSDLGSKCATAGDCV LQFWWDARSIDQTYESCIDFTVGGSGSGSDSPSAPASSAPAATA CC84DRAFT_1162483 MAPRRYEAEKKDPAPLAKPLHFEFSNVTAQNRFLKGAMTERLSS WDPKNLEARGVPSKNLINVYRRWGEGEFGVILTGNIMIEYDHLEAAGNPIIPRGAPYE GERFEGFKELAAESKKHGSLIIGQVSHPGRQVADNIQKSPISASDVQLEGNVMGMTFA KPRAATDEDIKNVIEGFAHAAEYLEKAGYDGIQLHGAHGYLLAQFLSPTTNRRTDKYG GSLENRARIINEIGQEVRKRTSSKFVLGIKLNSVEFQDKGFDTEEAGTLCKLLEDNQF DFVELSGGTYESLAFVHKRESTKKRESFFLEFAEKITPSLTKTKTYVTGGFKSAAAMI DALNTVDGVGLARPVCLEPELPKDIISGKVHAAINQLTDDNDFGATNVAAGTQIRQLG KDQQPIDLSDEKNLEAFQKDVGTWLQGFAEDKDNRKYGYVDINSVEAVPYGSAAPAS CC84DRAFT_1075561 MATTKSDTQLAALAAGFTIGFGFLTVWEAIKQTIRNKNPLRSAY IYMLWGEIIANVVIAIIGWVFLDGYIGPTVPTLFFILLCWVFEIQLLMQIIINRIALI AEHRRTIWRIKWGTVFIITTINILVMVIWIPAHLNPPPSQAFVTINEVWDKISKVLIL IVDAGLNWYFLKIVKERLIQQHGLVKYAPLIKFNARLMVLSIAMDGMLIGLMFLKNQI VYIQFHPVTYMVKLNIEMTMASLVVRLARGQSEND CC84DRAFT_1257395 MATLAVRVVEQIASIHQSIFRAYEENVSSYPIVSVELVAQPEPD HQVEHVANPPFVVFKPTWDYDGEPVAGKHACQADVPESVKSGLIVWNECDFAVQTFLP SLRKQLSSSLPGTRVQWFHAVIAPVFVFRQADAGADVPDPAHSAFHITLPGNGGEYIV DFTIGQYGYGMERWFLSFEEYKAMIRDRLWYICDDEGRGESLQGAFDDKHLSVQRIIR ELCDEFDWDTLKQMAESDRIALINEMTSRALCEEHCPMEHAYA CC84DRAFT_1185379 MSRNTYTAFQRHLLFFSTPTNPPRVTILSGMRAAASLGLDFPVC VLLGVSLRIMYAPFPNVFSPINVERIPRSKHRAELSDARLDQQEYTCYDLLRILPCFW AMPASTKTHTVSRDDVERFQRGGWADKIAERRRERDDVLPLWRGGPIIVNWHSWAIRK VLGVRVYEENPNR CC84DRAFT_1141383 MCQASAFIFLLILQSWQGAAAASHAIQSPRPRANWFNSFWTDTA ASVKYKNLPEGAYSVTWNGTGNFVGGKGWNPGSTSKVVNWTGSFEPNGYAYLTVYGWT TNPLVEYYIVENYHPDHEPAAPPEGEVVTNLTSDGSLYSVRTKMRVDKPSIIGTATFR QIFSVRQDKRSEGVVTVGNHFAAWKEAGLKTGTQNYMVMATEGNNSSGKASIEVH CC84DRAFT_1162487 METTGFTETQLEVREAVGAICSQFPNTYWQERDQSGQDPKDFHA ALAKDGWLGIALPEAFGGSGLGISEATMMMQTIAESGAGMAGAQSIHANVYATQPLAK FGSQAMLEETIPKIVSGEWRTCFGVTEPNAGLDTLRLSTTAKKMDDDTYSITGQKIWI TCAQVAHRMILLARTTPREEAAKPSQALSIFCIPLDRSAPGLDMRKIAKMGGRAVDAN EVFFDDYRVPASSLIGKEGQGFQIILHGMNAERCLLAGEALGLGYVALAKAAAYARER TVFNRPIGSNQGVAHPLADAYMRLEAAKLATYHAARLYDASKNDASIRQDAVGIAANS AKYVAAEAAFNACEKAVLAHGGMGYAVEYDVERWFRECLVPRIAPVSREMILNYVGEK VLGLPRSY CC84DRAFT_1257398 MDDEWQTTALGSRHMHNRVQNKSSRKYRPFDVHKTFGSYSCKWA AGKRRDDNHDDENDITLELYRLSPHGEGVLGEIRFPRALDAAVVLSGSRASLDKTVRG IEVDSDEEDDEETQSEEKNESGSDERDAEDPVDDREFEEEPARFRKFEKNSFREPKFW IRWNGMTEGAEVVTSDLGYVVFSGNECRNFKGTITCEALGWKDAAISGRKVSGRAPSD VPINWNGKTL CC84DRAFT_1085815 MKGILKTSSAVLSVAGSVAASPVNGRSDIITFKGPFQVSSDSVH NVHIGYGDESFEGDVQVVYGACDMSGSHERHHELGSTFFRRDARPERLVWLVPDEAIH GGCLHAYSGSSLIGRSAPIAITRNFRKREDMSKISDWSGPWFDGVAYMQNKANNNSFV AVEKSKKIAIVGGGMSGLLTSHLLTSVGINDWHITESSQRIGGRIRTKYLANTTPDQY QYQEMGPMRFPVSTKYTDTNETLDINDHKMVFQLADTLNKLNGNGSSLTVNFIPWIQS SPNVPANSNGYRLPNGRIPSAAQIKANSSLVLPAAKPDDYDEEVVTKAEDALSAFYDE TPERLRNASLNIYKAHKEAIERGLFHWSEAAYLRYHLNMSQDMVDYVAGSGSDPMWDL YDSVYFGATTWRTIDKGLESLPRAFYPHVQDKLTLGRKIDGIVYSNDTGKVTLTWRQN QFAMEPETEEYDYAVVAVPFSKVRTWSLPKYSSLLTRAIQTMNYAQSCKVSLRYKTRF WEHLDEPIIGGCGSVDIYGIGSVCYPSYQINSTGPGVILASYSSGTPARSLAALSTED HVARVQRAMVEVHGDIAAEQFTGAFERQCWEVDEHQAGAWADPLLGQQDLYLPAYYKT EANTIFIGEHTSYTHAWIYSALDSAVRGTTQLLLDMGLVDEAKQIVEEWMGRWISL CC84DRAFT_583324 MVQFVSVLTTLLVAAGVALAKPTPKLAEQPVVTYLGTQGPILSG GAWTSKGIVYTSGTVPSVNGTIVAGGIEAQTAQVIKNIAATLEEAGTSWDYVMKTTVF LANMSDYAAMNEVYGAMLPTPKPARTAVEVGKLPGDFLIEVEAIAAIPDI CC84DRAFT_583066 MMLTVAIALAALSGTSLAHGGVTSYLIDNKNYTGYVWYDPYESQ HDLIQRSWHMNPLSDPASTNLTCNNKGLAVPGTFHASVTAGSTIRATWLTPDGYGWPH TLGPMVAYMASCGEDCTTITDTSSLKWFKIAEEGLRAGYAVGEEDGWYQNDLWENRRT EYWNVTVPAGLKPGRYMIRHEIIMLELNPVQFYPNCAHLEVGGEGDSVPSDEFLVTFP GAYSLKDPGIAISGKVRGDKTTKNYTVPGPKVWKGE CC84DRAFT_1215047 MVLQRLKTIYRSVLFQIVIVGLVAFCEPGIWTALNNLGAGGNAK PFLNNAANALTYGLMSIGCFISGGISNKITAKWTLVIGAAFYTPYAAGLYCNNRYGNE WFMLLGAALCGIGASMLWASEAAIAVGYPEDKKRGRYVGIWMGIRQMGPLVGGAISLA LNVKTKEKGKVTYTTYLGLVAISSLGAPFALLLSQPQKVNRSDGTKIPYMKKTTFKTE ARAIFRQLKNPYMLLLIPVFLAGQFGVTYQGNYLTTYFTVRSRALASFLTAVVGALAN LLTGIVLDLPQFSRPAKSKAVYIFVLVTVTASWIWNAVVQTRLTRMADAPSFDLGDGP FFNSAFTVYMSFRFFYEILQTYIYWLMAEIKGAQGDGDVSRTTGILRSWESIGSTIAY AIGAVHIPNQTQMIVGFALWAWTVPFTLMAVFGDWNKGVPKNGLGSDSESESDLEVQR VVTADQKI CC84DRAFT_1162492 MIQWAQSKCPCFALVVLKSHLVQTAPKTGLCSGRRIRESLAVVP ETTCKILKLLLFPNVGASDLSFAGKELEEKSQY CC84DRAFT_1085259 MAATADQDVESKIQHNVHQDVYDEKAGINTFKADAIEAENAEYN MTVLEAVRAYPMASFWAFVMSCTIIMESYDVFLMGNFLALPKFIEDFGVVSPLTGQKV VEAKWQSALQVSGQLGALIGVFLAGPLTSRIGYRWATLTGLMLLNVFIFAMYFAKSLP VMFVSQLLEGIPWGIFIANAPAYCSEIVPLRLRAPATQMLQMFWAIGSIIVGAVTYHY NDINAEVAYRIPIALQWMFPTPLAILIFLAPESPWWLVRKGRTEEAAKSVERLGKKST VHSSETVAMMRRVIELEKSEKKPNHVELFKGTDLRRTLIVCGVYAAQNLTGNLIANQA VYFFKQAGMNTETAFALGLITSALQMIFVMLSWFLTTYYGRRSIYLWGSAGNCVLLIA LGIAASVGGGKAASNAQASLGLIVSVLFTLGPAPASWVIIGETSAIRLRPLTTGMGRA TYYIVEIPCIFLASYMLNVDAANLGGKCGYVWGGTAFFCLVVAWWGLPEMKGRSYREI DIMFKRRIPARKWKKTDININDDE CC84DRAFT_582847 MTTKSSASFVRRIQPDDVAHVEAGEMVPNTLQMPFLISVEMRSP RCEARESTTAGGDTRQRCNSPWRDGGAGLALWRRSRHASVDLDPGASSCPHPQYPPAP CAL CC84DRAFT_1215049 MRDMDVADARSLLVVEEQANVEEFEENEITRRNQQDSPLLRLPT EVRERIWAFAFGCRTIHVEVAGEFVYERGTRRRVFGAPRIAFYPCHEYLSEHAMYELS LRGASLDNPGLYAAEELYNRAWKEKGVWQTFGRAGMHDACIAWCQPRPVPEPFGPPPI CKQIRTEATRPAWRTTSFAFTDEDSFGWFARLVPEANRRLVTQLTIRDKGFRYADQWR RWHASFTPATLAALPSLQGIHLILNESVWYRSRGLPPSLRPDPSSLDIMHTFPDAHAH IVGLARLLRKRNLRPERTTVFLPQSFLYRPREVDREEASTFIPGTKNYVPRDDPHARW LCKPWRWHLDAGEMVQIARGVREVLLGGGEAGDEMVEEEWGVRVRMPVFTGDNMAMFG RY CC84DRAFT_1185388 MSVDMFEFPVLEDTRPKDRSLPAFMVSTTRGFLPRQEPLERLPV EFEAVESLLQRMPIKTLSGEPGLLAKFTFGDTLDKELPDLSDEVEKYRDDLVVMNALY RDYSFLASAYLFEPCHERFLKDEPYGLGRQKLPRSIALPIVKVAEIAGFKPFMEYAGS YALFNYRLQDPDKGLEYDNLRLIRAFEHGLDPSSSEAGFVLVHIAMVKESGPLVKGTV EMLESCIAKDRERFDDGLRTVVDGLKNVNAVMNTMWNRSKPQSYTHFRTFIFGITSQS MFPNGVIYEGVSEEPMSFRGESGANDSMIPLCDNLLQIKMPSTPLTEILQDFRQYRPG NHREFLEAVRGCAEASGVRDFALSSPISAALYLQALNEVRDFRWRHWCFTREYILKRT MHPTATGGSPIVTWLPNQLQAVLEQMVDTAAYCKGVHGVDDIMETACSQRETLKKEVA KYCGERGHTGEYDTRPPEGSRHTAAAAADDHSMASRHAPKQSPIDRRKGESALSDFAD YVQKQQALRRPPGQTPADLEEHDELSILDELGLSDDATPALPLKTLLLDPADGNVDKL AEYIEGRLAEGHGEALFDLGLEDSGDSMAFSVTDWESALARVGAACDQTKADYKLLMT RNVGADPELEVGPRDAKDTGASGKLILRRRPESVDDVIETRIAVVGNVDAGKSTMLGV LVKGGLDDGRGKARVNLFRHKHEIESGRTSSVGMEIMGFDSKGDVVVSNVAGRKLTWE EIGRRSAKVIGFTDLAGHEKYLRTTVFGLLSSEPNYCLLMVAANNGLVGMSKEHLGIA LALNVPVMVVITKIDICPPQILEQTITQLTKILKSPGARKIPIFIKNREECINTATQF VSRRICPIFQVSNVTGYNLDLVRTFLNILPHHGNYNSSAPLEFHVNDTFSVPFVGTVV SGVVKSGVIHTGDTILIGPDSLGQFTTTKVRSIERKRIQVPGCSAGQSASLALRNVRR KDVRKGMVVLHKNDPATGAELTPKVFREFVAEVLILSHATTIKTKYQAMLHVGPVSQT CAIIDIDRNYIRTGDRAQVAFRFVQRPEYLTVGDRILFREGRTKGLGIVKRVGYDPKQ PLNPEALKEEKKSEGG CC84DRAFT_1162496 MASESKSTLYPKITTHIKEVIKTLKYKLRDPDKPEEEPVTGPIS IVGTVKLHGTHADILVSSDNTITLQSRNNSVLLETADNLGFAKSMSTKRPAILRLRDE FLVRWKKLNPEETLDTALPVTIAGEWVGEKIQKGVAISKLSRRLVIISAKINGSWVAD VDYADIEAVEDDIYNISRAGTYRSVLYPEEPQRTIDALEPLADTIAARCPFAATFGVT GEGEGLVWKLVPYISDSDLWFKTKGGRFKPTFTPAPKKPPVDQAEKREAAQELAQAWC SEQRLEQGWDYLRENGLEQTMKGIGAFLKWLQLDILSEEKGYITEHKVDEDLLRKEII ARGKPWYLERYHVA CC84DRAFT_1215053 MRWIPHDIEPPSSSKKEKDRPKRSILSRKPAEPNHGTLKRRKSV ISLTSEVDEELEARTHMQGQSAFFAMLPIEIRKMVYEYVVGEETVHLLFAKKRFGHFI CRGDGGDGECGCKVLVGGSQCGRLSGAYTEAASHLYSPHVFSLLHITHLLFLPSHVPQ QRLNTIRTLRLKWTIRGMPYFRRNPSSKRPAYPEDTANWEKGWDILARMKSLRDLRVS IIDPSPEGIWEGHWLGVEEILVDAVKRVRTPREYEVVLPYASCRVDWDMGGCNVRLTR PILEEGEAD CC84DRAFT_1162497 MNTSDNWSARNWQDPRIDTKNQRIAALLVPPGPLGPFHPAHRYV PHPAETPLQSTTPLLRFPTELHLEIFGHIRADGDTFEDTRLMSESRAKQVFGDPHAWL WTVLALRGTSRLFRYMLPPLTHDELLDLELTLRATSRGLQACRYCLCLRHTSHFSHAQ TRQRGVPIDAVLGWAGYSVKSREKRAKRFCVDCGFAKAINPELDIGKVRYGKGSDVVV GESEGERWVWCWWCEKLKRGKEAGMLGKEACTGSCVSCCEKRACKGACVREEQDRRRT AAEEWIIERRELELD CC84DRAFT_1257409 MFNDITQPPPPLPTAALRTLSKGVSILSPLTRRGHGPGVILLVP DSDASTKIIEGVPSHLVKWAEEGYAVVEIQQQALQNNTKDALSQAIDALKRLETCTPK EKIGVVAYDPTCWSLAAETVNSVSEVAATVVYADIAQHNSIAVSRSPSIYHLAGKGSD RPLRSENLTVYYYPEVQSYTFAIPFQKTFHYGQEALSHTRSLTHLKKRMDGPWFDLEL IWEEHTYYEFADRSVEHTMSTMVQEPYVNHVPTLTGGVGRASLTNFYAHNFIFNNSAD TSLELTSRSIAIDRVIDEFIFTFTHDRELDWLLPGVPPTNLKVEVPFNAVVNIRGDRL YHEHISWDQGTVLRQLGLLPEYLPFPYALPDGMTPAPGKRIEYRVPVAGTDAADKLRD RNSVPSNQMFDFKIREV CC84DRAFT_1203912 MADKLDDVRPMFELRGRNYLVTGGAQGIGFAGTRAIAELGGNVA VLDIQDKPVEEFNSLASKYNVKTFYFKTDLTQQESLNAGFDKALEALGSIDGCVPAAG IAIDKPFVDQTWDEFTRIQDINVRGTFFIVQRVTKQIIKQGTAGSIVLIASQSSHIGL PGYRMAAYNASKGGILMLSKALAVELAKHNIRVNTISPGFVDSEMTRNVRASKSKREG DQMWLAPPLQRLSTQNDLTGAIIYLLSDASRHTTAADIQITGGLHAGTIDGVISPPS CC84DRAFT_1239825 MIATLPEAYDLVVVGSGWFGLGAARAYIQSHPDHKIAVIESNDS VGGTWSEKRLYPGLKSNNMLGSYEFPDFPMKKEVYGVQELGHIPGAVLNRYLTDFSKH YGIYERLQFNSTVGLVESTESGWTLTVTSPSGERKVQTEKLILATGLTSTPNLPSYKG AESFGKPLFHAKDFCRRADELKGAKNVVVVGGAKSAYDVAYAMVESGATVDLVIKPDS NGPVWIAPRKVTPLEHRIDTILNVRALSWFSPCPWGHEDGFGRVRKFLEKTSLGRMLV RGFWKVMGNDVLQRNQYDNHPELQKLKPWHSVFWIGSGLSILNYNTSFFDMIRDGKVR VHIENIDHLALGKVVLENGDELIADAMICSTGWKKESTITFVGLDKTGLGLPIDEKEQ ANLSLEYDTKVLKDFPILEKQPTLRSKPKKASEPLRYYRFMIPSGMLAKRNFAFAGMI STVSTAICASTQGLWITAYFDGRLEREAKTQDEVTREIMMHTQWGKWRYPCGYGASLP DFVFEGIPYVDMLLRDIGVRNKRKGSFIKELLSPYCPKDYNGVLDEYRTKNGIVGK CC84DRAFT_1141420 MPRRPVKPEDRQRVARACDQCKASKKRCNGNQPCDACGKKGSSE ACHYTRGRRRHPLPRRSSTTSQNVGVTEPNSSENRTSVGESMVSPMSSWNVHGQSTQT SSSEGMDQPPLMLSSVNGEKVFVGNTAAISFLRFLQKTLERHVGPSGFTVADDSHKLF EADTADVDPNSVYDSLSIDDKRAFVQVFLDASSGLLDLFSWEDVFRLLGSHEPISPSA IQPTSQRLSSLETASLYLMIAIGAQCRQSVDDATWASKLFSYARKLALEGMLANPSLD LVRVFLLMAFYMFGACRRNSAFMYLGVASKAADILGLHSSTQYKHLPQEAKDARLRTA KSLRVFDVICNSILGRPSSTPSVRTGRTSYVTKSSDMNPEVTYKALALGASYEISAIL NEAVAKSAEVGLDMETTEAFVLALRQRSRTFPPILRHHGSDNDFDTRHITIGNVHVAG SYYFSVILVTRHCLIRHVVPLLSGQARNSLEQAQGAKSATTEDTKVAHLADACIDAAS LMAQMCHQVMKSGMLVGNMCILKAWIFATGLVLGFSLLVEDGPNFAQKRAAFLKSLHV LGELKRMSPQAEQYYNILMSFHQSIKSYREQLDREKQESRPTLVDCVFLTDFTADLND AEHIATQLPSPDTTLLEPSLAEWPLELDQMGAVANDVGPIDPALMGDNDIIMRMLWDV DRYAGEYLDPLLPEVDVGLGCSAHIEGPLA CC84DRAFT_1203914 MTTQYLTNSYLGPAYSLQPNHSSSIPSGVHCAPSRNGGLLWTLV PTPEDPTKFNICTTDPQSRQPKCLDIYNDNSSDRTRVRLADPGHYAGQMWTLISQREP GYFKLSNDWTGEGWYLDTYSDSYEAFMSQGDATGQYWAIQGVGSGSGAHTVPVQTYDD NHHHCYDQAGKIAGGAAAGYLGKKLWKKLT CC84DRAFT_1239841 MLGKVILEEAFALPRLEKKTKWWAGMFSTDAEKHTQEIQDVTDI RLKYADKYGVGYTIVSYTAPGVQDIFDPKEAQSLAVEINDYIAEQIKPFPDRLGAFAT LSMHNPNEAASELRRTVTQYGFKGALVNDTQRAGTDGEDYVFYDQPEWDVFWQTLVEL DVPLYLHPRNPTGIVFEKLWKDRQWLIGPPLSFAVGVSLHALGMVTNGVFDRNPKAQV ILGHLGEHIPFDMWRINHWFEDRKKKLGLDCKKTIREYFNENFWVTTSGHFSTTTLNF VKDQVSSDRILFSVDYPFETFEDGCVWFDNAEMNTGDRLKIGRENAKGLFKLNEYKDS NEKVI CC84DRAFT_1203915 MVFYKSTEESKNILQILLKLFSENDNELPQSVRHRTENVTFDAK RNLPYFPIPFKETETTAALKAIEASVAAELGDLKAGEDSQRAIKIDLEKTTAFLFQAY LATVGGKSKLDPDVKRLLKDTDLLKAQSDPYRRMSANLYETKNQGEYYHIHGSLEAST TLGMIGLEPFRPDLETHEEIVSTIEDAVRKLTIDELEEMNAANKQAGVPVMKHEDFLK TPHGRVATKALPWSVHSLEEQTPPFPLPSSDDKRPLSGIKVLELCRIIAGPTITRILG EYGATVLKITSPHLSDVPFFQVDGNMGKHAADLDLKTPEGKAKFEELLADVDIVVDGY RPGAFDRLGYGPKQLCEMAKRRGKGIVYVNENCFGYEGEWAHRPGWQQIADCVSGVAW EQGKFMGLSEPVVPPFPISDYGTGCMGAITALLGLLHRTKRGGSWHGKASLLQYDLLL FKAGLHTEQVQEQLRQLMGPEFLALRHDNSVDQISGTALRQMRRQFPAFFTRPKLLDH WYADKYNANIEVVNPVAEIQGLEIEFQRASRPNGSDLPTWDFGSEADVRKGS CC84DRAFT_1162499 MSQTNGTNSVNGATLPKPQYDPDFTSNVIKATGPKANPRVAQVV GSLIQHLHDFAREVDLTVDEWMMGVNMINRAGQMSNERRNEGQLLCDVIGLESLVDEI TNKQLVGADYEATKTAILGPFFRHDTPPTKNDASIIKTMPQDGEVTYMHGIVRDAATN EPLNGITIDIWQCSTNGLYEQQDPDQAEFNLRGKLTTDENGYYGLYCLRPVPYPVPYD GPAGELLQLLDRHPYRPAHIHIIAGSDKYTPLTTQIFDKSSKYLEDDSVFAVKDDLVV DFVPVQGNEKASLELTLDIKLAKIA CC84DRAFT_1194726 MPGTIIEGGWRRLSVAVIGGGIGGLSVAIALRRAGHDVTIYERN DFAGEAGASVSCAANGTRWLHEWGVDVQKGDPVVLKKLINRDWKTGDPVSVYDLDDYE ERWGYVYNMFHRQYMHKMLMDCALQEEGAGAPVQLLVNHPCQNIDLESGEITFTNGIK AKHDLIVGADGIGSVTRKIIGLNPDKKPADSSCLHANVLSKDARKLGLVDYSLNSALE YWGGQEGKWDKIVLSPCNGGELLSYYCFFPRSVGDYSNHTWGGADLPVEELTEKYPEL DAQVKAHLAIGIEVQPWRLWVHQPYPYLVKSNVCLLGDAGHPMMPHQSQGACMAIEDA AALGILFNKDYFKGDVKESLAVYEQIRLPRVTKVQASAAKAAYNINERIGFSSNTSIK GYKVEDESNKLTIEEMNGYDMYKDIDQAFAERRGVPYTDRYTKGLPFGLKLSNGVTVG E CC84DRAFT_1239851 MSHRRPSVFGSLFGSKSFKEVTNAAKQVSPLVKTVQAVEGIFQA GELMYNANETAGNLNEFIPKASKIVDRLEAFVPSIQIMGRSFCDTVKIFSTFNTIATT VGIGANVVLTYQGVQALHLIAAQLKEVSTALAAQTALLAQKDFPQYVYDLIRERLGQT SDDLNYEHWFFVYHPDNDWYPKFYHLLEAKPLGPAFCGYTNQIDTAFSFMIAARQYAN TERDRRAKKQGRRVRPVMLHLLVPAYQPIVIAEALKIPEEIGDFTIEGRVNSNKPFVW MNLPEDQRNYVVDVGQWIPPTPGWWEFAMSKLGLAEQPLQLKEARILGQSQHPVEQLL EDTSTEQIEAENQGSLETKDALEAPDHGKDGAQRRRNATPLHQRRRKHTKK CC84DRAFT_1174036 MQFTNTLVFLLAATAMSCKCWFHSGSPPSQEIAWDESYSCCFGE AAGTWRSKDCKSPIPKVYRACCLHHPNDPTQGSSLSPIHDCHARAAPLCFYLTGITAR MRA CC84DRAFT_583628 MPPQKAKKLTAQPVRTRHFAGKPAAAEVAERGSSDESESEQEEQ KPKPFVKPKPAPLASSFPKAALKSQLAARKKPKEDLKHLEDEFETESEGEEAGDGSGS GSGSGSGSGSGSSSDEESSEEESSSEEEAPKKLMRPVFLKKGQRDKVATHVKTAEEIA AEEEQRRQEQTRALVQEQIEQRAAAKAAGKKDWDDDVEEADINAVDDTDNLDPEAEYA AWRLREFKRIKRERLAIEEAEAERAEIERRRNLTEAERDAEDREYLEKQKEERGDRGQ MQFMQKYFHKGAFFQEDLAELGLDKRNLMGARFEDQTDRQVLPEYMQIRDMTKLGKKG RTRYRDMKTEDTGKWGDFGDTRRPRDKGDYDLDERFRSDGYSGRDRDEGATGANARPL GERKRFGEDTNRESKRPRVDDRD CC84DRAFT_583624 MQFLTAATLFAAALAAPAPQTSDCPNPAHCGGSAPDPSTYDNVD ITDFYLRKNPGIQNAGFTLSGNNGTVQCEIGAVESLPSAVEVCGDSKYRFGLIEATST GAEVGLRLYRETGPAVGLTGEGDVPTYCHAGGAGAEDFVCQQVSALTIVIS CC84DRAFT_1215065 MSDSLAYEVRSTSDILALSGQEQQFSRNVIRLPPFSTASVLLHT YETKISSICPIVHIPTVRSLLRTSYFRLNNSAIISPSSIAVLLAVFALGAFFGDTSST SEVAATEADGIALSKAFSRHALDLLDQSRRTSSGTLEDVQAHILMSLALSHIDGFSAR SRMLFSSALSLARDLRLHRLDESEAPKAAPRNARDLIDEEFKRRVFWYLASEDWLQST ISGPQEGMYWIQQGHIKVKLPRDCTDDELSLGDTSGSTFEEAPSHVMVFLERIRLARI CCEIADNLPLETVALLQMPYERIIALDQKLEQFLATLPPVLQYNCTNDHILESMYPQL PSWRYCIAKAALSRRWKLNQPFLLRQNLDPRYAYSRRACVESAHAVIAGYTSLFTCNV TSTLLTRMGIAIHFTHLALSILIMDLCFNQPQRDVTRIKGGIEAAFKIFGNARTVSPL LAKSLASLKAVLQRYNIDLADASPPNVGVQISNGDDAEHSTSDATIQELSNTHDQSRY QDVRTDTTFDAFWDIAMQNDGGIDLNEWDHLFSTLDTRPI CC84DRAFT_1203923 MTLRSYQVLWIQIFTRMKEAIVQPSIEAVEVIESPIPIPADGEV VIKVIVAGTNPKDWKMPNWNNHPHNSGDDMAGFVHAIGALVKAFRIGDRVAAYHRSGH PHGSFAEYAVAPEHMAFHIPESLSFEEAATIPLASQTAALALFVDLKLPLPFIQETLP VESLMRREPLLIYGVTSACGAFAAKLARLSGVGPIIGVAGRAEEFAKTLVDYVVDYRN GEDRLVASIEEILKKEGLPAKLPKVFDAISENGSLEATLRIIDPDIGVVSTLLPPKLF AKDKEAYRYPKAVTAINTAAPKLFNVHKEFGYVWSRYTSWLLQEQRLVGHPFEVVPGG LHGVLTGLRKLYEGKASAVKFVYRIGETGDLGMVRLEAE CC84DRAFT_1185402 MATSGRYYSEFLLTVMCAHAARYEEGNYANILLSRVRHLLGTAI QQPSSIPTVQALLQLSARELAHGSMSQAWNYSGIAFRMASDLGLEYIGPNVRGLGPVD LEIRKRLFWSCYFWDKTLALIDDAMELEEWSPYHGDSLDLTRLAQNQYPDRKSHAVSC FANSCKLSIIINDIILQLYSRKSRTITEAALRNIKMRLDLWRVESPAHLRYDPDNLPS VCPPPHIISQNMLYYTTMILAYRPFWSVSTHYQVCILAAEGIEKLVLLLESTFGLENI TYLMGYCIYTGASAILEDAKSSGQGAAHPVLRTFIRALQSGTRRCPLLERSLKIIIKG LSRVPPQQDNLPSRDVNNSYSNTRLSVATGGAPVNPYIPAFPYLGLEPQFDFGMDPYF NDHNINPMALLDCFPEIQMDNEDIGLSI CC84DRAFT_1086091 MSPSAILQPEGTVTAKDISGEYLAKPSGACCLKGTIHRGDPRGT WETIADVETYISVPPKSKANGNVLLYFPDVWGMFPNGLLVMDAFADAGYIVLGLDYFR GDPVWKHRKNRNDHSDPNFDYEAWKRKHMAFADVAVPNWVSAVASRYRKDNPKTGFAC VGYCFGAPYVCDELAKDTVAAGAFAHPAFLKEHHFRQLEKPLFLSCAEKDHTFDVPSR RRAIDILQEEKKIYHYQEFAGVEHGFALRGNPDDPYHRKSSFGCIRRSVQRNIEASVR EYLLTQSTLNRLISNQLQENTFNSTMAPPRALDFTGDVAIVTGAGSRMDGEIGNGRAA AILLARHGAKVALLDFNIEWAKETKRMIDEEGGVSEVIQTDVTQEESCKAAVWKTVEL FGAVHILVNIVGVGGAMGDATVVNLDAWERDFRINVTSMMLMSRFAIPEMRKQGRGSI VNMSSVSGLLGGNPSLLYPTTKGAIIQMTRAMAAQHGQENIRVNCVCPGMVYTPMTRG RGMTDEMRQARINQNLMKKEGTGWDVGHAILFLSSKEAGWITGLIMPVDGGVSLEHSE TTTREIS CC84DRAFT_1185405 MSVSHAVGYQEYLEAQDISGSDKEMTRLRWKIDLIILPMFLITQ ALQFMDKTSLNYANLFGYQKALGLKGTQFNYLSAMVYAGYFFGQYPCGWLIGRFPAQK VLAISILLWGFTVLIMTQARTYGSALAVRFFMGMFEAAVTPGLTLMTGFWYTRREIPL RQCIWYSSLGWGGIIGSYISMGISTLPEDFSPERWELIFYILGGVTCLWAVIIWFFMA DAPSNARFLNHRERLLAVKRVAGNQVGIKNTRFDKKQVYAGFLDPKTILLFISVFAAA IPNGVVNSFSTIIIRDMGFSTTRTTELKSVGDAVQIVALFIGGTIILNVPNSRLLTST AANIICTVAAACMAYLPRSNTWGRLVSFWLVNAQSVGFTVSLTTISSNMAGYTHRSLA SALVFTAYCWGNFAGPFVVDPKQAPRYEGATIGLLVGYSIKTGCHLGLLLYMWLVNKR RDRKYGAQDREKSEEEGMRDKTEFENKDFRYVL CC84DRAFT_1162506 MADDAEDVGIDLFQEPEGFYQPEKEPTFASHTLLSGKELNIRLV GHNPLWGHFLWNAGRTLSDYLEERAEYLIKGKSVLELGAGAGLPSIVCALSGANEVVV TDYPDAELVENLRYNVDHCNALPEQVKFAAEGYLWGAPTDELTKNLSDSSGFDVLILA DLLFNHSEHAKLVKTVQLMLKKSPDSRAFVFFTPYRPWLLEKDLAFFDLARKSGFVVN KTFEKVMDKVMFEKDPGDELLRRTVYGYELTWPS CC84DRAFT_1257426 MWAEVNGEKKKVACGPCIRGHRSSKCDHRDRVLVEVRKPGRPLS SCPHPTGSCSCERVVINYTIPKSSECACPSDAASPVLVNNGTGRVQKPRGRKSTATTF NTAVLEKAIKAAQDGETDSSSLLTGTPTSESNNASNPSSASSTPRLAPLQKDVDPVIA QSSAPTSRPATSQGVSSCCKPKPVQAQSGSCCSSKAAPPPQSAPAKKSCCGGSTPAQP TQPLMPQPHMPQNYPQHLSNFTQYPTQFPTPPFGMSHPGNNFMHTQPPFNFNTPIYNH MASGYQPPVSMPMTPMNGHAAHHNPEHNCHCGDSCSCFGCAAHPNNATMIEYVRSMHQ FMSTGQFGAMPPPTYDIPSYPHQPGFGAEANVALNPMQTTYLPANQMNFQPSINTMTM QHPPMNLPGPWPHTANPGSMHNPHTTATAYFENANTSSNPAPAHSRESSAPLKVEEQV QSPTFTDSPSEGKEEDTVTLSPSAFLWQEMVLPGCNDATGTCQCGDGCECVGCLTHGG HNGVALEMMEGNEQDTFPGFAAPGDTNSSNQFAEFSTAPT CC84DRAFT_583856 MWKDFLLNIEPHLDGLFGGVSDLRKLQHGYLTACGTNYSPRGAF FRLVSSLLLSVHYMRICHVRYRYLVLLSLFQGVFLSGSGEEMRSMDAGKRRSVLVVVL AGKGAVGRWWHKHACGWVVSSWIGFKFRIAVMGRSGKFCWRCGGLQMDLGGLRWFVSS VLFHGPLL CC84DRAFT_583850 MKYLSVALATAAATALAKPHGHAHAHRHAAVAKRAATAVYIPGP VETVVVYELNGHSISEEDVRNGIANGTLTWGEDGTLSSSAAVTPTSAPPAKSQPVDIS NVAQDPEPVEQSEPELPASTSEPTEQPAEYNEAPDPSSYRPVGEDGSCSDCDKPFPNR KFKCSEFPAGYGAFHLGNEGLGGWSGIQAPAKRDVAGYHDIMTVPTGSCPDGGCCSPG RFCSYACPNPYLKMSFPKMQGAKKESVGGLFCNSDGMLEMADGSLSKTLCGRGSSRVR VMIQSKLSKPVSLCRTDYPGTESETLPLIVNPGETKELANPDQKSYYFWDNKPTSAQY YVNNQGVSEAQACTWGNGQGDTGNWAPVNIGTSFDDILSNMGYTGLMQNKPTNPGAKL NFVIRFTGDGVSNPCSYKNGQYYNSYGGGNPDGCTASVADGKTLTIVFSNN CC84DRAFT_1162510 MAAAESSSPPAAEASKPVESTETTAESTQAPTADQPAAEKPVEK PAADQVDGAGSPGNGNPLADTELKVEVKLADLQADPNNPLFSAKTFEELQLSDELLKG IHQMNFRKPSKIQEKALPLLLINPPQNMIAQSQSGTGKTAAFSLNILSRIDINNVAPQ ALVLAPSRELARQILGVISHMGQFIEGLKTMAAVPDPSRRGMKYEAHVLVGTPGTAMD MIRRRLLDPRSIKILVLDEADNMLDQGGLGEQCTRVKALIPGSFQTVLFSATFPDHVI NFAQRFAPNANVITLAHEELTIEGIKQLCIDCPSESGKYDVLLKFYGLMSQASSIIFV RKKQTAEELEARMTADGHKVAQLSGNLEGDARDRVIDAFRNGDAKVLITTNVLARGID VQSVTMVINFDVPTMANGRDPDPETYLHRIGRTGRFGRVGVALTLIHDRQTWEQLHAI ADYYKTEVLPLPLDDWDTVEETITKVIKNSRAGKSTMEMTN CC84DRAFT_1086395 MRGARNGIDYNAISPRLSARSTGADSGTRQEGTQGSIQRGHEQQ LAEREAADVERKEGTFWAGFWEKYGSVELENKGSVARDHLALERTFLAWLRTSLSFAS IGIAVTQLFRLNSSITDSPSSPNGESPAAHLRHVGKPLGATFVAISIVILGIGFHRYF EAQHYVIRGKFPASRGSVIAVSLIAGALILCSLIVILAVAPRSFEK CC84DRAFT_1257431 MPSPRSLRRQNPITFVLAGILCIGFFLFFFSSNDGTAVSSGSGS GRTSSSVLSPPSLPFRKSKANEPHSAPPVEHYFMNNVTQSRNPAENKEKVLILTPLAR FYQAYWDNLNKIAYPHDLISLGFIIPKTKEGNQAYADLQKQVTKTQSGPKAKRFASIT ILRQDTENPLMSQNEAERHKMENQKARRAAMAKARNSLLFTTLGPTTSWVLWLDADIV EFPPTLIQDLADYDAPIVAPNCFQRYYNNDKKAMDVRPYDYNNWIDSSTAKEMAAKMG KDDILLEGYAEMPTYRTLMAMMHDPSADPGTKIKLDGVGGAALLVKADVHRDGAMFPP FPFYHLIETEGFAKMAKRLNWDSYGLPNYLVYHYNE CC84DRAFT_1162515 MHPWVARQWHAWGCSRSVFGDLCRRQRFRGPVNLHFHTQSSAQP GAKKLFRNVNSAIIVPSSVTNTRPEDLIVHIKPVLRQRVFKDRFIVFFVTPSFATWLL DDQVFLHKALKRTYAHLLDRTETPYAQVHALCAVVDKLPISRPVGNVDNIEEEVRQRI QDPNVQEIGQEGIAYAALSPSDSLPTSSGVGTDKAAISFVTFERSDDDGGHFSDTVRL PLANTVFQTGSPTMMTYSTWEKAKGSHEFTLKEKRNISHHGIRMKVESDIQSTVLSVP LVPLSKPREVEASMGNILRRITGPHGASITASQELEQQVPRYFSTRGEPSQATTVWAL VMGPHRNVDSSEETSLSLQDQSEEKQWENLWKQDPPCWSPLVPVALKNGARLHRVLSG GGGWGKKAGLLSLDPIPTRGINFADELSDPEEILEGNFEENFGEEGPDLDSALQQVAR PGDWVQFFISPSVPAKDDFITGSHGGEQVWGLELGTIPSTTDAMPVALGETGTAGRKE ISVYKSTFGGLAEGGMVISRRFKLGQEDGFSTVGTSTVDVPFSRFSVLNWRTESSSED DVDVGGQDK CC84DRAFT_1162516 MVTGEQPQILAAHSSSNPHQNLEVATAIRKPPYSISRLKKTAKV PVPEQPLIRSYKLDTPPRDELVYCTDSLRRVDRKVARYVRTYRELMNQIRRQNGLRMD LAVRQPKPKMKPLPPTFPPSMRKMLSNSFKEVEDLTYQYHKAMAAIRRYRDDGPELIR KVATDIPRSFVSWLKSATNESAHWVGVYEKDFENVMATIRMNKIYRDGLENTTLVPTG ALRGVGDNSYETGILRFTNVRLTPKRLLAELSETTRQMQAIIDSLQDQIGLTLHRLVV ADLANKIFGVTTSLATSMNKTLTVRSFRADMALTANNSAVVVYGLHMRLRWQFTSMIM SRRGRKSHGQRQGRALRKLANITHRYAQLCSFDKNLFFGSDHMTGVIHKLLRAKEMEN RLSRLMNIREGKYVSISMYARKKKRVEKSAEKSTETKVRKMDAQYNAIKALENTVKGW LG CC84DRAFT_1239874 MVSSTFIVALVLGVTHAFPTPMGNSPDDNTDLIAQLITTPTQIK RYRKLLTDESGNKLLDGDRLTNATIWDFEQNGFVVPGGQGGLASAANLETFPYLINSG VTLTMGTLGPCGIFLPHVHPRANEFFVVTEGEVDFGTLLELGLYENLAPNPEIGGKLT KNKGTLFPKGSVHYQLNNGPDCKPATIYATLTSEDAGSTPILMDPLRANVTVGMRKRV DAGDFESVRAVTPLHIAEVVDKCLARCHVS CC84DRAFT_1194741 MSFEDAVRPSHPSRYNDEDTSPTTPRELKGWYSYAIAAEVFAVV GVGLFLPVLLEQLPRERGVLFSDRSKPCVGPPGSGDAGKFRARAGDADREDQCIVRFL GSDVTTTSFAMYTTSAAVLFQAITLICFSSFADYGPYRKKLLVTFAYTGATVCSLFVF ITSSVYFLAPFLVVIGVTCLGSSFSLLNAFLPLLVSNHPSHAKPGPSDDPPAYELEGL NRRDPSNSTYRFHPDKLSEDLDRSSKISSKGVGLGYASAVGFQLFSVGVIIAFDKLGL SKGNPTLPMRVILLLVGIWWALFTIPTLLWLRPRFGPPLPTQSQNGNPSARRNSSVLF YLAFSIRSFWDTLKRASRLRQTLIFLAAWFLLSDAVATISGTAILFARTELHMGTIPL VFLSLTSIGFSMVGAFSWPRVAARYSLSPKIVLIACIVGMEIIPLYGLLGYIPILKRL GVGGIQKPFEIYLVGIIHGLVMGGISSYARSVYAPLIPEGSEAAFFALYAVTDKGSSA VGPALVGWIVNRAGTIRPAFIFLAVLVMLPGPLLWWLDAEKGREDASRLAGEHGSGAA GGYARVGEDDRED CC84DRAFT_1257435 MDDFYLFANCNFVPDQYAAWQAAYDDLATYVYANEHTTKTYYFG IPIDYAHDFNATTSMLAFEVYGRREDLYTTHLSSPAMATFLKRIEPTTTTNLDLAHYR LIAGFLDNNGDAPPAGILQDTRLTCVSPSARCSVLASLTDLLNNVHEEEKHNGGKNGV LTYMAFECLDDDVGVRILGRWRDRKDMEIFVRRGDVDRFWQGQKPQISRMEQRCYVPN GKGWLHRG CC84DRAFT_1203936 MASYTTESKVKVDPGMDPQAPDFVPDAVRPESSVGGTSDRTVTQ ESYDRSRQTESVARVTSPHSPAPAPAAKVTFVKFTSASTTTTTTDTATTNMSDNSKTP RNKRLSNGPGASSADKYPEDSPTRLRHPPPRFPAAMQNQRRHRAQPQQQKELRVDDMN AWPSLGEVNTQTRNQRRRATRTARSASTSTSGSDTQELTVSQHPNAAALSPEQQDLVE SQVQAYRQSLLQKHTPIRTMSVPERKLFQLRPAPYSADQQGSAQHGSSQQYTQQQPEK QVRESRSEMASVGPPFASESLAGLLAAQQQQQLTTQEQQQASPFRTFVPGQNSAYNFD RLAASQQAQREATAAQLRSAFHEMQAHRAAPVSQCTTTIAQLHSTFIEPTYGQPILTG TGYQGHQSYGLQPGAYADYSSLYGHNAPTDTPAAVSRLSQPMTGSLNPSAQGFHPQPE ASISANALRGQIDPTFLPVFGTETGRSSQNDPPIAAPAPRGQTSRDYLPVLDTAARTS AHTEASAIHGRINPAYLPVFDTGVEASSTHTDAQAPATEPAWSAADYDVSPPPSLSQA LPDLLNPSASGALRPDNRSLSLSQQTGARYGIEIGGLGSSLLGTSDRTNWLPSPWNQL GQQRNTRVQPLGWEWLEQRGQWTREDRK CC84DRAFT_1162518 MGKGTDKLYITHSEWSSSDSFANSRGANPNAASSSGLSSTFRRL PYNYCAVSLQPFTDPVCTPSGTIFDLTHILTWLTKHPDTSPTDGSPLKRADLVTLHFT KNEDNEYVDPVTYKVFTDNTHIVALRHGQEANVFAWDTVERLNIKAKNWRDLVSDDEF TRKDIITLQDPQNIESRDFGKYKSVIDGETVVPGSESSVNTGALGNAAKILKAKEAVA KARAERQAKAQGKTTTGALAPTSKNGTAAQSSTTQKKPAYNAAVYTSGKAAASFTSTG VTPHTSAERALLSDEDYMLRPKRVKHKGYARMSTSLGDLNLELLPEFAPRAVWNFVQL AKKGYYRNTIFHRNIKGFMIQGGDPTGTGRGGQSVWGKPFEDEFDGPERHNVRGVLSM ANKGKNTNTSQFFITYRAVPHLDRKHTVFARVVGGLDTTLKAMELAPTGEKDRPEEDI EIMDVVVFVDPFEEWAKERKEKEQKDIDDEEIRKQGGTADDKTTWTGKRIRADGKVDE ASGEGAGIGKYLKAARQETKDEDEIVGFVQDEEPPPPKKKARTGGFGNFDAW CC84DRAFT_1239886 MKAVVIEQPGAPFILPTIAVPSPAPGEILIKVLGCGVCLFRLLR PARWIWHLPRIPEHEVIGSWSLGGKVGGGWHCGYDGTCAGCQGVNGVTRDGGRVFAFC HAEDCVLRCEAVIRIPEDADLVAYAPLMAVGPRATIGEYATCRHSCFPTIVSYSRDWR PGSSEHSVAPSTSDSKRGFAMQLGATNYVDTSKRDAANELQDMGGASLIVVTAPNAYI ISGLVGALGRLGKLLILARNSALSWSFLSRGLKLEIGGSVHVWPAGHALDSEEAIDFA KRQGVKCMEEKFLLEMVEKAIKALTSNAVRFRSVPVIE CC84DRAFT_1239892 MCLWSIKMPAEAEELILTQTSQGIQGGLRAQRTAVGCVFGDGQG GASKHEVQHARQWRASTGNQRIAGPTVHCSSADASTTGAVLNDHPEVPVVKYRNILLP SGIETTPNIPARRRTHSHHSGTSQTFGGGCCSASVSPSSMVARDTATALDRLSTLYTG HVIRTRVSPAAVPREASSGNPEYQACIGVVHEFACFDVSWIQTAEWLCRKAPSVATRT ARQH CC84DRAFT_1215086 MAMALVPTGVTTDIEAVSLSKFVPRIENLPQSCQVAYNTSIQGC TRADFPKERNEAINNCSNDCVQGLIQIVQLVNQQCSTVRVPADSIIGVALSGDLLPKL CGNIVVVTSGQQTYAQSTLATSTTSSTSTAASTTQQAQSSSTQATDSAQSTPSSTAAS SVASSPSRSIQPPSSTQQGITIDTATPPPAPSQTAIGQKSNPDSAGGSPFDVTFSTSS SSHLQLGGLEMTAMLLAASATTAAPTVVAIEQLASLKKELESAIKRADKEEAAHLQLQ NRLQRAEAAEAKAKAAESTWRLAH CC84DRAFT_1162520 MSIPSTQTVAWIEDPGPSGKLTIRSGASIQEPSQDEVLVKLECS GICHSDCRNIFGMGKYTEIPGHEGVGEVVKLGPGAKESLKGKRVGIKWLYSSCKECSA CKKGNINNCAKQSNTGRTTLGTLQQYVVAHADFISLIPDGVKSEVAAPLLCAGLSLAG AVSKLAPEVEPGEFVVIVGAGGGLGHIGVQIATIKGFKVIAIDSGSDKEQLAKEMGAV AFIDYAKENVVQAVKDLTDGEGAHGLICVAGSERAYQQAPELIRSNGVFVCVGLPPDD FMFPMSPIHIANRGLVIRGSSTGTSEQMDELLQLAKEGKITPKIEVYDFEESPNIIQE LDKYEVTGRKVVRAPA CC84DRAFT_1239898 MAPLSLPVTPTLRDAHSGIPERLLHKATHAKLLIYDGKTVSDTI PLQRGLPIPQGISKKAFLSAIDEIRDLLGAEHVQLNDKEIKNGWYMESPNTHDMMPLF DFEEFIASAVVYPGSVEDVKLIVKWANKHLVPLFPLSIGRNLGYGGSAPRVRGSVTID LGRRMNRILDINADDFTCLVEPGVTFYALHEELVKRGLDDKMWIDTPDLGGGSVIGNT LDRGVGYTPYGDHWACHSGLEVVLPGFGEDGDVRVIRTGMGALGVGGEKTWQCFPYGF GPYNDGIFSQSNLGIVTKMGMTLMPNPGGFESFMYTFPNESDLAPLIEIIRPLRISNI LENVAQLRHGLQTLSVKGHPRTKYFDGTGPMPEDIVRNHLRQTATGECAWVYYGMSYG PAHIRRYKLEIIDKEFHRIPGCKRVDPSTLPADEYFWSRDRIASGTPDLEELKWVNWM PNGSHIAFSPVSPIRGKDAENLFELARKRHSEAGIDLMPAFCVGLREMHLIVEIVFDA EDAGMKRRAEKCLRDMIDDAAKLGYGEYRTHLALMDQVAGTYNWNDNALMKFNNTLKD ALDPNGILAPGRCGIWPRRYRNRGWELKGGETNTEGDGVAPVSGNLKM CC84DRAFT_1162521 MDRHQRIVTQVASKVREYFIRKESFRINHGSTNSTRPSLKKRVV DISELRNVVKVDTASRTALVEPNVPMDRLVEATLPHGLVPPVVMEFPGITTGGGYAGT AGESSSFRHGFFDRTVNSVEMVLADGNVVKASEQENADLFRGAAGAVGTLGVATLIEL QLIQAKRFVKTTYHPTRSIAEAVRDIRKQTEVPDNDYVDGILFSKDHGAIITGEMTDE IPADHKPQTFSDPWDPWFYLHVEERTRNASSPVIEYIPLAEYMFRYDRGGFWVGRSAF NYMSFPFNKVTRWFLDDFLHTRMLYRALHASGESNSYVVQDMALPYPNAEEFINYTDD KFGIWPLWLCPLKQSPQPTMHPHSKGALKDDQMLNIGLWGFGPRDRKQFLEKNRDLEK RLGELGGMKWLYAHTYYSEADFWKQFDREWHEELRKKYNATTLPGVHDKVHVDIEKLE TLAKQDWGMRIRSIWPLGGFWGIWKSIQSGDYHISRKSTWRWR CC84DRAFT_1215090 MLFSKPVLALAGLLFSTALAAPQYPETVYLVNCGTSYSDMDYYA EGHSSFDDSWPDEQCKMSGSGTTWWEGVSRTCNFAGTPFTSHIDANAASKPAHSIVGW GKNKYHEYSCRKEQDYRHLLDSDHWREKGVNLRREKEAENGDERNVALLLETNYLRSL SRNKV CC84DRAFT_1141481 MSAKHFINDPTHLVNTALLALTHTNPSVTVDLANKIAYHAPPTP QVSIVSGGGSGHEPSFSSFVGAGLLSGAVAGTIFASPSAEQVRRCILHRVDKSKGVLV VVMNYTGDVLNFGMAVEKARSRGVEVDMVVVGDDVGVGRKRGGKVGRRGIAGTCLVQK IAGALAAKGASLKDVSRIAQLTADNIVSIGSSLSHVHVPGRKTDGGEDELKESEIEIG MGIHNEPGSERRTTDLPELVKTMLSYCLDKSDKDRNFLDISDEDEFVLLVNNLGGVSV LELGGITNEVVEQLADDWKIKPVRILSGTYMTSLNGLGFSISLLKVTDTGLGDEKSMV KLLDAPAEATGWAAAVTGDAWAKGADLAKARRGSDEEEVEPSNLRSAEPDVTNYDTIV GDGDCGIGLKRGAEAILQMLDRTKQTEDPLIFLQNVIQVVELAMDGTSGAIYAIFLNA LAHGLRQNSPSSPAPITPQIWAKALESSLQALGKYTPAKPGDRTLIDALYPFVDTLSK TGNVDSAAKAADQGAQGTKGMKASLGRTVYVGGEGWENVPDPGAHGLAELLLGLNEGL NK CC84DRAFT_1185421 MVDAKLQELISKPRAELTEYEVAQVEEHELTTGPLSILQTAVRT RTQVLISCRNNRKLLARVKAFDRHCNMVLENAKEMWTETPRLANGQLGRKVNKDRFIS KMFLRGDSVILVLLS CC84DRAFT_584238 MSTPSPGAEGAAAPHAAADIDMEGTTDIPQRLMRVKVLYTFDDQ NKSNCLARLPNALSIPTVSLDETTQIGVIELKTCIQAIVSASPELVARLGHDFTVYAY DFSEYETPLVGQGMLSWILASASTTPNAPAEESKTMVTGRVCKNILGLFSNGVKETLE VKLKLVPVPTCMQSEYVENMERYHSLSKIMPEGMNYKEWAEFLKANPAISQLAQPVQP NAQSMTDSMTTNAIESFNELIRNNSFQGHHRLSFGAHDTRASSPAMSTVSAYPYQPHP EYRPASQASFHSESAAHSPYWPSAPEHVPEQTEEGPPKKRARVTKAKRPKKTALGPNI ESLRVTASTAASVRLHRPVPLNASTAVSAEQVPRAPTPRPRHAGSLSIGSRVPAPSLL RNASMEETRPYVSPYDSGIFSDNAIESPDDGKGDSPSDTPPNMPSSPPMLPEHTASSA PSSPELPMLPPPHDSGFASDMTSVHNEEGRKEYDNSTPAAVPEVRTRKKRDSSKRAWS EIHPGPSNLLPTSELRPGRLGPGKKKNEPELLPIDPELLNHFLNHLGTSDGVSQSIEV PQANLDMPPGEIGPSGDSQIGPSPPADTTTTLSSIRQPDLRIGTPSLPKPLPKSARGL ARSQTWAGEPTSDPAGPDEARPNLPRSGSGATRQTKRQTERIEEKLEQAITKGQLPTF CNNCGQIETPAWRRAHTRVVKGTPRNIKLSSEGHAIVAYEVLNPADHEGAPHYRIFKN GLNPDGTEADLFTTLTLCNPCGLWLSKKNEMRPQEVWGKNRAQLCAAQTKKRRRIKKT KVDTDLFTSDAVVPESDLGMFDSQIEAESLPALDGTNDIQMQPVLQTRSERLDEPTAR AALERAFQSSPLGVRSGSKDTPISVDGDLTPRPTRRLLFPSPRGPGEVKSLADNTSAS SVSPRSNSLKTTKAPMHDQNVAVEDGDKENCPPTAGTEDDDLAHLFESPKITPSKCSS LEDLLKTPTPGSRQRVPLTPMRYVDLSLTTPSRSSRTPRGTGKNATIAPETPFTRQLN DLLSDSMISGSPSQNFDMSAFASFTPGRGGVLHFGDFLQNDSLSSDLPVPSSSPPKSF DFSVFEDPNTSTVGLWSGASIFDVNDVAMSDAPADEQQSDAQNGNATPKILTINGISL DFTSMIEEVVGNVNPEEQTSRATVQEQAASVEPQPETVAKPAQTAIPAPIEPLESRID LVPAAAPAAIPVPAKPLETRPDLVPPPFTRAPFTATGEYDARPKRPCRRCKEKKKGCD RGHPCGRCVGAGLEEECTPVEDEQPKTPAFSVNAPWQPRQQPMQPARQPSIPVDPALE AVRVPVGPKAHEVVKEVTGRNPSVVEDMTAQPVRQSSIPVDPALEAVTGPVGPKPNEA IGESAERTPSGDEAMTAAAQSPPITNDDMVEVSGSDVKQEAITPKAMDANMENARAAQ QTPAAASAPTPDDLNTV CC84DRAFT_1185422 MATNAPAQGHAPPEPQKQAGPPHPLENMQAMMNLVLITSGRYMK TAKHAPAESPKMQFQLKRAVFAGSERFHDSLDELENEIRHAQAVLRRDLAQMRAQRKE KEAAAKQKEAEQARLATESKSIPPPPSEEVAPPASPTPVKAEPAEPIKVEPEPDKEPT PKAPTPPADPDVSMENTDSLGGMQESEFDFDAVFGDSAMDTSGDAGNEHGDLNLDAGA DLDFTLDEQPSDGLLRGLEDFAKGGTDDIVAQNNASALDLDDFNMTDMPDLNESAPPQ EPITASATTETTKQDTAGQETTTNSELNLDTMTVDNLDDLFNLDDYENPEATQFDDAF IGFDD CC84DRAFT_584086 MADAQAAQSQMQPPSASAGAPGYDGGAPGQGQPQQHMPPPPLAP VIIPQNTNPIPTAISSPMSGNPMSPTSGGAGYVPRRAAPEPNKRALYVGGLDPRVTED VLRQIFETTGHVQSVKIIPDKNVSAVETNIGVQFSQKGFNYGFVEFDDPAAAERAMQT LNGRRVHNNEIRVNWAYQSNNTAKEDTSNHFHIFVGDLSNEVNDEVLLQAFSTFGPVS EARVMWDMKTGRSRGYGFVAFRDRADAERALSSMDGEWLGSRAIRCNWANQKGQPSIS QQQAMASMGMTPTTPFGHHHFPTHGVQSYDMVVQQTPQWQTTCYVGNLTPYTSQSDLV PLFQNFGYVTETRFQSDRGFAFIKMDTHENAAMAICQLNGYNVNGRPLKCSWGKDRPP TGQFDGYSPQAGPNSAYPQTPSQYFPQYGAGPGGPMSPQGPSPGGQPFAQNQAGFGGP GMAQPYQQMPGSAGGYNAGRGGQPPQQQWGQQPQGNFNQNGFGGYQG CC84DRAFT_1203947 MWIIYLALAAVVLRLLYGIYSILTDPLRDIPGPFPARFTKLWYL SNIYTGSHERHAIALHRKHARPGEHFAPIVRLAPDMYSIKSPDKAVYGIGSKMPKNAW YEGWKHPSPDRWTVFTDRNIKRHAESRRVYAGLYAMSALLSYEAYVDDCADVFARRLK EMAGGQAVDMGHWLQCYAFDVIGAITYGKRFGFLDEGRDLGDTMGALDRSMLYSTLVG VYAWLHPYLYPILERIPSSGAAGRAYLMRFASAALAQRRGERAQGKRPEKAQGEPEDF VDKMLDMQEVKEVVTDYHVFALTMSNIVAGSDTTALSLSSVLYHLIRTPGVLGKLREE IEEHVREGKCSPERVTFKASQEMPYLQACIKEGLRLHPGTGLPLWRVVNEGGATISGR YFPEGAEVGINTWVAHYDADIWGSNVEVFRPERWLEADAEQLKVMEAHYIPFGLGSRT CIGRHIGHLEMSKLLPLIVDAFDFELETPGGRWKTMNLWFVKPVDFSVRIKARWRGKV CC84DRAFT_1174068 MATAAMVKAGVLKCIVMMICDPCGLQVLGISDFEKPNRWRKEAD REMAIYAGQLEPLVSVNFVLMIAALPELNLGYNFVVTARRNAPITIKELDHQPRGSSV QILVLRHITLATVHLGGKSHSSGDLQFPRSRLAWHDLSRSPSMANFLGNSCHHGCMAP WSIKLSIKLSRGRLSSLPSSRRSSSKLSENTPELVLFGLLIAELRRISICPLYRHNAC TMHGNIESQNISSLRSVSKADDYRVTSEMVNLVKGRRYKLLLTISNDRTVKQRVHGAC DLDRDSRRRKDSGEKLELSDERVLL CC84DRAFT_1085621 MQGHHFDGTPLDAPTGLFSGWEHTIYREKKTTLNSLPESQVVQL EEVPLPVEKKGTEQANSAEAWFSARVPGFTPPSFQCFAISRAYRIKAKIGMHIGEKKF EHEVESYVRSLGSAI CC84DRAFT_1085852 MGLFTSNRKSSPSLPIFALHLSNSQDKVFKPNDTIQGHVTLSTP TPLLPQAIEVSLWGHSSAWLRTSSGTGSETTYRHYRDNVPLFDVTFNIFTQPQQLEPG QSYSFPFQFRVPEGTGSHRIGGYKDDMDARWTVQPHHLPPTF CC84DRAFT_1257449 MDDNEKRFSEVKSPIAVPCVDPMEQVEKPEAYCRSLINRNDKLD ADLTAANAEIARLGKQSSISLSPPRTIPHIKIKPLKAKPEEKELQVAIKSESLDDSDL KDALDDLGTVLDRAEANLEVGLDKTIAEAKQHAKDMGYSDDSDDEMEAGIAI CC84DRAFT_1203950 MEVQPSSTRPNGGAVASRAFSRYSAVFSFIRPRFKKTMTDVQIS VSKYTKPPCRYPRRAPEPSPLSPDTGTATVPDNTPIMREVEVCGDSCHDVSRFCLFAR SALSSDIVSTLLCVRYQTLLLAHFKIISQHEGLPVDVLFSACFHARLRGHEEIAAYTL SDVFVTVVVLGQSVLECTSQA CC84DRAFT_584319 MVSAVRWLGWADRSCRIRTGVFAGMLGCSSTRSTSNHETQYLLS SESLSVALLLERTVNELRQVPTASLYTSCASHLLVYTPPRYSTPALCHPPAFVQTGHR CAAIVCQRESPCDTQA CC84DRAFT_1194752 MVSRRNKTLASLSLCLAVWAWSASAAAVKGETAAVDVGSLTSPQ IEEQLQNCSLVQALNAHKVASSPSGSSLTSKIFAFLFPGSPAVNALLATLYISGPPNF LLALCPPNIDPSSLSVMVAFAVGGLLGDTLFHLLPEIFLGEDPHDTVKFVMVEPNKNL LLGVAIMAGFITFVAMDKGLRIATGGEGGHDHSHGHAHDKIEPSAIATGAELKDSNDG VRARKPAADLAAPADTAAEQKKEINPSVKLGGYLNLIADFTHNITDGLAMSSSFYASP TIGATTTVAVFFHEIPHEVGDFALLIQSGFSKRAAMGAQFVTALGALLGTCIGIAVQE LGGNSSSSSEGPGLFGTSLRWGDMLLPFTAGTFLYVGTVAVIPELLETGPNKGQELRK TLTQFAAMFTGAGIMLAISWS CC84DRAFT_1115269 MGRELQKKKNKSSIPKLRHKQPSKKKILQNPIIAANWNQKETLS QNYARLGLTARLNHATGGVEKTIKTLGLNDGKSSRADAAAGSTADNLNIVSSKPQIEE VEEIEVERDPETGAILRIVGETKAKENPLNDPLAEVLGDDDEMEWNGFAMVPTERSDN PVIRQLEDAARNGARKAPRSQSQREVEWLERLVAKYGDDYGRMSRDVKLNPMQQTASD IKKRVTKMNENKGKDRKVWT CC84DRAFT_1162532 MTTTKLTEGKVAFYIPDAGKRCETWYQIVGDLSRGVTPLVTLHG GPGATHVYLLPFIDLHIKYGIPVIFYDQVGSGNSTHLREKNGDEAFWTVDLFIKELDN LVDHLNLREHGYDILGQSWGGMLGGVYAARNPVGLRKVVLADSPASVPLMMKGVQQLI EHLPKHVQRDLEDCTRDGDFESDKYKDACLVFYKKHLCRVWPFPQDVEKALAKLEDDS TVYRTMLGPSEILCIGSLKDWEGYSTAHLIDSEVLLINGRYDEVQDLAVAPWFHAIKK VKWLHLENSSHMGHFEERKRYMQQVGAFLGSDKATLDI CC84DRAFT_1194756 MKMGSATELYSHVYSHTRSSYFASLFVVLLAIFFFAYSIQQRKN NFPTVNSYPRDWALKRAHGAFIADAKGLIQEGFRKFNGPFRIITTLGSRIILPVEYAE WVKKSPALDHQAFVSEEFFARYPGFEGTIAVSDPHAVLIGVVKNKLAQSSQVQKFHTH ASAGVAEFWGEEEAWHDARWADTGVRLIGLMSASVFVGDELAQNATWQRITTSYAMTM FMATRALRSCPSWTRPFVHWFLPACRNCRAEVKCARQLLQQELDKRAIEKREAASCQD QASVKPEDSITWVEEATAGRSYDAVGMQLGMSMAAIVTTSELLKQALTNICAHPELVA PLRDEIEASVRAHGWTTAGLFNVQLLDSVIKETQRLNPLAEVNLERKAVRDVTLPSGQ IVPRGASISVSTSQLLNPDVYERPELFDGYRYVKLRQKGGKWSTASSAVSTSVDHFVF GMGKFICPGRFFAIAEVKTALAVILLAYDVRLKPGYVPKTIRYGFEVMTDPGVCVEVR RRSL CC84DRAFT_1239918 SFRFPYAGYRRDAARTPAFIQTLSPLANLSNYIFAEIFFSVGVP NSSLFPASRVQSISHGAYSTHNPPTMTAREVYRYVDKRGDSIIFKFDPALINDPTDGP LSIYHVWRHQTKTSTQWSSMLLDRISATERWKVDKKPMAGLLLWQGEVNGKQMVVRFV GFEKSEKGWMVEFPGRFS CC84DRAFT_1162534 MASPHSLEAGREPNERSPLLSVDPLPEQQDASPDDSSDTASLKP QPEEPPSRYRLLWWALGIVLVEALLALFIQGWIESDDVDFDLGAALKRALGGGLSGAA AMVLQVLLLMPIRTIMNYQYRHGSSLSVATRTLYQDGGIRRYYQGIGAALFQGPIARF GDTAANAGILALLSSNGYLAQLPSPIKTIFASACAAAFRMTLTPIDTLKTTLQAQGAG GTALLRQRVKTDGIGSLWWGAFATAAATFVGHYPWFATYNWLSEVLNEPDRSHQLFLW LLRAAFIGFCASVVSDSISNSLRVIKTYRQVNDTKVSYSEAARRVIRQDGRLGLFGRG LKTRILANGLQGVMFSILWKLFLKIWENNTSSKKNV CC84DRAFT_1174077 MRPKRCNASSSLCTNNLLDVYITKSVLPQEHSTNNHEPEMANLI PSSFLSDVAFATHNKYVGISLAGKGFTAEVWYAIPRSAFGSINHPADVGLLRSHICAV KLYKLYSPQIYLKNELPAMKRLQTIPDELRARFTEPLDFSEAESDNERCWVAMKAIPG FTLTQLRGAALLSKKVVPQEFVFHMYIQLHKALKFLHTSDPPMTKGDLVAVNVMIDPS TQDVPGFPNIKLIDFGGAQVGKIGVPLSKHAMDSEWNWMYRMMYDLALLNHTCEYTNE SVFTTGGGNERNCTHEIDFSDFIDTLGYALAAGRRLDESNLEQEMNLQERLPRVLKIR RDFACPEALQAIERLLEGTGKSINNKFPTDQQILDVLSERTSTSSSRL CC84DRAFT_1239923 MKDLLTLRTSISNHPHFRRICNVFIYQIYTIWLCTLSDLKTITI PASIYGLIGAFSGAPLLSDSLPPRFAISRVPHDALKKPWRPMPSRRTSVMAANTLMMA MYGSNMFMSFILGTHRHALSMLLLGICYNRLGEADFSCVEKNFINAVGYISFITGALV SGRQS CC84DRAFT_1162536 MPPYLSPLHIGKPSLPDRCEPASDLLYSLSVTLGSCIPTNLALI STVLGTCSIVSWLFAQLPQIYKNYRLKSTSGLSIFFLTEWLLGDVSNLLGSLFTHQAT WQVIIASYYVFVDCALCGQWIWYGLLKHGRPLRPIWNRPKSSDYDNGPSGMDQVRDAP RQAKGAGDKTVVPVAKDGLDSDRKDQLPTSPGRSIPGRSNPMDAFRIPNYARSPSSFK ESYIGTPPTGTPSNRDVRRVAASSSPMLAPSPKTILYISLILAVLSNTATAKNVSPFA PAAHPTQHLSLHTRASEHASASEIAGKILSWMSTFLYLGSRLPQLYQNHVRKSTAGLS PTLFAAAFFGNLFYSSSLLTNPCAWFNYAPGEGSGWVDTQGSVRVDWVLRATPFFLGA AGVLVMDAAVGVQFWYYGDNEPRGRGKLRDDEIILDINDHGLLKQRRFRWRRVSGWMR GWVPAVSVAGTPSASRAGTPAETSRNESPRSSSSSSQSIPIRGERGALDEARALLGTE RHASPRSFGGSYGAME CC84DRAFT_1185442 MVSRDVEPFPPLQTEQSKKESRSSKSGSVRRRKSSGLGAELPGD TSVPAFATLGSPPATPTEPTREKSGRRSKRRSVRRALSKYKRTCMRHTWVGPLTLALA IVSLYLVNPSPKNPIAAALFLSYPLPREPGADPTAPIHYGKGARDFAFVAFYTIVFSF TREFLMQRFIRPLAIRFGIKSRAKQARFMEQFYTAMYFAVYGPFGLYVMSRTPVWYFN TTAMYEGFPHKTHEAIFKAYYLLQASYWAQQMIVLLLGLEKPRKDFKEFVAHHIITLT LIWCSYRFHFTYMGLAIYITMDISDFFLATSKVLNYLDAPITGPYFFVFMCVWGYLRH YVNLRVLYSILTEFKTVGPYELNWETQQYKCWISQIITFALLASLQAVNLFWWFLICR IAYRFIRYSTADDDRSEYEESDTEEAGERKGAKKTPNGKANGNAANGTPKVFLNGEEL ATSDKPTSASDAGSIESRVRERKKVQS CC84DRAFT_1115288 MIKRAPIGARLALTLLCLASPAFAARDNTRPSYRTCHEVSPECP VAATTYGYYPELAPNAFFLALFATLFVASLAIGVWSKTWTYTLALGGGTLLEALGYLG RVMQHSNPWKKAAFEMQICCLVLAPSFVAAAIYLTLKHFVLYCGPKHSLLKARLYPWV FVGCDFASIVLQAIGGGVAAGGGSSDSLTIVNVGNNLIVTGIAFQVATMTACGLLVVV YLWRYRQARAERHASNEKSDFELSRANGPVSRKLYIFGAMLVLAYVTVLIRCIYRIPE MAGGWGNPLMRKEKEFLLLDGMMVAIACLALTIFHPAFFFAPFTAFRHGQHKSSQHDA TPQMSE CC84DRAFT_1203957 MPSKVHHSKSRHSCSRCKLRRVKCDLQAPCTNCRRRQEDCSLTS ARTSRLISAEQQASFSPSPVAHDGSRQLRLPDDFAFLSLLVPILAEQSPPRPLTWTED LFLMSHFTSATSYTLSHREADQHMWRVVIPEMAIPQPFLMHGLLAVSAMHLSYLRQNE RTKYEMQSSYHQALATSQLRSVLTNITSENCSAAFALCALLTLISMIYIARRTDAERE RSGTGFIDDIVHHFMLTRGIGGVLADHWVTILSGPLRILSTDKLEDPDTYTLSPQIDQ QFLALRERLIPSLGSTDPAALQTCLDALAGLELVYKHCYFLHPHLPRAKLEIGVALRW MSLVPAEYMALLKQRNTMALILLAHFIVLFANFGDEWFLQGWCEQAMKSIETIVSEQG YAGLKWPLEQLKEKHAHTSA CC84DRAFT_1141520 MRSYHILSIVSLLSGPTARATSVFDLFDFEKNHISDEALDSFVS TAGSCLTCARRAPRCKTYPGDPDWPSDLTWKTFNTSLSGALIATIPEAAPCYGSNVSA ISPECQFLTSSWDNSSFRVEDPTSIRATLYQGQTCLPPSFAPSFLPTSNGSCTLGGYP SYTVNVTSVAQIQLAVRFAKAHNIRFVIKNTGHDFLGKSTGMGALSVWTHRLKEKTFN FERYDGEEIGPALRLGAGVHVFEAYELAQRHNVTVIGGEGKTVGIVGGYTQGGGHSPL SSLYGLSADQVLSISLVTASGRFLTANRTSHADLFWALRGGGGGTFAVVTSMVLKAHP QIKVTTMRYSIKTSPTFSQENFWKAMAAYLDRFEEFADKGYYSYFRITHSSDGEIGHE MASWVAPNTSISEFRMSIAPLLSEWQALGMPIETFTITEYDDFASAWAAGFPQEPWTW NGRQASRFFPRSVVANATSRAASLAAIRAVFDAGAFFIMFNMRNPPGAAAIDNAVLPA WRDVLLFAIMVVTWKETTPAPQVEALSRNLTEVWNPMWRALTPGSGTYLSEADYLEPG WQESFHGDKYGRLLRVKEKWDREGLFWSHRAVGSEAWEESEVLLGHLPSQNSRLCKRW EPTSIS CC84DRAFT_584537 MAGSEREQALAHEPASPSDARERPWLLPYNRKLRHLQGITVRNL TLVPAPSRPRGKTIDDDAIPSTLKSPTKTLALRESRGLTHSRSSSHLKGHASNKEAAP PSPPEKPKRPGTTGGLRRRSTLEWMGANPLTRQKKLEDITDSRMADTFFTIHVDGQED PVYISEVAERAMNPNFRFFDLSSSGPSVTRLDKLTVNVWAKHGPDDWHHLMQFTAHLG SLQFIGKTLGNYGHPLPQNCILFHMTDGIYTSFADMPLADQLRANNLAPPKETSEGIF QSSSYDAIMRLNTLDDCIQDALATRDRLAEDIEIILHENRTAIDTVEQVPEAEERVKM VEAAVIAEKRRVEAARRRVEEKKANTRRRRELMHSGRASQSEKSEEMRKERQWHAELN GSNAHVRDEIAGQRRRLCEDLQIVYPIEPVKGKALLFTIRGLPLPNSQFEDAKEDVTS AALGYVAEMVSLLSLYFDMLLPYPIKVSGSTSTIDDPLATSTANHPGPRTYPLFTKAV VRYRFEYGVFLLNKDIEILSNNIGIKPLDIRQTLPNLKYLLFVATAGKGELPLRKAGG IKGLLRQQGILSRTASMDSTGTASSAGTAVAEPKPRIEIRANGRDQVKVSGSDENHVN SVGGLHKKGNDVLPGSPLKEVG CC84DRAFT_1257459 MPRNLARLRFRAPKPLRPLNIARIQPEDHTTLHQRLRAHAADLS KPQTPLSLHVVVNPKWKQQPGKKPFRMSYKPHTVRDLVDPEAAAKHGQIIYVFANIKT NQVIYSLNELLDQYHLDQLPFIGKHSKPATIRPDEWKPHCVITFPVAEQGLQAFRKLR EFRHLHETSWDKTNPEWIRLTPHQRMRKIMDQVANTSADLAEVLSSQQQQSVEMRKKA VEHEKKSLRLMKTMWAEAEALAKDDRDASNPKWLEQQIRNIDWQFGTKRNRDEADTKR LQAAKEGHEVRLRRVLRARKYMAKLKEVEDTAEFRKMQEEYASKAAPATGVDVEAKLK TLRRELALERDLTKVLHRSQEDAAETMGAKEAEITQLEDALIATLQADARDHPITRSI LPTSFKKQHPQPFTMDVEIKWADLRDAECALGKWPAPVVHDTLVLRSSRQDVEFLNPE QYKVTVTDDVQAMLKSLEKQALEAQGLYEEPVAASETDKTGVWKYVPEVKNPFKRAEA CC84DRAFT_1174084 MALKASTCYYSSGAYSPSARKLREFFVPGWRECKELYSARAKLL FDLSQENNKIVLVQSALLMSYWYSNSADVKQSWYWTGISFSIAQSFGLHALAKARPRS QEETLWQNIRLCSRKKEFEESLSGLDYSGATSIIRTNCLDKAHNAAKRARARFEWHNR GPLCLDRRSLGDSVVGRTFHHTTTSASYRHVSDQPKEQGPVRLDSVRCLQIRFDGKYP AASMLKGVMIVTKETILNKVGEMRK CC84DRAFT_1203961 MEKSILLLHVLNVVVGCLCITILGLTTHSIVVKDRINELIPVRA DSIGIRMLMWAGSGGVVDMFLLLCLSAKAFRRHQLVTISTLYWNIVLFVATFIVLRPL IILGYTYSESRNSFTPERWACELGTSSDARSVCHELRAARHRQGHRPGQAMRRAISIS KRSWRTIAESSDEPFPGNRNFTILHQGLVVPPKRDSRANVNGGSRGCRSRSTRGRGGQ VAAHVRVQILAAAPSRFFIFFRHPGHDDSNNVLFKLHASDTICSRDGEPAQPRRPGLY AQLALDACAIIADDRTDGWLSSDRDPELARNNRVAAGSPLHARSYYSTSKTAPDPTVH IPLSLRSANGASSRSPACPLGAAFIFIEHNVKRDFHAFQAYRRFDKELQVAHIVPQVE LDWWQANDMARYNNGMGYSLDETANVMLLRADLHESKVSAELLFVRFAWTLFPLLGAF LSCKTAA CC84DRAFT_1162547 MTETWKERQLKWLAIGHEVRRREVDSSMEFEEFPEPGSSQVALL QIFTDTLDENITPATAAKQISYWVLSVPDNDICYDINTAYANMMGVLFSATSQFSSQK DLEILADLTVELANQPDAYNNKERPLEFESSHVVILPGERIVVPCISGGGLWSGLPDF AFRVGDDLERGPPNFLPLSIPGRKDQHQMDRQAEEKYTNINTFAALIAKQHPPEGSPL CSCLHCAFIVFAFLEHSPGTERGKWSHLAVRAAATWLVIAGKELVNPGPPSGVAGYIS GSLWEAEGGTNTVDVKRLRFWKERFQHFRESGRLISQEAVDSTHDAAAALGSLIVAQG CC84DRAFT_1086459 PKPTCVRDIRVFISFINYYWRFITNFSRLALPLTVLTKKAPNSA RQGPAIRREESVPLNIG CC84DRAFT_1239972 MHPTDVNATGQGYTLALALHRLHIPSIIYEARSRYYNPGGGLML SPNALRALDSLGAYKRLLDKALHFDKLYFKNAKNETTDIYYFGSRTIYGYPAMRMMRK ELLDKLLVMLRERNIPVFFDSAMATVDYDRPDLDTKAHPTYAGILGISCVVQRSQLRI PDNYGLPAIAMGKPGGFLLIPQEPKNQMALRSISGRSDCSQNKHKLYNTIHKNQTDWP DIVQSALEAIPPDIMGFWAFYGLAPLSSWLSESKRVIYIGDVAHAIRPTVSQGDNQAI EDACSLASLLSKPSPAVHLEEAAALWQSYRQERIRKILDLTQQMNAKRLPEAEKAKLP PNAIWTDSSLMRGEGGELRWLYDPDMSVEAEKWAQELTVKGSA CC84DRAFT_1203964 MSGTVSPTLGSVTPVAHHNPLMVPPPGGWIDPSRATMHYRAVIL VAPITAFYILDLLVLLLRAWSRYIKKSSWRLSDYFIFIASIFGTGYIAICWLVAERAG IGYPIIQVAPHERLLIRKAFFAAWLLQSWANSFIRLSILDFLLQVFAPEEKFCLAINF FQAATSAYLIACTIAWLATCRPFRYNWELGPDVPRHCGNLQLKFFLSAIFNLVLDVGI LILPMPMLWTLQINTRKKITISLIFGLGSFVCFATAWRTYHVVKFSKPHNQINFTMGV VEDALWSGLEITLGIINACLPAMQPAVQHLVRGPYQQLLEFSTSRFSKRSKSSTGYSS TSGHSRFTPWARISGSENGLKTGIEREVGYSVDSESNSSDRIPMENVGSTTQLATQVS VTYHDPLTNKYRRSNNP CC84DRAFT_1162550 MVFRVYLLAVKAPGISLEEFKEQWDVHHLNLLKEIAGDAYPQTH CHHYPKQPKARRTPSTMVSDIRSSKTRRHS CC84DRAFT_1239987 MSRRASDTPNPVRSLRRLSIQTPSYISTPAVSIADVVVSHVARL VGFPVQSIDTQASFIGLGGHSLLAVKLAALCKKDGVKLTIANILLSSSIIEICSSASF LAPVAASPTARRRSSVHLASTPPDSPSGSPHMTEMQLSFLQSYIKNPGSNIINFYETY KICDIPAMKAAWKAVIESEPIFRMSFGAETDQSQGASLSPFKWNDIVCDSHEHYQAEL VKDKAPSHIECSFDCITNPISSTSTIVWRVHHAYIDGMSAQLVYEKARMCLMGHRPTS GADFNSVSKGVQSLQHASRESNQAFWRHQIEKHPQPVGELALKEPKVPASAAAIENVS FVIPINRISAAARNAGVSLAGWYQAAWAMALSLYSDSSSVVFGTVLSGRNLPLAGADD TIGPLINTLPFHVTIDPTQTVTDFLQLVFAHSVALQNVQFSSPEDGYTRSFSSALAME FDMEASDEHHVKPVGTSWFRVIPDMPLSVYLSAKGTLRLCFKPSKYSKQDMELLADHY RRAILLLSKKKTTCMGDLMSRLLTKGSKETLMRFGNCNTEDTSLSSIKDDLVTLFEKA ARENPDAIAVEKGDLSLTYLQLAERSGMVASALKKHIAPGEVVCVHADRSINWIVAMY GVLRAGGVYSAQDSALRAHIRSMNFETAGANIFLVPGEADKTVKPEVCQLCLSVDELL ETEVEPVAHRPAPRQDDNAYLCFTSGSTGKPKGVMCHHAGLVAFQRDLEVRLFAAPGQ KIAQVMSPAFDGSIHEIFSALSYGATLVLNYGADPFAALRRSNAATLTPSVAKVLDPE DFPELKTVYVVGEPVPQYVNDIWSAATNMYNMYGPTEATCGATIQRLRPGLHVTIGPP NPTTRVYILDRNQQLAPPGVIGEIYCAGVQVARGYMGRPDLTAEKFLPDTIYPGRTGE MMYRTGDRGFFNHAGEVECLGRNDRQIKLRGYRTDLNDIEMRVAQAIKESTAVAICQK EDYLVAMMQPEMLNIADVRTRISRVLPTHAIPRMITAVSKFPMTPAGKLDYKEIAARS GVAVSSSTNSNPLLPTEKLVAQVWRELLALAPTEEFTKTSNFAELGGHSVLQIRLTGR LCSVFKIEIPMAKVIQCANLAEMAAKVDQFRSRSNIADPSPKSERLGAFRVSPIEAEW FEKYEIKQGCSAFNVTFATKLNPELVDIDHLTTSWNAVLARHQIFRSNYHRDESLPER VRRSYARYAPQVEQVDHFYLSEEVNRPFNLQRECPIRIFISHDTMLVCMSHIIADLTT LQVVLKEVVQFYDGCGLPKLQRKYADVVTWNRPATASQLQWWQEYLQGCNTVPHNLSH LPKRTSYDGLTRYTHLPKSLAQQVFQYSTTTKTTLHQMALASVSLALQRESNSTDIVL GAPYFNRQAEDLETVGLFLEPLPIRITHSEDSASTFIQDVQKCSQSAIANAIPWIQLL ACVGETQHNFPNHALLDIMVTFHDDRGSPKLSMEGLKPLIACANGSKFLLLVEFTAIA DDCVVLRLEYDNTQISKTEIARVEATMLKALESISAETGYNELKKELREFNDKVDDLS DGEFFDLEIEALRL CC84DRAFT_1240000 MSSPRIGIIGAGPAGLTLARLLQINGIPCFIFDLEQDRYARDQG GCLDLHEGAAQRALRECGLYDQFLAVARTEGEVLKIYEPNGTILLDEGSGYGERRPDS FNGRPEVDRQQLKDMLIDSLAPGTLKYGHKLFAVKTSVGGTSQKYDLHFEHSVEIGFD LVVGADGAWSKVRHLLTDQRPVYSGINGVDAKLCSIDNVDLALSRRVGQGMCLTLGSN TTVLSQRNGDGCVRSYGFMRLPEDWQTTCGIDWSSHETAKKEFIEKYYDGFNDDAKNL ILLADEMAPRPMYMLPLGHRWAHRQGLTLIGDAAHLMTPFAGVGVNVAMEDALSLGLK LKQWKREVWDQSPEQGVSTIIQPTSAYEQEMFARAEAYGKETWMYLNLFFHEKGGRPM LEHFAKAKEQEREQAQKQVEEQTKKLVKTEALTQEEEPCLTQVTLVESKEEAIAQ CC84DRAFT_1174094 MATFTLALATLGMRMYCNFKRLRKPGCDDGTIIIATICSLIQLI LNTLFLHHGLGRHVVYLTPHQIIQSYKFSQLVIFPFIFCTVITKISIAFMVLRLTQEQ WMRYCMFALIASLVIVNGGCVVILFTYCRPYYASWDITVTGERCWSGRVLAVASSVQG VWSIATDLICTSTPLMLIWKVQMSISQKIATTILIGFGLVTTGCSVGRCVYYATTKFS QDQTCKRFF CC84DRAFT_1240007 MLQDLNIASRMARRKILGLDHEETLSSTAMIGAVFLAGARWTEA EALFVQVIQTRKRVIGEEHPDTLISMANLILTFWNKGRWKEAEELELQGMETRKRVLE EEHPHTLTSMASLALTYRTQGRWDDAEALFVQVMKIHKMKLEADHPDTLTSMANLAST Y CC84DRAFT_1087216 MSIQQRSTADIPTCGPEPQACICPAGSFFQASSSYAIYPVAAKE ITRVTGQFLNTAWFGTSPDHVTGSSTTPGAKRFLYAGLPGGSSEYLITEQLTKFEPRT TRGRTNVGYYMKFQMADAPLTYNKTDGTQGLLAGSWDIVDVREINGQTLMLWSIYVCF GDAYDIQGFHESAMKNVTQILKQERKMRGDMIGPISF CC84DRAFT_1215131 MSRASETFYAAAEYNGWGDPRQGQGFRRLDAVDIVDENSASLRR PSYVEMQEQPQDSNEDEKRKAKRYNIKFYLILFSLCITSLLVALEGTVTSTALPTIVR ELGGGASYVWASSGYFLASTVLQPLYGQMANIFGRRVLILFAVCSFVLGSGISGGAHS MGILIFGRVIQGIGGGGINMLVNLIVCDLVPLIDRGKFMALVFSAISVGTGLGPFLGG IIVERTAWRWVFYLNIPIGGISIVLLFFFLRVKTPKTNSTWQEKLAQIDIGGNILFIT GVSFILVALTYAGTLWPWKSYNTIVTLLFGFSGLAVFLFYEESSYCIHPTMPLRLFRN RTSAGAFAITFIHSLLTLLVIYFLPVYLQAVLLSTPTRSGVQMLPTVIVLVPFSAISG AVLSKIGRYKPLHIAGFGIITVGLGFFITLNHKSPPVAWIMVQMVVAVGSGFSLSTLL PAVQAELSDADTAAATATWAFVRQFGVVWGISVPAAIFNSQITHLLPRISDATVRAEL SGGAAYDHGIRAYINAFEQPLKAQIIGVYSDSLKIVWIFATAIAGLGFLLVFLERETK LRSEQSGDFGLDDD CC84DRAFT_1257464 MIISTLLALQCAGSISIFLISIFRKQVANFIQRVISTVLNAYLA RRYAIKNVDDRSNLPSCTYEWPNGQGDKAKFLEGHRNSELWEAKFGAIYRLCVVTKSE HVRAVFKDSDKHMKAVNNNSGHMLGELLGQCVGLISQNQWKRVRSIMDKPFHRSMATT YIPTIKTRTDSFFQELWNDRGLARGLLDPAEDLKLLPFLVVAEVIYGRLTPDVEAELR ALAPQRESLMRTVIKGGLTRFYWAQYLPTQANRDLAAFQKRWLAFNELAHSRAVEGKL NAPIIDFFAARDAGKITTKELLHTLDEMLYANLDVTIGAVSWNVVFIAAYPGIQTRLR QEVAEKRRKADEMGVEFEPYLHDGSTLLAACVNESARLRPLAAFSVPQGIPTSRVIGG FKFPAGTNFVVDSYALNIRNPFWGEDRAKYIPDRFLAQERTNARYHYWRFGFGPRVCL GQFVADVLIRTMLLHLVDSYDLEMTKSEAMEDWQRNPQVWINHPNMRLVCTKRNK CC84DRAFT_1257465 MESTKTQNTSAPQPTQQQPQFQQQTVSQPNGGLNGYATPTPQAF EELRERVARLEELVSPRSVSSASRNAATNPSPRDATHVSNVAYPSQIGSVVVKGSSSR YHGQNDRVTLLNQFTEAKESINEISRDPQITGLAKQVQFLQGKSKSKIASPDTENTDF SLALLKLREFLPSKSTCDRLLNVYWRHFEQTMRILHIPTFMQRYSQIWMNTDPEICTT SSTIPQVTVVLIMAHAVDRLAPPDDGSDHTSAYLKGAAGDLIQAWLDELGRKRRNEFA TIQVEALLLLARSLRNMPPEKIWSATGTLVRNAMVMGLHMDPSKVAKITPFYAEMRRR LWATIMEMDIQASMNAGMPILSLEADFSPLVPSNLDDADFDENCTRLPPAKPLSTMTD SLAQVYLASSLSQRIRALSLLQKVSSEVDVVEVMREAKKLEDWLSRKPAPLRLDNDDR KPNDAGSLVHRILTDLYVRRPLLCLYRPLLLGERQDNPFSSEISRLCLESSLVILSYQ DYYDARNLEDDPRMPSSYRNFFYVACKNDVLWAALSICQHVEALNRQTNMDPTDQISE QHKSTLINAIQNTIDRFTTRIGQRDSDLKDVMFLSVALESVRSSRLVQGKSQVMYEMA KRTLSACRENLMDSVVMGNKAHSVPDSTSKRPKSVQKVPTRTPTTPLMRSPPATDPLP NLSPPLELDSFLSATSDLGVEFSNFHGEAFGFGTDPDFTIDANWNWDNMWQ CC84DRAFT_1194772 MLTAPPKRVAIIGAGLSGLTLALALHRHGIACTLYELRRPSVSS SGALMLSPNALRILDTLGLYGRLSAQGYNFETIAYKNQAEVTTDRYYLGDEKTYGYKA LRVYRQTLLTELRVMAKQLQIPITYGVKFSHIISEDDSGVSFAFTDGTVASADILIGA DGIHSTVRKYIAPGVVPKYSGQVAITCAIPISKLEIPKGIEYEMPVAIHGKNGAFVMA PQNVDGSEVLAGTQRAYPEQDRAGWDALLADKDRLLALFRTGIEDWPQIVKSALNNVP IDSLAIWPYYVVPKLERWFSSGKRVIILGDAAHAIPPTAGQGASQGFEDVFTLAALLP HLSEKMPLNKAITYWKHMRQERVDKVIKLTLQLNNTRLPQAERKKLEAGQTWVSGESG QLAWLYNAKVEEDVQAWIIALMLESGYANVG CC84DRAFT_1141540 MQVLVIGGSGRTGKLVINELLERGHKVTALARNPASLEDRLNLQ IVQGTPMNKDDVRAVVKVGKPSVVVVTLSAPRASDSPFAAVASPPRLMADSNANVVAV MKEFNIPKIVIMQAFGVGDSWPNMHCVLRLLMKKSNMIYQYDDHNLVDQEVRASGVNY VLVRPSRLEEGEARPVKEWPGAGKGVPLMGACTRATAAKFLVDAAESTTWDNTAPVIT S CC84DRAFT_584700 MSIFTAALRLVRLFPVLSSTVTLMFGVDEHIFLGTWTRPSYREQ ANVNLHSWFQLWGRRGRWVILLGYPANYLSALLNLILARDQLYAASATKWYLLGFLFS LGHIAIYAKRALKLLADIKNNVPRGNSVHSMEVWLELNSIRALTTDFPAWVCFIVAAL KVL CC84DRAFT_584701 MMPLSSIFQTAPTAVPHTCVVVGSEGSEGGKITIDGGQQAAGSR RDKQRCIRKQGEARQTRPRHYYQATQAAVCSDTNTVYSMMASSSILLRGGILLVPDGG TDAVVAMRKDLLVVADRITCIKEDIDLAKYEALDAVEVDCSAKIVSPGFVDTHHRARG DARVRGAIRLLLHTHTAHRELDSVSRPGAGHPSAVASAAAHALQLAADRPRPRRAGLR LRRLRLSVRALHARPLRRGARGRRAMHHVARVWQPSAGHRGGRP CC84DRAFT_1240019 MTFLQYILGTSPTEPSIRISRLAKDDDEIYPVHTFDDPGRNEVI VTSWTMRFNDVLDKDKLHKSLAKLLEIGDWRKAGGRLRQKIKVGLEIYTPRTYTAERP PLSFTSQTYNMAIEDHPLAARLPAASEKPHLHPAPEEFREFAAPPGAPETLDNYLAGD IPMISLRVTSFQNATLVGIAWPHTLMDVMGQQALLRAWSLVLAGRMSEVPPMLGARED FLIKAADKSGKLEEDYVLKPQIMKTWALVKVLLNLVWDLCWYKSAETRTIFLPKRAVA NLRLEAQRQLPGEEEVSENDVLTAWTIRAVASALPKPRSITAVHALNARFRLRSLVDA PGIFVQNMVVAAFTFVEHSIAIGPLGPIARLNRQHLRKQATEAQILANLRELRKQPPG RVDPVSMICGDPDALLMPFTNWSRADLIHAVDFGDAVLKVGDHGPSRINPPGTFVYHH AAAMKPNPAARNFIMVLGQDHGGNQWITGILLRPAWAKIEEELRKM CC84DRAFT_1115312 MLSQIFDWLRSPGARNELQFSAPSHIVDDAEAKSANPEKSSHVS VENTDTYAALVKPRLLDRWLDRVVEISGSEYTYVIVLVGLFTWAFLGIHYGTANTYKI IISDAQAIINLVFDAFLMRQQFNQHDNLIMVAGCLRSRISSHKRMIEHLIATGKFTKI DTAKFQTLQQNDFVDRLPQENWLTKVSGFISSFLGHIGTAVGFWGCIFIWLGFGKYCE WSNTWQLYINSATSALMVLLLAFLANVRERHSRYMAECLKAIWKADSALELRLRNLTG DRTENSVIVMPERKRSKVQRAIDYYADLVGTLAGVAFLIIVILIWVACGPPLHFNSSW WLLIGTYAGLVGLNDGFVLRNVCQVLGDYQDEQFIQVNYEDLQTLSAIGVGTPEEERD MAQSLSYRISLRVGYFCSHQWSVVSGVILIFALIAAASALRWSETGQLLCNIPPSVIE SFFTLILITGHNVSETKRRVDLRNIYLHRLKVISYVGSQSFAKADEGVMEPHAGDEIS GNVLC CC84DRAFT_1086658 MSSRSSVHSLVSSKLAAINSIDPGSDVIDLLSWKMSRAYRSNRP DVDKHRTLGTWDYPDDEISSSFCIDSAGMTSLLFDDCQDDEAGKRQFDAANDRIQHAP LIDAKIVSDGYGPPQYGLLGSHTEAEESNSKLYLNTNVPFSMFICGVQGSGKSHTTSC ILENALIPSRHLGKLQNPLSALVFSYAQFSGDGFGFTISEAAFLASSDQRLPGGAHVK RVHVLVSPSNFVRISKLYRQLPNVLVTPFKLMPQDLDIDTILTLMNVSESIETPLYMA QVTQILREMSTAGEPFNYKLFKLQLKKKEFNSAQASMLQLRLDLLESFLELNASCVEP HFQFGEVTIMDMSCPFVDANTACILFRIGLKLYLQSKGNGKMIVLDEAHKYMVNVPGA KSLNETLLQTIRLQRHYGARVVISTQEPTLLTDLIALCSITVIHRFSSPEWLSAIRRH IPTATEDRESLMRRIESLTTGMAMIYSPNAVYGRHESGELITGTSKLINISIRRRITC DGGQSVLAV CC84DRAFT_1240023 MKQTVCDRCHGQKLKCEFANGGTGDSCKRCTRAGAKCTYPTYEE CVPNQIEDQGRPNAAQNQMTNARGTNRSESLPTHPRLNSHRHRSFTTLASPRLDRGPS QAQMSRKRLRSSMEGLASSYPYPMTTASEQSLDAALSQEALDHVATAPAHDVLNIPFD FTWSDVEILQLDGLASDSLREAYPDGSPRYMPHLHDRSDGPVSVAVVGSYEGLY CC84DRAFT_1174107 MAEANLFVMVGSRVTSITISSLFFHITHNRCAYVKLIKEIRNMF TNPDDMFMVPSCAPTAMLPRATSTALSSMEPGAQLLASSSLAHLVSDGNLSWSHVLVA LEQSRNDIARKIAQAEIAGAKGQKAIIEAFGLDESALADLAPAAAT CC84DRAFT_1203972 MEMEYGPTKFDTEGRPYRSHAQPACQSCRKRKSRCKTRAANETC AMCQVHGTECIFPQVDNRKPRKIAAASRRVTARFNRSEMSTGRQGAGSAPASPPSPSP AFRESADQPLEHYTNQDIQQDSCIFNAHDEPQATYTNQEESPAHLMGIFAEAGDHSTH IVSPAIAEDNSVLKSYLSSTPDLRNMRLIRAMSDVRPPNPSVRPVLFDTVLRRPLGVT TNQSLASSKCELIEKFIGPHVQDLIDLFFERANVCFPIFDDISFNNIFSTHKEKISSA LLCNLYANALTYWDTSPRLQGTVKPDHRYIWVQANEALNSELFLSPGISAVISIILNV SGRPSTSIFGNGGMIGIAVALSNALGLNRDPSNWNISPPEKVFRIRIWWLVLVHDRWC SLAYGTPLQIHRAQHDVPIPTAKDICRPGSSSIQAAAASIFLALVSLTEILGRYLEHV YCFTTIDATAAKMSGMDFELLLTDWEDSLSDDVRRLAIRGTRIDVPGSANFRLAYLAV KLLLRRIQLDAEKDTPGGEATTSHYYLQAQRVAEEIVHLVQELDDSQCSGFWIPVNAF SLTSATIFLLRSALRSRTSMADMSRNTSLKLARDMISALRAHHQNSGWDLAEHCLTNC SGLVDRMETAGNSGSATQLPTLEDLFVDINTPELDNIFTGFGNHFEL CC84DRAFT_1162555 MIAPNNTSDAFFAKAEKHLMSTGVPMSPVIITKAKGTRLYDAEG RSILDFTSGQMSSLLGHSHPEIVETVKKYVSELDHLLSNMVTQPVVDLAERLGRLLPA PLEKSFFLNTGSESTEAAIKMAKLFTGNFEIVAFSASYHGLTQGSGAATYSAGRKNAG PTMPGSLVFPAPYAYRSPFRKADGSYDWETEMDFAWAMIDRQSVGSLAAFIMEPILST GGILDLPKGYLKRLSIECKNRGMLIIMDEAQTGVGRTGKMFAFEHHGIVPDILALSKT LGCGLPLASVSTTVEIEKGCTDAGFLWLTTHLNDPLTAAVGNKALEIVERDNVCQAAT ERGQQLRNGLLKLQEKYWVIGDVRGLGLLQGIEIISDPNTKAPGADIGAAVSDKAMAL GLSCNIVNLPGMGGVFRLAPPVTVTAEEIDEGLQILDEAFNHVLSSQGKLKWEKEAA CC84DRAFT_584722 MSMIRTRVQQSLRMGTWVGCADLGYVLSGIGHMPLHHVISSWCN VRDSRNTRTSVVEWSSAEWSPSAKIYSNIRISRELVFPGYSRFLYDAHSQCHGLAMF CC84DRAFT_1086977 MATRIQLVARHGLFLLALFLFLIGSANADNPIVQTEYTADPAPV IYKDRLYLFTGHDEDKSTTYDMRNWLLFSTVDMANWQHHGSPASLSTFSWADKNAWAG QVINRNNKFYYYVPIRNKNTGGMAIGVGISDNIEGPYKDALGKPLVENGQIDPTVYID DNGQAYLYWGNPDLQYVLLNEDMLSYKGGINKVNLTPAGFGSRTGVQGRPTTFEEGPW IYKRQNLWYMVYAANCCSEDIRYSTGTSATGPWTYRGVIMPSQGASFTNHPGLINYGG KDYFFYHNGALPGGSGYTRSVAVEEFTYTSNGSIPQIPMTTGGPKQLKYLNPYNRTEA ETMAASSGIEVEVCSEGGMNVGFIENGDFVKVKGVDFGSGASSFSARVSSGGSGGTLE VRADKKDGTLLATCTVAVTGGWQTWTTVQCPVSGASGVHDIFMVFKGGSGNLFNFNWW QFTPKTT CC84DRAFT_1044568 VAPIQDWVPTLNWVYLDAHSYQLKYGVHEDSECNLAGPFDCIPQ NQHLTFQDWEGFRAVEIQTNKWTIYFDADDDGLKDKVPPEAALVEIELERR CC84DRAFT_1085603 MLNAIAQCSLLDDVTMEDPTTLSLERFIADLTGKEDALLVLSGT MGNQVALRSHLTAPPQAVLCDRRCHVIQYEAGGVASLSQALVQPIDAKNKKYITLEEV QRHAVISDDVHACPTRVISLENTLNGTIMPLDEVRRISAFARENDIIMHLDGARLWEA VAAGAGTLKEFCAEFDSASLCFSKGLGSPIGSIIVGTKAFIKRSRWIRKSIGGGLRQA GVVAAPARVAVEETFLGGKLTKSHENAKTIEQLWTDLGGKISYPVDTNMVWLDLEGSG IELNRFIELGEQYGVVVRAGRLVVHYQIGEDAIRRLGQLFADALNGEAGDLKTGKVKH DPEKLKLKGKGVE CC84DRAFT_1240028 MNGKWKKAKVKVEAVQAFDCLDRGGSQKPAAGYDTSGRLPRPSE GPPPEAQHQISPHGQSTSYYIGHPQSSAPTPYVGNPTQTPGPFQYTYQSPPPQTLQYT PQSSPPQQTSSPVADYKHTPYHSTYGPHHNSTTSSGYNAGVQSSSPRRDNCNGLIQPS WEQNRQFDTSDVGSPVKWNGADTPYDAYNQPRTDHSQRSNTAYGGDYQQHCDPRPMLP YGSSIVPNKYYHNCSDHLSQ CC84DRAFT_1257474 MTYNHGPASSHSLPGPVTASSSSLPSTSPPIPLQTLSPSSTFTT TSQDKRKAAWKYEGYKAFSSWMASEDDFFLFRRFGDLNSRTILWMQDRITRVENDLRR LDEMVHKSKLADNLRNDSFRWDEKWMTQRHAHMVELSQLLHHYNQFIDGYAKVRARPR ADKRLVENVENWLDRGAIDPEESSFLRHTDDLTSIHHRTLPPLGRWLESFAQLHRSSF FRAKHGNDAVQKSSGTTISSNSRFDLVTNTSIIFGGLVMLLAPLWWLEYVNDSAKKLA VMTGFICVFVALGSVATVNRPAEVVAAAAAYAAVLMVFMQVQK CC84DRAFT_1240033 SGLDSLKNPPADWPYPAHDLRARLAEVKSRVKNKEYGNDYEFQV ELFEKVFAPAHSGHLIFYPDLLVNPWYWSRTVSLVSVSKDGSALPEIFFRDDILNSVV DASPLSKIDGQDAQKFIEDLVFRAASQDPDAGYNAMFYNKAYVAAHISNGGYFAQGGR TSNIYPGENTTYTFENGTSITVDNVALLKWDFTGATDNFFFINRYAGGGSKLSSPIKI PNQPAGYPTAVIATKDGTLAGYYLSGDGLDDVAVLSVLSFSPSSVVEYQAVAETFLAD AKRYGKKKLVVDLSANNGGYILSGYDLFRQLFPQTEQVGLTRFRNNDVQDRAIKINQK IVPERFDPSKGAMEEVRAYEKSWNYRHDLDIDGKKFQSTEQKWADHKVGESSYSSLLQ WDLNDPYLTTKGPVAFGTEITGYGNRTNFTQPFAAENIIILTDGFCASTCFLFSDFMK QAKVKSIAVGGLPNTKPMQAVGGVKGGELATWDTLYQRVYQDAFYLWQTQNMSDPDYV WMDNTFLNHREYIGYTATAGVNIRDVVQQDHVDDGLAAQFVREEADCRLFYTKDMIVD VENVWKKAVDVAWGNGKCVNGGLSKGSALKTQSAERQTAKPRDNPLEVLRTPKILEVQ EETVEKNAAWLSLHGQPALE CC84DRAFT_1141558 MPSYTSIHLAERPKDQILPGKTFTAKQHPVPSASTLKDGEVLFQ TLYLSLDPAMRGWLNDTRSYVPPVKIGEVMRGAAIGIVLASKSQKFPVGTYASGTVGW TEYAVVKDKLLQALDLPEGAVPTDALSVLGMTGLTAYFGILDVGQVKKGDFVVVSGAA GATGSVVGQIAKIKGATVLGLAGGDDKVKWLKEELGFDYALNYKDADFAKKFREATKG LIDVYFDNVGGDILDLALARAKPHARFVMCGAISQYNKSKPQGPKNYLMIISMRIRMQ GFIVFDYEKQYAEARKELAQWLSEGKLKRKETIVKGGLTKAEQALVDLYNGVNTGKLL VEVAKPDDVKPKL CC84DRAFT_584832 MDRRTRGATRMHPRSYSHGDNRDMLHIDGHLDQTALLRQHYENG LSKSPFTTREVFSFMRALGSDSHLDRLGNMSSYLGPGNYNKDQTNLFEALRRLMNQPW TPWWKRIWTVQEVTMPKDVLMVCGSVSAPLGMFAEAASMSMQHSSTYCARIAASVQRD LWMVLVDFSSQVRDIQDIRSAYARQEAWSASDTYGNVQLKDMALLRFLERFRNRKASD PRDKVYALLSLAKTIGGGPHIVPDYTLSDREVYAQATFAIMRESKSLAVLSTDTGRKF RQDLLS CC84DRAFT_1162560 MSSQAPVKPVSAEQVNEKDGLIHGVSAAELQSLCTTSLEARGKA YCPYSLFRVGASLLLHTPDASGQTTITGANVENASYPVGTCAERVAMGTAIHQGHKLG SFKAIGVVTDMDDYCSPCGMCRQFLREFLALETPIFMFNKGGKFIVRTMGELLPLSFG PDVLPPKEALRKNLNEAELKGAGVQ CC84DRAFT_1086461 MAEHIAPGDSEHTNPYRSDGKLPVGKAIVAELANPYDALVSSMA SQFPNTKVIPYPYHATSEEATLGLIDDVLNAWGRLDVWTSSTGLLGSPSITATGPADL LAAFETNAMPAFFALKYAPAAMTKTTTQRGNYPNAAPKDVPYGSIVVVSSVAATYGGC WGPSFTMSSHAALGVVRAGVAVLKGTGVRINAVTAGQIDVGVDLEAAGLEELEKGQFP PVTLQSVETQKRVVGLERAGRPEEVGRVVGFLASGFSSYVTGAEIRVDGGASVMNPLT VPV CC84DRAFT_1215151 MRHFWALPAFLRIALASPHSFSVFEDLLAFPQYEVVFPDEYMTE NEATALLSQSVSRSTSSATPVSQATQDLSKPGKPPSDIPQRDDALDLAYERVVLDGRR YLCSIPVFPEHVPQNSTVSPEEAKADEEKELVRASSRGGELLDGMKGDCIYYLSGWWS YSFCYKDHVKQFHQLPPGRGGAPIYPPVEDHAVNSFILGRFSEKDADQNKGGERKTLG SEQEARGLDDEGEAKPGKAAPENKPETGLDLPRLETKGSSRYMVQRLAGGTECDLTGR ERKIEVQFHCNPQPMDKIAMIKEVSTCSYLMIIYTPRLCNDVAFQPPQENLAHPITCH PVLAPSEIDEWDLARLEDKVAQSDLLAALASNPLGGADPASKRGPVIGGIEVGAQKLV GGEGRVIEKGIIAGGGKEIFLGTLVTSDGKMMSKEELKKVGMEVRDVEKLQRNSKKIA GKKEWRLDLIETPAGQKEYRLIEFIEPEEGEEKKGKGKGSGKEKEKAGEERGEPKGMS SSEKDAAGEDGGPHEGSEEVYKDEL CC84DRAFT_1085287 MASQYEDTQPTQQVLDPRRVGEDNSGVGDHDSSDIILLLIAGSP AATRIVEQTALTRSYHVLFHDMESYVTNIEEQETIIIGEDGTPRGKTSVQRPADLALR FSSISHLKQKFSGFVFGRNANLVDIVFGQDTGKRISNQHFRIYLNAEGLAMIEDLSTN GTRVDNTVLKSKDHRAEKTRVLTSNSEIIIANSANDNEMIRFHVRIPPRSSQAQIWNY EENKRNFMSECFQGKERDKVLRRQQQPYQTLMRWNGGHNYTIMGELGKGAFATVYKIA EKMNGTVLAAKELEKRRFMKDGRLDKKVENELSIMRNLKHPNVVQFIDYHDQGDYLYI IMEYAPYGDLRKHMEAGMRPDGEGPMKEDVMRLVAQQVLSALAHLHAQNVTHRDIKPD NILISEVEPMQVKLSDFGLSKMVQHEETFLKTFCGTLLYCAPEVFPFYEKSKKRRRGN EKAYSSACDIYSLGGVLWNALCGAPPFEGKQDSTGRAMFDHIMDSVLDIGPLQARNVS AACIDLLTQMLRRDPSQRPSEIQCLQHPWLREGANIPEDPALESIVEEDEGEDEAEHQ LSQLSINEKVHESDEADEADVLSDEEFEQLIDARQSKRIRHDPLFPRYQLRDHDDESS AAPSFQSDMEGVLEDESFQPMPKAPNTHRLFGEIGQSALESSGVLHVHAKQALSDAGS AESGVQYRENGRRRKASTGNTPTLDRDISSSSLFGAESGVRELNMASPQSFGSGRETP NEPATPKTPEVPQHNSLGYSQKNPSQSSEPTPRARPPPSRMISLPKTPSFFYDPADPS THNVEYAQKVSGFDFGAQQQDATDVASLADTMQQSGHGDSDASVTSVASVAALQVQAS PQLPTEPDFKAPPRRVGKLVATADSFDPSLTLNIDQRLTSWGRLKTSTIVYEDATDIR IPKTAFYIFWWSPNDVNLVQELSQNGQDWTNVEDLQVGIYTQARSGLWVNGKHLRQKD DKGRALYGNLHSGDIVQVFSDRSQCLKFKCEFYHGNSVQPRPAGQSFRVQFGTKLN CC84DRAFT_1162563 MRSIRPIASALRAPASFSPSRQAALPSPCLLCLRRIPSRPSRRH QSTATNPSTSTATPDGRGSTPQSIPETHFDFFPTAIPHGPPPTGHFTIDITALKREFL QLQARAHPDLHPPESKNKAQALSARINEAYKTLQNPLLRAQYLLSLRGIEVAEDEAAT VDDPELLMEVLEARERIEEAESEEELVKMKAENDERIAESVRILEGAFKQDDVESAKG EAVKLRYWINIKEGLDSWEKGKPVVLEH CC84DRAFT_1085519 EWTAVRQTKNFQGNQGVTSVSSADMRCYQNKAGTATATIAAGET LGFVANAMVSHFGPLQFYMARVPDSANINTWEASGNVWFKVASISAVQGNGPLTSDEQ TWPAYKKTVVDFKVPKNVPSGKYLVRVESIALHQAQSVGGAQIYLSCAQVEVTNGGTG TPGPLVAFPGAYSANDPGLLWSYYPVATSYKAPGPAVWTG CC84DRAFT_584880 MFIFIKMLFRNLPAAAVACLVLPAFQIVVHAHSGNREAVRACGV ILRDMPERLASSFCSSYAEIPDITSTCTSTKTRKITVTSIASCSATTTASTSYVERTT FLSII CC84DRAFT_1174124 MATNFSAVICHGYYHTPGPYQNIIDTFNSRGIEAYCPQLATSDL SKLNVGDVAHPNFDLGPPTSGYPTGEDDVEILLNLFRQLIEKDGKRVLLIAHSAGGWA ATQAAIPELQAKTRSGKGLSGGIIGIFYYGAFIIPVGESIHSFFQPKDAPAIVPSWLK YHAYGPEGLGTLFSPEKFMFNDLNPEEAARWTRTLTASPPAIVKLTNDAYMSLPCAYL VLEGDQTLAKEYQEGMVMLQASKTGEFKMYRCPAGHSAHLSWTSGLVDTVQDFMGNLN QQ CC84DRAFT_1141580 MTAIVSTTLARKEPTARVKNGTLEGLYAKAYHQDYFLGIPYAQP PVNELRFRQAQSLNTSWKGLREAKEFSKLCVGYGLDQTFYETSEDCLYLNVVRPAGYE GKKLPVGFWIHGGGFQNGGGPDQRYNLSFIVDQSVSIGKPIIAVSLNYRLSLWGFASS NELAREGSLNIGLRDQRLALHWVQENIAAFGGDPKKVTIWGESAGAASVGFQLTAYGG RNDHLYRAAIMQSGGPILYGAQGGANKTQAAFDEIASQVGCASSTYRLECLRNVPFDA LNATMAGDLFNTAGFGVVTDGDFVQDYGSIQLSRGQFTKVPIMIGANSDEGASFAPYG INTTEQFEASLSALPEAYRKDILKAYPDDLGVNIIQSLGDQRPSPRFGNQFRRVATYI GDYIFIAPARQTAHTWASHGLPTYKFRFNADQKVFAPELRVNHFKEIPYVFRNIDGEG FRPDIKPFTGAGQNYIDLAYLMSSTWASFIHDLDPNDWRGRSNKIPEWPKYTVGSPQD FVLDANITSYPERDTYRKEGMNLINSNALKIYSR CC84DRAFT_1115352 MPTPNESPRDSIDLEKEKAAAEPHDPHKKDPNQPWMAFGPRLKH FTWAWYTLTMATGGISTLIAIQPHRFPGLITIGAIFYIVNLFFFAILGACMVLRFTKF PGTFKESVTHEREALFLGPFFLSIATIITGTQKYVVESYEANHPMRGWIVTSMAIAFW VYVFMTFCLASFQYSFLFAKHTYLLTKFMPSWLLPIFPIMLGGTIAAVIAKDQPVSTR MPIIAAGLGCQGLGFTMSIIMYAHYIGRLMQVGYPNREHRGAMFIGVGPPSFTALAFI GMANALEDDFDLQGDGLIDANVLRTLAIVTALFLWVLAMWFFMIAFIAVLHSRPEYFH LGWWAMVFPNSGFIIATINIGNSLKDEVILYVANGLTIAILCMWVFVLYHNIRAVVVQ DIMYPGRDEDVED CC84DRAFT_1141586 MKWLFLTLALAAPSWAYIRFGCATLSVQRLDPVVEPGSIPSAHL HQARNAFNATMSGDIGAKATCTTCSFSEDFSNYWTAVMYFKARNGTYKRVPQYPNALL GSLTGGMTIYYLQQDFNSNGKTKITSFKPGFRMTVGSPTTTSGSNPGLRYTCLKDIMT RGSETNDFPKQPCPAGIMAIHHFPACWDGKNLDSPNHQDHMYNTVKGAFQNAGPCPSS HPVRMPQLAFETMWNTTAFNDKSIWPADGSQPFVWSFDDRRGYGTHGDYMFGWKGDAL QKAMDSTSLVSNNLKTQSVAEANKCTIKNTVNDDLDGWLDHLPGAMPM CC84DRAFT_585002 MGFPPLLCPGPPIYPRVHCIPVFPSRGSEASRHLSSAVGATGLR RRTAEGRHRVSAPHSTCAESGLEGGKLGLGRRVRCVQSVSGRRLHLSESAPPWERGRA NACTRGPCVAVDPVVAWLPSGRRRRVGPKGCSAEADRGVRLWHCGVTVALCGITITVG LMMMGAGLECIHRRGFASLRSVHRRGGGLV CC84DRAFT_1085127 MRSTFTFGLLAVAGFSAAQEQYTIDPDTVQQSTRSYWCDQQKAS CPQICLQQPGVSSMNTVSNECDSDTLVASCVCDNGISPNLTQYTQTLPYNICQQWGTN CVAGCGIGENACADSCRKDHPCGAQDPFKGNATKSSSSASHASTSKPTGTSDSDSSAS STLPATGFAGQTTSPDSAGAASTLVGFGASYGMAVTLVGIFASLTLL CC84DRAFT_1215160 MESRDAPARDEPATATAAARPERSSIVPPYWQRHERNASRQSSY SVEARDPIRLEDHTDEGSEQCKALWAKHVTIDDYVVVGSGTTPALGAYVVWNITVDTL NVSAVVAPSSSPCCLGHSTDPSFDQGSPMKIIKRYSDFDDLRQKLVQTFPHLVGAMPP LPPKSIVSRFRSKFLEKRRVGLAHFLNCILLNPEFAGSPVLKEFLFE CC84DRAFT_1174129 MANLIRPAADRRTTTHTRSRSPPHEVAKQAAIQQQQQQPPAAPI STRRPSKALAQPADAPNARDAASPARASARKRSIADVDTPDARPQSKAKQRRSYDDLS TWADDATKKLHGNVDDAPSGASTPKPQDTPAAMADKKSLRSKGSVRKASVLAEIFTPD GYDDILAGFGPVDSAESNASSIGSDDQIALVDEPLTAAQLAAYRETLREKAAQARAKN QTGEPAPHHVYRPLPPEDYSPYARLPAAADPLADGVSRYAKEHKRHEREERKERNLDV ERHQFRMSKAPAVLEELEGDDWHKVFVIDKSERKAWEPKRAHVINLLRTALARQDAFR DIELKKRRREKERRKREREGTEDEDESEDEHPKKRPPRHPRPSKPHPSPPPVSPPKRR PPRPQGYILDIPPAPAVPPTPFKSFYRPGQRPAFPNPSTHNSNTNPSTNANPSPRKAT RSAAPPPFGVPLPRILTMVDDEVLLEMLKADQEYAREGLPTEEALLEDERSFSVFVLG GEVVQAQFLRENERMRRVRRRTRASGEN CC84DRAFT_1174130 MRFSSSASLFALGVFFLSALGGPLNGQSASSDAVDTVLRRDVAT SHIEAVSMNVRAILPAEIELIPRARVRKGRTRAKSKTKSTRKKKLKSKIKPRPTKPKS RAKSKGTKTKSKNAPKPKASQKPWEKPVPSEATIARYCNVPKDKALFWSGMWPQGSTS NGDWTPKLVLQYAAQKGLKHDESVYPKGYVRRYTRPNGKPYNKKKEQLFAQRFSKVFA RKSRGVVHVMVPWKTGPKAGRVFAKDEWPILKRALKTGKVTKIIQVNPADFGETREYD PKRYGLRKRNGGGRKGVDFDIDLENVPWDVNLDALEAMFDKQG CC84DRAFT_1240080 MATKSVPRVNGVQPGDGNIDAASQDMPALQDLWKAIHAISREDG FGRFAQLVERVDSQEADLKDKDGKINALESQLAACEASHRASNKELFDKFEERHQQWS DKNEVLRSGVAALNTASEEKDKSIEALRGELKRTQAQVVDLEKAYGAEKKSVKIKNVQ IAELDAQRKTAVNEANDLKARLKKAEDNAIALNRSLQEEANEKQKHLSDAVEANKKIE KYKGFTVKIESLNLPAVRMFKNRIPLPQNNSKIAKEMRVAVVLGALANLMVQFLFQPT YVLGERGGLRQLLRYQATVDPLKEMYARGIILSMKHDYQDEIDQEKIDKIIDDLRDVV RVDILFDTKDDLENFCEALDDLLLQFQDLWKKIQRGKEKLEPSFEERPSAAKYPWYIV GLPAAVETQKRSSSPPATTDAQDDTIIVPQIVQMRTKGDPEPVTHGWVLQKTQIHAAD EEIRRISRGLRITPFGEEDTGRPRTRTRRAPSISGNASPDQKKGSPFLPRSSAAQDA CC84DRAFT_1215164 MATPPHLASVIRSGREAQSRRKSAAVPPDQVAPDEYPTFTRPIS PELDESKRYTHDPPKRKRTNPWTFHRDSNSSESGDDCDRPLTRRLSRKASNVFHIFTP QRTRSNSSAAPPQHLSETPEDQEREEHVQPAAKRRHVTAERRKSSLKHLMEFIWGKRK DSATSENPHLPAPPLDEDDHATGLRQRFPLKHSQSLFTSDPDDIIYMSGALPASTPNR SPLGDLGGFSLDFLVNPNITERKPRKASAAAISINSDEPSIFQSFGNSIIGYSPPPTP KNKRSVHDPNTEMSSFHECHNSLRRPGTGPPSSGSGKYTDIAVAGTSTRHAVAPWEQS EAASPSTSIIGVLPSLSSVESTSHVSLPTFALPPPTANSSTVALHTPSRSHSPTQAPW WAMLMDVCPASRSIDPAEVNSERPELWAPPSPGLAPLMQMPGSFIPSPPTSTHHLKVA DVDTRPSTAADSLDERELRLRRSGSLRREASALEGMEGMEGFGYVGTAMRWGDGEGKW GLEGEMKRERDIGR CC84DRAFT_1141590 MPPRIPCRAHRAACPTPAVRYGIRLQRRLASTSASAPDIYDVVC VGGGPAGLSLLAGLKASPITNGLKVALIEGQDLQKNRLPKDASLDSFSNRCSSLTPAS MRNLQEIGAWRHANAARIQPYQEMQVWDGVSDARISFDWDTARSLGPRTPTQPTTIAY MIENVNTVSALLGRLEELGGVDIFASTKVDAIELGTDTEQLDLSSWPVLSLSNGKSLA ARLLVGADGANSPVRTFAGIPSRGFDYSRHGVVATLNLSSPGWGGPDHKIAYQRFLPS GPIAMLPMPGNFATLVWSTTPERAAKLKSLSPADFTAMVNAGFRLSPTDLEYLHTLPS GQAEEIAWREKHTPTNERGLPVRVTDVQGGSVASFPLRMRHADTYTGERVALVGDAAH TIHPLAGQGLNQGQGDAAALVRTISHAVQSGQDIGSTLALESYNEARYAENNAMLGVC DKLHRLYSVESGPVVGLRSLGLRAVNAMGPLKGFFMSRAAGGV CC84DRAFT_1162573 MATENHAPGLATFGQNDDFWLFGYGSLIWKPPPHFDKRVPGYIE GYVRRFWQASEDHRGTPEAPGRVVTLIDRAHWETLTDHHEPTERVWGAAYHIPREKVA EVREYLDIREINGYSIQFTPFIPSSAPSTPIQTLVYIGLPSNPQFLGPQDPDELAAHI LKSRGPSGENREYLFQLEEALRGLGEGARDEHVRDLVERCRGIEAKEGRKEVEGDVVG ERLKKVGSTEEQEEVEK CC84DRAFT_1257494 MFSQPLFIPGRMADPAPPPSIAALPPTTVRQLGSSNVLCNPSSV VKELIDNALDARANAIFVDISANTLDSIQVKDTGHGISAEDRPLLCRRFCTSKIRDFR DLDEIGGKWLGFRGEALASMAELSGSLEVTARIEGESAAARLKYGRDGELKSTEPASA PVGTTVKVSDFLRTLPVRRETALKESAKILARIKRLMQSYAIARPSVRFSLRILKAKS GKGNFMYAPKNDGNIEDAVLKVIDKECALQCDWTAMESDGYEIHAFLPKPDALGSKVA NVGVFLSVDSRPVSTNRGTPKKIASVFRERLRKGNSSCHSVKDPFLCINIICPPGSYD ANIEPAKDDVLFEREDVVVSAVTKLFTAFYPEAVIASNVSDELGPSVSEPPTSYGNIG GPVNRPNTAFSLLEEAMMVQPKRPETAFSILEEAVRIEHDDHSANRNSPSRWRSNMYD IDEDDLELPDFENQPRMFDEEEEGRGATSFSNPWTIARMNASPKQKQPPRNAQLMTPA KGHGDVAMGSTSPLPAPNSQRRRPLEPLTPETSSANITRVLRDQAVQQSIKRSSQIQE QPYQQLIDQVNVRNGSGLASPGTRLTLPIATNFSSSPSPRHSGRKQQPSGDQQSLHAF EGRPDGNDWFGRPMRGQAPLKSSRPRRASCAQGLGYPIADVVNNTDIRQFLERDRPSL ERNSSFEPTPSPLSFAPTNQPFRKPRVSKVEQNVQQRRSGDYGAFSRASSAGHTQRPQ RGRNQLSRNLDGLEPLDLVEQLRDYAEHETPTQFQESNTILSPDHATIRRGESAKTPR APTARPKTTDHEMAAMFAAYSDAPDHATGNIRPTGVKRPQPASCMTPNRHRTTDGLQR TKSSRLPLERVPHGYRIHNVVLHLPLPVAGIKVAMLKLDMWGNSVAWGYPVDASAYDE RGMRFTDDLLRAWVLRIDGMLEGFDTGVDREGVLGTLEIGIRAALSKREDGDEGWDWM GEVNVRMEKDLDCAIRDIVAEPSQLAPVAGTEPKINLPVCPTRATGEDEFGEDLGDET FMDI CC84DRAFT_1115366 MSYTTDASRWRALSTRDPASATAFVYTVKSTRIYCRPTCPARLA RRANVGFCSTPAEASATGFRPCKRCKPDTAITEDPQEKAVARACRLIEEGVKRGEEGR EGLRLQELAKRVGLTPRYFHKIFKERMGVTPREWARGKMGGVDGEGQREGTSETRTPS LVLDSPPEMDGSNLDAFNFNELVDFGGNAGIAGDAMEMDPAMAPMEGFGEPFDVNASM CGWDTFAPDYLGEGFRLDDTLLKWTDGSLVSDAAMAKTSTTFEQDAALLLDMSRVTDL NDTLYVDALG CC84DRAFT_1162575 MDTAASNQVARAGAGPQWVDIPTHLLRAELLRRQEQERPECGTK GNRGYYNTPLHVFALVLILTVSTAACSFPIVAKRFPRFPVPHHFLFISRHFGTGVLIA TAFVHLLPTAFISLTDPCLPPFWNKGYEAMPGLIAMVSVFVVVGIEMFFASKGAGHSH GMDFEALGADDHEGHSRPGHKRASSYSRFRANGHHHPPDIVLHDIAGSSDNLVAGRSP SFVSSPMTPQPGTRTADSGRNYKDGDEEDSDLDIDPDELGPRGSNDSEDESRLLSQPS AHKHIHLSTSPYAEHMPDMTEAQRKKQLLQCLLLEAGILFHSVFIGMALSVATGTSFI VLLVAITFHQTFEGFALGSRISAVAFPAGSVKPWLMALAYGTTTPIGQAIGLGIHTLY DPASQTGLLMVGFMNAISSGLLLFAGLVELLAEDFLSDESYISLQGKRRLQACGSVVG GAALMALVGAWA CC84DRAFT_1240116 MASQREPATAQRGSPPAATVQQEFLFVDAAKAKSSKQGRRNARS FVMQKARRERPWSTSKHAAKQRKSPENTSPTTIGTPDLSTTPITSTPSPPIVFPGTEY FPLVDSSNLLVVKQELCSECQIFLCRPGKHLCPRCMLFQPPAPNEEPDNSLFDPFGTM SVEMNGDVSVLLDYFATEMAPGIIAVDIRNTSHLMRSHWFGTAISNQGFMHSLLSTIA LHRHVFGRGPLDTALYHRAHAIASVNSALANPDMAAGISDANIGAVFNLLSVEETLAS PYFGYLRPDGDNPDQRAIHLRGLLKMVELRGGLMAMDSNRILQAFILWHATAHAVASF DTPYLSTSDLLLAACNPRHPPGYQPQICRHLLDCCAVLHIQESLTTLVESALILIADL NAWFSETNSPLDPLDMQNFSCVLECMLLKWLRDREHMVCPREDALCVALLIFTIRVTE AFKGRNHVHMLHFQASDRLEKALSATSCTDWRVCPDLLLWILAIGAISAEGSVRHPWF AYQVSLACAEFEIPSAEALLDRLRLCGWVSFKLDNAVGYLWEHVINLRLEDRNFLPIQ AFAYT CC84DRAFT_1174138 MHFSTIVSTAAFAAPALAGYVLQDDYMTDFYGHFNFFNQGDPTH GFVKYVDEATARSSGLIDASGPPVPVKWGVDTVNKDPEGRASVRLESKTAYNKGLVVI DLEHMPFGCGTWPAFWMFGPNWPYQGEIDILEGVHEQDTNALTLHTSTGCSVGSDTSL FSGSVETQNCDVKAEGQPDNAGCSIKSKDNKSYGAGLNDNGGGVYATEWTSDAISIWF FPRDSVPEGVTGDNPDPSTWGTPSAKWVKDSCDIDGIFKDLNIIFDTTFCGDWAGNTW SSSSCASKAPTCNDYVRDNPDAFTNAFWTVNALKVYQQGDAPAAAPVESQTPVEVQPS PTDAPVEQPSATDAPIESAPVSEPTGPIDSSPPIIEQSSTAADIPLATDAPVQQNPDG TLNIPLGPWGSNGEGGGNNGAEDTPGAETAPSPAAPISTPAVETSASILPAPGNGGGF NWPGAAQGTGAPSSGLAPVPSFPAGNQTGYPVLPTGTGSGDIAEVWETIYTTQVVTVP GVGPAPTDAPAGDGSEPIATVQQTVLQTVYVTVNGPGAQASAPAARMARMARREREIR KRMVQHNRA CC84DRAFT_1174139 MFDLRATVSDPTRLLLQRIDDPKKRVGAALSRSGLARLASNFSI RSATYRSCIDSSGVGSMQTKRVNPPSCTHCRHIHLPGRRKTIQVAQEDPYTWEQPWSE MPFEDEERYCLDITLEELEERFDSGCEFAAYLSTGSKESWRSQLAGRDSRYPPPTNFI GHKTFEEWILFGPRQRLLVVRRRQRWQEQDTAASPRKAQHSRNSEAQFDTLRIGEYDK ESSTQGLGSQNGWGFLRVPWHFCTLRISSLGGSNVIWPEAADSGKRGMTTAWSAEWTR WETKRQEGAG CC84DRAFT_1115377 MDDLYDEFGNFIGEAESDDDVQSTGAAADAYVLDDEAEEDEAHD QQLMEVDEGPSNAVILHEDKQYYPSASDVYGADVEVLVHEEDTQALSQPIVAPVVQKK FAVQEEDLPPVHYSRELMTDLMNYPDQIRNIAIAGHLHHGKTAFMDMLVMETHDIQDR LDSKRGKKREEQLRYTDVHVVERERGLSIKAAPMTLVLQNTSMKSHLFNIIDTPGHVN FVDEVAASLRLVDGVVLVVDVVEGVQVTTELAIKHAVLEDLPLTLVVNKMDRLILELK LPPRDAYFKIKHVIEEVNTVIENTIPGRGERRRVSPERGNVAFACSSMRWCFTLQSFA KMYAEFYPGPAKAPGFGKPMKNLDVEKFAVRLWGDIFYNPGSRKFSRKAQEEDSKRSF VHWILEPIYKLYAHTLSESAEDLKDTLDQLGIRLKPSEYKTDAKELMRLVCQQYFGPS TGFVDMITQHVPSPAEGAKRMLERHYTGPLDSKTAEAMAKCDQNGPLVVHVSKLYNST DAKAFHALGRVMSGTATTATSVRVLGEGYTIEDEEDMVVATITDTWIAESRYNVPTSG VPAGNWVLLGGVDNSIVKTATLVSQKLPDDEDAYIFRPIRHFFESVFKVAVEPINPSE LPKMLDGLRKINKSYPLITTKVEESGEHVILGTGELYMDCVLHDLRRLYADMEIKVSD PVTRFCETVVEMSAIKCYALTPNKKNKITMVAEQLDPGIAEDIEAGKVSIKDPVRVVG KFFEENYGYDLLASRNIWAFGPDDMGANILQNDTLPSEVDTKTLRSVRDTIRQGFSWA TREGPLCEEPIRNTKFRITDVDLAPEAIFRGGGQIIPTARRACYSSFLMASPRLMEPV YSCSVVGPANTKADVYNVLSRRRGHVLQDGPIAGTPLYSVRGLIPVIDSFGFETDLRI HTQGQVSLSLVFDRWSIVPGDPLDKDAQLRPLEPASAQATARDFVLKTRRRKGLAEDV TISKFLEPELFRQLRESGVLEGGQ CC84DRAFT_1141608 MSSLPPVYIVSAVRTPTGMFLGSLSSLSATQLGSHAIKAAVERA GLKPEDVQEVFMGNVLSANLGQNPARQCALGAGLPESVVCTTVNKVCASSIKALIVGA QTIITGNADVVVAGGAESMSNTPHYLPNLRAGAKFGDQPLVDGLLKDGLTDAYKNQHM GLQGEECAEDHGFDREAQDDYCIRSYKKAIAASEAGWFKEEIVPIEVSGGRGKPAKVV DQDDEPKNFNESKTRTLRPSFKPKDGTVTAANASPLSDGAAALVLVSEAALKQHNLKP IAKILGWGDAEQNPSKFTTAPALAIPKALKHAGIEQSTVDAFEINEAFSVVALANMKI LGLSEDKVNIHGGAVALGHALGASGARITTTLLGVLREKKGKIGCAGICNGGGGASAI VIESLV CC84DRAFT_1203998 MIAPKADRENPVTWLMSVARTSYSGPLQGDHQHRHKPVAMATLE GTHKYLTDAAKRAHAISKGIRAKPWNTTSARTATDLKNDASQSSGTLVCNLLTTSIET PQPYKALSYTWGTEDKTSFLELSGAQLNITPSLYTALLHIASWQEVLHLWVDQVCIDQ SNGDEKGDQVLLMADVYSKAQQTLVWLGPAADRSDELMDLWLYVGRRAEAMGFDGYFT KEKKHILLAVLDNHPVDDPLSEPYRQLVDETRLRFEDLMQAMADFDERPWFHRLWVVQ EVSLCPDTVFVCGTKMVPVDFVRHASNVFTSALKHSRNSNRDQSFHNLLLQAQAHRIM PLLSTRRRRQNFAKGCGEGDGLFHLLRKLFVESETNATQNRDRIYGLLGLAVDAKQLD IKPDYISSEAGPIFTNAAREMIKKGRIELLSFSQFPKEHGLEGLATWAPDWRPNLASS FYTIFEFAEDHLFSASGSTSISLLPTDDEKVPDEKELAIRGYVVDTIEEVGTVWHASD NHVSYLRLFETIKEFCTKSAAKDEPIYENSLRRAEATWRVPVGDLYWTPELDNVRATK ELVEDKYHDCVRLSEVIAGGKEVLTREEVHRLLAGRYRGNMSVMDGKRPYITRKGYLG MCPGLAIEGDVVVIFCGAKIPYVLKPQPEVDKYTFVGEAYCDGMMDGQILERGQEASF YIQ CC84DRAFT_1215175 MDNHPTKASRFQEEDGPVTTAESGKSRPLTPMKAPQGQNKASVL PEIHHPTRLSPLQKQDSPAKTDDAGRAVSPTPMKALRGHSRSRDNVDATTPAPPDIIS KSVRISPKVEREHATGKNSVYDEAIDYPTRDQRDGSAERSRAHGGGEAVASGNGDTPG VQAAERHGLVEQQDSAKEAEKSANLPAAQEASASRRPYDVYTIGKKEASTGEILEGRH AHQAKRAREEQERSDDVKGGAIESREANVLKR CC84DRAFT_1215176 MSDRNSSPFADAIRRSKSFLTKIAPNKSKSRIEDKSITPASAHT VAVTDTAGNNPNYKRRMRVVTDTERRDNPLNLGEAGWGAQSWNAAKQEQKQVKELEEL WSEMGSVGRNSSVESVRERVTDPRVFLGQARLSQTSVESRHSRASGLGIQRQGHSRGE SSGSPTQYHRPLPFRVSRPRDPPSPTPSQSTRTAEAGALPPVGARVSGSYLSAESAAQ VEARLAARAASNNLPRTRVAGPRPNNMVRRSGSYASQGVRVTPGSFGDNEREEYLAKL RARGGDGSDGSRAGHGTRKGVGDSVF CC84DRAFT_1162581 MPPRTRSHHRHRPITPLTFLAASSLILPILSLTRLYLRAHAILL TIFTVVLSLVTFLLYGYDKMQARNLNWRVKESTLHLCAVLGGWPGALAGMHYFQHKTR KVRFLIVFWGIVACWEGVWLGIWRADYGDWV CC84DRAFT_1162582 MTTSKFFTVLAGIVSVLALAVYLFGIPPEVKRKLERSALKTMGE NKLSYIAKDQISKIPASDQENVKDLKKGLGNAVGGLASNPLGEATGEAADSLTSPLTG R CC84DRAFT_1162583 MSLTNMLNKLHGQPDSYDRKSRYRFGKTLGAGTYGIVREADCPE GKVAVKIILKKNVRGNEQMVYDELEMLQRMHHPHIVKFHDWFESRDKYYIVTQLATGG ELFDRICEKGKFTEKDAAETIRQVLEAVNYLHHNNVVHRDLKPENLLYLSKEPNSSLV LADFGIAKMLDSKNEVLTTMAGSFGYAAPEVMLKKGHGKPVDMWSMGVITYTLLCGYS PFRSENLADLIEECKNGRVVFHERYWKDVSKDAKEFISTLLQPDPAKRPTSEEALKHI WLSGKTASDHNLLPEIRAYVAKARLRRGIELVKLANRIEALKMQEDDEDDVPGQADVP ANATEAAGEALAANKEGKGLTTTEAPAPSSSTGKGPGRLSRLAKGAIFREVVLAKVRE MKETEKQKEFEKQAQEASKKA CC84DRAFT_1162584 MESAAKRKAGGAAAPENEGRPSKRQKVPDSTKAAADAETPESTT EMGLKFLESLKQAKDKTGRPISVHFLTLPDRNVLPEYYDVIKLPIAIDTIEDRLNAGG YTCLADVESDAKRLVNNAKAYNDKKSAIYEDAERLRKTASNWMVKHNPAYRREGYQAV ATPIPGEEPIPYGKPIPRVHPATPRATPSTPDSASTDRPRRAAAVAATQAVTPAPSKL RHSASAAPEEGDATDFKGKSFQQAQEQIVNGLIGYTEPDSGLQIFQPFVNLPSRSIKD YYQIIKDPVSLSGVLKKVRGVIGRNAPTGITELKSWDAFEQMMAMIWKNARIYNEDGS DLYNLSLELEEMFNQRLAAAKSKVDEPSQPKLKLNMSTAAPSPAPQPKQALKIKLRQS PVSDPNTPAARSSATPGVIVDSEALIRQQRHVLDSMNGSRSSRPPSAGRTGTPTTLSN PFNGPRGASATIPSIPAAQTRTAGSPGMNGVKNDVQSPALNAIRPASNASDSQRMSIP AHTPHPAMPPPHAASRTSGSPLPNGPIGQHTGGYANSYAPPTPSYYVPPVTPHFENFR KVPLKCADEALIPKITLNTHPALNLSKPWSMAIPAHKSKTSHSVTVPIPTTHSYLQIV PHIPIAHTARLYRLFVMVNGNKTFEVNRVPVTAGINGAAVGSSPQPGVEAGKKKGEPV YEAKLGAGVNRVEIEIVAERERGKGEKESTVPKEQIESEKCTIFLHLTRSL CC84DRAFT_1257507 MAKKKGKKAQTQAQKQTVEASPPPSPKAVLEEPVPAVPASLPLS PETAPTDSIPDDSVPSLDSPTDAKAYDLPADEPATESASQEPAVDDAPVTERKEEATA PIEEEKPTEDPEFDTSAWDEPAAEAQPEPDSDPRSDEAAKEPSSEVDTEQLANKPVEE TAPPVDDDWGSFAVSSKKKKKGKKGKKEPEVELPKNDEPEPVVEAPEPPVVETPEAAV EEASEQTIVEAAEPPSTEAHTVEELEAPPVEASEPIAVEESEPIAVEESEPIAVEESE PIAVEESEPIAVEESEPIAVEAPEPTPVVEETIETQPPALETPLPKKKGKKGKKVKVE ETPVIIEAPEAPAPEIPEEPPVVDAPEAPLVNGTEVPQPTEVPEPTIVEAPEPPVSEA TETNPENLLPEPPVEPTPEPPQIDEVPIESPKAGEAPPESAKAEVPAVDDWTPPAKSS KKKGKKGKKGKDIAALAEEAASVVPTPEPEVVTVSEEAVSQPEPVVEAQPVVEAQPVF ESQPEISTESAPAPQPEPAIESHPEIKPTTKEGSPPDPTPEPQPEVVEAPRAPSPTPA PEPPSARVEAPGPPMPTPPPSIPASVEIEAPSVPKHRAPSPPLVQTRSSPVPNVASPA PAPVQAESHSLPKVATPVPAPVKSRSPPIAEPAPISPRSTATERPSVPSAPTKSAVSQ AIEEDRPPAPTPPPTRARPRRAPVYDDDDDEDYESALIQPRLRHREDDPLSRDSSRGS YPPAHSRPLFASRVPQPEHHSNPQPPSAPPQYHHPRHQYPPTAPPYYHPSSHAPSHAP SHAPTHSMSQASGYPDYSPYGPPSHHSSPHGYQESWSHVPPNYGYNGHSPPQRHDPMR PRDYGNGYPAIENGSAIDDGTGDAFSSIARAINDLPSLLANYKEAQGQLAVREELLHR SRIEHQEKLRAKDDEIQALRDRITSIERKHSSEASRLRLEVGNMEEQVKDLKERLVET EKFRVEASQLKVALDATMKSWEGKYKDLEETHATLEKTSSEEIAKARVEFEEWKTTTT TRNDAEKIALAIQFDKKLKEADDKAESERQAASAAHLKEKDDLRSEHQRQQREREESF DRLRNELEQKLSASQLDCEQALRRERETMEVWAKERGTLVQAHREDVESLRKSWEDQR SLLEGQHKKIKDESDKAWIELHSEANRKADEHKAVADQLSQEKEELLRQYNELKLQHE KEKEIIKSVASNMESEKSRLEKLMESYGDIAEIKSKGDTYYLVSFTQLQKQILDLAAT HFVHLPVIPPPEELQQIPSNLPSFLADTSSSRQLRAAYVAHTVSKLVTYRVFGPFLFS LGRRYDKADSLFLSMSNHIRDKSTRKEAIWRQQTLLAAFTSSGAKQRINTAAGTVVEE IVNAIKHFADPKEEEGIKIAVKRIVKLAAETWRFARLEREMISATMPAITDEDHEFTG PKFWPSHRPEGSPIASLVGTEMPSDEQPKMLLRLFPVIYREPKHENFRNESDENPDEG SIYHHGLALYDDAELVVQRKEELQAAGLPPTTNAASPTTADFPPPLLPAPRTSLPPTP ALEKASVRSKSPPPPEPTKDAIPTRPASPTSIKSGKTSIRSRTPPPVPPKRTSIRSKS SLSVHSVKSSVRSSSPPPPPPSRVPPPPPTNPSEAPSSPKAVPSETSHIPYTRPPPGP IDYDTPRDAPPLPAATESVLGAPPASMVVLAAEFDEKDTSTPPHPNPTEIDTPDAPEG EPSATEEQLPQVTPTESAIIPPFDPSASNLPTPPVSRSPTPADRPVSPLFAAIDEIDA LSSHRSLSRRTSSSRRSARSKPSEDDLHRTTTEPTEYTSGKKTRSKSRPKTEEAEQER PDSARRTSGYTLSTRSSREAADTASLRTDSTERPGSGRSSRYLCESRSAAIKGLYPNS PLAGASASGSPSVSRTNSMRSEKSRRRSSEVDKGTWDTTITGMEGEAKE CC84DRAFT_1162585 MVKPRAARKKPVVVSSGSDEDDRYSPPAKRPRKGTLLSLANGSR APGDATPSKPTRRASSPKPSSTGVKKSPAKSPAKSKVISKPITSFFGAAPKPTFSQPT PSPEKTSVGTPVDVDDIFDSSDDEYGKARPTALPMRKGKPPAVDKESGLKSTHRFLRT ASGGRATPTPSPAPVVETIDRRPWNEKYGPTSLDELAVHKKKVADVRTWLIDVFSGKE RKRLLLLKGPAGSGKTTTIALLSKELGFHTHEWKNPESTKTSDGGFVSVTAQFEDFVG RTGTFGSLTFDEPTEDRQQTQNLTEISREKQLILVEEFPNTFTRTSSAVQSFRSSVLN FLAAATPSATAFFMGKADAQTVTPIVMVISETLLSTNTAAADSFTAHRLLGPEILTHP AVSVIEFNPIAATFMTKALDLIVIKEARKTGRKKTVGPQVIQRLTELGDIRSAISSLE FLCVRGDETEGWGAKVNLTKKKAPKTSKDTPMTQMEQESLEMVTQRESTLGIFHAVGR VVYNKRHPEDPNKPVVQPPNFFPERRRPKASEVDIETLIDELGTDTQTFIAALHENYV LSCDAGDSEETLDCINGSIDALSDADLLSPDRFGAYGSSRRNFQATGADNLRQDEMSF QASVRGLLYNLPNPVKRITPPPGVMGAKTKGAGASAAAARGSAFVMYYPSSLRLWRQQ EEIGGLLEVWISRAQRGDLFGAHGPLSKLTPGATGGVDTWRKIAPLAPPAKPAASPSS QSKPDEDTQIPVLLGSGGSARNEMLLERLPYLPIILRRHSTAFPSTAATIREIRKITA FTGSGVFASPEDDAGDEHEDVGVQEGEQWATDKPAPDTPRKKKRVRIVSREKEESAIP GGEAEGGKLVLSEDDIED CC84DRAFT_1162586 MMKTEGGSPSFGEGGYMDDEFYEDTGELSLPREGEKHVMIARIP DWLYDHLTTWDKFLAQPGDDNEKITLGEVLSLPPDNAYDRNKAQKDGKKPSVKREGPP EPPSMRIFFNSDWNKVTKLPTAYEIEGQTVNETLLKNTYVFTEKDLPGYKHNGAGQNK ANPSNGAVQDPKARVKKSKYKKAIPKQTALIGSVNTQYLAKPLNTAEFIAFNTARTKE AIQGRNSSTNIMTEIVDEVTVMNNLQNHFDGFVRPDKKRKSQQNKFARLPRNELIDIL HAAFDNHKYWPMRAIKQHTKQPEAYLKEVLPSLAVLIKSGPFASYWKRDDSYDRPSLH DGIADIKIEEEEDDEEDEEMEDVV CC84DRAFT_1085988 MASSQVDSALQEIQAAPVGDKPSRYSALLQQITASSSNLAADLN AYSRTLLDDNLGVVVLRPLVSHFVEQFRNIKDPEVKIEVGENVIALLQTKGVGQYEEQ DTLIKEAVAEACEETDDYRKSARVLGSINLESTQRSLTNDDKAKVWIRIVRCYLEEDD PTSALLHLNKIKNIIYSVQDKETRIHFQLSQARINDSQRNFLDAAKAYYSLSLESSIA EEERHQALSSAIVCAVLSPAGPPRSKMLATLYKDDRASSVENYSVLEKMFFDRLIPPH ETKAFAAGLQPHHLALTSDGSTVLDQAVLQHNLVGASKLYNNIGFDQLGELLGIDAEK AEDYAAKMFEQGRLSGYIDQIDRCIFFEGEGSGERKTGQSERVVGRELRKWDANVQGL AEEVERVTTMIQTQYPEFYAAQTVH CC84DRAFT_1162588 MALFARTLRASLPSARPALQSARGLTTVSHTLETGNVPDALLHS NQHTSSNLEQKVDSPPAPPHTPPSPAAPAAPNARQDVVATHLTPSIRQLLPLLTSQPA HYITAHIHGRPYLLTPGDTLRLPFLMPNVEAGDVLRLNRATHIGSRDYTLKAPAAIKG TADHGKIVHYLDERLFTCRATVVGVESEPMRVMEKTKRRQRHVKHVFSKHKYTVLRVG EVEVKTLEQYEEALKNDGDA CC84DRAFT_1185488 MPQNEYMERHKKLHGERFDAAERRRKRIAREGHEASQKAQNLRG LRAKLYAEKRRKEKIQMKKQIKAHEERNVKTSEPAEPSTEALPQYLLDRSNEKNAKQL SSAIKQRRNEKAAKFSVPLPKVKGISEEEMFSVVKTGAKTKKKSWKRMITKPTFVGPG FTRRPVKYERFIRPMGLRYKKANVTHPELGVTVQLPIISVKKNPQNPLYTQLGVLTKG TVIEVNVSELGLVTAGGKVVWGRYAQVTNNPENDGCLNAVLLV CC84DRAFT_1141638 MHVDLAPEPRHNLHPHTPLPYTGSPLLLCLSDLRLFLALTSTLS GILTPLTQWRAGALDELYPSLPNLYSLFLHAFLLLFQSIFLLSLPFLIALPIWVVGVY FAGVYSVTLAVAFLLNGSEDVLHSRVDLGEEGDRHGGECWIYLNGVSVGRHWLQSNLD LLAMTFRRPVTGVHNRTYGIVFDLLQCILERDFLYATTDIRRSYVTIKASLLDPSNEK VILILHSQGGIEGGMILDWLLGELPRDVLRKLEIYTFGSAANHFNNPLPYPIHVEHFA HTRDFVACWGVLHFSSPSFPNRYAGKVFVCEGEGHLLNMHYLGSLFPITEQGKVDEGM GWEGASRLWGYRDGRVPGGDVKQP CC84DRAFT_1141643 MAMADEHWLNRYGNGYYAWKLADQNGRRSLERPIGLLETSFDTD GRYFGGRADITALLSVRVKHRLGKEAFRRRITLAWANLRLRHVMLMSRTLFDEESRQR RFVVDVHDNMEEALDDVLQSVVWVDDYYEEVDAIEMYRHALSVGRIVDPQKCLSKLHV LPLVGSPDGSFELRFLIVMAHQISDGLSSYNWFSDFLRILNLSEEELESEITTGMQEE AIKSKLPPAQEDLYPRVASTKARQRWFWAITHVLRYLRKPTLQSFPNPLKRAHRLHQP TTFPPTFSKIFEYDPALAPPLTSNILNAALSPAASARMMSLCRSIHVSIGAGCFALAG LAMMAIYEERFPHIPDAQRLPFASGFPLNPRAFFGWNTPADSCMLAFSEGIVMPFLPS ELPVEGRFKLVAAHANRELKMYQKRAKGKGGEDGGRERGAWEPHDLRRLLANAYLNAI ERSDSRLPKELKWGVTPHGSLAPPASQFRATCGVSSIGRTAQWLATGTYSLDIVGDGK EDKDFAADVLDFKQGVRARDEEFLVGTSTDAEGRVRFGVSYDESAICEEWVGRWRDVV EGLMEGGERQAMAKI CC84DRAFT_1115415 MASRLARSAVGAARLRPSLPLRTLPSITAQITPARYQSNVPEDP KKKAQSLLDAIPVPGNSPITKAAVLSAAAGVSTFAISNELYVVNEESIVALSLLTIFW AVAKYAGPAWSDYAQSQIDKISGILNSARADHTTAVKQRIESVQDLGGVIDITKNLFA VSKETAQLEAQAYELEQKTAIAHEAKTVLDSWVRYEGQVKARQQRELAESIIAKIEKE LENPKTLKQILDQSVADVERIVSQKA CC84DRAFT_1162591 MSGVKAASLAGVKRKRKPGEPERRATKSKPRPKPSSDEDEDPQE EILRLETQVAESRKHYNNIATLLKLAKAPEPDNEACVLAAVALCRVFTRFLSTGDMVK NKRMTEAETMIVTWLKQRYQEYIEVLLSDFLGSEHPPKQSVALTLLLRLVKEESKAQK DFNIQNSPLKRTVEALLLLPEDDGSRDEFAEKFFGTYDDVRYSTFRSIKSLLQSELDD AEKERVARNSLSLLLSLEAVPSSKDDIQNLYTEVQGKSPVPSLSSYKSQAQEAWLATL RSGITKEQRKSVLGVFSHQIAPWFAQPEMLMDFLTDSYDVGGATSLLALSGLYYLISE KNLDYPSFYQKLYSLLDDGLLHSKHRSRFFRLLDTFMSSSHLPATLVASFIKRLSRLA LYAPPAGIVVVIPWVYNMFKRHPACTFMMHREIRDPARKQEVEEEGMDDPFDMEERDP LLTDAIESSVWELETLQSHYHPNVATLAKIIAEQFTKRSYNLEDFLDHSYSALLDNEL NRELKKDPEVEFEIPKRIVTADEGLNPLGSLLTLVSDTR CC84DRAFT_1162592 MSRPPGPPPYTAEEIALLSTPEAKFKAQAELRSYIIGHKLADLR EQGLLGSPNSNFTNTNNGATVGFRRDSTPYFSDTPNADSSDDHPSPPGGNVGPQRVRS RNGERRGHTGYEPYSASQHARSNRGNRSAAWNEINTRSNRFIGSIEPCPNFTATGKCT RHKCPDVHDVRKVALCKRFLYKNVCTKDTLCSLSHDASSSNTPHCLYHLEGRCTNSPC MFIHANIDPNAPVCGSFGRLGYCVAGEACSCLHVYECPEFANNGSCAEGTSCPLRHVH NASRMKAATLGSSGVASPETTANDEEYIGDMRGGASSPDKTETHAITQQHDYVAFESQ D CC84DRAFT_1162593 MTLKDLLKKKDKVRDEGNVPDKGPTLSPDVPEFQFYRTTTTTRE SIEPPAFPGDPTRAAQPFLAPAPEHRGFKGRFRRHSNAAEPAGGQESDKGGHKLHFGR GRSASSVNVPSDLPAVGGDGVARNEDEEANWEKRATVLISGNTVLKSGGNTPTIEVQN PLSPSASPSGRSRRTSVGAAPDDADIQEAIRLHEAGDYAASTQIFGRLADPNGANNAL SQVLYGLALRHGWGCEPNMEQAVRYLSVAASNSAEVERLALQAGMKKGGAAKGELVIA MFELGNCFRYAWGTKKDPVAAKQYYETAANLGDTDAMNEVAWCYVEGFGTKKDKFKAA QFLRLAESKGSKTLGNTWIWKDKYNPK CC84DRAFT_1085647 MDFAGNNAMDFHRPSSTLSSVDRKPSVFFDDQILDTPVLMSPTT STQGIFSPDTSIWEDFSNQGQFIDRTATSSVVNTNANNPFFTEQSNNPFARLPPNQAA SYGQQTSWPVQEHSGSQTPTASKPLNPFAPSEFGAAPPPFVSDPPQQMQFHGLPVQSN VRPSSVFPQAGPEQPLSPHTNSEWMAFNQQEMDARPGPKRMRASSPPRSFTPQRGGGI RKKNARFDIPSERNLFNIDQLIHSCTDEQELKELKQQKRLLRNRQAALDSRQRKKKHT EELEEEKKTWSDKICGLQDDFNNLRVEYDRLLSEKENWHREQMEMHQLVNQLHFDKEE LVRTHTLETGELRKKVSVLTERLECATNSSAPMAPSSAFTDFTSDMDNLNMSNSEWDN YIFVNDFDEQTPGQQPQEQSLAVVPRAKDEEKPVASGLLLMLLLCGAFVASKSSGSAA PPIPRMPDEVRAASTQVLDSIFKDAGVAPTVTEQGVVANRVSMVEPGPSGMAWAKSGD MDALTGSALDQLHTQLTSASKEQEHEQLFSITPAQYNSMTSMDFTRTRYSIASDDFSD PLSPGSQPSHRRNLAATLAAMREQSKGDSAADIYTRSLLWDKISPEVVVEFKRMVEES AAASRPSTSDGKPSKVETVG CC84DRAFT_1085253 MSMHPSRQAYVEEGAEDVPMEGIDLANVPIDRNHFMPSDTPGGG NERASDILGQFERKKRAQQILVPTADRDIKLKLRSLGEPITLFGEGSSERRDRLRAIL FEQLEAGGEDVDIQMQEADEAPGEGDEEFYTQGTALLLDVRRDMAKYSLQRAKDRVAF QRRESTIPLKTHIDFRNKIKDRLKGFELYGSQTSDRPVSIVRFSPNGQYVAYGNWGGQ VRLLEVPSLDQKKAYRGGHTERTTGIDWVPGATLPGSVVSSSSANFASGGAGGKVQLW SLDDDKPLRTLEGHADRVCRVAFHPSGRYLASASDDTTWRLWDVNTGQEVLIQEGHSK EVYTVSFNQDGSLVASGGLDSIGRVWDVRSGRVIFLMESHIKPIYGLDWSTDGHRLLS GSGDGFMRCWDVRAMRETNAIAANTGGVTDLRWYKGTDGPLDGVPLQDNGDGELVPKK ASTFVISSGFDKNIQIFSADDWAHCRTLQGHSQAVFSTDVTSNADWIVSGGKDRTVKL WARDDNEGI CC84DRAFT_1162596 MSSAITGAAGLPLRASRQAASARLFLLCVSTSSLLAPAHAHRSF SSQNTRSSTLYAPQTCPLNRDSSPATIGLRSFHSSSHNWQSTPQFTFHVASSYSAKQD RFSANRNIYTSDVYDPSKIEVTDLRQCKTAKARRSRAKSGQDAFFFSQVGTSKSTAFG VADGVGGWVESGIDPADFSHGLCEYMACAARTWTPRNKSSSTMHPQSLLQVGYDHVID DDSIVGGGSTACLAVAEPDGHVEVANLGDSGFMHLGLNAVRHFTEPQTHGFNTPYQLS KTPQRMLVQMAVFGGPSTLSDLPKVASVTNHRVRHGDVLVFATDGVWDNLSPQDVLGI VSRNMVDIGGWVENDGAIEVGSELRALVQAGKESKADATSLQARLAIAIAKEAKETGL NQRRDGPFAKEVQKHFPGEAWHGGKPDDIAALIALVVEEPQE CC84DRAFT_1240181 MTLVDRTSHASTLLQSNDTRNLLDIVDNLRSQGISRYIDLPEIV VCGEQSSGKSSVLEAISGVTFPSKDNLCTRFATELILRRGPPSPIKISIVAGSNEQRS QEDKTKLSDFKHEISVSAEALQLDEVIESAKAAMGIDDSTKVFSSDILRLELSGPEQP HLTLVDLPGLFQAGSRTQSVADSETVRSLVLSYMKSPRSIVLAVVSAKNDFNNQAITK YSREIDPKGLRTLGLITKPDTLDKGSDSERFYVELAQNKDVKFRLGWHVLRNRDYATR HSTLHERNLEEEKFFASGVWTSLHPTQVGVHSLKPRLSKILKEQILVQLPDVLAQIQN GIQDCNHRLDVLGASRTSLQEQQRYLLHVSQSFSKMVKAAADGLYSDPFFGDASTEIG YRRRLRAILQNTLTDFAETMRKEGHTYAIVDEVLANHPRQITRTAYVAKVKTLLKRSR GRELPGTFDPLIIGQLFHEQRKPWTNLVNDAVDKVVQAIHFVVRSVLSQHSNASTLDA LLKHFIYPRLEGLTRELRAKVEELLTPHDTGHPITYNHYLTENVQKAQDKRRARDIKK SLEKFFGGDYTSEGTHVMHINVNELIQVLVTKTEADMNNYASSTATDFMEAYYKVALK KIIDDFSVLAVEACFIEKLPSLFCPEDVFDLSTETIAILAAEDEESAAERARCSEKLK VLQNGLRELKGVQGISSAAYHGTSA CC84DRAFT_1162597 MQFTTALMATLMAGTSLATINFGKARYDSGNVDVAIWIDGENAC TYVYQGHNNPCDFNGGKFQAQNGFKYTVKDCGNSAFHLENADGSFNSFAHFNPYNNAG SGCANSQGRYHVDQEWSFY CC84DRAFT_1115441 MKGAIVAALVGSAVAASHKAHAGFHLRRNGGYPVEEGVCKTVTT TVYVTASAPTSSAPAQISSVVVVPSPVPETSTSCTEEEHSSAAYTPAPVPSTSEKPVA PSSAYVPVAPAPSSPAGYVPAKPSSYHAAPPASTSKAAPKPKPSKPSTPSYGAGTWNK PNGKKWAITYTPYTKGGDCKSQTEVNTDVAKIADLGYVAIRVYSTDCGVFENVIPATQ KYGMQVIYGIFLDAATAPGSQGANEQLQDIIDNAPKDTVSMLIVGNEYISGHGGDAGS LASYVAAVKTKWIAAGFTAPVTTTETVAAWEQYGSALCDVIDIFAAQVQPYFDGGKTA SEAGDFAYSQLEQAAKVCPEAAAKGKFISEIGWPAGGSPNGVAIPGKAEQKEAMQSII EKVGDHACIFSYQNDDWKNPGAYNVEQYFGCADNL CC84DRAFT_1215199 MPPYNLRPHPKPANPTDDTRKRVKQSKQSKRQKDMQAINMERGL LSLRETTPRLESATYFDSTGSPLLRLPDEIRTKIYEYVLVGKKAALVDWNYDSERFGT VGVQQCPCLKHGGSCRFSYATHLSFIRVCRQIYNEASMIHCARNNFHVCVSLGCDVVG VLADSLRKGQLQALRHLEFPASEVVSELCWRQHLHKNWNDRLFDDMSLTELFPNLKSV TVEDFDTEYHRNRGQRVLRRSAPSSSVYPDVREMLLGGCKDGVELVLPEWMSRYLGSA CC84DRAFT_1215201 MPAQETSRPIYDCGRFYRESAEYPEQLKFRKFGPQWFKKLYDDE EELLVMERELNVDIAELRNQDGQGPLTTLDFPRILSKRDGRLYGKWMRYENKLRAHAQ DQCLVNSLYQLPVHGSYAGATLNDNSELFKDGIFCPTNESGGAAAYQDPASPEFIAVV DVGSYDFLMDLAVKNIGWIDQKILERLRRWFRMSAGSGQIRLSTLRTFFDIIACMFVP VLLTATMFALARISRLMVRIAVVGVFGLIFTASAKLLSGLSRYSIFSLTAAYFAVASV FVSTAVDGAGKTT CC84DRAFT_1240196 MASTRPQEVKSKGWTRPGCKHKVDVEIIPGTEIMKDAGGVHFVH AQNSAELVVLIPQPTNRPDDPLNWSPWWKFIVIFNQGFFVISSIVPALSISPLTQLLM DKWQKSLTEIALLTGVLVITGGYFNFIIIPCSEIFGRRITLLVCAALSLAACVWSATA TSYGSFLGARVLTGTGSSANESIMNVVVADMFFLHERGRYIGSYFWCYFVGVLLGPIL SGAVAEQVSFRWFFWACAIAQGLNLLLLMFFFPETRRLHEHKTTHTPTGIVSEGKPSR AQFGLLQSVDGAALKGIMRHFFLPVHILFFPIILWASMSMGSAANSLLGINLLQSPVL SAPPYNFNSAQVGYANFALVAGGVIGLVTAGPWSDWVSARATMRNNGVREPEMRLVSL IPFIAAALIGLVVSGVGMQDKWPWPAVVIVGFGFVGLQVVTISTITITYAIDCYKPVT GEIMVIATVCKNTFGFGMTYYMNDWATKSGYIPPVMLLLAMNVGITLLGTVVFMFFGK TFRRWTKDSKIHSQ CC84DRAFT_1194815 MPVDRGRASKACAACRKQKTRCYETTAGRACLRCDRIGQECSLT AETPYSRPTFSGNPLGDSSDINDERVERLERLVTALARRLDDVESELYSQNGERKNRQ NSHLEVNSLLNPESETGEQVRAPLFVLRDVTAQSGVRRADRGATNTPSRAISDDIILK GLLTEEEASSLLALFQENYGRWVSFNATASTARLLEDVRKSPLLLTACCLIAVRHVSQ ELASRLAPKLFQDAKLLLSQALLSVPQPIEFFQASLVLSMWSTTIGQLPLGIDSWLLS GFALQHSIASGLFAFARGTRSTALEQQDLDRLCIWNHLCLVHLHYCVGTRRKSVLDEE DIHRSRLILGSHNATNFESRMVAEVHLYWVIYRNCSEALDLPRTQESLSKWKEEWGWL LDQPRSQFVQMGFYFAQLMAYDQSLKTGSSAVRESLLSEMVRLSSSIINLAMNTTDER TRHLTDHIYHMISFAAVTLCRLLSNYEEQLSLSHDLSRLDETVLSLVSWLHAIGFPCH VAYTMGDVVAAFHKKLRPNTGPSPNTSYLGVDPAIQDDFALLFPEFYGNSSFDLMHGS ILPDFQPLV CC84DRAFT_1141673 MSAPFDVIAIIKPKAGSADRVVELLRTAADSVKEKEPGTLKYQI NLETKGDAPCIVMLETYKDMAALQAHGSSEHYKELSQTLKKEELVSEPMQVLFTKDVG GFVSKL CC84DRAFT_1240202 IEVVVGTPPRKFSIMPDTASPFTWVPSAASPACTPHCPLPVWNP NASSTAVDIHAIFSVSYGFTPDNIMFGEFYNDTFGIGGVALPQLPVALVDVIDTIYDA QTWGIVGLGSQLQNRNVNASVILWENLYRLGHIRKRLFSVWLNHQDAKEGTILFGGVD KYKARGAMKRVPLTSIIQTPHGDDFTEWSVNLTSLARRIGQAGSEESLLRTTYNAIID TGSPNMYVPQYLYDTIAAPLNVTLRTYRNTSYVPCSLRSSPDSLNFTFAGKDGADGPQ IRVPYSEIIYPFSMPANLGEVRGEDGTELCYFGVLSNNGSGIFLLGNSFIRSAYVVYD ADNLELRMAQSRWA CC84DRAFT_1215204 MKMKRGAIDSFFKPSTPKKLKYEANTNTSNHPSYPHVIPHLPTD FQDQLAFAPAEEGKPINDRLHLDLVYYQPYIPPSIAPGVFDFLRQELPFYRVQYNIKR GPVETQINTPRYTTVFGVDETSRFNEAGDIVDPKSGKKVEMGKYKCRPRPLPQCLDYL RKLTEGTTNETYNFCLVNYYADGKDSISYHSDDERFLGPDPAIASFSLGAKRDFLMKH KPIAPGPEAVPEPKQVKLLLGSGDMVLMRGKTQGMWLHSIPKRAGDEAKKGRINITFR KALVKAGTENYYQYNVGTGGVFRWSAKENMMLPWVEGARTEAEKVVASDATETDRATL KDEEIGDVKAAVEA CC84DRAFT_585940 MVMRPTHNNSVGFTALYGLVAERNAQPAKPVNDVLEEPCARSGV RPVLLIPAVIAHLLVRGAIDCSEARGGAGSRTSHRLHHPIATQTCNIEPTHRLHWILH RLQASPRPGQREAGRLLFPMFPICITCSVPNPTVNDRLSPNRRRHLMHFDPVT CC84DRAFT_1086152 MPPTPPSTTSSNSGHSPPDPQFRVVRKRNRVPLSCGPCRHRKLK CNRGHPCDNCTKRGDTASCTYATPGNRKKSASSTGSATTPDDMQNRIDRLEGLVLSLM TNGAQSAGPAAAQAAIAASINGSNSSVDQPYDISPESIPEEDAGDESEVENVTKSIGV MKVDNNRAIFASEAHWYAILGEISEVKNYFHDHKKQYEEQLKRYKATHTDDMNIGTAF LFGSQKPMTQPELLQRFPPKQTADILVSRYFNTYDPGIHIIHGPTFQKQYDNHWMNPN DTPVIWLGLVFAMMCIALQSYTRAGDEPPEYRGRSWAMSNEYREYTAQCLAAADITSP ITNMLETMILHTYADYARSRDSQAGMIVSTSIIVRLAMRMGLHRDSGPYAGITAFQGE MRRRVWAAIRSMDLLFSAQAGLPPVVRPRDTNTEIPRNIFDDELFEDMSILPPSRPEE EATPTLFLINRTRLMYKLGEAVELTEVLTCASYEEVMKLDNQARELHDRISPHLKIRS MDESARDPSTLIMQRFTLELLFLKIICVLHRKFISASRVNARFGYSRRACIDASMKML QHQATLHRECQPGGRLRNVKWFISSLTTVDFLLAAMIVCSDLYHTARQERHGRRDSTD MYYWSEDRRNEMIDAIETAVGIWDGLKEHSMEAYKAHATLSVMLGQLKGSHSRSQTQS FSNTPAFNTSTAMDDANVAPEHSAAMTLGMLSTGGMTPNSANMFETRYPPSMANLLND PVPQQPTGLTPNFGAAPNGANGEMRAPSPFSNLFGGGFQNMDLPSADNIDWNAWDSYI QGPSMDATNSFFPMDLGGMPMQTDGLPNSVPTSGQPNANMFAANGGPFMGVSIPANDV DTLSYDLQAPQDPKTSSGDGYVMGFALPKSS CC84DRAFT_1215206 MSTPTPISPPSSAPAGSSNEPAEPPVSPIGQTGFPHKTSAAVST RTPFIDTGTPAINAAPVELDGVPTSPPAEKGGKKGKVVGLGEEDEIEAEFLGEGGRSA GGVVSEKRKAMLAQRGRDPGVIVDLPTEPTAEEVRAAKAMEGAVTPGVEREER CC84DRAFT_1257529 MLQHKFVAEWQDGRKETSTPTLELLGDPEGYSAMALSVVAACGI ATQLLLDEEPALRKPGVHAP CC84DRAFT_1204022 MVAKSILLLAVAAIARARAVTQQDCNSTAVDKTAATIVYDGRVK ENATKADFDTTTGPFGKDFVKGQNLTFSQLVAFPSVEPSNFDAQVGAKAIEVQINDQS IFVPGNNIANAQTAVRRTELNPNGGGNTTTGVKTLHVSLQPSAQHPLNISHEYSLVFL ERADFGANLFMLRTGTLLGSNGGTKNDLVLQGNTAAGTKTLFTTPFTEGVWTNLALQL DFTANTIQVFQSSGSAPLVQATEPLPNDLTGNGQFHFGVNKNPTDPGTDVLRSGFQES GILEGVVYGGIFVEDTASSSVTLA CC84DRAFT_586019 MVKPVLFVSSYTTAYQSSAERGLHVFRGSRRSIGINLCEPLECD GAEVIIAIGTEKFVIHNKVAGTTFDASKALDRG CC84DRAFT_1194819 MASKHARIAHFLRPRFTVAYILWAIVAIYILYCYIFWSPLFASK LPQYTGPHDVGAIDIEVPVEDPRQIVDGVFKSDRKPAFELDTVLFTLYYPAVKGSQSS KPKHKWFPKPISLIAEGYATAAHFNNFFSRPLFTFALWGLASSIDIPAKVDVPLLGIS EKADEEHLDKFPIVVLSHGDVSSRTDYTAYCGELASRGVVVAAIEHRDGSGPGTLVHL PDGKKDVIFFRANQLEAKNPGEEVSNDDFRFRKLAFRDVEIQETITVLEALNAGSGAK IFNQNYRKEGKNLDAWKDRLNISQTVIAGHSFGATGAMQALKSANKTAASESRSIYRN PAIGGIILDPGKESGRLNTDIDVPLLIVHSDSWSKKYTIFYGSPHFDTVRDIARDVLK RCGATWFMTSLQTSHPSITDAPLIEPLLLSWTTGATIDVKEGLREYTRVSMEFLHYLR DGTRDGVLDVGVTHESYGNDTRTDLQKEKMEKMGERVAKYWEVHVAPPPSFVEDE CC84DRAFT_1204024 MAANGTNGTNGAKSNTSKFDPNFTQNVINLMSAETKPRHREILT SLITHLHDFCREVELTQDEWIIGVNYVNAIGQAYKKNRNEAWRVCDILGVESLVDEIN HTVVTDVEHKLTPTPSTILGPFWSPETPFRENGDSVVQDMPKDGSGELTFFHGVIRDV DTGKGIPGAVFDMWQASTNGKYDVFDPENQTRHNLRGKFKSDEEGKFWFYCLKPTEYA IDTSGPSADLLKIMGRHPWRPAHIHAMITHDDYIPVTVQLYPNDDQYLETDTVCAVKD DLLLDFKPVKDEKNGAVLDVEYEVRLLNKKYKPDASTLMGNANQDNFKDNIESKI CC84DRAFT_586050 MSIRAAVVKAWGNAPVYDSIDLPAPSEDQFRVKVLGAGVHTLVR SRAAGKHFSMAGKNPPHVPGTDGVGSIDGTNQLVYFNALLSPTGSLAKEINLGKRDVF PLPEGADPDTIAVLVNPAMSSWMALTARAGIQPGSNVKVAIIGATGVSGQTAVQISKA FGASEIVTIGKPGLKLEKTKELGATALIPLAEDLTETNFSAAADVDVVLDYLWGDVSS AAFAGIINARKNKSQRLNWVEIGSLAGETQPIPASLLRSANVALLGCAPGSWTFPELY GQLPGMLEALVKGAVKTDFEVKKLEDVESWWNEKGGARPLVKL CC84DRAFT_586051 MSSAGIADTSDPPSAIYTGSCHCGSFTYTVQQSPPLDHPSCEVK ECNCSMCARNGYLFITPAEKNVKFTNGEKDEFKKYTFGKHIFAHYFCGTCGVSCMAQS LDPNLWADIVAVNVRTLQDVDLKSLNVKLADGKRL CC84DRAFT_1162610 MSRPPSSTSDVLLYFLALFLPPVPVFLKRGCGADFLINICLSIL GWIPGVLHAWYIISQTERNAARAATRY CC84DRAFT_1204027 MPRWAPRESTFPYGAKNVVENGNHNHCYRRLVKHLLWTDLPSSA NQFNLRAYESRFLTTITRSFHWHKTSGQWHQYTVLVRIANLTPGPVGINFDKEYANEY GLAIRKKFCTSRKLYQLIKTKKPEETIFVPIQVDAGQDVLKVEFQLKGDLVAKDGNLL EEDTTTKDDSQFESADEDFAKAWVQTDSASSKV CC84DRAFT_1162613 MARPSTENRGSNATHVAQTASSSQQPLPDGYSKKGASYRRPVDA QTTHAPTITTTTTTTTAMPSNSARPVAASSDANGVTRSASHRRRQSQHIPPPTTGATG ETLPAAPDVPRAPPPVSYKDPYAPKSTSRVPSRSHSGRSRDPTIYMNASRQQPAITIP AERLQQLRSPPYSPPQSLSGAAEGVARKNSKGRRPSVPDRSPLQKLEGKLDDISKEER RARILEMELAAQEKAEAEMRARRAREREAAAKQQRNVSGPVTGPDPTPARSTSNRRHV SMPVQNKPKSPLLPDDLSESEDGYGYDLSDPWDPSGSRAGTGPHRVPSQSKRASIGPS LQVVGPADRPIVARSSSANQKEVGVARGSGSFRDRSGPVQALEKSVAKLERKPAPVPS GLGLFGVAEPPSASPPNASRHVGGGVARYDSRRLSKDLPPTPKETAKHERKRDSRGIF EAQMEQQKIDQKGTLNREDHLRAHGTSSAPVANRRSVGFSESATEVHAAPPSHQTVGD VFSEEHDPDRLYVPPPMLDEWKKGAVGMLVEDDLDLDAPQRPNSTGGNKAWWEESGAT RRRRSGSYAEPTYDGYVDPPTTQTTFNPPLHLKCGPLLRYTGLRRDKSRPGREREIWR GSVMIVTTDEGSSYQKPPTLRLFTQPMDLLPHPPDDVDPDNLHPAYIDPLEGQVKVSR TGKTLYVKAIDEIPEDADLSLREDDTGLFSELQSSMSPQSAGPQKSSRIKKRDGEKLG KFKEIPAVKLHAERGVTFWRFSLEVELGPRQARIAYRINRGPAIGFWVPARGETMNIM FHSCNGFSLSVDSKEFCGPDPMWRDVLNNHQTRPFHVMIGGGDQIYNDAAMRDTTLFR QWTESNNVWQKHRTPFSEELQNELEQFYLDRYAMWFSQGLFGLANSQIPMINIWDDHD IIDGYGSYPHHFMETPVFTGLGAVAFKYYMLFQHQSVPAETRATEPSWVLGAHPGPYI KEQSRSLFMQLGREVAFLGLDCRTERQRDEIISEDTYDNIFDRLEEELVQGETKHLIV LLGVPIAYPRLNFLENVLTSRLMDPVKALGRMGLLGGFVNKFDGGVEILDDLDDHWTA KHHKDERNWLIHELQHIARTKSVRVTILGGDVHLAAVGQFFSNKKLGISKDKDHRYMP NVISSAIVNTPPPEIMADIINKRNKIHHLDPETDENMIPLFTHDVDGKKRNNLHLLQR RNWCSLRAYNPGSTPPDTPPASPGANGPRLTRTLSDYTPGNMVRRMSGSGRRKERGPP ISYYNNPANTAAHDRQTEPQSSFSPERPQRPRSRRNSLTSLFKRRASVDSVNAPGSSH SVPARRPSLGGDASGGPPNFIRRASILSKKGVRREGEHINLAGGLDICLNLEVSQHDP AGITTPYRLLVPALNYTPPEDGVEEEKERRKSRLSGVFGVFGKRRPRRTIGDDGRSHT EGSESESGSEEEMDEEERTRQRYKVGPRIIIPGFTSRKRSSSQSQPPPYTDAHTPTDA EHAPAAPGVPQNRRQSVDIGAGRPAPPSSAESYAAAQRNRHVSAPVPAYTGIQTQTAA LPSRAASGRIPNTNASHSSQLPHRRSEDVPLDEEKVHRRSMGGILNQHRDARFEHDAH VVAHRSASNAKRDSKRDSYPPHPAIVGAGPESPYSRRDMQARDDTGGGGGGGDGYFAQ RERGGAGVGARYLGRGRYADSEISSEGEEDDRSFRTGDDESEVSFVPPKKKGLKFWKR CC84DRAFT_1162614 MRIFGFLGLLSAATLASATHLPPRNYTSHDYYAVHVASSTSPAE LAAHLGLTYDGPFPALQDHHVFKAPKHENDIVDDAVQELKRRRRKREVGIEYHILDSV RFNQKQKLKPRHWKRSRIPERHDVRAKESNAVKAQREIANKLDIKDPIFEEQWHIFNV ATPGNDINVTDVWMQGITGKNVTACIVDDGLDMDSNDLKDNFFAEGSYDFNDHEDLPV PKLSDDRHGTRCAGEVAAGRNDACGVGLAYDSKISGVRILSGDITDMDESLAINYKMD KNDIYSCSWGPPDDGRTMQAPGILIEKAMITAIQQGREGKGSIYVFAAGNGAAADDNC NFDGYTNSIYSITVGAIDKDNNHPYYSEACSAQLVVTYSSGGGDSIHTTDVGANKCTA NHGGTSAAGPIGVGVYALVLQVRPDLTWRDLQWLTVMTAVPFDQPSDWTQTPGTGRTF SHQFGYGKLDAWAIVEAAKTWKVVKPQAWFWSPWMHVKKPIPQGDKGLASVFEVTEQM LKDANFERLEHITLTMNVEHQRRGDLSVELISPEGMTSHLSTARRDDEAPYGYVDWTF MSVAHFGEKGVGKWTVIVKDTKVNAQVGTFTDWKLRLWGECIDASKQKLRPIPTEHDD DDHDVIDDHPAHTTSVSVPASGTKTASVNPSDMPTRPVNQKPSSKPTNTEQAAGSPTP TTTATVTTTSGSTASATASPESFLPSIFPTFGVSKRTQIWIYGSIGLILVFCTCLAVY LFLARRKRLQSSRDSYEFEVLDDAEDDENARMLAGGAAAGRNGRRARRGGELYDAFAG ESDEDLLSESEDGDAPYRDRDEPYDEKAAHKDDGSDAEGSNASGSSGGKRQ CC84DRAFT_1141695 MADETVQPLLQFEGHRHLTTRLLLSTLTGRPIRISKIRPSSTRP GLTPYETNFIRLLDSVTNGAQIQFSMTGTTLVYRPGLITGSAEGLGAMGGVIRHEIPR ECSRRGASWWLIPLALLAPFAKDKLNVVLHGEGCITSSTPAGDISVDSVRTAILPLYK SFGIERNIELRVLKRSCVPAQGKSAGGEVQLVFGHQVRLPKTLHVMNKGRVKKIRGVA YAVGVSGSNNARMIETARGVLNKFVPDTYIFSDVSKAPLISGMGSKSDPNGKTKGAVG FGLSLVAESSTDCIYSADVASPPQGGVTPEDLGKQCAYQLLEKISAGGCVEVTSATTV LLLMAMGSEDVGRVCLGRDVLGTEEVVQLGRDVKVFTGAAWGFRSKGTADAEDEIVVS VVGRGVGNVGRKVA CC84DRAFT_1257540 MPILSRRNAPKLLRSVNNRPHASEDDHQDAPRKANMEGTRQPMK SRADVNLLADPISSSDGEEAAARPLLPRRNEALPRPSMSQDSNSNARKGKGVQGKSAK GKAMRVPQRGTFDAGIKHKDGLGEEGKTELETVYFDMGDTPRKKVKTKNLHAAPVSFS KKSFGKDKPSSAFSIPKTRDLKHIEDLDNALSSMLDGHEKYVELSDDEPSPRPKKRIR TIQRLPPGYATSSNPTINDSQTSAPSSPLTSISSHLDIDDLPSAHSSAYSPIPDSDST CALCQDPVDPQEQRDFWATHPTHTVRDQMLFCKSHKRSKAQRAYTARGFPEIDWSTLP SRIRGFHNDLVAILRNETPEESVYRQKHAARLGSGKAAALPSKRRGRKDASQVVEQSL KERIDAMSSSTGYYGPRGKRVMMDVITSDLSDEIRQVAAKDPVVGRSGFAMFLQAVLV PECAVLLVMQDLAVGWEDAREVVEESGGVGEKVNEEVEDSVEGSEDDGEEEEQELVHG EDEEEKL CC84DRAFT_1071746 VTHTFCSACMEPYTRFDALELGCKREDDDTYHAYCRGCLVDLFE TSFTDTTLFPPRCCGKYIPVSACVELLPLELVKRYKDKQLELASPNPVYCSNRFCAKF IKPESVTADVAVCQSCNMETCAICKNPKHKGLCPDDPTFRMLLEVAGKKRWQRCPRCR TMVELLTGCYHMRCRCTADFCYLCAKPWKTCSCP CC84DRAFT_1162615 MFSTRSLIMGCALFSTTLALPTPQTTTDAAHCTITAEQLTAMDP STASCSGAAFAAECADASTAAPAITSAFEKYSIHEPGVQAALIALMLFESGSFKYNHN HYPAPGRPGQGTRNMQMAPFNEKYATDLFGANAVSAAKAAPNGQDPSDAVLALVNAKD EDSFGSAAWFLTTQCDASIKDGLATGTSAGWGAYLENCVGTTHDPARDPSWTKAKEVL GA CC84DRAFT_586422 MPAVESKFNPKDMEFRRLGPAGLKVSVFSLGGWLTYGGTQKGSI VKECMEAAWSYGINFFDTAEVYAKGECEVEMGNAFKELNWPRDEYVLSTKVFFGTGRT EPNTRGLSKKHIVEGLKSSLKRLQHDYVDVVFAHRYDPDVPMKEIVEAFTQTIRDLNL AYYWGTSEWPAEKIQEAVDIAEKYNLIAPIVEQPQYNAFHRERFEKEYAPLFKSYQMG TTIWSPLDSGILTGKYDKGIPEDSRFATNSDFFKGTIEKLKSEEGQAKLEKVRKLGKI AEKLGGNTTQLALAWAAKNPNVSTVILGASKVEQIHDNLKALPLLAKLTDDVYQEIED ILQNKPKA CC84DRAFT_1087282 MSHNRYTSWSSDVLTMAPTYPPVGAPVDYPSPVSRRASECFSEA PGSRRGSSAFVSDMESKGHWQSIGSNSDTKSPLANFAFFKNLTEKKTTRVDGQPAKRR GPKPDSKPALTRRQELNRQAQRTHRERKEMYIKALEQEVLRIKDSFTNVSKERDAFAE ENRRLKELLMAHGISFDSLSPTNGLQGFNSSYGGSSSGSVSGGYGTGTNSTGYTSPPT VGHAHRGSVSQDMNIPMNQTQHIPHMNSGLDYDQIGIDFVLTLERPCMDHMQYLMVRA HDAEETISGHALMASAPPDSHIANHPEETYPHQMPDIAMPDLMKLLDLSNRLPLDGEI TPIMAWVMVLKEPRLAELSRADIEGIKGELLAKVRCYGFGAVLEEFEVKDAMMAAFAK RGTGNTTTNNTISQPLPLDAIMQ CC84DRAFT_1257544 MAELAVYDNDPTLYLFTSLTAGSSHIITATSRIETILKANKIPF KGVDTATDELAKKLFQRRARGKKLPLLVKEGFVIADLDQVEEWNEYDELKEALGAPAV PAPAVAVPLAASKTPSAPAASAAVGSAPGKENTPKQTPEQNLAIRQLGAEAASIAAAK KHVPAKISTTNPLLTAKASASSTPTSATTPSKPAVASPSAILSPRSIPLPSTPANVGK AQGEVVSEFHGRRVQSPGAEEIKMVEEQTAIKEVSSSEEEDSEEEDEEDSEEDSEEEE EEDDDEEEEEEETAQPAKIPAKADAKDAANEAPKPDAAAGVKKEAPTQAQPAKAADKA GVSVED CC84DRAFT_586411 MAYRKDPIETSSPPRFGGEDTADDYNTIPTQPLDRMESSPHFAM PSGAFVTQPTQILPPHTTQPTQPLTPPRHRMYNPTSSQVQVPRSSPATERFTSPLNKP QSQHRMVSAMAPPGTQARFPSAVPRPQTIDITSDDPPVAQDSDEEEYRGSNIPMSKIE QQRVRETPQKASSFGFDLSDRFAHNQNGKRPADATTSFPPAKRPAIRQTGPSRAMPID LTDEAKLERLEDITDLNLRTKVRRLREVYPNRTVDETYNALMFKKGNYPDTIDYMATL PEKEEQQSAPKGTAVVRSSPEPIVTKTTTAKRVLSKPSVSLQDRYAKTTSQPSAPIAE SPQKPARKGKLMRGRRNRSPSPITLSSSPPPPPPRPQQKPKQRVPHEDDEGIIISDGD DSDVQEEEEVVFHDDGELLDFFNNCTVEGMVDLSGHKAEDIRLIFEHRPFITLEKVEK LHVDSRKPDTGKKARKPKVTLGERLVDAARAMWNAYTTIDEVVKKCEERGRPMVDGMA RWGINIFGASTDGEIAATSLDDSDTGSNRDSGYQSPNTVGSDSDREDMRKVADRASGK RKLLKKPANMNANIELKDYQVVGLNWLNLLWQNQISGILADDMGLGKTCQVIAFLSHL KASGVKGPHLIVVPGSTLENWAREFKHFSEAIDFHIYYGGQNERFQMQDEILDKIENN QIDVVLTTYDLCGRREDNSFLRKCKPQMAIFDEGHMLRSSNTKRYQDLMKIKAKVRLL LTGTPLQNSLQELASILAFLMPDIFETEDVRESVAVVFKHKAKVNESDTHGTLLSTQR INRARSMMTPFVLRRKKAQVLKHLPTKTCRVEYCELTPIQKKLYSEQLERQRKILLDR ANGLLPKETANVMMRLRQAAIHPLLFRERYTDGILRKMSKVLPKEETFRESVPEYIYQ DLEPYRDFEAHKLCLQYPKFIGKFALKNGEWMDSGKVQTLITLLRKFKANGDRALVFS QFTSVMDILEWALDAEDIGYCRIDGSTPITERQQLLDVFYADESIPVFMLSTKSGGAG INLACANKVVIFDSSFNPQDDIQAENRAHRVGQTRDVEVVRLVTRGTVEEQIHALGVS KLELDKMVAGEETEEKKGKGKKGDAKDEVASLDAAEKKGLEAVQEMLMRQLVRDGEKG EAEGDVKEQFLDGLKKAGLDMSAAE CC84DRAFT_1174181 MATRRIISSTNDPLDIDASGTPHAPGPSNIAPAVPSSVLFKLLS FTFAMVTLPIGTYFFITNYVLVGNNTVAGALAAVMANVVLIAYVVMAYQDDQAEQEEA AEKEKKAI CC84DRAFT_1086795 MDNNAQHTRPRSKSTFSFKSQNSHTSDPNRHDRKHPASGHERKV SDSYKPHLTATGKADPNAAMNEVQPIAAALEKPTLQSLRSFQHTDKDGVPIAEPDLSN PTRSRWERPLDTIRSFEAAIDGEYKRRAHTIRDSSTEVMSGYNSRRSSYYGGGGNYDQ GRFSQVSGYYGNRQVARESWPDNGHGMGGPVGGMGGPPRTRYNRMQSDPGWNRYPHGN GVYPVQGYQQSRDTVNTNGSNGSHSEPYSTDPSSENSSIERPAGGMKPDLGEQYGFNG FGGGRIQEELHTGLGPVNNGYAVQRPNGTLNYGAPPVPMKQGPPAPPVHANKPIKLTK SNDAGAAESRPAPLQRQSTEKRKSWFKRRFSKD CC84DRAFT_1185515 MSTPYSSSQKAVRRLHATAQHLKPAAAAAQSYPTTHERIQSPPD TQNFLDNKFVASNASQWIDLHDPATNNLVTRVPQSTDEELTAAVDSAEKAFKSWSQTS LLARQSIMFKYTHLIRENWDRLAASITLEQGKTFADARGDVLRGLQVAETACGITTQM TGEVLEVAKDMETRSYREPLGVVSAICPFNFPAMIPLWCIPIATVTGNTIVVKPSERD PGACMILAELAEKAGFPPGVINIVHGSAKTVDFIIDEPRIKAISFVGSNKAGEYIYTR GSANGKRVQANLGAKNHAAVLPDCNKNHALNAIAGAAFGAAGQRCMALSTLVMIGETK DWVPEIAERAKDIKMDGGFEEGADLGPVISPESKKRIEDLIASAEEEGATILLDGRGQ KPEKYPKGNWVGPTIIANVKPHMRCYKEEIFGPVLVCLNVDTIDEAIDLINANEYGNG TAIFTRSGSTATRFQKEVEAGQIGINVPIPVPLPMFSFTGNKKSIAGGGANTFYGKPG LQFYTQQKTVTSLWRSEDAVATKASVNMPTHS CC84DRAFT_1162620 MRFAVGATVLAAAFVRAEDASSSEAEASTATSAVAKSTFTPTSV KAPFFEQFTDDWDSRWKVSHAKKDDKSTEEEWAYVGTWSVEEPSVLKGIEGDKGLVLK DKAAHHAISAKFPKKIDNKKNTLVVQYEVKLQDGLECGGAYMKLLQDNKALHAEEFSN SSPYVIMFGPDKCGATNKVHFIFRHKNPKTGEYEEKHLKNPPMARIVKTTSLYTLIVK PDNSFEIKIDGESIRNGTLLEDFSPSVNPEKEIDDPNDKKPEDWVDEARIADPEATKP EDWDEEAPFEIVDEEATKPEDWLEDEPTTIPDPEAEKPEDWDDEEDGDWIAPTVPNPK CDEVSGCGKWEPPMKKNPDYKGKWTAPYIDNPAYKGVWAPRKIKNPDYFEDKTPSNFE PIGAIGFEIWTMQNDILFDNIYIGHSIEDAKKIQEETFDVKIAAEKAEEAANKPKVED TPKSPSDLVFKDDPVLYIKEKVNLFIEIFQRDPVEAVKFVPEVAGGLGVIVVTVLAIL VSALFGSGAAPSKEQVKAQAEKAKKAAVDAKDKVAEAAATGAEKAQAEVNKRTTRSTA S CC84DRAFT_1162621 MTDVMAPPVEMLSQRAPLRPSTSHTSFFDSHQAPFALRRSNSFG SHLDDLRSSPSLPSSRSSSVQSTPASSLSFGQNSSDESGSSDDEGLAFPAYGAASRSN KVDAAPPSNPFLAPVAPSPSDEPASTPDHVPVSEDDTNLRPEPSQHVDYLSYDWKEED IWSSWRHIVEHRSVYGERSRLENASWRHWAKLQYRLRTVTPESLNWLKESDVTWLYGP LQPSENRHISQHASEPVSRLSKTNSFLAAKKPILKKRSMSEVMLQKSISTSSLVSQAA AAVEAQQNTHVNFDGRRRRPFVGRATASDYTMLIPTRTISRDTTDYFSSQSTSGLLTP GDGEKKHIRFDEKVEQCIAVECKGVDDDEDDENNHNPWAKYRDDDSSSDEGVVMMRRS RRKRPLSRTSSKTSISGENKTIAKLEPTTLKYRTDSPDVTEQHPTHSLGFFRSSRLSP SPSQETLRPTHPSSNFLLPEDDSEDDFTFNPAGAYEVQRPHTPTPSDPYSISPNEPPL AGSSNSSSSGLRRTASGMFMPFEEEDENPPAPGLIGKVVDTVNTARDIAHVIWNVGWR SGQ CC84DRAFT_587158 MGCGNGVGTGRKGRHRCRGRRWRRGATLGWPLGLSSAVCTLRFG HGRGFWPASEGPSRTRVALSPWAPRLLGARSSTGLLHRSPRRWLGGGPLSGLRVAHGS GQTRPPASARAAFAATPFHPPDKAARAAPAQAKRPASWLRPADRRTVQQRPNRTKPGP AHLPGSARPSLQGCGR CC84DRAFT_1162622 MASHTKDDSPAPTPAPLVNQDIDAMDTPASSSASSRTAGRPEPY LPSNEATEPATGESNAANNSADAHNEAQMPEDGQPQRSKLKIALIMLSLGIAVLLVAL DITIVTTALPTIAEQFHSASGYTWVGSAYLIANSAATPIWGKVSDIFGRKPILLVTNA IFFVGSLLAAVAVNMNMLIAARVIQGIGGGGLIVLVNICISDLFAMRERGAYFGVIGG VWALASSLGPIVGGVLTQKVSWRWCFYINLPFDGLAFFIIMFALDLHTPKTPLWEGLK AVDWLGSLTMVSGTVMVLLGLEFGGITYPWNSATVICLIVFGVITIGLFFLVEHRVAP YPLMPLTLFSKRSNLAALGTCFFHAFVFISGSYFLPLYFQAVLGATPILSGVYLLPTA LSLSFLSAFTGVFIRKTGQYLPCIWFGMIFMVAGFGTFIDLGVHSSWAKIIIFQIIAG IGVGPNFQSPLIALQSLVPKRDIATATATFGFVRNLGSAISIVVGSVVFQNEMVSKQP MLREALGDQAAAAFGGGSAGANVGLIQILPENEKEIARQAFSEALSTMWILYVCFAAA GLAVSLLITKNVLSKQHEETKTGIEEEKKKRVEREAAREEKKRSKRASRDLAASSGDL STDVEQGAEGTVPPSAEKL CC84DRAFT_586910 MCYYRLYIFLGCGHTTFSARPVRPCAAARSKRIAASQHQHTDTA QGVPPNAPPQAYQPTSPIAATHATGITTFHTLHPSTPHPHADSANIPQDPVTSRLPDT PTRSEECADVLTHPFQTLNIHTSCALCLRQRAALLQQLEEETATSAVRFEDWRWKVKY LSPTPEEARYAAEWKNVGSVMGSWVKDWKVKGDEILGVLRETVGEGEERRRDSVGSGL GLGLGIKGVLGTGRKKAREV CC84DRAFT_1115493 MPTPESAAFLAKKPSVAPTFAGVDYDDNQALKAAQDAIIREQWV RSMMARLVREEMGKCYRREGVNHLEKCGHLRERYFELLKDAKVKGYLFAQTHTFENTF EKK CC84DRAFT_1141725 MPFPFVLPTTSSVSFTDSFSSSTHPSLPNCATTARGVVRDVLKR YKRIPPASQASTLSTVLSALHDYIPYLFALDAGLSGTSCAGEEIDLVLVKELEVEWRS TLSTTVPGREPARVKLKSLESELFFTLSTLAYVYSLQARAQLHTLYNATLPTREQRAT AIAAAMKHFLDANAIYTFLVARSQQWHAQPAAIDISSPVLGALAELTLAEATLITVLK DDPYPAAVVEDRNKNSKDWMFKSVEIPKVRAHLFARLCLSSAEHAAKAQAMLGRAARV DEGFARYVDDFRRTAKGKACRFLGVDAELAAKTGEGIAWLRGARKELGFAALDADEEK KASGLAKLKKGWAEKREDRKVERGGAWGTDAGKFEEGRVVDMLLKKWEKMNDTMSIQI VPSSSELIATMPSGREYHTPKPYIIPTLEEEAIIRMRAPPDPGDAFEGEEEDSADEDE RAAAAPVGAFPGTKAEYSAGSSYY CC84DRAFT_1240265 MAKHVSDEPVTSVLPGGDIPFSSTHEFSADEEVLAALGYKSEFK REFSLFTTFCVSFAVLGLLPSFASTLFYGMGYAGTAGMTWGWVIAMVGIQSVACSMAE LCSSMPTSGGLYYAAAVLAPPGWGPLAAWITGWSNWLGQVTAAPSVNYGTAAMILAAA SIQNPDYVPTNYQTFLLTTFIMLIHGVMSSLPTKTLARINTIGSTFNILALIVVLILI PAGTDRESRGLPRFTSSKEVWGDIYAGTEFPPGISVLMSFVAVIWTMSGYDSPFHLAE ECSNANIASPRAIVLTSAVGGTFGWALQLVVAYTVVSIDDVLGSDLGQPFAAYLIQCL PQKLVLAILALTIIAGFSMGQGCMIAASRVTFAYARDDCFPGSSYWKQVHPKTLTPVN AVWFNCGIGILSLLLIFGGSVAIGALFSIGAIAAFVAFTTPIFIRVFFVGDRFRAGPW NLGRFSLPIGAVASGFVILMVPILCLPSVRGKDLTAELMNWTALVYGTLMLGVLVWWV VDARRWFKGPKVNVEHMMLGRDGAERSGCQ CC84DRAFT_1257554 MEPYKYEPLPSPTSIRRLKFNPDESGDQPLTCHFVIVDTKDPPS YIALSYVWGVPSKTVPITINGKAINVTQRLNGALQIFRTTPALLWADALCINQQDIPE KMQQVNLMSAIYRKAANVTVWLGPDEHEDASDLFEDIKCLVEGCGMIVTVGGQFKFFD ENTGDLHWKLENGQDCVEIFKLPYFTRTWTLQEVGLASDAAVLWGNLATEWNGIGLTA MFLRRHCRALLDRLDLMREMEQVYHIYTAFSPLTPMATFLHLINNVRRFSATDPRDKV FALLSHPTAHTISMTDISFNWHAIKNALPIAVQLLPSLSDQFLVKKLAEKSAKSYSPP SELPPPLIQADYHKTVDQVYLDLALDHINRTMSLEILTAVQHDPESPDGMFTPSWVPR WDYFIDAPPLGWYTSAHFAAANKDAILTPPPSLRNALTVRGSLITRITQHTGLLKPSS FDLPLPSPAGDEPSFGPSSPHVQSFWDNNPIATTWLLHLRDRDPESYLVLPHMSFQND NGPYAIFDTRATNVHKAYMKTWVAGKNWDDVDGFNLETDSEAYWERLFWGTEVSPEVF FSRRAVGEAEARQKESKDKMRWQRYRDSAALVCNQRKFFITKKGFFGLGPGALRPNDF VAVFLGADVPFVVREVLDEDEASMEERRTLNLPIPMYRKFRLVGECFVQGLMQGQATR AHEFRRHITLI CC84DRAFT_1240271 MPFLAQEYVRIPNNDLMSWMFDEQKYNLDQPVYIDAAQPHRTIS SRQARSIARKLCAGFQAAGLQKGDCVCLLSFNDIMCSMAFLGVLAFGGTFAGTNPSHT AFELAHAFKVANVRGLVVEPELLPNALKAAKEAGIPWSRIFVFDHHTPLASPYDRKEG WSVTSSLKGPGGELKSWRSLMQYGEQGWESWDDERRSKQTTAARLFSSGTTGLPKAVD MTHHNLITQHTLVYDYKPRGYELSRLLCTPLFHVSNVPRAYTSPLRSGSKTYIMRRFD LEPFLQNMERFKITELNMVPPMVIQIINSPLSKKYSLKAVKNGGCGAAPLAAEPQARM KALLSPDAVFNQVWGMSETSCIATMIHFPEHDPTGSVGRFLPNHDSKLVDEDGNDITD YDVAGELCVRGPLIVKGYYNNADANRTSFDAEGYFHTGDVALRKRENELWYIVDRKKE LIKVRGFQCAPAELEGVLLSHPDISDAGVIGIPAGGFGSSAGEQGSEVPRAYVVRKQG TRLDESEVQRWMKERLAGYKQLVGGVKFVDVIPKNASGKILKGELREMAKREVGAKL CC84DRAFT_1204042 MDSYLHRGLPRAMMEKGKVIRLDITSSQSCQSHEITWDELLQGL RAAPVQVIFTSTNSFLSTVPGFQKELKSTLKIHQFFFDRIYLQSNGFCGHEVWLDDNE KVECYTYWSRFTVKQTYDKLRAKRTYTPHISNHHSGAGDWNADVAIHGPQSVRHGWEW YEMGFFASWTPSGSITLLCFDLPVTLEKDIQSMFCSQGVSRWCPYAVFSLVSDALLRL YDDSVWTVRNHISQWEARRSQEADYILLHEIARHGIHISETLSVAIRSLDAMQHHHAK FRTYNDLVRSKKGCENWDRVGTHFEFQLRFLQGLFQRSEANNARIQNEITLAFNVAAQ RDSRIQLQIGEEAKREASAMKAIAVVTMTFLPATFISTIFGSNFFSFEPDGGAGQPSF TVSHQFWIYWALSVPLTAVTLALWFWWSRWVEHLRLSRFRAVAP CC84DRAFT_1240275 MSTAYPRRYCRAQQYRTNISTRPVAAPRSPSAVAAAQARALVQS ASGLFIWAATACRFICEGRHFVARRLETILRDNSNNTRALEKHLDQIYTTMLMLWHVL RSMLLSKLLRCAGEEARQALEDLHAIINIPEGPAQPLCLYHPSFRDFLLDRQRCNDDN FWVNERRIHEKLVAYCVELMSAPYGLRQDIYKLSKPGIRRIEIDESRITASLPPELQY AQRGIKDGDATHGFLEKHLLYWLKAMSLMNETSLCVRLIYVSALLFSPEASIVRKIFI EEVQPQAVRVLSERDIEWDACRSVLEGHLEGVSAVVFSPDGQLVASASEDSTVRVWET ATGQCRSVLYGRPSIFHIAFSPNGQTLQTNTGAWCV CC84DRAFT_1174194 MFEQDHPSIVDEVGIHSLVGSGDREVAKVGDTCRGRRTRTCREQ KCLQIKGFEHLPCSLLYQDTLDSAATSLPPTPSDLALLGTPTLTAVAMADVNNPDDEE CGPDSKHTESPAAHNDVLATTEQEPGSSQPRHLYAHFISNRIYEDAPSDCVLVHPAFQ YPTSMMYEPDSSMHDLPLACRQLCQETHLLVYKYGLFEIRRSERGDIRVVYHAA CC84DRAFT_1162628 MFLSVKERARQIALGQFTAPTTSAPLIDLAPEPVPAPAPPRTTG AKDATQKAAAESKKTKGSKGAPTKEPTPKVAPPLKAPAKAAPPKATPAPASRATPSRT TTAPPEAPTPKKASSKSKITKEPPTPTTEAPPSKKSSSKSKTTKEPSSPKPGSSKPAR EPPSPRFGSYMSPWSSSAKPPPSPKPESQKSKSSSTSKSKSSSSSKPKRAKSPPKRVD SPLASSDDEDDDPLSALKSACLKQITAVPGLGNRQLVVVLKQRSSGEWYAALKDTGAD KYLKSWMNREKTFKTRREALEAYLGFATKMRERSSGSGMGMGWFPMSPRTSSPKGKGR CC84DRAFT_587280 MAFTVPPRLQEIQSARHLNPDPSNVHHVFRRVPRLKEHLSVVAS STSGPVDIPSRIGGSGPPLLLLGGCPQTHRVWRRSAPALAEHFTVIAADICG CC84DRAFT_1085893 MPTSARETIGRRRDSDSADERTLIDPLSDDERYGSEVSDGDYDH DILESEDERERLLTQKNGLGAMFNKKGVRIGSKDRNAPTKKRGKRGHNDESSALMYEM EEGVGISTPTLDRSSTESDERRLKAAGAHRQKTRRKRTWRRVGIYGGIVGLFFVLLFA AYRASKSEHPKNAAAMLSNGTHLFAPTTILISLDGFRADFLYRGLTPTLNKFVAEGIS PKYMRPSFPSVTFPNHFTLATGLYPEAHGVVGNTFWDEELQKEFYYTDPERSLQPYWW GGEPIWRTAERQHVRVAVHMWPGSEVHSNEFHPAYVDKYNGSEALPRKVNRVMELLDL PGPMDVGALSDKPRPQLIAAYVPNVDSDGHKYGPNSTEIRQTINDADTMVGDILKGLE ERNLTDIVNVVVVSDHGMATTDTSRLIQLEDLVDPTEIEHIDGWPHFGLRPKKLSDLE RLHKQIVDRAQNMTGLEVYLRDKDMPERYHFSQNERIAPLWIIPKAGWAVIAKADGDV QEMLKNGDAYHPRGVHGYDHEHPLMRAIFIARGPAFPHTPNSRVEPFQNIEVYNIVCD SIGITPLANNGTLRLPLKPVGLHSDEDNLPDETPADPVPVSASPSPSPSSSLSPSPSA AAIEIHVDPPSSTSPAQTSKAAAPTSSAAPTGTHAPETWWQGLTHLAEGAEEWVDNFL KDHFTGLENGKEGKENERPKEHQ CC84DRAFT_1204045 MDKPEVLAHVSTPATRANDDLYRSLADAYLAFEPHRPSEKAEDK ESLPGHVSFQSNRPQPSQGLPLGSAPAVSVLNSMDSYGSFPSHLHSGDRSSDQTHSDN HETPSSAEGVSISRLERLERMQSRWKEQRTPRSSLAKDRRQSISTVIAPAEESTFIED TQQAILAMESQLPDDMSTTSEEASDDDADEFVDQDVTLGATEVARPDIDRTPFGPSSG NLQTKPQRDASPDEEQSATQKSHKTDAPERTVQAPSSQCSIVPVDVESKETSQTRQPE TQGHRVDFDCLASTVCPPPPKITILTPSTLPSQITPYLDKLKQENPGRFKTKVVLRAL EADERGHWRVDCRLWPKHVQHQFWTFLQKDVESGRLGWGVTLHRDPMKMRLGLVRFYC WGETVEHVWLALWLHSGGRVWSTGATWLDGGDIEVLSIP CC84DRAFT_1257560 MAPVSLLDLVSALPAKEAWGPEVSSETMLDGVPYAPYSKADKLG RMADWTDGKDRDRGGRQNYGGRNYRDQQVYGANQTTNPFAVQMAEEEATFSVVDNSRT SARGRGFGRGGGTVFGRGRGQRGGQAQRGGRGAFQRVGGGRGGQQYDNRGGRGGRGGR RFGRWDDKPQRNRDASVQVKPDWQVLEEMDFTRLAKLNLATGDGEDIDSYGFLYYYDR SYDKQPGAVTSQRKLNVLERAAYNVTTSSDPILQELAEKDEATIFATDSILSVLMCAT KSVYSWDLVITKRGSKLFIDKRDNSNLDMVTVNENATDAPLETSEGNKDTMNSPSALM LEATHINNVFPLQVVNESQTNKVEMPHEHPFYNEEVESDPPASKAYKYRRFDLSLDQD EDPLHLIVRTEFDAVVKNNINGEDQYLIIKALNEFDNKAQGSGGALDWRTKLASQRGA VLATEMKNNAFKLARWATQSILAKADSMKLGFVSRANPKNNNDHVILGVLTNKPRDFA NQMALNLNNGWGIVRTIADMLLKMDDGKYVLVKDPNKSMLRLYSVPENTFEEEIEEAP IQEEEENDS CC84DRAFT_1257561 MEHLDFPTTYRYTSLPSPSHIRLLYIHDYGSEDNTETATYELHV VELPSDDKPFAFETLSYTWGAPKRVASLSFCNESGVIGLTQNLSQAIPHLARTSQTRY LWIDQLCINQNDPQEKSQQVSIMDQIYKAASRVIIWLGPADDSSMTVKEWLGELNEVL ASRDDASRTMPTGEHYNASVRMLLVRSTWVDSRTDTKYAPAARRFWGRPWFRRGWVVQ ELLLSPVVLFLAGPDAFTMQDLSDIQTLPPDMALPPTVDDDNRDNTPAYNTLLNLKRF PFTDIQPRRFLMYMAESASDFETTVLVDRLCAFLGLLEGSTFRPDYTRSVRWNFTAFA ASLAAGFGSLDFLSLWSANIDAKIPSTPEELKGFASWVPSFTWLPLIAPFRFATGATR SFFTFTEVKWNAAAGRKHVHSQREDAAATERLHVRGQIIDRISLISAAKIDRYFADAD TPYLSSIAAHIAADLPASPFATWTVVDLVAFLNTISANGHSPKESAELILGIEKKRMS TGLIDNLGYNEALSSRLSIGRGRRFAMTEEGRMALVPFIGSRSQSGEEKGSAIAVLHG CIVPIVLERVGEGNEWKVVGDCYVEGVMHGEVVCWDENEGEEFILV CC84DRAFT_1240297 MYYTLAVLTALASSAAAVGNAVVVNKCSSTIYAWSVGSSVGTKQ TIATGKNFTEPLHSDAKSGGIALKITTVDDGLYNGSPQQIFSYSLDGASVWYVYDLST AFGEPFLGKRITVTSTGSPSIVWANGSNPGGSQVKVGVSGVDVVFTGCA CC84DRAFT_1185529 MLASFTAHLNSSNRILVLIGAGLSASSGIPTYRSASGFWRTFSD QQLAKKSAFEEDPILVWQFYNYRRQQAKAALPNPAHHALAELAARRPELFSVNQNVDE LCQRAGHPMGQIVDLHGSLFRVKCFDDACGYEEENHEIPIIPQLSVTEPPGVLDSADY NSAQSGVSIEDLPHCPKCKVGLLRPATVWFGENLPEAKVHQVDEWLQDGKVDLMLVIG TSAMVWPAAGYIGRARNAGARVAFFNMMEKAAGVARPEESDWMFLGDAAELVPKAFEG FLGVDN CC84DRAFT_1086944 DPVCGTCRKKCRKCDRTKPECRRCVSQGLVCEGYPLNIKMYGME GGTLRKSRPRARREDAPTTVTTRQASRAAPQSAPALLPVPEKIVIASPDEGPSTSESS WGTELHVPAEYGAGLPLSTSNLKLKDDDDIHGLLLYYENVVCPKLSVTSESTPDPFKT YILPLALQDTGLLKAVLGLTACHLATQQPTPNRRLNTAALEYRVAALQSLSALLLKEE CFGLSEAEEETALAIVLMLVLHDTFECGRSNHGAHLNGVAFLCFRLAERSGTLSPSQM FLISSLTWFDLLRGFSGAEKLAFPQEVRRFVANSTDSTINSLVGCPAEVFLAVGDTLA LGKDYLAQELKESDFKTSLDSILLQLQKWDPLGGHYPNTDPEWIHLAEAYRHMAILRV LRFPDPFATPCSNSRIRASVEAILDATTQISRRSAYFKRLLFPLFVAGAETASPHQQQ YVVMCVENIKDMTGVTYHSVTELLEKTWKDRRASDGSRNVPWHEYTCSKHLPRQHDYL FF CC84DRAFT_1257564 MFDSDIKKVQSASAATVQNGTVDPFNATGTDEVDKLSPADIDDE ILRRNGHDAVLERQFSWLSALGLGFSITNSWVGYLSCFGQNLKYGGPNSVIFGLIVAF VVQYTITLGLSELASAYPSSGGQYHYCFILAPPKTRRFAAYITGWMSVLACASSPAQA FLWPQSAHLVLWHSSTQDSLRKLLPLYMIPKRIPLTVQITLYASLAGCLVWFITTLAM KQHTQPGSFITATEYGTSGWSYSTAWLLGISNAMYAYGGTDGAIHISEEMPSPGRGVP QVMNVTVWIGLFSSVPLIIALLFCITDLDAITSSPLPSLEIIFQATGSRTSTAILSVW LLVVYIMCLSAQFLTVGRLAWAFARDGGLPCSGYFSHVDEKREFPMRTTSTAFVFVCL YGLLYFASTTAFNSIVTSAVLFLIISYVVPQGILLVQGRKKLPPRWLRLGYIGYFCNG FSILWIVILGVCVCIPPQLPVELNSMNYTSVSVVGLLTIIMMFWFTLGHKFAGPEIDW DTIDSGLVVTELHERRMVP CC84DRAFT_1204049 MPSTINELPSGVQSVAADAPFDYIIRLLKRDGGVFIKKFVSEED VDQAYSECRDRIDNDMEWEGDFFPKETQRAPGLIGLSPTYTKTQLMHPLYRAVCDHFL TTRSMFWWGNERKESVSKPYVHSAVAMRIGPGGKAQPLHRDDYIAHNVHTEVSEWDDA RDMNRESAVGCFVAGCRVTPENGGTNFIPGSHLWGNDRKEPPDVSMCIQADMEKGDAF FMLASAFHGGGANSTKDEQRLVFGTFVTRGYLRQEENQFLAVPPEVARQYPRDVLEYL GYSISDPACGTVDQLDPIYALYPEWKKDAKYKDF CC84DRAFT_1185532 MVEPRKVYDLAGLTSIVTGSSRGIGAGIALELARRGASVVVNYT SSKSQNAAESIVNTIEGCGSGARAIAIQANVVLPDDQKKVVEAALELSGDNAIHILVH NAGNGDDCHLPDITEEFYGMQTDLNVKAPIFLTQKVIPHMPHGGRIILVSSCSARMGV AQHTVYAASKASNESFARVWATELGQSKGITVNCVNPGPVATDGYFNSTQEFIDDLTP LVNSTPAEARVGEVRDIAPIVGFLASEESRWVTGSTVSACGGLLFF CC84DRAFT_1185533 MGASTLLHPLDPISPTEIRTASNILIKVVDLLEPPKNEVLAWIA NSAISVDRCARVYYHVATSPHLWKAVINVIRCVVVSQEELRDAQGPVDYTEWERVHNA CNTHPDVLTEIGKLKLPEGAKVVNDPWSYGTDDGEERRRMFQCYMYIVLNDDPEANHY SLPAPFSPVFDAHTLELCWIDFLPLGTGSEITETQPWNAAHPVEYSERILGSEYFRQD LKPLQILQPEGPSFTVKGHRIAWQKWDFHLGWNLREGPVLNNVTYGGRSLFYCVSMSE MTVPYGDPRTPYHRKQAFDLGDSGFGLTSNTLELGCDCLGHIHYFDGFRCDAQGSPVL MNNVVCMHEVDQGIGWKHTNFRNNNSSVVRDRQLVLQYTATVANYEYILAFIFDQAAS LHIEVKATGIVSTMPSRQGVQHEWGTTVAPGVMAANHQHLFNVRINPALDGQRNSIIY EDCIPVASSDPELDPFGNAFRVKPTTITQPGGYQLDVAKSRVYKIINPDHINQISGKP VGYKLHSIPSQMIMMGPSTFNYKRGVFASRPIWVTKYRDDELYAAGEFTNQSREDTGL AVWSERKENVENEDVVLWHTFGLTHVTRPEDFPVMPAEKMMVSLKPTSFFEVNPSNDV PRSNQKFNKSRLTDCSGCQRNDLRI CC84DRAFT_1215252 MAKLIQSQSAVPPSRKASNTGKLELSTAQFLSFSFTLLTLPLLL QTLYTIYFSPYFAPLHAPQNDSSTSINPSSPSLLTACRAHTYTTQIVSLDPLMIYINN FTSGAEAEALIKLGADDFEDSFISRSGGGNQKVSGRTSQSAPLAVEEPLVACIVDRAR AFLGTMLHAHEPFSTPQLVRYFQTQRYDLHTDFWPRHQRLSDGSGRLFNRPASFFVFL RDNCTAGETWFPGVSIEDGKEVGLGGRVRRGKDDGDDGKGVTFKPVKGSAVFWVNIGE DGVGDRRVVHAGLPVGEGEKIGMNIWPRKFYAAQDD CC84DRAFT_1086924 MASEKDELERPGASANSDSDLEGIAQGLVNEKALLRKLDLRLLP AVSILYLLSFLDRSNVANARIEGLLNDLKQSNGKPMTGNQYLTGLTLYFIGYVLFELP CNIVLKRTTPKFWLPTLTIAWGIVATLMGVTQNMAGFLTVRFFLGVTESGLFPGVVYY LSMWYKRDERQYRISLFFSCASLAGAFGGILAYGIGHMRGVGGYAGWRWIFILEGLAT IVIAALAYLFISNYPETVSWLSKEERSYINARLKADSDATHDEKFTWASVLNAVKDPK VWLYGACFHTMSLPLYTLSLFLPTIIKDMGYTSAQSQLLSIPPYAAATLFTIGWAILA EKYKRRALFTITTSTLAIIGYIILLTNKHPTQKPGVSYVGTFFAAMGIYPSVALVLSW PAINVSGQTKRATANAMQISIGNLGAVIGTQLYRPATAPRYVLGHAVALGYLIGNVIV VSTLWWLLARENEQKQAYLAANPQTNGFHDSEEDLKLGDKHPRWQFNT CC84DRAFT_1257567 MSDSGNDAGDSGSSSGPSFTEREMQMLAWAMQSLKSGPPDIDYE KLAQYAGMTNPRSAGNAWAKIRNKLNAATDGAIATPKKTSQKKKAAAKAEKTYDDADG EPETPKKATPRKRTPKKQEVDGEEASPKKRGRPARPKNKKSSDEEEAAAVETEATADD KVNEKMEDVDDGKPEATPAQEEI CC84DRAFT_1141750 MAEPIDSALDLLRRLNPKDVKQNVDNIIELNPSLEEELLESVDI PLSVKRCSSTKRDFLCCDYNRDGDSWRSPWSNNFEPPIDEGITPSERIRKMEIKANEA FDVYRELYFEGGISSVYLWDMDDGFAGCVLLKKSVKGGSWDSIHVFDAQDRARTAHYK LTSTVILSLGTESEALGGLDLSGNMVRQVEQDMAVEDDGSHVANIGKMVEDMELKMRN LLQEVYFGKAKDVVGDLRSIPPLSVTNRDRQTQREMISSMSR CC84DRAFT_1141753 MARSLILISAAFARWTQIAPLTITEAPSSTLRADIYIRFMSMGP TEDVYAFTNMIADGLALSSGLINITFNDDFAWNDDRLFNYTAVHEIGHALGLSHSKVE PAIMFPFFEGVIRPIHPDDQAGIHSVYGWKNPRWSRIDSNVASKGVIQISSASGAVST LDGLYQLRSTGQILRYNPSGTWTSVDNNKDTIQISGSNNFLYQRHTDGTIWKLTAGSS TWQQISPASSNVIDISAAADQVYMRRKDGWVARYSGSGQTWLTIAQPSAPGSRQLAAS DSATLWNLLANGDVVRSEYPYTSDGWQVVDSNPSNIAIAVGGEEFYKLQSDGSVVWLD STEWFWRTIEEGGSVAVYAVGSFVYSRHEDGSVWRYTGSPGVWEMLDGRADAVVVVGD RKGGVWEMVSGGDIYRLVS CC84DRAFT_1162634 MAHPNRLQNARVLVFGGTSGIGYGVASMALSNGAIVTISGSAQP KADAKAAELQSLYPSASVRGVALDLSDTANLEANLKALFEKVTNGGEQKLDHIVHCAG DALFLPKIHEVSADNALQGFNVRWLTSVLIGKLLATGTYMNAATSSSFTLTGGTNTTK PMEGWSIGASWGGASEGLMRGLAVDLKPIRVNLMAPGAIDTPLFQKFVDNVGREKEAE IKKAYTLLQTWGDVRDIAEGYGWFMRDHYVTGTIASSDGGRLLK CC84DRAFT_1215258 MFSEACLPSDAVIIELVEIFFNQIYFVLPCFHKDTLMDEIQSGH IQAHSPLLLYSMLSVAASHHSDPSIKARRTEWYDQAKFLYDITGRDPYPALRTLQAVV FLVYHAYSCGDFSACWLDIGKAWRQAAALGMNRMDSEHAVVMPVGLKDGIESERRGFY NRVEWEGRTAIEEEECRRVLWILFFMDKNQSWPTGWPGAIDERQFKVDIPVADSVFQA MSLETNLDSVVNVPFTRSVNSLLTTASQARTPLNMFHYLIIAYVLLGRTADLVHSIHD NPSSPEYAEQCEELDTHVLKLRLSMPRAASSVLEAAPEDRGQVVWLDATLNTILLLLH YRAVPSSNSQGIKELFSKTVMAAKTTSQTIKNASRTSIDLLLNVHIASSLYMACCVLI IHHRLEDDDSLKNDIDFLELVFERMNDVFSVIGLKFSIALKRDRERSQEELLSLRERG YRGLLADCSKWGFVKDEVAKLGMTMS CC84DRAFT_1240311 MIKAGTSTWQSPDARPNEEEEEAKVDEYTCRSVPGLRVLMRNAT RQNAVVIGSTSVSRAHARSWQARPVNYHFASRFLNIHTRKRCGDVTHQHSMFRNPREN RTLPFTSHITPSTGRPPSPPRTSTTAPPTMAAKATPRKPAARPKATSSQKKKDDSKQT TLVPTDWGKRAAANNARVKPKATPNGNILAFFKKAEEENNKIFLPDRKLDPKILLNDA GEVIGWSDEAQDSVMNTDSSAERYNENGGSIKKRKMSEEAPESTCSLTPPEADVAAAK PPPVNTVEKPIQTRKKIGPFADDSDSEAEEEAPFTNRGTITREETNDCDEDSKPLEDP ESANSLSLPINHVPPLLKTEETSQFGEEDPEDFEDLEDEDLLEGEEFDERRWMKEQQR LEMAEAGYEGDPDDFEPPSLFPDDSMLENEDNDADAPSCPLCSAQLIGLSEQDVSQHV NACLDGNPLPLPKPKGPAKEATPIVTNAKAFKRPARPPKPGQENPFQLGEASGAPKSA FSKLMSGHAEDTAWANAADAEKAARGKPSYQRTCPFYKILPGFYICVDAFRYGAVKGQ NAYFLSHFHSDHYIGLTASWSHGPIYCSKVTGNLVRQQLRVDPKWVVDLDFEKKTEVP GTAGVHVTMISANHCPGSSLFLFEKEIGNGKGTRLQRVLHCGDFRACEAHLDHPLLKP DVLDAVSGKNKQQKLDVCYLDTTYLNAKYAFPPQQQVIQACADMCVSLSKEKVDESDA FEKMKKERAGEGMVKFIQKASEVGAGIDGVEAASTSPTRIGGNKPRGKLLVVVGTYSI GKERICIGIANALGSKIYAPANKQRICRALEDPELDALLTSNPREAQVHMTPLFEIRA ETLDDYLRDFSDCFCRAVGFRPSGWNYRPPTSRFTESPSVQTVLYSQNWKSPFSMRDL TQQRGSTNRAKCFGVPYSEHSSFRELTMFCCALRIDKIIPTVNVGSAKSREKMKGWCE KWAAERKKNGLFTLGEGRGSW CC84DRAFT_587409 MPDFAETLSDQVAVSALEYDRFVSVYKPESMGNTAKIAYGGCAI AIAISAAYQTMDSQYSLYTAMGHYLGPALVDRPLFAAVRRIRDTRTFATRQVEISQIQ DNGSARVCMIVSVDFHTKEKASLLEYSASPSIRYQSVEALPTVKQASEVMIAQGKVSR TTVDFNEKQYALRERLVESRPCPEGMAYQTMNGEIKVPTTQDNLPLVDRFSGDYFKAK HQLANQAEQMAALGFIMDGALSFIPLTHSSMSLRDAAACSSLDFALRIFTHDIDINDW HFREFKTVVGGEGRTYSESRLWDRAGKMVANMTQQSILRSFVLQPKASL CC84DRAFT_587437 MLLSPTCSPSITVRLAHLQLARGCVTKPVRETAKCASDTLLFLT LLVYILRSACTRRGGASRSANTSAQNVATVRQLTFHLKMILSREKSQTNVVKERRSEQ AHVQPS CC84DRAFT_1240313 MKVSHIGVAMWVMTGSQFTTAAPTSELLNVLQSRGHQDLSARDL SALLQSLTGGLAQLQGQGAAAAGQRKSAQEADAESQGSADSADSNAGNAAQGGQAAKG GQAAEGGQAAKGGQAAKGGQAAEGGQAAEGGEGEGNEVEIAGTFGTAVAVEGGDLKQD ILFTKSTVGAFEFEFQSATADTVTVTENKTPAAPPTGFELLETSSYQVALATSKGVGL TLSKIDFIFDAAAAGLQGKDVTKAQVGKLCAETGAFTISETLGELEFEVEENEVTLNL NKDVTAEGEWGIFLPVAAAGAATGNETAARNGTAAAGEGAKGAAGDEAAKGGRAKEGA AAEGAKGEQAAAGAEGAAGEAANGRQSAKEGAAASGKAGEAAASEEAAAGNKQAKAGA AAAAEHA CC84DRAFT_1240315 MAQEDGYLAYFILFTAVMATLHGAICYISPRASLKPFSGPSSPP HTPLLAHMYGVLNITMGAIRAYTAWDIRNMALYDLALGTYVGVIWLLGSEMVVGRTVR VGDVVVPLCVTVVGLGWMVGARGAYAG CC84DRAFT_1162638 MKGFTFATVAALAATTFAATVKLEQTACIQANATSLSQFDVEVD KLTVVNLDSVCGLKLVSADGADVKAIKCQAYIDAEGKNKGSKEFTFDDPAEIATNPVQ EKAILCVGSGAVPTAQPTTFAAVTTSAALAAPTGGASTTGNSTSGKPSSPSPSPSSTN GPGNEGAASTLGMSLGALSFAAVAAFFL CC84DRAFT_587844 MSSPLAASRLALSSSCLGLHPSHALDDKIEAAANHGFAGIEIVH GDLERYSHTNGISMISAAHRIRELCDTVKLHILSLCPFENFEGNPSPLDHRLDVAKQW IQLARALRAEYVQVPAQFGQDASTNNSVIVRELQDLADLAAAADPQIGIAYEPMGWSI LHKSWESALAFTKLVDRPNFGICIDSFHVASLLWGDPSHASGKYPDADRILAASLARL VLELPLEKLFYVQLSGGERFLPVYSSRHPWYLEGEAKEFTWSRNARPFPLEAELRDYM PVVEILQAIVQSGYRGWISLETFDRRMREPDFDIETAAARAETSVKRLRQAAGNRAAN L CC84DRAFT_1087432 MTPRRSSLGVLLQHASAARRTQPAQTPRLAPRAASARFYARPAQ TPRVGTTTPVPEGAAPPVSKPPFYFEAGYALYHKRPSRPFPPPFLSLPSTSFSEPLST HHKSRDRRPKVNGEMIRGVTNGDDAVLVSDYFIGANDGVGAWATKEKGHAALWSRLIL HFWALEAEHAKYDPQHEPDPVSYLEKAFEQTQQATSEPNAWYGTTTACAALLSSDAHN PARPVLYITQLGDSQILVIRPKNREVVFKTTEQWHWFDCPRQLGTNSPDTPTQNAVMD RIEIEEDDIVLAMTDGVVDNLWEHEVVENVVDSMYRWLDEKSLSHPDKEPAEQSYADG MRYVAQELVNAARTIAEDPFAESPYMEKAIDEGLSIGGGKLDDISVVAALCKRRKG CC84DRAFT_1086465 MSADAPPAAASTANDDYQSLWANKYRGATVEDLEPPPALSCRPT DPISHALMSGLERDYTHLTVTSENRALLGYISIPRLQQLLKEGKVKDSDPVEAAMQKF RRRGTKYKVISLETPLEELEEFFNGGVDGSGKQDFAVVTDGSRKFVLGVATKEDLESF VKRRG CC84DRAFT_1215267 MPLDAATQRVLKATKFPPEFEKRVDTKKVNLDVIKTWIAGKINE FLPEDDIVVDMVYNILETDQFPKIKELQVQLQGFLDAEGPKFCKELWNLMLSAQESPL GVPTAMLEAKKLELQQEKPLNSTAVKKSKCSIHSAVTNDPTVAPTGPSIVVPIGAVTV LSAVAAVEVSVVIVVIVVTVVTVVTVVIVVTAAVIVVHVATEGLRLPDEGALRHRVAS EMYTCQRVVVAAVAPDVATGLANAVDLLRLDGLDHPFVAVAVLPLRAAQGAHHDDRGE AHPAALGLLLAAPAAPLRGHPHVGCRVPGPEALRVVDEVVLNPDHPIHGLLPQNLSER RTDADVLHLLKTLNHELM CC84DRAFT_1215268 MSDVENPPSPPVVEIAQPADPTPTNEEPPVPPPPTPAAEEAPQP ILPEDTMAITTEDGKPIEAIVTESAEEGVATVEAILEPEPEPEPPQPEEEPPKGPEPE PEPEKEPEPEPPVEEQAEPEPGTPTKEKVEEEPQSKPSPGKEEPPFGPPVERIADPEP EPEPEKEPEPKSEPEKEPEPEEPLSAEVEAEEDPPQEESAPEPVEKKVEEEPRPELEP AANVDPSVEEPVEEEPQLEREPEPEALAEKEKPETLPPIVERKAEEESQPEPEPGPEP DSPLEERKEGSPAEEVKEEEPVVEAVEEPKAEEPPPAEESKAEEEKEKPAPAPEPLKR KKRDAVRAKAVPKFPIKLIAGREIGAAAVFLMKEKAKGVDIKPQLDALMKGG CC84DRAFT_1215269 MPDPQLAHLLSDLVSLRLTDPAAALALVSARPTSSTAAAHPPNT TSTDANDANEDTDIARAKELVALHYAVKEAHRRGELAAGLAEARASVERAVGGIGS CC84DRAFT_1162641 MAASIQSIKAGCRKVMCIGRNYADHIAELNSARPKQPFFFLKPP SSILLPGAGPVLRPRGVTMHYEVELGLVMGKTVRDLDPEDTKGAMDAIAGYLLAIDMT ARNVQDEAKKKGLPWSIAKGFDTFMPVSNLIAKSRIPNPQNAHIWLSVNNEVKQSDNT ELMLFRIPRQLSDISRVMTLEAGDIILTGTPKGVGPVKTGDIMRAGLKVDGKDIEEAN LEVPVADRENLYEFKET CC84DRAFT_1215271 MPLPARPTGPVPPSDLLWRHELQGHNDTLLRRMNALEAKFTDQE RRVQVAEEAATTCTSMAGEFSLIKHDVDQIEAKQQEFMSGVHKRLVDMDKDMGEFRKT QERVQKLMSSYRELDDSIVDLSTLGPRVESAEREIQGLKNSVGRKHVHEMESLDARLE MLELQRSREAAQIRNMQEEFSKKYSGDLQTLRTEVAKLTATGRTAEVQQPYIQVPRSP DMLSRPTNSATTPNHPANGCANISTSRAQVLHQTARNPPSKAQQAPREVETQGTTQTS APAGDIESLDLDATFIPFVPPSNPNSPPSHLGPEKKPTETHTRPQPKAQSKARPEKHS RTQTRFLPKNLSTPQTMVQSRSEKQPKMQPPIVANRGPKPAPKPLPSKVVKLPASMSK KRSGSPISTPRPAKEPHVASSSRQQVNPAQKDQGKSREKQQQQQPARRSRRRSANATF YELGWDQTQQPQKTAGPVYGSTSRTTKGLKTKPRRLPPVPDE CC84DRAFT_587529 MVSDDVYDAALPVLQNDALDEEDKTDQLELVLAKKTGLCGKPLE NAVLDCLWRFRDAGSSSSSPPPSRHTVIRRASPAPWQMNRAPTPVSHSPRTIHPPPGF GIAPPAFTRAKSSNASPFTSPRPSPRLAFSSPHIPHSPSLSAYQFSEGTSPNTELYGD LGSDSVDWLVNDDSGSTESSSLLGDGTLNGAAAEWVQPQTVDMGPYDMLRSILRDDRP DEDIEKALEANAYDLSAALLTLMGSQTLDGQPVPVTVPEQTTFQVGKSMSPAFRPATP AGQAKSNIICKYFLSSGSCARADCRFSHDTTKTLCKYFLNGNCLAGSTCMFSHDPTQV MARLAISDASTPPLQSALPNFQMQDYEFPSLQNTSSAGWTPQFAAMDTASLEQLYGLT GVPKHPPPGLSPFPTFTPGSLSRPQSRNTSRQQSRAPTPLVPAVDDNEAFPSLGSAAA AKAGKRHHGKRGGHGHNNKEPTGPNNLAEVVRMSPSPAPAQLRKGLRPTKSFTGSREN SAAAQAIAAPQHIPWLETGEKCNTAYMQARQEAFKHGSLRNKFLQSAAQAWNRSDSRA AKALSLRGQSENNLMREAHRKAAQILYEERNKDDGADARELYVDLHGLHPDEAVSYLE GILTKHKQSSRPVYAITGTGHHSKNGKDKVGKAIRGHLNEWRYAFREFSVPGDRNNVG GILGIDPSSYDKSVAERAKETENGATTDVDDLKKDTKVRIMKRDEVLDAPKGPKRAAI CC84DRAFT_1085925 MPVEEDYDARALALPLDDDESPERSPSPIWSRRSHSNSFRQNRP HSAGHARPRSRIRTLVDNYNKLNHQFMSRYEKLSPFKKVLFSVGGIVLFVLSILFLVY NEKIFHAFLPAAKKWRDITAGWLILWALIFIVSFPPLIGYSSLLTIAGFVYGFPNGWF VAATATVAGSTASFLASRSLLKNMVHRLVAHDKRFAALALTLKHDGLKLLIMIRLCPL PYSLCNGAIATFPTVSAASYALATAIVSPKLLLHIFIGAQLAIIAENGGKMDAKSKAL SYVSIAIGGVAGVTTGWFMYKKTKERAAQLEAEERAGVRRMSIEELENEYADDPAALE AAETLREDDDDISLRTGWGDEYHDDVTDAEDDALEIPDDPFGAGDAEREDDGTKK CC84DRAFT_1174225 MDHSHMDHGGHEGHNMPGMDHGPQCNMNMLFTWDTTDLCIVFKS WHISGTGTLILSLLAIVLMTAGYEAVREASRRYDAHTKRVSEGRSSGDDLSRTCMRTT RTGGDMLEVSVDEGRKNMSRDERVKWSIAEKRPVDAAHAPDESSESSSLLWPGRHGRT SASASEQQTKTVKALFYAVQVFYSFFIMLLFMTYNGWVMLSVAVGAFVGYLLFSGGPS AKTAACH CC84DRAFT_1162646 MQALRPALQLLRAPGAHTPQAPAATAHRFFSRTRPARLLGPPVN IPKWVAENSHMLQPPVNNYCVYNDSATVMIVGGPNARADYHINSTPEWFYQHKGRMLL KIIDEGEFRDVYINEGDMFLLPPDTPHNPVRFADTVGIVLEQKRPKDSIDKLRWYCQH CREQVHEASFHCSDLGTQIKAAVEAFQRDTEARTCKNCGTLCDTAHKAKD CC84DRAFT_1257584 METKKCYMYAPPEHESRPSKRQRTSESDPHAGLSERLKIYSKTW AEQEKNIQRTLEEADRATQGKIIDFVAANAPSEDAPRISIPTGLIVAGPSIASHGPYF ERLGRRIRDETNSSYVVLTSGESPNLKTLLKILIKKVTSRIEEDDDDEDEIGRFATSS RRGPKLLNFDLGHIQEWTSRNMVQSIVVAIQDSEAFDTAVLIEMVDLFYSWLDRLPFV LLFGIATSSESFEERLLGKSLRYLDGQQFDVTQSDDIIEKLFRATVAHPDTEPHIGPN LCRRILERQKDHVQNIQDFVHGLKYAYMSHFYANPTTIFLKNDPKFKDFSSDAFEAIR NLPSFRRYVEEKLEVGDTRSVRQLLCSDNFLFEVIKELIVTVQDALSSLSIAAIVLTR IRESLGITPKVRLSNVWSRAASGELLDSPLLRETMLSIKKTPSDRLARLIDSLIGLTT EDVGRHAKEIDIPIDLEQLQRELKTLIEGNASSEPLRSQDDVRNESVRTTVVAQKVLL SKHKAALSEQDKAYSGIVARFCQQLESYFRFALIDTRTLFLYEVLVYDLKSPHTEVFQ PRPRLAIERALATPHDYLGCECCGNMQDAENTLAGTQPATAIVYQLYLESGAIINATD LWSAFHAIVGKDDEDDQSQTMALFQRALAELKFLGLIRPSRKKADHIAKMLWKGL CC84DRAFT_1162649 MADSDSEEDYMKMIFDDTPKQPAHETSLQRAARKRKEGEARARQ KTKAEREADAEAAREAALATALPENNKGFKMMAKFGFKQGDSLGKAEDARKVPIQVNV KEDRSGIGLESEKKRKLREAWNEAARAAKRSKEEEGDYLEDRKQQQREKKMQWDLDNA QRTAERLSDKEAEEADGASLEGKPLKDINVLWRIRARRRVEKEHDRRQRRELEHCLAS RLPTLAQEDEDNDSKVAQGHDVTPFYTSLENDLEDEDPELAEFEALPVGERLIKVLAF LREKYKYCLYCGYQYPDAAMEGCPGITEEDHD CC84DRAFT_1257586 MEDKELGPTRSEVTHESEEHVAPAAAPQKKGGVLNTLYPPGPRP GAGPRVKNHCRKFWWCDLIVIAAIILVIVLPIIYVAIPKKAQHDINASTLEVTDQEVT NTEPEKVHLKIKSVARSSSSFHPTLDAFQAGLSLPGKEPFLFLNVPETKADAETEIDI EQDAPIVNLDSFKEYTKTTMASETFTVVMSGKTKVHQKGLSAISVDYTKNIEMKGLNK LSGLQITNIKILFGTKNKLSDGSNMIGNVIIPNPSVMTLELGNVTMNLSIDGTGIGTA LLPDLMLKPGENNSTMSAILDQEGLPVISLVQSKYKDGVIPLEIVGNSSIRNGEHLEY FEEAIKSTIIKVNLDIGPALKDIGLLNVTSSS CC84DRAFT_587625 MTLPGLQSRAASLTLSATFQPPHTHTQRHEDSLLKVPASNTDAL PPHLHGATHGDVLSEATRHNKHTPPTSSIDPSPDSNLYPMSSQSSIAQLDGPQDHRPR THIYTLPPSLMTPQLHGKANNQPPKSAYRDLLPYCTVDTPLTEQQVVRLSDIAGSLRE VVALAVRARTNERARAVLREALGEGVARGVVEFWEEEFEV CC84DRAFT_1072442 MSLTLKIASLAVRTLAKPIATQIKRNAHEHERFRGYCVRFAQRL HRFDMRMRLGLLQDPAVIDRQVAKEIKAAEAARKKLDQTPTVKTEAETVAEEAMTEKE KEAARKKIEAEAKAAIEAATRRRPLSETKAIEMGANFVAEAFIFAVGISVIVFEQWRQ RRKARNQRDDIREDVEELKEEFKSVREELAELKSYHSEPSSTSLLPKFLTGKSEPQKP TE CC84DRAFT_1185555 MSAPSVHADAPPALVSDPEGLQYETGPLLGKGGFAICHPAKLLN HEHLGNTTVALKIVKSKMEPPKLAQKFVTELQIHSKLAHPNIVEFYRAFSFQKSTYVV LELCENGSLADAIKKRKYFTMPEIRRFMIQTCGAIKYLHQRNIVHRDLKTGNLFLDGD MNVKVGDFGLAALLVSQSDYGAIRRTTMCGTPNYLAPEVLEKTGKGHDEKVDLWAIGI MMYTLAVGRAPFHSTKREDIYRKLKAREYSWPDLAKCPNEITDDLRDIVSLLLVHEDE RPTPDEIVAHPFFTLGHIPLTLDSACTSRVPKWSKVRPPTAATIKRGYTDEWWTLCKS SAVGKYGPDAGQTFGAYGARRNKTVARDCQKEIESGKQPSIPFAKETVYLPFPARVQW PFQSAGGLSEITEEKDSSSEGQALVETTGNDRMKGRPVRAARRAEVMPTLQENNDPAQ EAKPVPRMVEKQPTRLRSVRKISNPRVTGAAAPAPVPLKVSKETRSSQRTKTARDTSK EEEPLQELPSLRVSKISSRIEQKQEPTAPKPVASQSTRTRTAPRLRALSTEVPATDPT AVLAQLYTFRDNLARALERKPTYSKRDKQKKLPFVSKWVDYSRKYGVGYVLDGGSVGV LTAANKDYPVTVGFTTNGLHHLKQLSKDPDYLKAIPIQFWAAPKKDQGICRVQISEHR RADDIRLYWQKFCKYMCSELGDENWPKNDGERPNFVKFYQRLGNFGIWGFDDGSFQFN FPDHTKLVLSPDATYGKLVCLSMEGAAVLQDTNKVPWDFVKSRETLHGSLQQLLYGSV RQDDSYKELTEANGLREKLEFIQTIVQDWISGGGLGCLGKLQDYEWRGVQPATDRKRH DWASKGGFAKKAVFESTKKKEVGVSDLTLLSKISNEAINDNLKKRFENREIYTYIGHV LVSVNPFRDLGIYTDQVLDSYKGKNRLEVPPHVFAIAESSYYNMKAYKENQCVIISGE SGAGKTEAAKRIMQYIANVSGGSNSGIQEIKETVLATNPLLESFGNAKTLRNNNSSRF GKYLEIQFNAQGEPVGANINNYLLEKSRVVGQITNERNFHIFYQFAKAASSNHREIFG IQQPQQYVYTSRSKCYDVQGIDDHAEFKDTMNAMKTIGLSQDEQDSIFRMLSAILWLG NVTFREDDKGDTVIADQSVVDFVAYLLEVESAHVNKALTTRVMETSRGGRRGSVYDVP LNPTQAASVRDALAKAIYFNLFDWIVARINISLQARDAVAYSIGILDIYGFEIFERNS FEQLCINYVNEKLQQIFIQLTLKAEQEEYEREQIKWTPIKYFDNKVVCQLIEERRPPG VFANLNDACATASADPAAADQTFAQRLNSLSSNPNFQPRQGQFVIKHYAGDVSYAIDG MTDKNKDQLLKDLLILLGNSSNNFVHTLFPDQIDTDNKRRPPTAGDKIKVSANALVET LMKAQPSYIRTIKPNENKSPTEFNEPNVLHQIKYLGLQENVRIRRAGFASRQTFDKFV ERFFLLSPKTGYAGEYTWSGSYESGAKQILKDTNIPAEEFQMGVTKVFIKTPETLFAL ETMRDRYWHNMAIRIQRAWRNYLRYRTECAIRIQRFWRRINGGFEFIELRDQGHKVLQ GRKERRRYSLVGYRRFMGDYLGIGNKGGPGEVVANAIGISNQEVPFSCRAELLVSKLG RSSKPEARTLILTKSNVYLVKQVLVNRQVQIQAERTIPVGAIKYISCTTLKDDWFSIG VGSPQEPDPLVNCIFKTEFFTHLTNVLRGSLNIKIGETIEFNKKPGKLAQVKAVKDPA VPRDDLYKSGTIHTAAGEPPNSQSKPTPKGKQVAAKPITKGKLLRPGGPGGGPSKLAS RPKPTPAPTPVAAPAAAQPRAVPQPVAALSNGHARNTSTSSNRAPPPPPPPPPVAPPA PKEPTYKALYDFAGQSAGELSLQEGEIILVTQKEGNGWWLASRLDKSASGWAPSAYLQ EYISKPAPPPAPPAPPARPANGVRGKGAPPAPPAKRPVAKRPVAGGEARDSGYSGSNS DVGARDSGGSIAGGLAEALRQRQAAMQGRKAAEEEDW CC84DRAFT_1174232 MTFNSRNSYMNPLVAAVGKEIELRHILPSSFGSSCQHHQFKFFD KDEGRFRFWDSQNEQITDVDLVGNLDPFNAECRAYGRIEEIYTKYRAKNIDIGIIAIP CYGYIEISSSHERDIVARFGPLDFQRSETNTITPLRAIVKQYSSHIPIDPKKRSIKLM LRDLKTMHNNGLYPIDIRAENYREGRLVDFGMALTEPSCVLRVLDGYMADRERGRGLG SRYIWNWAARMGISNKSGAKGEWRR CC84DRAFT_1240371 MAQTLLDYLRQQNPTLGQIHHKSGSTNTKNSQYKVPQRVARWEG FDSGTLQKMYPILLNRVRTRDALGFRPVDLQVKGENGGFSDVVYCWNREIVVRALEET REYIRHGDLVYMVRGEKARHPQGATKGFRPDWAAILLDADGKARGSSPTNFLPGETKY SEKWRSAWVEVGDFKHMFNSQNELPEWFKPLAQVFTYCYHLKTRYAYIITDEELVLFR VGPSEDGPEPSPTTWQCEVEALRFHGKIEFVSVPWINGRSEEGVELGGPNELSVNTAL WWIHLQAAHKNSIEWTYPSLEEEILAIQDSFRLEDDVMFPRNDSCGASAVYTPTERAA SDASDILPSFVVGQETAQFAIPRSSAASYGLRSRRRNAGRNQATSSFGSDAPNTSFVS HSSITSNKNRVKKPKAKISKRGRPERSNSGNNRGNSKKS CC84DRAFT_1240377 MSSPQMITADAQCPVQELQLRPVGKWITTIREGGGPDLLAHDTD DDITFLRILPVKQGHAEVTFELPPERPMSRLGNDEESAKDKLHRSLRPWDVYKVAPRH LRIS CC84DRAFT_592418 MLRNACKQCRHQDLAVLQASNGRINFCWMRCWRHGSSSSRDLRA STPNDGRVALAQRDFRESHPFHVSRPNIVHPRYVHTKIMSAIRFGLIAKEVRSFVPVS VVGGAPFLRNQTSNVCPTKTVLAITRTRVDSCSIESNSNIPKRVTSEVLLPRLSYSTA MPKLRTAPVDFLHLNYSHLRTRQDLKGGCHVSFCVYV CC84DRAFT_1086456 MESERVHYIDVKEGHILVQPVPDDLIPAATLDQQLQEEARMTNS IEVQLEVTDERARALAASLSLEEQVSLLAGVDFWRSMPIPSKDIPSIKTTDGPNGARG EFFTNGTPAALFPCGISMASTWNLDLIEEIGRHLGDETKARGANVLLAPTICMHRSPL GGRNFESFSEDPFLTGKLAASYIRGLQSKKIAATIKHFVGNEQETERKAYDAVITERP LREIYLKPFEIAVREASPWALMSSYNIVNGVHADENVHTLREILRGEWGWNGAVISDW TGTYATAPSIKAGVDIEMPGPTVWRQVEQVKKALENGEITREEIEKAAGNVLYLVDRT KGLNDQTTEPREQSIDNEGTRKLILQAGIEGLTLLKNDGGVLPIKGAKKIALIGPNVK RAIANGGGSAGLNPYYKISPWDGLRDRFDGEVVFAQGCDSSKWLPLAAPYCTIPDGQQ GVQLEYYYGDKFEGEPAVIQHKVNTDLWLWDSAPKPVLPAYSFKVKATFTPKSTGNHT FSFASVGPGRLFVDGNILIDNWDWKEDGEAMFSASEDKLQSIQLEEGKPYELLVESTN EVRPATKASIIGRQHDYGGCRIGYQEEDKIDRLEEATRIARDADVAVVVVGLDAEWES EGYDRGSMDLPKDGSQDRLIEAVLQANPRTVVVNQSGTPVTMPWADRAPAILQAWYQG QEAGHALADVLLGNASPSGKLPTTFPVRLEDNPSYHNWPGENLKTVYGEGIYIGYRHY ERLKIAPLFPFGHGLTYTTFTYGAPTISSSVLSVSSPVMITVRVTNTGSVAASETVQA YVKDVKSRLPRPEKELQAFDKVFLQPGETKDANLKLDKYSVGYFDTSLEEKGLWIAEE GAFEVLIGASSVDIRAKAEFEVKESFTWVF CC84DRAFT_1162658 MNPAHREGWPPTQSQASGPGQQPTEQVQGQTQTSRPLGSFGPAN ATQQPQSHTSPAQGPAPVLPPPSGGPFYPSNGASQHSQSLPSLAGLTGQPPQASPHMS TQRPPSSEAAPIATNAQPASQGPPYSLPGLSQTLQQQQPHGPPPGQANADREREHRER ETREREMMESHAAQQHAAQQEELVKREAEQREREIRERQQQEQVTHENHAAPIQIHQP VAVAPSTRTVHGPNGLLGQSGPLGGPAMTAPNAPNNIFGGAVVQPVQQGETTPRMQHA VQPPPQPSMLMPFAGPQSAAAAMAMGQGQQPILNDALSYLDQVKVQFAEHPDVYNRFL DIMKDFKSGAIDTPGVIERVSTLFAGNPNLIQGFNTFLPPGYKIECGTNGDPNAIRVT TPMGTMVSTMPAPRPLSPPRNAAVNGNAPPTETTFYDGQGRWAQNIRDIRPPQAAEAQ DPAFSPNARNLGQALFGPQQGQVGPAPLSPEATARPHPDAAASAATLAHQQEQRGVSQ LQNAVSAAAGRSILSPGGDISTPMAGQALNGVAQGAQGGAGAEKRGPVEFNHAISYVN KIKNRFASQPDIYKQFLEILQTYQRESKPIQDVYAQVTNLFGAAPDLLEDFKQFLPES AAQHRAQQAARHNEEAAMLSNARGDAGYGQAPSHQQTPRADTSRLPPMGNFAPTPTAN RDNKRKRGERQGAGAAAATMPTPMPQDVQQSSVRSTYGQGTASKRAKTGHAKQPIVVD AAPLSPTLIPAPPAPMPPTTTSNPSQEDLAFFDRVKKFIGNKNTMNEFLKLCNLFSQD LIDKSLLLYRAQSFIGGNQELYAWFKRFLGEDEEQSSTQPKTVNSRVSLSNCRSFGPS YRLLPKRERERLCSGRDELCRSVLNDEWASHPTWASEDSGFVAHRKNQFEEGLHRIEE ERHDYDTNIEACSRTIQQLEPIAGQLLTMKPEDRANFVLPPGLGGQSETIYKKVIMKI YGRERGAEVIRELFQMPWSVVPVLLHRLKAKLEDWKAAQREWEKVWRDQTQKIFWKSL DHQSLTVKANDKRQFQPKTLQNEIQVRYEEQKRLQEIQEITQPDYQFKFSFPDEDVLY DVAHLILTYAENLPGDYSKVTPFIKEFVPLFFGIDSAKFEQHVQVFARESSTNGSGED TPSADEDVSQRAQKHKKGDLRRDVLDPRGKSRKDKEGSTASVSRDSSPGATSAGEEEA AVQSSNSASRDERPSVTWVSHTTEQVSNRDPDPEEPYRRSEYNMYANASIYNFFRMFV FLYERLYKLKEREVEVRKIVTRAQMYKPAHELKMVDKMPSDFFKDTSDGANYYQQVLE MLQDQISGEVDMAQIEETLRRYYLQVGWQLYSFDRLLNSLARACGNVVNNDSKDKSLD IYNLFRKDRVNDTTTHRAEIAYRKQVEKYIKDADTYRITFDPSQMEAYVRLFKKDDPT FEFNQLDKIRSWRAYVASYMAVEPTETLEFNKLRHPYLSKRLAKIEDVNEDDRFDTLK SEDKITVSIIPETYTMKFIASEPLGTGGVQYFVQPDEIRSGAGSKEDYEAFVESHKER AQEFFVRNNEWMKNLDRDEVDKNKAKFRKDLDEPQKVEDVEMGDS CC84DRAFT_589564 MADFFNIKARQQAAAQASSSKAPASKAVTNRLQPWVEKYRPKTL SEVTAQDNTIQILSRTLQSTNLPHMLFYGPPGTGKTSTILALAKQLYGPELIKTRVLE LNASDERGISIVRAKVKDFARQQLSSAPTHSITVEDESVEGGFKQVRYRDRYPCPPFK IIVLDEADSMTQDAQSALRRTMETYSKMTRFCLVCNYVTRIIDPLASRCSKFRFKSLD QGNAVKRVEDIAKLEGVNLDAGVSEELVRVAEGDLRKAITFLQSAARLVGAAQKEAAA GGKRKAHAVMDDDDEMDIDSAAPSAAAVSKVHIAEIAGVIPETTLESLTSAIFPGSQS QIQYTKIAKVVEDMIAEGWSAAQTVGQLYERVLFDERVGDLQKMRITGLFSEVDKRLG DGGDEHLAVLDLGLRISGVLCQG CC84DRAFT_1185559 MAAPANKNIKDLNGKWIINKTHSDSTDPVLALQGIGWMTRKALG LATVTLHTKMYTKTPEDNAAAGDVIHIDIDQFATGGVKGTSEHRALDWKYRPHSDWLF GELQGRSRLTTLDAVRKEAREEGGTKASDAEYVTEGFLAETEGGETIESWVDNEGKKW TGWQIWGFATVNGERWLVRKFAIRRKDKDEVVKVRLCYEWAGELDS CC84DRAFT_1162661 MKPAAFFVVVGQMALAFAAVNETSATDETSACVTTTIFTTKTVT VTKAQGSSNSILLPSSTGPASQPPAHSTSAEPVLPTSAPVAPNVTASEPTSEPPVVIT STFLSPAPVAPYSTTNGTWSVAPSHTAPHSSGTGVATTGTASATPPQFTAGVGKMAFS RFALGLVVVGGTFLSL CC84DRAFT_589048 MDYGHIQGRPLAPRPLGPPPLLEPPPDENKKRKRAAIACKPCRE RKSACNSVRPVCAACEARQTECYYLTKDESETRLQALRRENKTLNELIDHLRTMPDDE AHAVLQLLRNSANPQSILKNIRDGNLSMVQPSQRETALAALPLVHSETEFKLMVDHSM AYPALDLTRSAILAKTTLLESTRMLAHDLPPEQAASGIFAASSAGPSELGDNPEDLLR AQAEPNIETDGEFPQSIDPLLDDLRIKYWTTVEVTDDFAASAISMYLENDHPTLGLFD ARLFVKDLIERKHECCSSFLVTSLLAFASQAYSSKEPDAASKSFEFEKEAEMLWRAEK QDSILTIAGLSLLFLSHGGHGSGREFEILHEASNMAKRLNLFGVKNVLDDAQISLLSP VAQRALAHTTWGVFNLHILQAQFYLTLSTQYEPRVSIPKPPDDNDLEDFASLKILRSH DAGLVFYQLCKLSVHASGIFLVVRDTTGSKTPPPLAFAMQQYNRLLEFVDSLPNGMRR SAGADYAPVLDFHIVLHTLITDLFRPFITRERDDGFREFYQKQSSPEAIFASSVHQLK GIIVEYTSQHPSAAHHLYWQTALLYVFNTIVKDQSDPQWRFYFWLCMHCYSKLFICYA AVEDYVQSLLAIAVRYGAISRKDATRVMQEGFYKDGKAKRVRSRREGQLQAQRSTYKL DLDLAVRDRDAADVSALIARFEDMDMFEEFTRIDESEESEGANDFQK CC84DRAFT_591855 MKEWEKLVQRLADNIRPNHLTLYLIVNAEDAQTARSILKPLDQL PTLKNCGLWLNKDKIPELKTIAQETAKCLTSSVRTMKPFRYLELPIEIRWRILEYSDL VYDRAIGWKAPLPSLWKMPSPYCSCEHELDRDTIDSGMHLPDCSRDEMDAIDLQPYVA DYKFGASHCCKKSSRFTRCNLTPAGFCECIFHCKHSAYSSSLLAQPRTGVHPLLLVSK QVSQDAVSVLFQRNRFFVIPPCNLFALWHPDQLEFDPERIVTPMPRLELSLFLSSLAR NALRNIRYLEWILPQFKNYTTASKSAYLDYLDTVEMMAQAMVLPQLTLVLDLRGGIKI KDSIENNHFYWLTRVAPDGKVYDRVLHPLRRLEGLKDFFLYLRRVNKRFVPGGCAYDN DEMKYEKAVMGEE CC84DRAFT_1162667 MALAPRFAGQKLASSSIQPKAVHTLELYLDYVCPFSAKLFKTVY SSPLRDALLQKYGDRVVTIFRQQIQPWHPSSTLTHEAGYAVLKLSPEKFYAFSEKLFE QQKEFFDVSVVNETRNETYKRLAKIAGEVGVDEGKVFDMLKISDKPGEDGSLNSGNGV TDAVKVQVKANRLVGVHVTPTAVFDGVVINDISSSWTKEQWEEWLEKNVA CC84DRAFT_1162668 MILRFVSKEGQFRLTVDAATAFPDILPQIAEKLPSNVDLSSITV SNKPHGGDARKLSTLRGITFNQVGLKHGDQLFLNFDEQTTVSNGHSDAPTGVARLNGK PVDSSEVPSVPLGSPTQLIKNPWEVVKQSPLDDRLDKLDGKIARKLDHKMCRHGPKGM CDYCMPLEPYALEYLADKKIKHLSFHSYLRKINAGKNKPESGTSYMPPLTEPYYRVRP DCPSGHKPFPEGICTKCQPSAISLQPQEFRMVDHVEFASFDLVNDLLNFWRTTGCQRL GFLYGRYEEYPEVPLGTKAVVEAIYEPPQVNEADGISLGEWDNEKDVDELAHQCGLQR VGVIFTDLIDAGEGDGTVICKRHIDSYFLSSLEIVFSARYQAKYPRPTKWSETGKFGS NFVTCVVSGDAEGQIGIAAYQASNSAVEMVRADIIEPSAEPSVMLVQSEDDNDALNRA RYIPEVFYRKKNEYGANVQENAKPAFPVDYLLVTLTHGFPNQPNPLFTGPKFPIENRE AMGEAQEFGSLSKALNAKANGVALNTTSGLKAVSNFHLLTFLHGIGILAKDEEALLAK VASGHDLSDGLQLQHSGGWATLLTILKEAGG CC84DRAFT_1174242 MWPFDAVDWVMLSIPLAYLGILTGSLYTFSSIYRKRKAYHAASL EPWFPPHLQRNVYLSLLHQEDPKVPDSILKAALLRRATEDISRIIQVRSQKQALQVLL QRGSVGDDLWQRFQRAEKEIEEELRDVVQEANAFAPNWGQSIFQSASELSQNHMLRER LNEILATADSERQWWDQRRSEIQSELLGELDADKTKSPTEGSVAGSVTGSVRGAKTAS DDDAVLVESGGPAEKTGKGKKKGKK CC84DRAFT_1162670 MKLSWGFITSSLYCAAFAAQSGSVYIFDPVPRTSPQAATTIDAT TARLILAQRLGLSRFHTIEQTRSEESLKQINAFGGRHQKLFGGDDADRAHAHALVWIE GVEDAEAMIKDPKMWSSSLTIANPPSASDNKHLIEDMILQAQSLPQKLDPTGATYHSN QFIDRQLSELRQPELFNDYLTVLHIDLKSKDKMAKPSVEALGDMISALMAAPKENQFA VTLVVMPPSASNVKRATNPYGTYQRATLEARRELTETIMSLASAEPATSPNPELPSDM EDFPVIAMAEGNDTTAPLGILPRCFATEDACTKATHGCSGHGSCGVLHKGKKGERADC YGCMCKPTVVDRGDTGMEAHKKTTYWGGPACQKKDVSIPFWLFVSSGILFAFLISGGI GLLYSVGSEELPSVIGAGVSGPVRK CC84DRAFT_1204083 MFKPQVFRRSFSLFRRAPTPAIVKSASQDGNEAIRIQRVRIQRR VFTTSRLAGSIVVAAGTWKFLDWLNEEIEDEEELEEHRRARRPPIPAQEANDNGGREI TDEEEAEWEEQDDEEEEEEDGLLFFPTGFSRPRPRSYYRGSDPEWQTFVRLAQDRPRV EKIRGELVTMVRDLASRNPKFTFLLGKIDTTKGSIWIEVKFPDGPPLEYERPGIVLTE DLTFRKGAQLVDPIHHRKLTNVLMPTAVANSVYADVKRRLYGQWLDFRKYAGFQVKQS PVENMFKGLSPPPGTATSATQPPVGPSTPGAPAATDAEKQAQPIAPSTPTNPALEKLG LTLPDPGQAPTMNLAYFRLMLMKNRKPMPVEPPRGTFVVTGLVELIGDKAKMTLDITA CYDPNMAKYVMLNTRIRSMTKYKQQPRGGP CC84DRAFT_589057 MRPFAFIECGEQGKVSKDDDWVVRSHCMLGKNKKSGTRRRIRKE KVRAPSKRDAVDRPKPITTLVYESVVHTPAYLDVNPTMYLPWPVDRQSWYYLWRLSHY LPEMYPLDGYLNEDYPVDELSPDDEGALTTSLMTASAHNDYISYQPPSNHTRHLIAKT ISYLNRLLNKNDDRSRNSAICIMIHLAGVAMFCGEYNAASTHISGLFEVLRLYKAHQA SYGVSRTTSQTRYDILKYKIERIAFCQFYITGESVPFYAQPPSWEPLEKDASLPNGEV RTGSSKLDSVFYDFRALTRTMGQTSASQLKLEAQYFRNTMHSLQARVIALNPGEGTPF AECLRLAVTAAITSQTQLPMRRLDHHHLNDQFRRWMPLLECPDDQKGRDVVLWIVMAA FIVAFHPEEENDAWVGKLFREAAGDAEDWEAARLRVMSVTWIPGYIDAADEKCFNRLR KKWPLKGPRAARQGQVPGDVALPARIARLEEEIEQF CC84DRAFT_1162672 MATAYETEAAGLHQTLDQPDLSNKRRDIAGEPLTERPFTADEKA AALEASSHTPSVAGEDDGFGGELPTEEEKKTLRRVGDKVPKAAFLVAVVELCERFTYY GASGLFQNYIQRPLDGRDGPGALGMGHQGATGLSTFFQFWCYVTPIFGAIIADQYLGK YNTILIFCFVYIAGLLILTITSIPSVLNQGAGLGGFVTAIIVIGLGTGGIKSNVAPLI ADQYKRRQMKVTTDKKTGERIIIDPSITITRIYMIFYFCINLGSLSLIATPYMERDVG FWSAFLLCLCMFLVGTVTLVLGRKVYVVRPPQGSVVTDCFRVIWMMIKGRNMNAAKPT YQAGLGNDAIATKIKWDDHFVEEVKRALIACKVFCFYPVYWVVYGQFSNNFVSQAGQM ASHGIPNDLMQNFDPIAIIVFLPIMERLVMPALRRAGIRFPPINRIVAGFWIASMAMV YAAVLQHFIYKAGPCYEHPGCDASLDANGQAQGNNIHIAAQTGAYMLIGISEIFASVT GYEYAYTKAPPSMKSFVQSMFLLTNAFGSAISEALNPVLYDPAIQWMYVGIAVASFVA GCLIWVLFHHLNDTEEEMNAMDQDYDADPTLRRASVHDDRTVGLHRRSVEEKPAMNA CC84DRAFT_1086442 MGLSKTTRILILLAIDSLFFLLEISVGYAVHSLALVADSFHMLN DVISLLVGLWAVKVANQKTNSNMYTYGWQRAETLGALVNGVFLVALCLTIFLDAIQRF VEPQEVSQPKLVLIVGSFGLASNIVGLFLFHDHGHSHGGHSHGHGDEIQSAEEGHAHG TDAEDHAVADEEGNVADVLPQSRIGNWPSTATDGPNTPGKRPTDISWSAKKDATPRRS ASGKRISRKHHRSRSRGYSGPEDIPVYPSSFRNSIIESSRLEEDSSSDADNEDAVANG GPTEQSPLLPKGKGVSGQPGSPKKDAHTDHKHAKPKEDGKGGHGHGHDLNMRGVFLHV MGDALGNIGVIASALIIWLTDYSWRFYSDPAISLVITVIILASAIPLCKAAARILLQA VPAGLSIDDIKDDITELEGIVSCHHVHVWQLSDTKLVASLHVQVAFDFKGDGSARYMA LAREIRQCLHEYGIHSSTIQPEFCLDENHDHAGNTDESSADEAAASHGKASKVGSKTG SVCGEGETCLLECSDACGNSKQCCEPAA CC84DRAFT_591759 MLHQNRHPLLGLLAQVLPHGIATGGPLCVAVDGTRWDANLLKLW EELLHSPHVTLVEVVLQVVPVGTDAVHGLDLGWNKLAAPSFERTIRTVVFSEFLVDFT NESELVGGIPEYCSLLIDESADLGEVESGLGLSALWAMLDDFAGICGSNIECRSSWTI LLCRADAKRLHGLERKHCSRKVQDGWRREAQIWTSVDIC CC84DRAFT_1174249 MMIQFIVSAFALLLFPLASSWPLDVVSTTEALAKRLDKPPVPGA SDLRPHLEDVPSSSCMFYTGGTLAAAQQYADNNELFILADLDKDGWAATSQEDEDGQP DYNVQCPMSWAFQEQYAMAGVGWGETERNEYFDNLSEAFAQKCNGDIVLALPPSRQIP EDSVFARVEWPVLQFNPTVNTIRSVVLNTGDDADGTPLSPLEVFWQRCGAA CC84DRAFT_1204088 MPHSAQRSIFYLGVLAQLISRATAADCSQQRKEGDPTSAEIADA LTKNNALSNICSGNFSPQSTTDNTWNYWYMNYIVHRDDSNKPLENCNDAFQNIIDQCI YGGNYWGGEWSLNGFKYSITNSIYDQTPNNPLAPGDAGGPSATSSSSEAAAPPAGATV VTETVDGNTVPVTFVPTTFSDYATLTSTTTITTTTSDYSGNVVVIPWIVGPGGIAFVP VGGVPLPPGITPPPVAPTDPNNPADTEDTPTTNDQPSSSSSACTRSLSFAAAAGTPRE GLQIPIWVQTGRTPTSSSSSSSSKKPNIQTVTVDCNVCTLIEGSLHPVCTPIPGCTLT PSTSASSDPPAQTPYPACPGVDLGGFSCGGQCRGWVPCPDWCIDNCADCFNAHNVC CC84DRAFT_1162675 MYSFEPLSKNNISGTSSLHASTSVARGNVSEIVAMGTSITIPCE IDESQRASHVILATRECPLV CC84DRAFT_1141828 MPSTSKMMLTLALLTVAYALPTPQLAGEGAAANSLLSSTDNGLD TIDGQSTSGAADLGAQVGNAEASTLEAVGSAIPKRQLDKISNGAQTLSNAAGTGAATS TITTGLDSIDGILTSGAANTGADLGNTEAGTLEAAGSSVPTSLRI CC84DRAFT_1162676 MEVESRPHHPLPGPHHKHYEQHHDHHHHRRSFEATRQSLPPPQT ARSPPAPLPLHGVPQKSPSNPPLASPSQYHAPQPPKQSPREEHSVVSRMPSSAPQSHP HSPIDQRNGQSYPRTAQEVQLDAIERLQTQISQNSSALVAQSRDMRRYEETLKHEEDN LRREFTTHFHQQNVEIRRVDEAVGRLQHEMLGIRELLEGLGREVHAMRGGGLSLSGQD TALELMAQQMAVMNHKTNEVETLKITIEIMKNKIQRLEEVAAAAAAAAPAQVPSSQYA SPREASVRPAQPPYRAPPTQVPQIDIPVPSGQRTQSYHSQGSQSVVATPDASQRPEPA QTQPGWVAVNAGTKRTHTNGTDSPQDSIGPSLGSPGKRPKLAAIEPRGAYAGAAYAYE HADTDDSDAARMQTHRHTLPSQSLPPNSVPSTLASQHHFIPYGTQDGPSDESWRPESQ RVMSELRTPRGRGRGGGPGSRGGRVRKSMGVQHTHALSTPDWERDDWQGVPDSQISPD GFYNGTRSGKGIIRRGSGGSGRGGRPASSGRSVSLGLQGVSAGAEFGVSVDPYAHTKK TRTKPIRNADGVLIRKDGRPDMRSQSSAANLRKVHARKEEEERMTAEQGYTPTPSKLS NSVRGTATPSPTGYGPAGSQGPTASQQKHHELMSKIFPSGVDEVRKDHDYARKLFDED RDHTAQPRTAQHQHHHHRRGSASHVARSTEIKREHGDEQRVSESQNEDVDMDRPEDHA DDEGQTPGGQSDGSGRESQYHDAEGQEAQPHEQQGHAQRPREEVAQAPQTTGESSQTL GAASQRPAEGTGSTATLA CC84DRAFT_1162679 MTKRKRGSFQPDDEAAPADFGLGTTLAYLKGDKEAAVPATSATS VNDDDAGWTVVGAKHRQDKHAQKHGSKANMRDQRRDEAYKRARLRSPSPHRSQSADSP SAEDIEVGGKPTEAPTDAPAVFMENPFATKNGDSGDPSLQPRNPFSTCEKEAERAEAC TKDAGKSREERRKDRKLERHYPKIIHSHNARLNNSTKISDLQALALYILADGTAPQWV GVESRTSIRKVVVLMVPGLELDMFNGKIPLESSATDADANTNTKPKRLDIGRDDYYPA SLRPERLPESLKPLSEVFPHVWPVMGPVENRGKNYVKLHSPIHAMLSSQIPKTQEEKH LKKSGKHKGPLPQKTNHWENQRTPVTQYLATLADQQENEYVVHPAWFTTHEARTAAQE RRKIAKCSADDGWIDSHVVSLEDGEVPEKDIEHGSVTAGRKVLAVDCEMCKDENDVSV LTRISLIDWDGTVVMDKLVKPDTLIKDYVTQWSGITEEMLRDVTTTLADIQKELLEIL TPQTILIGHSLNSDLNAIKLTHPFLIDTGILFPHIKGPPYKQSLKWLAQKFLRKDIQK GSDGHDSIEDAKTCLHLVQQKCERGPRWGTSDTNAESIFKRLERAHRPKSNDAKRAGA MVDWGNSNQGPGAQAKVAIGCESDADVVEGIKHALSDLAVGKNGTTEQIDFVFARLRE LELVRGWWDDGRASDEAKARRQAALERLGLSLDSDEEVTEEALGKEVSKTVSNIVKIY DSLPPCTAFIVYSGTGDPREVRRLRQLHEQHRNEYRTQNWDSITQWGSDEDEELSRAV RRARHGVGFMVVK CC84DRAFT_1162680 MAPTTPFSASEISEKARRDLLLLLEGVRGKKNLVLEKALAGSVN LLVKSSTLQEYGVDKFFFLENDNVNSSQRNVVFLVRGEKAKTVMAVADQIKRLRRDSK IEHEFSIFWVPRRTLVSDQLLEEAGVLGEASVSEWPLFFVPLADDVLSLEDGDAVTDL YLRQDPTSIYLAARALMQQQQKHGLFPRILGKGDNGKRLADLLIRMRTEVTAGESSSS STASFLGLVPSSTVDSLIVIDRAVDFPTVLLTQLTYEGLIDEVFNITANQTEVDSSVV GGAAPQPGQTGSPSTSMKRKILIDPKDSLYATLGDSNFAIVGNLLNKAARRLQSSTGR DQLAAKSTAELRDFVAKLPGYQAEQASLKLHTSLAEEIIKFTHTDIFRRSLEVQQNIM SGLDPTTQHDTVNELINRDVPLSAILRLLCLESTSNAGMRPKDLETFKRTIIQAYGSQ HILTLSNLEKMDLLQPRGGSGLGATPAAKPGSVTNYTPLRKSLKIWDDDVNEAEPNDI SYTFSGYAPLSVRLVQSIIQKHSLSNAIKPPSDPRTAAQANPLAQGLRIFDDASKYVR GATFDETQTGEEKAIKARSMLNGSHNDGSKTIVVFFLGGVTRAEIAALRFIGSKLKEV GGEGRGSRIVICSTNIIRGGSLVDSAIETAQFTA CC84DRAFT_1115610 MAESIPAKLKAAQITPFVQRAAQLERFKPFITYWLRYYIIQKII AAGLHTADAECTTYTANLMDQLEQTKAANPDEDALHDETAAQAYCEQFAFTTFAKGEK DMTANAVTKNTADTLLAAATFFEMLTIWKKDPESEIKSKQKFAKYHAARILKALRNGE DPNVTNPAHETPAIGSPSALDPNDPEVQNLAPDTASPSTRNPYQPYVESAPDTTAQPS PTISAPKVSPPPMLPSAPTDYSAHRDVSPISQPGNNSRQGSVVSEGGGYFPRVNVPTF TADTAAPNLPTAPSVEDEPMGGNPFDPSSLASSLPTGPQIPQSPQAPSPQDYYHKATS PAPLGQNPHQAPSPQVSYQPSPNPYATPPPQPQQPAFQRPHQPHFNNSFAPHQPQHAP PPHQYTQAPQAAMHQGPFRTDEEAQMAAAKHAKWAISALNFEDVNTAVKELRLALQSL GAN CC84DRAFT_1204093 MVRSTAIAAAVAALALQADAAGLYPKSSKVLQVTTADYDRLIAK SNYTSIVEFYAPWCGHCKNLQPAYERAAKSLEGIAKVAAVNCDEELNKPLCGKMGVQG FPTLKIVRPGKKPGKPTVEDYNGPREAKGIVEVVKDKVPNNVKRVTDAKLDEWLDENK DSAKAILFSEKGAISATLRTLAIDFAGLVPIAQIRKTESAAVEKYGITKFPALVLLKA GSDEPIKYDGAMEKAGMVEFLSQIAPPNPDCPPAKAKKDNKKKDKKDAKKESKFSKAS ASHKSSEASSAATSAADETLEEANKPTESPDASLKDEDTPEPIVISEVKPTIPVIADA SGLQAACLNEKSKTCILAILPKDESAEAATTALASLASIHKKYDDLKSTLFPFIGVPS SNPIATSLLKELSLGSDDEVHLVATNGKRSWYKKYSGTAYGPIEVESWVDAIRMGDGK KEKLPESLLAAAEKEQVKEEKVPEQEPIKIEIEEVVEEPEAPIPEHGEL CC84DRAFT_1115613 MSTLQDDLVLITGSSRGLGLAIARAFHAHGAQIVLNYISPTSAT PTSTIAQELSAIAIQADVTDPTAVRSLFAQAEAHYNRPISVVVNNALAPFSFNGDARQ KIEDITWSSFDAQLKGAVQAALNTTQAALPGFETLGHGRIINIGSNLVQHPVVPYHDY TSAKGALLAFTRTCAAELGPKNITVNMVSGGLLRVTDASRETPEEVFESVQAATPLRR VTTPEEVSGAVLFFASAWGRGVTGQNLGVDGGLVM CC84DRAFT_1162683 MKYAAAIAALAAAAFAKEIPKDPERAAELYDSGVMHERIMADKN AQWDLQDQMGVLNSLAGPQFPELPFAQCKNGLAAPLDPFQSNNASTKFRCNNINLHHF LSHTDLGSAVGKGSSSWGWVSDDGREFAIIAQGDGAAFAEITTAGKLRYLGRLPQTTG VAPSQWREIRTFKHYIVVGSEEPGHGVQIFDLKKLLTIDYKKGPVTFSPDTDLTGYWN DLPLGRVHNVLANSDSNFAYVVGAQPRNSSCLSGLIFLDLTDPSNPKNPGCARDDGYV HDAQCVIYKGPHKKYLGREICYGYNEDSITIYDVTDKQFPETISITSYEGATYTHQGW LLDVNNQEWLISDDEYDEVEGRGVASNGRPVTFIWDIRDLEHPKQTGYYQGPRKNIDH NQYIFGKYSYQSQYTAGLSILDISSIPTDPTGRGVREVGWFDTYPEDDHLEGGGELKF SGSWSNYAGYPSGFILINTMDRGAFVVKTQKPLP CC84DRAFT_1162684 MPYKPFQSTYYDEKMRQSPALLRARAPYLIKNTITGFAICTMVI GIYTYTINVISQDEFDDVVVPDEPIKRSIVQMQPQPNAPSTTQSVQQAVAARKQ CC84DRAFT_592788 MANTHLEHARSLALTDSKYYPSLMPGVLPLIGPNSNASLEVQRF GADFLAEMFASPMWSAEAKQPIALLVLDTLKYYLDEVHDRGVIKGAVQAAASVYPLVY RHTISDPNDKQHWQTMIDIKSNILNRMNTAPPGVRICCVKFVQQVVLVQTPGVIDPRR PDHSDVSLALVPRDHPLLTYVHLEAEGHGLLDRLLDIIHGDHSDALLVTSVLNSLGVL IHRRPVVANKVLNSVLNFNPLKLANSPMTPKNKVSMKAIERTTRALLVNILKRNTTER PNDPNNGRIQHFLERMHRMRHDIMEESSRKRPAPAEPTNGLDPAKRQRLAAEPPTLAA TVPPLPPGPVSYRQLYTIDPENAAANFDVKMFQDPALVQQILIPILQSIDEKKLLEAT NVVRSRYLTLSHSMSRQQPLAPVDEDEYEPDDYEPEDAEQVANRLDSTGDITHVAPAA FKLPEAPRLSVEEVQQHGELAVRRTFGLIGELEEKGKATKGGFNRLAASDYGRDAWIT IATRLATRASAGLDDPNDGIKDEYAVKNIKGSLSVSESIRDLLYDYVIRDWKKRIDVA VSWLNEEWYNDAILAQTAAASSAKSSTNGITPPKGHYHRCALRLIDGILPYVAPQDKG LIRLYSELPTLDYEILSRMKTMAQDPERVGLATQVLQYLHMFRPPVKDVVVQIAAELW RENDRAKPKAGQLLKVWRPEVLAEAGGGEVKMEEANGNGNGNAVVEVQAAA CC84DRAFT_1115624 MLAPLVLSLAAVLVRAQEPVPSVPASISSAVAPAATEVPADSTD AGVPLFEEETTQLTDAVVADIVNTPEIEEYAHLFAFENTTTQLSERAKRQRRLAKCKS APGDLLYPAKLVWGIFDLLLGGALEPIVPLASYCYKDSEFDNYNADKCAAVTAGWTTG ELHYNDPGSMMFPLYTGPTCLLPGDVASHGNCTQGGYSAYSVHVTNVAQIQLAVNFAR SSNLRLVVKNTGHDYNGRSTGRGALSLWTHNLKGIQYINNYKSSTYSGPVFKVGAGVQ GYELYQAADKYGVSAVAGICPTVGVFGGYSANGGHSPLMQLFGMGSDQVLALEVVTAS GLFITATPTVNSDLYWALLGGGGGTFGIVTSAVVKVHEKVPVTVATWSFNSFMTGPEP FWEALKFFWDEIPNYNANKTYSYGTIINAGVGYLYTMAPFFATKKTVAETNAILKPFF DKLTALGIQYEFNATYYDTFYPAYQASWSDDDFHIGSTAGTPGVRLVPTDNWATETLR NQTWSAIRGAIDTAPVITIYNQRPATQDKIINSVNPAFRKEEAMVLMINGVADPSTAA GLKQAADDFANKIMGPLRKVTPNGGEYGNEADPWNTNWKQDFWGDNYAQLATLKKKWD PTGLFYVHHGVGSDEWVVDDGDRGIPTQDGRLCRV CC84DRAFT_592844 MRTGYHDQDVNFMAIDWVRANKLQFALGAEYNPVVCIVKMSFLW SLQKLRSPNKWIQRSLWAIQIINLIYMIISTCVALVPCLPLRKKWHPEIPGHCMQGDK YVLGNVTIVLVTDALVLLMPSWIIWDLQMPLRRKVMTISFLSFGVVLIAVGIARMIWL YNAFLGKAKSYSVESAYSAIESSIAIVGACGPTVKYILSSCVPSLASTRASSKRSGYN SSAQAHAQSAAKRSGTGRSRKPTDGYDDLDTFDVTGEHYEMKSDWKWTGKQEADARSD EQEITREVSDAHGGIVKSVEWSVSSRGEDDRRRGHKSQTDVSTEPAHVV CC84DRAFT_1194879 MAIRQRFASLNESVRLRGDAAHHEQTDAWSNRDLIPLPPSRRTW GWFNFFGFWSIASLNLANWQTPNTYLTQGLSVRQAMLVIVVGRMLIAMFSTLIAWAGL KWHIGFTVQNRYSWGLRASYIPLLQRILLNFIWNAVQCWNGGRLCAVCITAIWPSFAR IENTFGPDMPTTTYEFVGFVVFWFLSTPFLWLRPEKFKIPFLIVCTWCSVGMLAWMIW ALTVAKGVGPLWKTGQQIPAGSSWSSSWLIMAGINQSVGSLAAGITNGSDFSRYASAR RHYVIGTITSCLITGILVSLIGLVTAAAAQKIYGEIYWNPPDLLMVMMDSGNGSSKAR AGVFFLSAGFAFTAMFENICGNAIAGGIDLAGLFPRYIDIRRGAIITFLAAWIVQPWQ LINRATTFISVLSSFSVFLAPMMGIMACDYYILRKRRVRLSHLYRTDDSIYYFWKGIN WRTIPAWICGWAPTIGGLIVTVRMDPSPPRPLVQLYYMAFLIGFFISATVFYVLNLTF PVPDMDQNDDVDVYGTFTAAEARRIGVIPLSDDTSPAEYSFKIAQPHSAMDFVLVFAQ PTAADKFAGKLLLAPAGICSSMLGIARIRTHGICGRGVSEAQEDDHDLQFPRGSVLGQ NFATNAKDM CC84DRAFT_1174263 MHSASGQRNLRIGVDVGGTNTDGVILDPAKSQEIGRGIVAWHKA PTTTDPSHGIAAAITTMFKSASIEPSSVASVTIGTTHFVNAVITRDRTRLSSVAVIRL GAPFSKHAPPCIDWPIPLRNMILGHHALLKGGLEVDGNLISDIQEAEIVEQCKIIKEK RIRSIVINGIFSPIDTVERQEERAAEIVKRELGDVDVVQSRTVANLGFLERENAAILN ASVLSFARRTIASFQEPIKKLGLDCPVFITQNDGTLLSGDAAAQLPIRTFSSGPTNSM RGAAFLVGKQDHGEPMMVVDVGGTTTDVGLLLANGFPRQQAAYSELSGVRMNFSYPDV KSIGLGGGSLVRRIEGKLHVGPESVGYKLPEKSLVFGGDVPTATDYIVAAAKDLEIGD PRKVRGQLAGDDIDAFKVETKRMLEEIIDTMKTSPEDLPVLLVGGGAVVAPDELRGAS RVIKPEWSGVANAIGAAMARVSAVIDTVKSTEKRSAKELQAEIEEEAKRKTIAAGASA DSVEVVEVESLPLPYIAHKTRFIVRAAGDFDYERSADFATLHVNSTHQEDIEPSYSPG NNTTPSTEAMSVEHDPAVDIDFSRYRPKVTKREWHISETDLQWITIGCYILGTGGGGS PYSTMLRVRQMLRKGQVIRVVSPDDLADGDRIGSGGGAGSPTVGIEKLAGDEMMDAQE HMYKLFPGGRATHIIPLEIGGSNGLQGLVLGASSNMNIPCVDGDWMGRAYPTKWQTTP VVFGEREIVFAPVSVADGNGNVLYMPTATSDLKVEQVIRAALSQMGSHVGTADGPVTG AETRRWAVEHTISLSWRIGREVARARKENHIDSVAESIIDAVGGPETGRVVFKGKIVG VERKLWMGHIYGEVIVEGTEGTEFAGQIKIPFKNENIAAVRLHEGVESKTGDEKNEDV LAIVPDLISVIDAQNGEAIGTPEYRYGLLVLVIGITASERWTTPRGIEIGGPKGFGLD HLEYKPLGRFVKPVSVIDEYNVTP CC84DRAFT_1115627 MVSASNDSQTPPLVSLAAGGVAGGVEAFTSYPMEFAKTRVQLRQ QKGIPTPRNPFRVVTHVYQTEGLRALYKGCAALVVGSIAKDGVRFLFFDQIKHAFADK ETGTLSPGRNLLAGMTAGVVASITAVTPTERIKTALIDDARNEKRFRSGFHATRVIWH EHGILGLYRGFAGTTLKQASATAFRMGTYNILKDYEKTRNIEQNTLVNFANGSVAGIV TTLTTQPFDVIKTRSQSAQGASTAEAVKSVLIDYGIRGFWKGTTMRLGRTVFSGGILF TSYEAAVKIIEPLYSGVKHKVVEVV CC84DRAFT_1204102 MFPNQRYFAFLLVPSALALPAAQAQSNYISSCGPDWMAINDVKT NHGTVQRVGYNTAVDSFCNKAGGVSVGAGAYTSMATRVWLDYGSNPETTGLNGWVYFE IHNKQSSTHLVNAESCKQYLKKLSENTSGNSCYGPGNKDTKGGTWQVGSDAVSYHALA NKFPPNSDSVDKIVTQTGAISALGDGGKGNTLDPFPTYAFNDVTPFACHSHNDYTRDK ALYSALSAGCISVEADIWVHSTKLVVGHTDSGSNGQTFVNLYVNPLKKLIDERKAVFP TKPDQPLSLLIDFKNSGSDADKAWDQLVADLQPLRDAGYLSHYDGSFKQGLVTIVATG NAIKDLSSSAPSPIAKALSDATNPQHAIFVDAVIHKDMSRFDSSNAYYASAKWSDAVP KGLPISGDSKTKLDEAHSKGFKVRYWDIPGKDSWQQIVDSGVDRLNVDDLQYIAGLDW CC84DRAFT_592895 MSQPGRRDGRANTVSTTTTTHGPTITTTTYTDNGPRTTVTTSAP HASTIVSPTVTTTHQIPFSQPPRSSAGTQTEQQPSIRIRRAVADPNLQGDDADTHGNR RRSFSEPERPQPALLKEAEDLEIRRHASATPLQTLHEEGSGYSVPQVGYYTPVVPEKN PRRPAFGRQASAISLRHARNFPAGNYDADVVDVLDVIDPEVSTLTTLNNVQNSLFIPN FGRFYDRRPTYELSQSESTSDEEMAQTQAVGQQGGDEGTANEKANQDSTGHLRPGDSS RLSRTNTISSVLTGVSEGHNYAVLPHGASLPGWTAEEKALLNDRVRHLLHSRRARFRR SMRGFGRYVRKPVGFLITLYAFLLTFWGAAWVLFLIGWIGVGGRQAYFIEICDQILTA LFCVVGIGLAPWRAIDTYHMAYVAKYAHKTWRLRKERGLPALRNHNELPTLPETHNWE VDQDQDGDAEQPVLTTAEQEKLDHHQNKLANSHTFYKPHETTTHNAFSVRLLIAIVVL LDCHSLFQMALGGTTWGIYYKERPKALTAVILTCSISCNISAGITISVGDKRSRKKLV VEQMFRQGLTEEALHSLRKERGLVADGIKRKASKKAKGKKKSEVIASAN CC84DRAFT_1085945 MAASIEPSRLLASLSKAALLPSTVIPETFKPSVELSVSFNQKSV VAGNLFRVSEVKEAPTVAFSPESNVPSDATYTLLMIDPDAPTPDDPKFAYWRHWVVTR IPSGATVTAGEALTQYLAPGPKDDSRPHRYLFLLYREPEGKQKLSKEDVGGEEFVERR SFDAREWVGKWGLHLVGVNWMLGAGDGWNGGKDEL CC84DRAFT_1240486 METKFGDLEQHEVSRPSAATSQRPGYTASTPGEARLDRSINLKL DFIVCLLLGVGFMFQGIDKGNIGNAATAHTFFKDAHILETDVANSVSLFSATYVPAIG ISVVLGRIVGPRWWIPIMLLSWGAVTTAQCALSSRAMLYSLRLLLGMCEAGYVATTYY YVGTLYPAYMSGFRMGLISTSFTFSGAFSAIIAYGIFHMKSKSWADWQLLFLIEGAIT LGIGAACLAGLPTKLSTAWFLTKDERLHAVRRMALDTAAVDSVLNANSEEMDHKVSWA NFKVAFKDWRKMLIVLWTMCATVPSYGFAIFLPLMVRGMGYSSIRANLMAAPPFIVGA VALITWVWLSDHFHERSLIAAAAMFASCIGYIGLIASHSNKVRYGFLFIVMIGSGTIP PIAAAWLTDNTPDKATRSVMMGIFGWNNVAGVIAGQIYGSQYGPSYRVSASATLGIVL LGCFGFIGSRILYMRENKKRKAEIANWNEERFEEEKTNFERRGHEKEYFMFGY CC84DRAFT_1240489 MTSADVQTSKSTVLDDWDINNSAIVNSDNPQEIYKEYAYLRRQC PVAHVDKHNGYWILTKYEDVKEVASNNEKYISYECAIVPADPRGIRRPPLKFDGEQHK PYRRAVDRTMKPARIKRLEPIIREHCERELQVLIDRGHGDIYEDFAAKWTSWVEKEWL NLDDADSAILVESFSPFVTAWRMGNQWDVVKKWSDAWYGIAKRVVASRKEHPLDPEVD PASSLLLETDRNGNPLEEEHIIGCIRQIVIIAMVAPTIVVTAIAKHLSEDRELQTKLR NDRSLLPAAIEEFIRLYVPYRGFSRTAVKPETLHDTTIPPKEPITVVYSAANRDPEHF PDPDRFILNRENINTHLGFGKGIHRCAGVGLARMMMRIFLDTILDRCDYWEVDGEVVY ARLPEVGPTSCPLKFHRART CC84DRAFT_1162691 MPSFFQFVYGQLFTQLPKPTTSFEGKTVIVTGSNVGLGKEAARH ITRNNASTVILAVRSLEKGEAAKKDIEASVGKKGVIKVWQLDMSSYQSVLEFAARASK ELQRLDIAILNAGVAKGTWEVFEKDESTVTVNVVSTFLLALALLPQMKQTANLYNVRP TLTIVASEVHFWAKFPEENAPDGQIFHELNKKPAVLNADNLGNRYQVSKLLDVFGTRA MADRKSAQAVPVTFNCVNPGLCHSELSRDSGIALTIVKFFLARTTECGSRTLVHAAAS GAESHGHYLSDCKVTLPSDYVLSNEGYVAQNRVWDELMAKLEAIKPGVTANL CC84DRAFT_592945 MRIAPPLRAVAVEGRGTGEKVMSEICVRVDHHSESAVWSGGNSV GVGVSIGVSDDMGVKVHVEASVDVGVCVIEDVEAGVSVDMEVKVRVEVSDVVGVSVDV DVPVGAGVSVDVRASVDVRLSVGVELSVEVGSSVDVAVSIDVRISVKVTLSIDVIVSV SVELSVNVGVAVDVGDSLVVLDVLEQSHGHASVLEPAAGFVITEVWDEIVGRTGVAVV CC84DRAFT_1087356 MAVRAAEWHKLPLSLTELCINTTLRCGQSFRWRKSAEDEYSMAL HGRILSFRQDATHLHYRAIFPPSLPTPPPSNAPSLAPSVVSDDDDTLALVRHYLNLEP NLVQLYEQWASEDPNFKKRAPKFTGIRILRQDPWEALIGFICSSNNNISRISGMVHNL CKHYGPLIGHVGDEPYHDFPTPEALADPKVEAHLKELGFGYRAKYIAKTAQIVATDKS LAWLEELCNPESPVFGQEPKPAGQLEMGGREGYRKAHDELLALHGVGPKVADCVCLFG LGWSESVPVDTHVWQIAQRDYKFGKGKHSSLTAATYTAVANRFRALWGKEAGWAHSVL FTADLKAFSERVVAKTEVKEEVITVKKEEDGTEEIEDVKKIETIKRKRVKKEVDEPEH IVEVKEEVLTRSSRRRRAR CC84DRAFT_1162692 MNGDGYSRDGGRSGGGRDYSSRDDHRDRRGDRGDRGDRGDRGDR GGDRGGDRDDRRRRRSRSPRHSSRRDYEVDTYSSSRDYRERERETTYARRERRDDRNW GDSYARRDRPRHDRDDDRGHGRRDRNDREFEDRGRGGGGGRRGGGGGGGDGGFGGGGR DRRQKSASPPYKKREPTPDLTSFVSILNHPRRMTQWDIKPAGYDNITAEQAKLSGQFP LPGAPRAAPMDPSKLAAFANPETGTASTSGLLPSNSLQARRLFIYNIPQEVTNDDIVE FWNLQLNGLNVVSGRDPCVSAQLATSRAYAAITFKTAEDATVALAMDNISMRDGDQVG LSIRRPKDYITPVADGTATTGDTVSSHVADSPNKLSITNIPTYVDEEQVRELVETFGA LKAFVLVKDTSTEQHRGIAFCEYVDPEIVEHVIEGLNSIPLGEGTLKVTRATIGLQQQ IAIDGGVGAISMLAGATAADTRERSRVICLMNMVTPDELLNDDEYDEIKEDIEEECSK YGAILEVKIPRPAGVRSSAGVGKIYIKYEDADKAQTAIKSLAGRQFSRRTVLATEFSE EGFDVEAW CC84DRAFT_593015 MRFAASISLLLLSLAPLGFAQQAGKESGLTAIITQLPSCALSCL TQAIAASPCELTDTACICTNAELNANVEGCVLRSCTLRESLTTKNLTTTTCHAPVRSK NMTLKLTNIILGAVSATCVLTRILYKSFFSAGELGWDDYIILATVVFGIPSTIIQDIG TIKHGLGKDVWTLSFGTITAFVKWFYIIEVLYFFNVAMLKLSLLFFFLRIFPAKPIKR LLWATIAFNICFGIAFIVTAIFQCTPIRFYWEKWDGEHVNGKCINLNALGWANAAISI LLDIWMLALPLWQVLQLKLAWKKKVSVAMMFFVGTFVTVISIIRLQSLISFATSTNPT WDQADAANWSTIEINVGIICACMPALRLILVRIFPRALGSTQYASNQYYAKYGTNRSG AFKSGHNASVGRSQMGHDDAFASKNANAITYTTTFEVRHGDDEEQLVPMDDLSAKGHK VRSSGSSQASVSAASTPVVADPPRRLS CC84DRAFT_593033 MALAWTSWAGYWRMHLYTWVLARGSGYILDGGLPSRASRACMFS ARVQHRLPRSLILTLLPRTIICIRACLSCVWGSLPRSKMTDATCAVLDTNSHGTVARE ACFHAVKGEFATSLWRRRGWRGSSSWGISGFAMHAKAVSVNVRGRRQGGACEGLRAGM IWSGSAVLRDAGPCSVL CC84DRAFT_1086073 MGLEEAEGDHLSVITRDDLAGEDRDYQGIIWSKRMPRAEFRSKR RNEEKARLSKVMRQYNKRHPPINQTENFFSFRRMDKRHRPWGPHFQLRNMMAVTSRQD IYYAERLKGIFKTDASGSKAQPIIDTTKHTVNGNMPMITTMAAMDQVLIAGGFEGEYA VVDLASNNGPHTSMDVIRDWAPETKSFITNHVHLFNDRSSYTPQAVLSSNDFSLRVLD CATNTFRYKFPFSAAVNCSATSADGRLRVVAGDFPETLITNAETGETLQTLRAHEGDA FACAWADDGIHVASAAQDGTIAVWDARCWRAPLAVLPCELSIPRVLRFSPLGSGPRVL VVAEADDYVSVINATTFANKQVFDFFGQTGGVDFAPDGQSLFVANSEFGLGGIIELER AGGWDAERVLGDVEERYWDGKVVDWAGEDEMDGNYRVLCGKGERNRRGLDLGSVDV CC84DRAFT_1115650 MSLPQRPDASSPRRDQRHVFRENTSSRRRRTSDVENTRSHERTP SKTGTYSITNRPLPSPGTPVPMDRDPMIAAAPYGSRSTDLGRKRSLVRPERQRIDENH PNYHYRKHAKKMTVHPSTTGNDPIRESREEYESETVSSESTDLKPPHLRNASGGGYND KDAPLDDEMHQQRRLKRSKTQDKLEKQRQKEKDALRPPSLWNVYCAIVTFWCPNVVLK CFGKVQKAQQRAWREKMGLCSIIVIIMTVVGYLTFGFTETVCPSGGGERLQVNKVDTG YLIIHGKAYNLLNSHHPRAFGIQDGQNVLFDLPEKHGGQDASFLFQNVNGACKGLITL KPGSDVPTNANGDLAWYFPCNTFNQDGSTKPNTTVGRYLGMNCHTSSLARTAFYGLNS AGDVYFTWDDIKNSTRNLMVWGGDVLDLDLLEWFNTTQVSVPDSFEKIRTNPAVKGVD VTRAFSSSTDKQLAKCLTEIVKVGSIDTESIGCIASKVVLYVSLVFILAIVVAKFVLA LAFQWFISRKFAASKTSLGPVDSKERKKQIEEWSDDIYRPPPKLMDPAGPDRSSKRGS SILPSTSRFTSPYSMEKNVKTRAPPTTMTSQSASRVLSSYGGSGMYKQLNASQGTLPV DAKAPGSRSSLLLSGTTPEQYRSSTYGDFEGPGPAGFIHEAVVPQPPPEWQPFGYPLA HSICLVTAYSEGAEGLRTTLDSIATTDYPNSHKLILVICDGMIKGAGEDLTTPEITLA MMKDHAVLPHEVKPFSYVAVASGSKRHNMAKVYSGFYDYGENSRIPTEKQQRVPMMVV VKCGTPDEKKNSKPGNRGKRDSQIILMSFLQKVMFDERMTELEFEMFNGIWKITGISP DFYEIVLMVDADTKVFPDSLTHMISAMVKDPEIMGLCGETKIANKRDSWVSMIQVFEY FISHHLSKSFESVFGGVTCLPGCFCMYRIKAPKGGQNYWVPILANPDVVEHYSENVVD TLHKKNLLLLGEDRYLSTLMLKTFPKRKQVFVPQAVCKTTVPEEFKVLLSQRRRWINS TVHNLMELVLVRDLCGTFCFSMQFVVFIELIGTLVLPAAIAFTFYLIAISIKAAVLHT AAPLIPLILLALILGLPAVLIVITAHRWSYVAWMFVYLLSLPVWNFVLPTYAFWKFDD FSWGDTRKTAGEKTKKAGLEYEGEFDSSKITMKRWHDFEAERRLKTPTGGGWSQQSTT SGQWPPPMPQAASQHGYESYYDN CC84DRAFT_1162698 MAMADAIPPAPTRALPPIPTDAANGRPDSSFETRRSSRSSTDSR TPSLRRKPVPGTTPSGIPSFSIDEHEDTTAGARESMQSASSVVPSSTGHSRTPSRETP DIAAGGIEPITRDIPIRQLNISDNSYNARYSSVAEMDVANIPEEDDPARYSPDSAYDQ PAYQVLPPRQSSMPRGAAYSPEAGSRHSSQSDNSGGQNNFNFGFEANSPLQVPRTSLP RPSSAYTLGSELNAQNRHSPRLSVGGGSASPTSNGSQANYARQLAASRRSPDNRPVSY VDLLNLPYNQQIAPAANIGNAQLRGAVGANASLLDSKKTLDMYRANVKKTQDSAVQYE FALFMVQVAREIMAADPSVDDQGLASPELLREARQILQKLADRSYPFAQYYLADGYAS GLFNKDKPDYDRAFPLFVAASKHGHAESGYRAALCYEFGWGCRKDYAKAAQFYRAAAS KNHPGAAARLGKACLTGDMGLLNKYREGVKWLKRATESADHQYNNAPYELGLLHETGY GDDIFKDEVYAVQLFTQAAELGHAQAALRLGECYEHGQLRCPKDAALSVHYYNCAAQA DIPEAMMNLCAWYMVGAEPVLEKDENEAYEWAKRAAEHGLPKAEYACGYFTEMGIGCR RDPLEANVWYVKAADHGDERAKQRLAIIQAAASGQTHVPANDKSNKLKKGGKDDKDCL VM CC84DRAFT_1257628 MSPPGAIDPSPEVTAITDTAAVTLPDFLAAPIQSNEIGKRRRKA REGQWGIAAPSDTRDFRFKNYEGKPKAKRWDHYFSHEARVRKGNRLKGAAKFLTSKPG IISLGGGLPSSEYFPFEEISIKVPAKGKFSEAETKESGVVITAGKHDLANMKSTYDIS TAFNYGQGSGSAQLLRWVTEHTEFVHSPPYQDWQCTLTIGSTSGWDMTLRLMTRPGDC ILAEEYTFSAAVETALPLGVRMASVPIDADGLIPEAMDEILTNWDVNEKGTRKPHLLY TIPTGQNPTGATIPGPRRRELYKVCQKHDIFIVEDEPYFFLQMQPYTGANAPDVPPPS SHNDFLKTLVPSFLSMDTDGRVLRMDSFSKVIAPGSRIGWLTASEQFIHKYQQYADVS TQNPSGISQLVLFKLLDEHWGHDGYLDWLIHIRMEYTKRRDVILGACEKHLPRSIVSW NPPMAGMFHWMQVDFKQHPDYPQKSIETIEEEIFMRVIDHGALVMRGSWFYASEEDTH DTLFFRATYGAAKADQIEEAVRRFGEAIREEFKLAKGSNGDNLH CC84DRAFT_593194 MSTAAKAGIGAGCGVGALVICALVFFLWRRHREKSNHQHAAALT SAGQDSNPNPHTARQPMTEEQSAKYAATADQMLHSPRERYSDLMPTTGTTSPSPPYAP AGYGYELASSPVPQQYYPRPPSPRMSEQQRMYSPGNSVEMYHPVPVEVSGLHSPRPQP AYPMRGTDPSQVDEWRR CC84DRAFT_1162700 MTKGSSNNSGSGSGSGSGSGADSGGYQITSSSTNSQGNHYCHRD YGTGASNSNSYHYSNTDGSYYYSNPNGSTYYNDGAGNSRYNSGR CC84DRAFT_1257630 MEAAAAVVSFVGLAGPVAQGLKFLYDFTTDMKDCPKDIREMKTD LELVEALITNVIKQCNERDLQLRESVALAKAIARAQESVQDLKEALAMYMMNGKHRRF KFAAKLSQTQKLRTSLDRTKTIMFELKNQLQSDIVYDIRDTNQKILRTVEKTNKDLET HDRSVHHVAQRLQNQARTTNRTMDTIGQSVQQASDNSSEELTNIKLVEKQLERITDLL EGTTISPSPSSTTSTPALSREVSDFSVISSQETIATSIASSPSISRSVSQNPQPVDSI SEHPLLQFRDNQFHFLVACIFTQRSRNQRLACQRASECLAAYPTPIALAKASEQALSQ YFGGIGLQNMKPPRLIALAQAYVRDPPKAGVNRSKTKKGSCPESEITHLPWIGEQSVN TWWVYCCERTDVATKEKPLLEYIEHLKDRNGGAPFS CC84DRAFT_1162702 MALDNIGLILGALTAGGGITGYVRTGSVPSVAAGVSVGTLYILG ALRIRNAQPYGVELGLLASIVLAGSSFPRALKTQKPLPIGLSLLAAFGLWAFGSAWSQ GRRS CC84DRAFT_1162703 MAPKSRVPEKPSASTSSAPKANKGNSADAQQIVQDVWNKYVNKT PQRVKLLDSFMLFLIVVGVLQFVYCLIVGNFPFNAFLSGFSATVGQFVLTASLRIQTN PENKAEFESISHERAFADFVFGSLILHFFCINFIN CC84DRAFT_1194897 MPSRTISTEAVPSSVLHASLSIEPPKIVRAEGHYLHTSTGTAIY DASNGAAVSCIGHNHPRVKRAMSQQLDAVEYCFAPHFTTPAYEKLAKFLVDSTNGHLQ KVFVTGSGSEAVEATIKMARQYYVEKGEPERKRFIARDRSYHGNTFGALDVSGHKARR TIYEPLLSGSTSHVSPCYPYRDRRTGESTAAYVERLAQELEDEFQRLGPQTVCGVVFE TMAGLTLGAVASVPGYLARMKEVCERHGALFILDEVFAGMGRTGTLHAWEQEGVVPDL QTVAKGLGAGYMPIGALMVGKKVVDVLDRGSKAFMHFQTYHGHPLACAAAYEVQQIIR DEGLVENCRRMGEYLGERLRARLGAHPNVGEIRGRGLAWAFELVKDRKTKEPFPVNQK VAPTIHAAGLQKFEIALLPGGGVVDGVNGDLIVLAPAYNINREEIDLVVQRTAKAIEY VLGPATQNAKL CC84DRAFT_1215334 MSDDTRKTMKATFKVGFWHVVGRAPALCTTPAATASSGFQVHRR AVTYCLEEAGRAPDLEIMGMCSKSGTSTAVGDRRQLGPQAYSSQLDKLFLRNTRHLRW FQNAALLELCQRLHDAEGIRENPGALNNVTKHRAKSTSLRIRGIRSTIVVLNIEDVAP TRDATGSCYCVETSLTTMHDLINRLRHVSGDDIMIVTPYNARVRLLGAM CC84DRAFT_593257 MTGWKLSKKFKMQSNAPSSDSTTPTIKGPPIKHTLDPRGILNIT LYQGADFKEEPQNLSDSSLTSGLTDGHRPFYSHYALLEYDKAQVFINSFGRATNKPRW GVGKGATFSLKVTRAAELTIFIFLGDIRSACRSQHIFLGTATITLFDGATNSGSPWFN VQHGTDQIQVNIEYLPCAEDKTLGLEISDFEILNSSRTVLRVWEECGDKTLRPTWAME N CC84DRAFT_1240549 FIAPLSFTCQLPGKLQLYAPCISGGHLFHHLQRVGHFEEDAGRI YVAEIISGLEYLHDTLDTAFWLRSGDILLDLQGHVTLCNFGFFLRSDEDEETSARRLE YPPPESLIEENNSTQTVCRDKDGYKIANCWTLGVLLYEMLTGMPPFYSDDPETIRTRI LDHYITLPESLSPSARELLVQLLEPKPKQRLGAGGVAETKAQAFFSSINWHKVLQRGY TPTLVPNDDTSCYKHYGVDYPYELPLSYYEAMRRQFAGWSYKGKKPQFEIPVEITSAL SCSKASALTVSRNDDWQLVWDSTALEFRFHNRLTEEESLIPFRREDHRPSDGEEILSH ANTTPSPNRYQMLAALEAAMINGYDCATLQLLDYTVDLNIAFGHKGLYCTPLQWAVMW DKSHLVQAFLDRSEASPDPRAATQALALAVEKQNIEIIDILLDADVQCNFRNADIPRT KGLMLR CC84DRAFT_1162706 MGSEQTENESAPGARFPVVAPSALSADQKPVHDHITEVSKFVFG AHPPFEWTDKEGGLIGPYSVILYTPSTGTGFFDHAIKLGTDSRLPIRVKELVILAVGG HFDAAYENYAHARLAKLIGLRIDQIADALAGREAKDLGAGEVAAFRLALAMVSGRGPV SEEVWKGVQESFSREESAALVCLISSYAYIAMTLNAAGAPAPGPVMPE CC84DRAFT_1086282 MAQNDTTSEWGAVRVRTTFLDYFKERGHAFVPSSSVVPLSDPTL LFANAGMNQYKAIFQGTVDPASDFAQLKRAANSQKCIRAGGKHNDLDDVGKDSYHHTF FEMLGNWSFGDYFKTEAIGFSWELLTKVYGVDPKRLYVTYFEGTDDLEPDLEAKELWK SVGVPEDHILPGNMKDNFWEMGDQGPCGPCSELHYDLREPENGVYRNAASLVNADDPE VIEIWNNVFMQYNREPDRSLRPLPHKHIDTGMGFERLVSVLQKTKSNYDTDVFSPLFK KIQEITGAREYKGKFGEEDSDGVDTAYRVVADHVRMMSFSIADGGVPNNVGRGYVVRR VLRRGARYARKYFEAEIGSFFSKIVPTLVEQMGEMFPELKKKEADIKEILDEEEKSFA KSLDRGEVMFEKYAQKAKAKGSNDLPGDDVWRLYDTYGFPVDLTKIMAEERGLHINDK EVEEAQDKAREASKGDKKATAALVKFDVHDLSALDSMDDVPKTDDSPKFGRENITSVI KAIYHNKKFLKSTKEVPEGEQFGVLLDKTNFYAEQGGQEYDTGKLLVDGEAEISIENV QVYAGYVLHTGFLKYGELNVGSEVIAEYDELRRHPIRSNHTGTHVLNYGLKEVLGDDV DQKGSLVAPEKLRFDFSHKAAVSDAELAKIEKVCTEYIRQNSEVYAKDVPLSIAREIR GVRAVFGETYPDPVRVVSVGVPIEDLVADVKNEQWEKVSVEFCGGTHVKQTGEIKELV ILEESGIAKGIRRIVAVTGQEAYDVQRQASDFEGELSRLEKLDFSSEKEAKAKSMQTE LNQLVISALSKSQFRERFAKIQKSILDEQKAFAKKDNKRVLDVVTNYFNNNKDAKFLV QKVDWSSNVAKAISETIKNVSGPKSALKDKSVYLFSAGDGKVAHGCYVSEYFKEQGAD GPKWASSVTPVIGGKTGGKPGAPTAIGNGTNAEKVDDGVEEARKFIENFKL CC84DRAFT_1162708 MAEVVAPGQDPDTPPTQPRRLHGKKRAADGQLESEQRLSKRFDL LNPDNGTRLYLPVASSSDAALTPGQRARQAAEPVPVPAAPKTETKRPRPPPPDDCMQV EDTPHRVYIADLDAELSDIESDEDNPIFLQDIEKHLAKIPKHVLTGPEPKPTKDNQLI LYNVPSSLTVPEAQDSVRKAIVEARGRIRERQTSRSGTVEPATAPAATSATEIPPPSF DSDAMDID CC84DRAFT_1215339 MELTKRYCVQYLDGTVECFRDGFWYSDTGIIVKWAILASFFLFF MAWFLGGYLHAKARLRKGKPLLAYHRWLVSYQDRKRYGQTTQNRYEYYAQPPYPQQQQ QQQQQAYGPRPDGTWPEPPPMYNGDAPPGYVPPPGASKMDPNQAPGNVEMGQYPPPPP MGAQQTGGQSGFQQEMPPRPAQARLAGLLGRFRK CC84DRAFT_596533 MVDARHGGGEVPSTKVDSPPAADARRPGDFKLDTAAGLNAPDDM SSSKAPSKASSTRTSTPAAASLEDGQPNGSPAPTEQPLQSQPMSATASNSSNAATKES TEAPAAPYGTRSRNRPERKSRVNYAEDVEMDFEMAPDPTNGNSNGNGSEPPSRGSAAT ESGQAAGAGGKKGAGAGQGNASWGASGPNAKDIPPNANTPGTSTLTASPATTPAPQPQ PKRRKNAASHATTPSHANGAAPSQPGARRAQNAVAAVASPRETNMLTFENSGARLKDG CLVADDGQTVAINDQVYLVCEPPGEPYYLCRVMEFLHANSDPLQKVESLRVNWYYRPR DVQRYNNDTRLVYATMHSDLCPLTSLRGKCQISHRSEIDDLDDYRKTKDSFWYNQVYD RFSHRWYDVIPTSQVINVPDKVKKALDERWKYICLETSRVKELTSAVKSCKRCVGFCA TNDSVQCAVCHNTYHMTCVRPPLLKKPSRGFAWACGPCSRAQEKKLEARRTPLLGSGN DEGEDEEMVEEEEEEANVETTAPSPNDSETQIDHHPGTQAEIALAKMWPMRYLGIHCR IEDALQYDDRAIYPRASSRLGPRHQANVNVWHGRPVELVKPIEIKKRYVKAAGHKKDT KLSKETVAAIEADKAEKAKRPKWVMDEPPGYVRRGEDYPNKDSKCTAELTFKMPPLGV HSTRGEDDASSITPEQVEGYMTRARGFAKSHVGVEPFNTNFLTRCLALLTKHQYDVEA ALKAVKKIDKRKDLKEPELTKEEEKKWNEGVSKYGSEIRSVRLHTSKTMQYGDAVRYY YMWKKTPKGKEIWGSYSNRKGKSKKTEVDPQSRLVDDIADDQDDSAFDNEKAVQRKRN FQCKFCNNRHSRQWRRAPGASPGQTVTADGRTSKDRANGYIVALCQRCANLWRKYAVK WENTEEIARKVAQGGGKAWKRRFDEELLREVYAATTNPAPMNGLVDYVEPPAATVQAT IEQPPKKKQKTAVVGAADSGTSTPLSEAASKKKEKEKPIAPPKAPTPPPVPAQPRLRV LPCAVCRQTEGARLECAACRMNVHKTCYGIEDVRQANKWYCDTCKNDKKESVSYNYEC VLCPLRTTEPDLYEPPKISHKKKTDREREKERLEKELVEKARDEYRVRQLEKGRPVVP REPLKRTADNNWVHVYCALWHPETKFSSAVRLDMVEGIGAPTLRYDAMCKLCKSKDGA CVTCQQCHANFHVGCAHNAGYTFGFDMTPVKATRRDTVPTVTLNGETGTMMAAIWCKD HTPKTVVHPMYEEVEGTDMTALQLFAREFKQADLTLTGTARKANLVDQSTRVVVPPVP TPAQINRRTSTATTQTPTSARGRLSNAGLVMKEEEPPEPAPTKIKPERRCVRCKIDAS PRWWKVEETVPPEQNGVRIMNGPLAANGVESSSRQLSDHTSHPDHPESTNGAIDHPMP DAPPVAVHDQPARLRVKTDVRSASSASYLCQKCHWKKLNAPDEPEERERSVSVHPEAA QLPLRSPPVQAFVAPPPPSALGGPWAPPPQQPPPLSHWHNGGPPGPPPPHSLHNGIGH LPPGSMGHAPPFHAPYNPTHQTNGYPAYSAPPVHSHIPPAPLRGPYVPPHHHNGPPPL HLTNGGMMVNGMHSPRVPYSPTHVHGHNSSRSTESPYNAPPQLPYPPIHHGSPPSGGV PASRDAPAVTAPPPQPPLERVNTGASASPSLRNLLH CC84DRAFT_1174285 MRWLRTTSRRILPARLLPAAGQPSADSRGSRGRPSALPRSPAWV DVLLEKVEALRLRAAARSGGLFFACCAPKEIDIFDLLMLLSAVDSLGRTSNAELTSSQ AFATPWYTTPAVRGTHAAVSTTCAENLHKVIMWCG CC84DRAFT_1162712 MKYSVAILAFAAGVLALPQESASITSAPVASASLTPAVSCVLDC TPGDVTCQAACMGNARPNSQQVVDTNKCAAACDQGSGSKEDTEAYAKCQQDCFASLFP SSQTNFQGAAGGVSSAASAAASAVTSAVASATNAAGSALSSVASSARSGAESATSSGA ATGSETGSGAQSTASPGAASANSVKIAGAGLAGLLAIFAL CC84DRAFT_1162713 MDSDDDFGDDFDDTAFLEAATQAEKENTPPALPRLLKRRKIDLQ DERTRPTPPRKHARNQRPRPFVSSGEDDDVDEEDHDATALVATRRKRASSEYDDGDDS TVPTRVAWTTQNKRGRPKINDDGDGRDSVSPRKTGVAENRQNRIHQPSVARDLTDVYL TQPPREASPPWMPRGAIWKKPATSIGVHTPVVFDAMKMMMPSRQSIASRPVSQVARHL ESASPARLAGIPKLPAIDGTSMLYDATEELADLPSDAFASSPSSPQELDEVVLVSERR TRVAATQAGLRQTTLFGRPTANGEIPQSQANKRYNFVVDQKEEAPTHHKLDLEAIKTW VYPTNLGSIRDYQFNIVARGLFHNLLVALPTGLGKTFIAATIMLNYFRWTTDAQIVFV APTKPLVAQQIDACFHICGIPRSATTMLIGGVAPAIRAEEWASKRVFFMTPQTLVNDL KHGYADPKRIVLLVVDEAHRATGAYAYVEAVSFLRRFNNSFRVLALTATPGADIEAVQ KVIDGLDISRVEIRTERSLDIVKYIHHRRVEKHVFKDSDEIQMCKELYAQALQPTVNK VAGLNAFYSKDPLDITPYGVTLARRRWQQDAGQRATPAQKGMVNSVFTILGSISQGME LLKFHGIGPFYVKMKEFKESQTQGKSKTKESICNSDAFKKLMVRLQTWTSNENFVGHP KMEFLQQAVLDHFVNAGDGSGTDTAATQTRIMVFAHFRDSAEEIVRVLRRHHPMIRPR VFVGQSSGKNSEGMNQKEQLDVIEKFKAGTFNTLVATSIGEEGLDIGDLDLIILYDSK ASPIRMLQRMGRTGRKREGKVIFLQMEGKEENDANKAKDSYEMMQELIAEGSKFNFHD EISRRILPRDVQPVVERRIIEIPIENSQSDWLPEPKKKRGRAPKKPPKKFNMPDGVIT GFVTANCINEEIAPKSRTKKATRVYPSEEVLELPPLETVYLDEPASQDLQHRYGRVFE EDDESISALNLRAHPDHQRILSGTHNFPRHGRATHAFVRTVQRMHAMDDDRVDNFQSK LHVEDMKEMPPNVIVSDEVTTPVITDMWVEDDPASQLLRQENPKANCGPKPRARVEPK RVEPGDMWADEDPSSQPLSKAKAQPRPKAAALPKQPAPRGRPRKDATATTTPTRPLKR KATMSKRHTWQDSGLADEGAESSPPLTDPRMRIVSQAETLGSEDSEGAFGPQDTQAYR LDSDLVSFIAEDDENVDLPPTSSLPGDSFSGLGKGTQAVLKAAQPKRPRKAEKIFTSD ISDNDAVVSSDSDDDGPLRTSKVAMSNARAFVIDSASEIEDDDDDDDDDEPVVPRKKA RRVVEDDEDDE CC84DRAFT_1087078 MSEAPKTNWYDAFPAPKTTAALLSREAALASLSSSHLLVVDVRR TDYEGGTIRGSINLPAQSFYMNTAVLYDLCKRAGVKQVAFYCDYLAEKGETEIQSLTL AGGIKGWVKAGASFTQAVDSYDPEYWRQFDESK CC84DRAFT_1162717 MRSAAQVFYLAVFALLGSSHAASHAASAKSKDAPADVCDFEPGA IVSDACASYDTLEQLNEAIHPYLHSITQNTDFFSHYRLSLYSKKCPFWDDEDGMCGNR ACAVNTLENEEDIPLVWRAKELGKLEGPTAQHPGRQQQREHPQKPLQGGLGDQVDESC VVEYDDECDERDYCIPDDASATAKGDYVSLVDNPERFTGYAGVGAHQVWEAIYRENCF SKPPKVAQSSAQSSPGGASPFGGFNNPEQLQAANQLRNVLKDQAFGKTVKSAIAKGAD PARLDPVEFDDTCLEKRVFHRVVSGMHASISTHLCWDYLNQTTGEWGPNLTCYEQRLQ RYPDRISNLYFNYALIMRAVGKIKQHIKDYTFCSQDPEQDKRTKNSVLRLASALPDGP EVFDETVMFRDPDTVALKEDFRNRFRNVSRIMDCVGCDKCRLWGKIQTNGYGTALKVL FEFDEHDSSKDPPLRRTELVALINTMHRLSHSLTAIKEFRQMVEDRDGIHRAAVPTAP ETEKEVEKVKQVLGDGTNTDEALPTDDGMPEKPDFKRNWDPNNMTLAEEFWAEFDLVF RAWKYVMRGWLDMPGRLTKIFIFESGRLWNWWLGVTPGPRSWYIHIPQHHEL CC84DRAFT_1240563 MFLTLIIVLCIAASLAYYKRGRTLIPKGLSPAPGPKGLPIIGNA HQLGAQPHRQITSWARTYGEIYKIRLGWNDWYLLCSPAAVKEVMDRQSKDSSSRAPMP VANDALSGGLRFLFMEYGPEWRKLRAISHKLLTPGMSKTFQPSQEWEARMLMEEVLRG GDAGEKGSEVGYRAIRRYTVSVIMTSTYGRRISDWDCDEVREIYGIMNDFSTIAAPGA YLADTLPFLGRLPPRLQWWRKPLKPYFDRQANLWMSLFSSLKTQMETKQAPECFVKQL IESKYEEQGVSELQAAFLAGSLIEAGSETTSAATNSAVLYLSAFPEVRQKAFDELDRV VGSSRSPTFEDEENLPYIRAIVKETMRIRPVTNIGSPHYTTAPIVYKNTYIPANSIVA LQQYPIHYDPELFPDPMRFAPDRYLAFPEKAGFYAGGSASSRDHWNFGAGRRICSGLH LAENSMFIVLAKLLWAFDIRPPVDEGGNEMQVDLSDDAFEPGANTIPKPFHARWVVRS EQVRQTIEREVMEAKRDGYVLRGVKNVPSTNTETRPFVARTSHLINRNSTAALRFTSV NTTLPTLFLPPAPPPVVAMVSGKDSSAASPQHTTQQHQGERAFKFQTKGSRNMDNSVR EPELRALPVQETEGNDCAMKQSPELVQIGAAYSSPPVASDQNDIRSTSTVYSCTSSSN GGIQLHTPGPAVGADIGDASPSPATYPHISPASVSQVFSSSPLRQSLEPRYSPPSPSA VSSSSASPVTPTFSRPRHPQSGLADDPLDIATLEDAEKPAKDGSDCREHDSGRDTIDY AESDDSSEADDEESRLLYVDGNDVTNLKPPIKDDTEDVINSLIDGRDGIVVPRIDEIT SIKSNEMDLLMETALDGITPTRRGAFPQSVRGASFPTGQIMSQLAAPSSIRDMAQSHV QFATSTSVQDTLFAKTLKYFKQSKDKENTPSRSRRKTLLPDDIAELAKDAQQEGIEDD KALWDEYKDLDFAPLDPVMDSQIAEDLIIGTPVRKTFASVEEYNTGIDRSQVIRILPK SREIPWGNKKKDNQASASLGLKDKFIEGHANETGPMYLVNIPGRFSDAFQLRFRSRAS IGLIASFLRERGEALDASKDDIDFLVKEFLEYPIEFTTRESTEKEPLNSDSDNGVNLE DNQKNPGTGSVTCHGDDYGHSPDNHTHEKEVISQAEDPAFVKALGMAPEAMFWVVAQP IARYSYKILGATIKAFDAALQQLMGVSLDEPLTPESWSSESSESSE CC84DRAFT_593309 MWSALVQAVGVKLVDIICHLLITTSLIFIVKRFYCAVLKRYRSA QTWRDDYPPKSLKLYFPPRPQ CC84DRAFT_1204120 MALKQCSLCDRKFTKLEHVKRHERSHTRERPYECPTCKKHFSRS DVLFRHCKGHAQNALNRLSNSQQNQQQQQLGTASGDKQQGETGPTSERTPQLPQDPSL SPLSRRKSSQQPPQSGEGPHNSQHSPNLHVRHSSVQQQTSPGESRLEALLNAAQHLGT PADVPWRSPSPINLPADHERFLTRHPDNMPPPIDPAMDMLSFSPQGHVSSLDQWAFEL VQSNHPLPNRTPADALQTWLFPLENDILASGGSHHMALDSHFDNDIFRNGGDNRASPS GSSVSIASRIPKERFARVESCWPSKSRTPSRLMPTLWQSLVSCDCSNILSEIPSGVIE TPISERERRNSRWGLDEECRDLLQGALNNAPQASSLRSESYGDTASSNGEAGASPGIE NIRFPPAEILDIALEMYLYYFHPTLPIIHIPTFSAKNAPRSLLLSMCLIGLSILGTAG AGRFVSRTFPVVLQMVSAELQSISTSNHTPQKQMRILATGLLALNLASITGVSRNVAK GESIAKRKQRKSRIAQAEKLYSDLITAAQKHGLFSANESAPPDSFLEDILDIDARWKA WCRVECAKRIIFGLVEADCWYAAYLSTSPMIRPESVQILPPSEYNLYHANSPTKWFNL VQRGARINSNRITPSYLPTPGLKLDSGTLRSLLTLFLLRVYEANDRLANINGPHKHLE PWRIYSEDTRSRELIPLLVNFSSSSIDALRTADLNSAVLWHSTCMMLGANIRYFELAA GRAGSGPAVAALEDISAWSQTQSARRSVLHAAQIFKLLFDRKVSDIVNPHSVVALFQA ALVLGLYIFTLPPTTTCGINDNCIELLDIVDWTHVGQVGLIDSPHSPGTSLGFGDASV INFIRNGGPFSITGIALEGGYLAARRTLLHCADLMDGVGRWKSRTFSQILHIMSDDLT EMDGDSDEA CC84DRAFT_1085671 DGQGYTGVLDCFRKIIRKEGASRLYRGITAPILMEVPKRAIKFS SNDTFGPFYQRLFHVPALTQPLAVLTGASAGAVESLVVVPFELLKIRLQDKTSASRYN GLVDCFSKVVRQEGILALYNGFEATLWRHIVWNAGYFGCIFQVRAQLPDPSKSANPKA QKTVNDLAAGFVGGVVGTTFNTPLDVVKSRIQSVAKVKGVRGRYEWAWPSLGVVAREE GLKALYKGYVAKILRFGPGGGVLLVVYSAVVDMLGKVA CC84DRAFT_1141923 MFPRSCARLVARSEQGWSLARGFPTAKLGTWKRGNATASTVDKK TIEKLPLAGIRVLDMTRVLAGPYCTQILADLGAEVIKIEHPTRGDDTRSWGPPDAPYT DGVERQFPGESAYYLSVNRNKKSLGLSFNNPSGISILHSLVQKCDVLVENYLPGSLAK YKLDYATLSELNPSLIYASVTGYGQTGPYSNRAGYDVMVEAEMGLMHITGERDGPPVK VGVAVTDISTGMYTAIGVLAALYARKETGLGQWIDASLSDCQVAGLANIASSALISGK KDSGRWGTAHASVVPYRAYQTKDTNVAVGGCNDKLYGILCDKIGKPEWKTDARFVTNA LRVKNRDTLDRIIEDELKTKTTKEWLEIFEGSGMPYAAVNDIQGTINHEHVLARNMIE EIDHPKCGPIKLVNHPVKYSRVEPKIRTPPPMLGEHTTEVLRELLDYSEDDIQSLKSE KTIA CC84DRAFT_1141927 MPEFKERQLVTDPQKAFHFTDLQRLKPTRENDPYEYQAGWGNRH QSELIPGTLPVAQNNPQEVRFGLYTEGITYSAFAAPRHSNFSTYMYRCRPAAAHNGYV PIETKSHIENCFLSANPKVETLPEQAEWHPFPLPKDEDKIDFVDGLHTLAGSGDPNIR EGMALYVYMINSSMERRAFCNTDGDFLICAQLGSLDIVTEMGKIYLQPGEICVIQRGI RFCLNLAPDTRVARGYITEVWGSMWVLPDLGPLGGHGLANPRDFLYPVAAIDDELHVD WQIVNKTNGQLTAIQQDHSPFDLVAWHGNVVPYKYDLTKFSSQNSTSIDHTDPSIFCV LTAPSRDPVTPLADFLWFGPRWDVASNTFRLPYFHRNSASEFLACLYGQGLGRSDEFL PGGGSFEGCHTPHGGFHEGYQHGMRIHENVPEKILTDQLTIMVESSRLFLWTEYARKG CGTISTAGTDYKVWDALPDRFSANSKAKELLARLKEDKIAEKKRLAPYYIGGFAHGAN ASDTEGVHSKELKPYLNGTNGVRHDSLDGQATLPAVNIA CC84DRAFT_1162722 MFLIRRAPLRVFPKIAALQQRRALGSVSAIDSAIFRTLFGTEEI RQVFDDKAYLNRCVDAETALARAQSRCNVIPSHIGEVVTSKSQGAELDFDRLRRETEI VGYPILPLVRQLSALCGDEAGRYVHWGATTQDIMDLASILQMKQGLEIIEKTLRSVIK NLEILSKKHRDTPMAGRTHLQHALPITFGHKTAIWLSGFQRHLERLQQLKSRTLMVQF GGAAGSLASLGSGDDGIRVRKEMARDLGLTDPPITWHVARDGIAEITNYLALVGGSLG KLALDIIIMSSNELSEVSEPFVPHRGASSTMPQKRNPISSEVILAASKVLRSNAGLVL DGMVSDFERASGPWHLEWVAVPESFVLAAGALHQADFALSGLVVNTKAMLNNLYSTRG LIVAEAVMMGLAPHVGRQKAHDIVYEACRESIENDTSLLEALQTRDEVTGKISHEDLS SLCDAKNYLGSCGLMVDEVLARSL CC84DRAFT_1115708 MAAFNRITRNVLQKSPNDVVLLSAVRSPINRSFKGGYKDAHPED ILMPVMKAAVQRARIEPKDVNDAMIGNVLAELGFAKTGRMALNHAGFPNSTTFHTVNR QCSSSLQAITHISHAIMVGQIDVGLAGGVESMSRNYSSRGVPTDVSPTLLGSTVKDAR DCLMPMGITSENVAERFNVDRKSQDEYAVRSHSRASQAQKEGRFEWETVPVTVQRMDE TTGQLAGYEVTKDDGIRHGLTFEKVSTLKPVFGENGKSTAGNSSQISDGASSTILARR SWAEERGLTPLGRFVGTQVSGCAPDEMGIGPIYAIPALLKYTGTDLKDIDIMELNEAF ASQTLACIRALGLDEDKVNPNGGAIALGHPTGATGARQTATLFGELRRQDKELGIVSM CASTGQGVASLFVRES CC84DRAFT_1086072 MTLPSKYQNGSIDSSLKGAYRASPVKLLIYGAGTSKQLADVVSE LGGSKAFIITGRSLYEKTPVIKEIEQSLGSVHGGTFSKIGQHAPIGDIREATALMAKS GCDVLISIGGGSPIDSAKAIAYNIHQETGKWIPSIAVPTTLSVAETTQNAGFTTEEKH KIAVSDPELVPKAVVYDGEIALHTPLTLWTSTGIRSLDHAVELMYHPLASEIPTKRMC LEAIKDLFTYLPQSKSNPEDADVRTKLFLACYASLFPFLYTGGVGLSHSIGHAIGATY SIPHGITSCLSLAPTVHFKANNPEEAKQIARIIPYIGKQSTGSDEKDSHIVADAIAEL VEALGHKTTLTAYNVPTGDAEEEAIASRALHSKEHKDFANSKPSGPLEAWKQNRNAFP RAKWRISSLPPQVWSPWRPRTSTGAGTACASVRLIAFSVDMQALKSRVGNAIGLHFER QRQSITLGQFPHTTHSKMQRTFLFAARRSAPALRFFSNTATRAAINKIYPSAQEAIKD MKSDTTVLVGGFGFSGVPNTLINAVRDRSDLKNFTVVSNNAGMPGVGLGQWLDTKQVG KMIASYIGDNKTFEQMYLKGQLALELTPQGTIAEKCAAGAAGVPAFYTPAAYGTIVQT GELPVQYNTDGTIAKMAPPKETREFNGKAYVMEEAIFGDYAFVKVAKADRLGNCTFRK AQNNFNEAMGKNAKMTIVEADEIVEDGVIAPEEIHLQGIYVKRVIKSTEEKKIERLVH YKDPEEQKRAILEAGSSEASQKRERIIKRAAQELKDGMYVNLGIGMPLAAPAFLPKGV EIVLESENGILGMGGYPKPGEEDPDLINAGKETVTLIKGASTFGSHESFGMIRSGRID VAMLGAMQVNTYGDLANFMLPGKVKGIGGAMDLVANPSQTKVVITMEHTDKKGNPKIL KQCTFPLTGQKCVSTIITDLAVFDVSPTEGLTLKEVAKGVTVDEVKAKTEAPFKVAED LKEMEI CC84DRAFT_1240587 MASNIRKKMQASTILYINDINASACKRFQDEYRKHGPIEIVSTA REAAENAKVVISIVPGAKDVQKVYLDETDGVIAARKDEERVLCECSTIDVRSTREVGE KLKERGLGTYVDTPVSGGVPAAERGDLSMLIGHPKPSEANTSSKRLETALSMMGSPSK FFYLSSLGAGLTAKISNNYLSGTILLATAEALAIGVAQGLDPKDLYSVIRASTGQSWM CDHVMPIPNVTDSWVPSNSGYKPGFKTQMMLKDLGLGIESAKQVGLNPVMAEAALEVW ESASKDERCFDRDGSSVYLHIGGQLPKGYEDKGKKSDDGSWQFE CC84DRAFT_1240594 MAPSKPLRVGVIGPAGFGGSYLCVELLNRGHSVIGISRSPEKLG SHERYSPRSVDIDAISFSELAKHFEGIDVLVSEYGPHTGGADALVYMPFLETARKIVL AVKQSNVKYFLFVGGAGSLHVPGTPECCVDHPDFFMAYRRAIATSEAHIVYMEERLGI MGTALRAYRDARFAEQRGRATAEHQKVIKEYESSVKGKDRATDFIKAGRTAFMFFDGN TSFKWSFVSPSALYRPGVRTGKYEISIDDMVLVGEEQEDSIFEGRLTGISVADMAIAI ADEVENEKLVGKHWSAWGDISEDKPAPAYLTLDSVEGGSK CC84DRAFT_1048844 ITEAGCKVVFGDVNEPAARDLVSTLGDAVRFQHCNTSSYRSQLD LFALAEDVYGKIDVVVANAGVANHKDIFDPSSDISVEPSMTEVDINLKGAIFTARIGM HYLRKIGGGDLVLVSSIAGFKECGGLAPYTASKHGVLGLMRGLHIVAIEENIRINVIC P CC84DRAFT_1087102 MRTAYKHKDLGEVHGNSNDGVVQFLGLKYASLKNRFAAPSLLTD YSSGQIDATKFGPPPVSPVGAINNEFGFIQKELPLPPDVPSHSDIEGLNLNITVPRGK NGDIDNNAKLPVHVFVHGGGFAVGSSWYPHYDPAPLVRISIEKGKPIIGVTINYRLGV TGFMTSKELRSAGYKANNGFHDQRTALQWVRRYIGGFGGDPEEITVSGESAGGFSALM LLTSGEPLVKRVLSTGGAVLLFKPIPEAVTEMSYSKIIEAFGLKDKSPEERVQALLSL PIDDLWQKVPPGTPLIPSVDNDTVPGVATFPGVSSQSEDPTFPLPGRKWCSAVMIGDS KLDGNILAYMGLDAKLPNIAAQFIESVNGTLSDHPDAISTLLSAYNITPSSKDNEALL SILRFGTEISFYAPSLAFAKGWPRTKDNKFFLYHFNEGIPWDGKFKGEAGHILDVAFL FQNYNEHLSDKQKEVARAYGEDIIEFINGNDPWPPVEGEQYGARVYGPSSEGITAQYV ASGNPAEVGRHDRVLKLGEQIGFDLVMDVFQNFAFGR CC84DRAFT_596836 MHQVAEMPASPIPLSTAFVGLSLLDGGSFIADTDKLHADVSNAK FRMYNWAFCISHGDEHIVWDLGLDEDRSLYTPWVNKFMLDYVNPAGPRKSIVQQLSER GVSSAQIKTIIFSHAHWDHCRPVRDAFSNVTAYFGPGTKAGCAPGHLADPMAQWDGRF FDPERATERAEELGGPWAPFGPFQKAMDFFGDGSFWVIQAPGHMPGNLCAAARLESGD WVLLGSDCCHSRGILDGTHEIAQFCVPHRQGTMSLHADIEAAKDTISKIRVLEKEHGF HVALAHDAEWLKRGSDKVLLGLLDPHMQVAARERIPKEEVA CC84DRAFT_1086280 MTIPSWLTLFCSGLIASLGYFFAKLHLHRRFYRNVPTPPHSFLW GHLKLMGESMSLLPSGAHYQQVITTISQKYDMPGAWYLDLWPAGPSQLIVTDPDLANQ FTVLKNHPKHIAAVNSMDAVLGTGNIATADGPAWKAAHNMVAPAFSVSHQRNMAGMMA EEVMVFRSILREMASSGEVFELEKVLQNLVLNTVARSIFEESLDAQRKETPMLAKFHA ACKENVYIMQSWNPVGSFLARRRLSGLNGELEAQLADMIRVRYEKLQRDNADVSQKRG LCTVDLILREHLLEVRKAQKEALDPTFMHMAISQVRTLLLAGSGTTTGTLSFAYALLS VNPQVLQKLRGEHDTVFCPGIEATYDLLQTEPNRLNELEYTTNVIKEVLRLFPIGNSA RGEDATGFLTYKGQQLTTRGQLVTGVQHTMHYDPRIYPNPTKFDPDRFTRNEVPRNAW RPFERGQRACLGQTMAMEEMKVTLLLTVRDFDFECSGLKPTKQRFGWTDLDTIFGDRA FTVMKFEAKPIDGMPMTVRRTK CC84DRAFT_1085515 MFGKELAEEPRSHSTASLSPTEHDGDSVPNEVEKGNDMDLARTK SIAETLSLPREITFVAIVCSAQLLTQAGLGNVQTIVHIIGNDFGLSDAQLPWLIAGYS LTVGTFILPSGRFGDVFGYKRMLLIGFLWMSLWSMVLGLSAYSNHVLFTFARVFQGIG PAIMLPNALAIFGATYAPGKRKNMVFAIFGACAPTGSIIGSAFAGLFNLAWWPWTYWA CAIYLIMLTTAGFFMIPDPPKKSKFSHMSAREQISNLDLPGAFAGVAALVLFNFAWNQ APLVGWDKPYVYVCLILSFLFLPLFFYIELRVSKTPLIPFDVLSTDVAFVLACVACGW ACFGIWYYYTWQFAQVLRHASPLLATAWISPVVPSGCIAALVTGLVIHKVGPPPVMMI ALSCFTIGTILIMTCPVDQIYWGQFFFCTVVTPWGMDMSFPAATLILSDAVSKEHQGI AASLVNTVVNYSISLGLGFAGTVEVYVVNGGTTPEDTLRGYRAAMYMAVGLSGLGLII SGVYCVKCWMKDRMGSCKHDEEK CC84DRAFT_1215361 MEDEPQDAPAHVAVEAPTFKAPRMVSRPKPQQQQEEEIGSELKL GDFEGEQPLSISEARAVVTAVHEKRKVKAAEGHGVLGDRTHNDSQSIQQFVDYLEMFA RYKELNNLTAMGGVLDANPQFSMAERAMLGSLCCDTADEAKTLIPSLASKMDDESLQP VLDELQKYQDRLTM CC84DRAFT_596915 MSGLTPGDGPPSLGGHDAPQQQPQQQQYQAYDPRMNGLQRQPTN PYDMQSPPPQSLSPHKGNGPPSRSLTDPTNQDPQQQDPAREKPRGRSKICGKCGEGLT GQFVRALGDTYHLECFTCHDCGKIVASKFFPVPDKPPGQYPLCETDYFKRLDLLCFDC GQALRGSYITALDRKYHIEHFTCSVCPTVFGASDSYYEHEGSVYCHYHYSTKFAQRCN GCQTSILKQFVEIFRNGQNQHWHPECYMIHKYWNVRLHSTGQPIAQAPQDVGSDASDE VRDRVRKQEEEIEAKVNWIWKTLSAFEEKSATCISDMLLHVSNGAYVDGVMAAKKFIV HVELLFHAADDLDAQLTTNTPKGLTYSRESKLLCKKVVAFFALLTQSQGTGVRRLGVT QELLSLVTGLAHYLKLLIRICLQGALKLERETRSASGLTNFLAQVNSLDQRLEQEAQK DQAAESLLLVPRWADACPICDAQVEDKCLHLDNMSFNYGCMVCRGCGADLRSDTKNAY WSKSKQRIFCPACAAAQPDAENGFQQVTKLRQYVHLLKVAHARLMATLRSSGALPHTS GYDSSQGHRLGDASGDLQATQLRQDARSKSFGGHSSADAQAANANYEQSMTDIKRLRS TRLDKHLSQTMKRARQSRIIDGPEASGTGGDSQGKGGMQIVQERETGDVDGTTLAVNS LALDDIARMAALEQQREQRPNAFRAGGSALLGQQDQARLLNGHRRDMSSGQLQPEGRP RTYFSELSPLEYFKLKSLAVLQLGLLLDDNQYNQGDLLDLIETKRTNFWGKIGFGKNK NKPKKGPTSVEKPVSEKATFRQSLEWLVERFGAESTDGVGPGTLRVPALLQDAVSAMR NMDMSIEGVFRKNGNLKALRELEEEIDAKGVEQVDLNTKNPVILANLLKRFLRLMPDP VLTLKLYRLFMTANGAYTVTVPQRMLTCTEMADEVQRMKVLHLVCCLLPKAHRDTMEV LFCFLNWVSSFHTVDEETGNKMDTWNIATVMAPNILRENNEKEMKSVDQGAVRVVFDL IENNDEFCEVPEEIVDLLYDDSSSELTPKDIMRQWEQRGRNPAGPGSAAPAMSPRNQS GASSRKDQRNAPHITQADHNPQAFAGESSVRHIPGAGGPNNHSTPPQQYDLGAPNLPY SQPAPGSAESHRTGSPHRHSYRSPAMQKQSLGTAGAG CC84DRAFT_1162734 MLDETLQLTTSYVGDHVMASELAGQVPIPRADLPEQPARDERSD IFNGNPERRFAIYLGVVQTGHAPPVEKSSSSSQSASVAPKEKKTTESMNRESTARLKE QKQGRKPDLRQHGLSDEANARFSSSLNRDPKPRKSIGTQKIDSPTVEESKKATKQSLV PAALHDKDPIDTSQYEEATTKIPQKIASRVECSGCSEVLGEGDILQLSCAS CC84DRAFT_1070866 HAYYRTCLKDMFEGAIADSGCFLPRCCDPLELFHCMPFFSEDLI ARFREKKEELDTPHRTYCSKAECGKWIKPVDIEAGVATCSTCAQETCTSCNAALHDGL CREDEDVKALLTLAKQRRWKSCPECKNMVELRSGCYHITCRCKHQFCYLC CC84DRAFT_1162735 MASQPKKQDWSATQYMKFGNERTRPVHDLLSQARVHVQTSTPRI YDLGCGPGNSTSALLAAFPGAQATGMDSSPDMLAKASAALPDAQFVHGDLATFEAPGA DVLFSNAVFHWLRSPQRIPTLVRFFEGLKSGGVLAIQVPDNYGAPSHALMRDVASTPG AAWSSFFADCSVGDVSDARRPDLDPIEAPRTFYDALAPYAQNVDIWRTEYQHALKDAG AIVEWVKGTGLQPYLHRIGDEGAKKAFLEEYEKRLAEAYPELKDGKVLLGYPRLFVVA VRK CC84DRAFT_1240636 MVRTKRLLLTSSGGHPHIRREIRDLYANYPDQWALYLLGLLEFQ QVDQNDPLSYYRISGIHAQPYELWQDAHGVPGMGVGAYCPHMSRLFITWHRPYLALFE QELWKHVKSIADQAQVDRERWNAAADSFRIPYWDTARGAADGSIPGFLLSPRWPVVGP NGSLVIDNPLYWYQLHPLDPKDFSNADIARYNYTLRWPTTHSSDAIPQLGEWQKAYSE GAKSRQNDINYAFQWAGSFQNFSESLENAHGAIHLITGGYPKDLNGQEIHGHMYNTET SAFDPTFMLHHANFDRLLALYTTTNPNVWLEPAAVGGSRNWPSFWIPSGGTTDANTGL PPFWKNKNEFWTSNDAHHTDVFGYAYPETQYWNFASDEEWRNNVRGLIQSLYPNSARE TLTNTVATGDSIAHVVHVDSSFTDWVIHVKASATEMPSTFRAMFSLVGDFSSDASTEI AMWTRMRVDSYGSEAVKVRQAERKRKPSSTTEQSFTRAIGLTSSLLDHITAGKLESLD PEAVIPYLKAHLTWNVYGGDDSKRLTPAQLETFGVEVTSTKLYIPKDPSQPLEYSTDT TSYPICRV CC84DRAFT_1162736 MTEQAAAAAEEPASIPRYFRNDAELSRREPHKQLLASLNRLITD YPPHQIPPGGGLYYGPISVAYLFYALHNIYPDLLLDDFPMNTWSAAYIEQAQANIKKY KGPSPSKCGVSDDIMALLALYAVTAKDPETVKELCDFAAVTIEPEASNEWLYGRAGYL YLLRLVRGAFTDNKDITELIEDTTDEVIDNIMASSRPWKWHGKAYVGAAHGAIGIITQ IVLTDDTWAPKLEAELGALLSYQYESGNFPSSLPPGRDRLVQFCHGAPGVIASLVSIK KYFPKLEERIERVIAKGRECIWERGLLTKEPCLCHGISGNALALDGERFEHFLTYTTG GEIKSMAKDGMLQKANDPSALWCGEAGRAWAWAVADKGLEKRFLGYNDI CC84DRAFT_1204134 MILHADLGVVGINAPKPSTCVRIQVAVPYLHAIVDVLKATIARR REVMVRTFSPYTIEACPGYNFDLIERRGHRSYLCLRKLKETYISIIAETSLETLSTGT SSTSDLFISPSSPLVQIATIVTVSAGKTLYTTITEYSAPISQSTSDLVSADQDSSSKE HMGAIVGGTLGGVVLLAAAVFLLFLLRKRRRTKEERRATISPAYPVIQGGEDMSEQLT GTPISSAGTKNIAGDSAHTSSESVPQLDGIAIIPPNEIGSDALGIIPELPANEKDSSK TSRTSKVAEVPQAGNSNGGRVCRDPNNHVMSWANLNSMGNRNAMSRLSQPHAIPEGGV WENMSPTKNM CC84DRAFT_1204135 MKLQIVGAALLSASSAFGAVIKRDDAQFKKGQPIDGKGKGAPIL GGTNHQIDLANPSNLGEQSTDSGTVPNLKWRFSDSKTKIFPGGWVREQVIQDLPQSHD IAAAQQHLKKGAIRELHWHRVAEWGIVYEGRILVSAVDENGKYQVDELGYGDIWYFPK GQAHTVQGLDDENEYLLAFDDGDFDKVGTTFNLDDWVAKTPKAILAKNFGVPESVFDK VPEKNPYILNATTSTRNVTGGNGELTGNSSYVYHTFQHDPEPVPGGGGVFYKIDSTNF PISKTIAATFVTLEPKGLRELHWHPTAEEWLYFHEGEGRATVFIGASNSRTFDFSAGD TAVFPDNSGHYIENTSATKNLTWIELYKSDRVADISLAQWLALTPADVVASVLKIPID VVDDLKKEKQVLIKGS CC84DRAFT_1257665 MLSKALFTVALATSANALSLPPLIPAIPGVTEPLATNAPPLPIL QVPTPPLDSPPFTSNQQIKPKKIGYFWTGAGDNNHKDFLATYSLDDDTFGTLLWVTDV PSSGNSPHHLGPTLDGKTLVGGGLLSLLKTQDTAYYFDTTNPYQPKFKKSNRALLGSI TDEIRAKPDGGFFITYMGSAVGTSPGRLIETDANFNIIHEWPEDVSSTLNILGEQFSP HGLSIDFKKNIILTSDFVVPVTILKPASAIGIQKANTLRLWELSTRKIISTITIPDGK GIQDVKFIPGNSESAALATAVGPGQVWIIYPFRKDSNGKQGVAELLFDLGPKARDNLA IYSDISDDGKLAYFTLTLGNHVAALDISDLNNVKRLDDPDEDQGIIGPHYVKISPDKK NLLVTDYFVQTGSIGVVNTPADYKAQWIDILPNGGLSFNRSIDFEKVFSQSGNGGNRG GARPHSAVIFDLTDPSNPKYY CC84DRAFT_1215369 MLLEASTELSNGWTANGEELNDFILVPQAATRKDLFNSVCGLKM TKSRARNILEELQFIHGTMSMSLESIEEFNLTELLAVNDKDKNFEEGEDCENGRVWRA ISSPSTNSDSKAAIRAKLSVASAHVLLHENRQVWRVPLSVAGSLWNQVHHVFGDYDFR ECRNFFSHAFLREKYGSDLRYYHAIRALYISCVPILEVLVEKLEAALADENSWKAEES ALSYSDRPEYGMDTPEAEAEAEEQRQIEANEALLATQNLGCFTEEESPESLDSLVDQD SIAENPIAAWLAKTGEWNPSYDIKRRQSWSHFYYVDIIDDDDIDDTDGKRWM CC84DRAFT_1240641 MVARLEADNEDQEDIADDEIPGVLDCGYYTLVPDENGEILVPMG GNKSLSDDFDDGAGAWKKKSAPEPMAGGWNDASFPRHKHLELYEEIMADSGINNASKG EIIYQYRPHKFRNAARVQHQEKENKTDEGIREPATGVGERKSESESVTSGDGHGDENE DGDEDHVAAGGQKMCNKEDED CC84DRAFT_1240642 MKGVFVAALGLLVPTNALLRFGCAKSSIQRLDPLVNPGQSPSPH LHQIIGGNSFNISMDPATHDLVKQSTCTSCQFTEDLVDTDHSFHSSNYWTAVLYFKAK NGTFKRVPQRAQQGIESTQGGMMVYYMSDALFDQAQKSKVTAFKPGFRMLVGNVNYNN REEARNFRQLTYICMQNEGTREPETINFPDKPCPAGIMANHRFPTCWDGVNVDSPNHQ DHVAYPESGTFESGGPCPKTHPVRLPQILLETVWDTKAFNNKADWPSDGSQPFVWSSG DSTGYSSHADYLFGWKDDSLQKHLDGHTYVSAPTLKTQSIAQQNKCTVKDMVGEDYDS WLKALPGNVMVR CC84DRAFT_1162741 MPSTGSKIPSTIPDSTHLPRILCLHGGGVNAAIFKAQSRSLIRH LQHSFRLIWVDGPFFCDPHTDVIQVYGSYAPFRRWLRWLPEHPEIDAETCIDEIGYAI RTAMENDDRDGGQGEWVGLMGFSQGAKLSASLLLEQQARESKAVREGKTKWDVGPIGI PGLNWRFGVLLAGRAPMSNLNPSLLKSQALVSAADLSEGFQFVKEVDDEAILRQPTIH VHGMADAGLHLHRRLYKEYCEQGSTRLVEWEGAHRIPIKSDTVERVVGAIYDIAEQNE IEVSWTVNRRPSWTSATP CC84DRAFT_1174314 MLPSLLIAYLAVISTPLVTAKTFWWSYQGEIDTESYDPIFEICA DKNATSCAACNSSPGDDRVGAKLCESLDKLDNICYEPKREETCCKDKYGTSCFKDFYC AYTSENVAFCCADGEDVEDCGGKFNQTLSDEKNDDQASATVASAFQPSMTATDQTRVA RPERTSPPKIVPGSTTAYAKDPEVEKKMSAAVKIGIAIGVIAVVGILLTLAIMALIHW RKKTKYNAIGHGQAVDAKHTAPSSGTPGPFEPMRNEPLPHDPRQSWMASPPPQHSEPT TPNPFSDGAAYSDHPAHPQHPIELQHIPPPGHHS CC84DRAFT_1215374 MQNEHGQGASHATGGSEVPEAIQNKAPQGLEESLPNKIHDTGSG TGRQTHAKDGGDASIVPKVLQKAVPEKLERALPDAIHDTGDSKK CC84DRAFT_1162742 MSLELEPPFLSIARIALAHILVLGINLPFVFTPNIIPIIFRILS TKYSHPI CC84DRAFT_1162743 MSSLNTSSNGPNIKRSYENVVNSPPPSGPQANSPTFGQWALFTV QAPLVSAFQHDGGKESVLKVQTTGEGELADLIDDFSDGRIQFAFVKVKDPNSSLPKNV LIAWCGEGVPERTKGYFGSHLGVVSKLLHGYHVQVTARSDADLTPERIVQKVSEASGA NYSGAPSIPSSNKPPPVAAKKPVFTPTQIGGGGAGFNPLARSRAAPTQETDADGWGAD APEVTRSQLQKVESAYKPTKVNLAELTSKREPSRYQAPEPQATPSDVVKGTYQPIGKV DIAALRAQAKSSAQDDRPTVVKGAYEPIGKVDIAAIRAKAQAPPPSSGLAPAATGSSA RSDDNDETPKSLAERSAAFKQPERLTSLPKPKVANKFGGASAFTGTKAPAPSEFGVKP AIQATAPIGSASKTFADQGGKTPAQLWAEKKAAQGQPVKSFATGESASTPVQAQKSGG GGWQSGYTGKSWAAVQTTRTGQSAASNLSEQRTGEPQQEEAPSSPAGGVGALKDRFAG AAPMGAPTSRPVPEPSAPSPPALDNSSKPNASARGVPMPGLPSRPAEHEEEDNDVPAV QHQRLPSPPPQPPRSPSPEPSGSPVRIAMPVSRNAAPAELSPAEEQAPPMPTRGLSQA VKEHRDLSPEPQVESKDSARGASAAVAAATFGAGAVAGAAVGAAAGAATGGGSGGKRA VAQYDYERAEENELELHEGELVTDIDMVDEDWWMGTNSRGEQGLFPSNYVELVEDDGD DDAGAGAPPPLPTHPAAEEEPPAGPPQPAGGSGATAVAIYEYEAAEDNELSFPEDATI TNIEFPDEDWWLGSYGGKSGLFPANYVQINE CC84DRAFT_1115756 MDDRESSTASSVDFYGSETRPNTPPAQHREDVVNTKRKAEEPAL PQQKKRRLESTSPRVSALKPCAGLSPALWQHVFLRCSLFDLGRLLQVNRSFHSYLTDV QDVSVSDPDPGSLRLIKSDSIWAAARNALPVKSPKPLPGFSELQMWQLTWSRRCQFCH KLDLGTTGEKIWQKGPGNDGVRTIWPFGIRACGECLMERCQTDATLLFSSVSALRAAL PYAFITNDRNYVPAHVLQASSIPAVVDIAKYYYKPQVEEISSELDEALALGPAAAEEW SKGLDARGNERMKLAENWSRWEDKYQSWSAHNDTKSVASSTPAPPQTFLNELGRSPTQ HTPSLVIHAPLPATPIYPYIHSRPPTVPQQYTPQPGNPRGLHDANEAKANRRADIERR CQQMRPSIPPNVLRHMDSFRAAIQISQPMTDDAWSVLEPRLVAQLPAAQQAEADHVSR LTTLPKTLDRRPSEVNPKESKEIMDREWDETQRPIRDKLSAIADDFINRDWDGGRAVT YESSPKFAVDVLVYVRRIFMADTANESATSAPGHSQDTSHDGSGPNRPKLVLDSMKWV YDNKIKNLTEQFRKELFLCYGSGCEGNTKFYGFEGVVQHFGAKHTNAFSVGNVVVAWR EAEWPEEAPFHPDPTSVKPAFHSASGTAAHSGYGAYYGGYSRAGTSTPHMQAHLPQAS PGPYQYNGHYPGPFAPPQMGSTGMPGYEFNQSYGTSMDAYQYQSMAPPGYGAHPGNGY MTSPAMSNTAIAPPPSGPSQAAGMKDMSHRSDDANHRTSLFDKQVSTVIDMALDIWKQ TSGVKDLPNSLRVYVLMQRVISKFHVDFNHEPNLDHFIDAFSSHQVPRALKNAPGLSC KACQDEVSHYPRQEERKTYTVSSLFLHFKSQHLGSQAPIFGNGHLPKSLDWKEDMIEL PSDRLISGLIHAPGMDDDKLHTVATVFPKLFPTPLPRIGKVDNGESVPKDPKDAANVS GTPGRSIGGSGPSSLASPYTGSPKLSKPMEDEYDPLRPALSTRISTRTNGRASYRSSP ADHRQRYYAEPRYQPLDPNREELDRPQEYIEYAPGPRHHREPEPVYEEYPGRRPLYRD EEAYYRRDEVVFAHARAGRYEEDYRPVSRHVRYVEDDTRHPGHQLRNEPGSIGPSPVD GKSTADRFLEEFVPSQSMAPAGQGTPRLEVHQPGAAGPEPGDGSQYTPPPHSFVVPEL VPEQRRTHVAPHRTASTVSNGSKNDDYLSFGRQIPTPDNTRGLRRPGPQRRRERYHDH VPSRYHRYLGAAREEPYARGASMSRSQSKRYEEQRRRLDQQETPQPGAEQDSTYSRDQ SIERGVAEEAPRQSRRPQQDYISVQDRLHPYPPPRLRYADSRHTEELRGGRPPMYVDE YGRPLEEYEVIQGPRDPRPPRHSYGRYVEFEPEHVQYVEVAYERPRHYPGVPEYVYHE GREGPLPPRRPIYEPEEPPPPAIKVENTAPGPDT CC84DRAFT_1215378 MDEREFNALGDLALIVTFIPSLSSTVPLPAFSNKKGRSFITAFH AVEEVDNLLEPGMANGALKSFDEYVVETRGTKLGFLYQDLVDDCVSKIHEPQSAITRI REEPVQSQQIFKVKGSTFAAFSTLFSKSTVARGSITWDAFTAAMADLKFSTIQKSGSV VTFSPCEKETGQKDVTIHRPHQSRIEGVKLLQISRRLERVLGWGENTFTTD CC84DRAFT_1141983 MAPPKYTKHFIPLESDPLIFTQLIHALGASPALRFKDVLSLDEP SLFPNPALALILTFPTTADYEERRATEAASQKPQAGGHEDGDVLWFKQTINNACGLYA ILHAAANGEGTRYIQPNSTLSKLLEECASLSSDERARYLEGSIEIEAAYRNAAEQGES EVSENPEDEVNFHYVCFTKDVKGKVIWELDGDKMGPVKRGEDMGSGEDMLGETGRRVI RERMREEGGGENVAFSLMALMVELEG CC84DRAFT_1174319 MLFARTSLLALATSLSNISSAKAYWLVATDITSFIPGPVGGDGY QWIHVDNLECNTMIDAPLVNDRSDVSGDKQGVRMESEEGDCDHGGNWWATECPTEVEQ HFPEFHQTWYADRDNTMVNLEGGVVGSCRVDMTHDIQCEVTAGMARFQTLLECTQTA CC84DRAFT_1086647 MYKGEPVLTFWSGELLDGYGHGSYYILNQSYAEIAHFSAVGYEE LSDLHEFTITSDDTALVIIYTPKQADLSDVGGEKDGWIFDCIFQEIDIGSGKLVFEWN ASTHVGVNETVNELGDAGSEGSPFDYFHMNSVLKDANGEYLISGRVMDAVFKISSSGD IIWRLGGKQSDFDVADEAVFAFQHDARWVDGNQTRMTIFDNGPTDAVGYSRGLLFAVD QDAKTVSLIHEFYNGAKTFAKFEGSLQVLDPSSSDTNYFLGYGNQPFLAEFSSNGTLL LDAQFGATNTVNGYRTYKLPWTGKPITTPDIHLDTDAHTAYVSWNGATEVQWWDVYSA NATNGTWRYAASANRTGFETSVELGGVSLGTYLRARAVNGSGGALGWSRATDGKGLFD ASGDVDEKRNNTSSSSTVSTTARATGSSATVAAATSATSGLAVRIGRGMVEKVVVAVV VVALV CC84DRAFT_1115765 MTPPPSKPTLPSSSQSFHLSALNVLPPPPQPDSGAFLRADRHGT AQELEDIPHHPVSARTSSRSSLGSSTRPSHTSTAADTSGSRLRLGRQTLRWHDRVVRF WTSNVSVTIEEGAHRDHLALERTFLGYLRTSLALVMTGIITAQLFRLQHSSSPNPRIG FVVLGVPLAASFIALGMLVLLLGALRFWRQQRALVKGRVWAGGWELLVIMGMSLAVSL SVGGWGWREADLDRLLPLRLRCWFGWMWIRGRGRCV CC84DRAFT_597676 MLHPSRYPDPHDASLATSRRTFCTSFNIRTGAFCATSSAEHTAA LAFAELRCLLALFGALIGAVVFVVNLQASAYSTPDEIICFLLGAKEWMLGIYASTQEL LY CC84DRAFT_1162746 MAVEEGSSTPAASDKPAVLIIGGLGYTGRFLAKYIHDNNLASEI RLVDKHLPELAWLAPEFKDVCTRERFVQADASREQSFPRIFDRPNGKEFDFVFNCGGE TRFSQEDEVYKLRTYGLSMAMGKEAARRKVKCFIESSTGMVYKSDSVPRKETDKTKPW SKLAKWKLQAEEDLAKIEGLNLLVFRMSHVYGPYTSKFLATALCMARVYQSLGKEMKW LWKEDLRTNTVHVDDVVRALWTGAEWFVQAPRTSPQTFNIVDHGNTSQGLMATLMHDT FNIGTGFHGTLISTFARMNLDHVVDEVNDETLDPWAELQNQAGISNTTPLTPFMEKEL LHNTDLSLDGSAFEQETGFRYQHEKLTKEEVEKVIESYKTMGWWP CC84DRAFT_1240664 MARRGMMLLLLPVLSTNHSRADGRAQNAFLGCDPRARGERRLSP LRVDVRHAQRERAVNQAPLWCGARHVSLALKPGTATDTASSRPAAASTCLADAAMASR GGSDVQNSEVSVSVTAGMHAAMLHSVPTASPMQCIRQRDARTRTLLDASVGQ CC84DRAFT_597718 MLAMHSFTLTPTLLPLQRNHAHVDPQSFKPCHLSIAIRVSPDDP GHDIGRASRCTSTGGHIKLHALPIGLDAGTPLRSHVAPLLISNTAIKLTSINPHNLST CWPRRTLRFYGLPRSNGSMPCEATQVFPRRPSRRKRAAQARARASPTGK CC84DRAFT_1115772 MSSSDDDAPIARGRTNGVAKSEARIPLEVDQKLDKEFPSNGHVE PGVSVRMGPIEPMDVDLPATNGTVNGKRKARNSITNGKSYKEASSDEDDKPLNKRRRT SQQVAQTKDDSESELSDVPLVARKPPKATATQIGESSDSDTPLNEKLVKQKEKIEQAA AKEAKAIRNKEKAAPKRKPKEESDSDDEPIIKKKKQPAKRVSNAVKDESDSDVPLAKK KAPAKTPAKKAKAEPATPAKKGKAKAVTKTEQDEEADQEEDEYRWWEDPTKGDGSKKW TTLEHNGVVFPPEYQPLPKHVKLIYDGIPVTLHPEAEEVATFFGSMLNSTHNVENPTF VKNFFEDFSTLLKKTGHGKDKDGNTVKITSFEKCDFKPIFEWFESERAKKKALSAAEK KALKAEKDEAEAPYMFCTWDGRKQKVGNFRVEPPSLFRGRGEHPKTGRVKKRVMPEQI TINIGKEAKVPEPPAGHRWKEVKHDQEGTWLAMWQENINGAYKYVMLAANSDIKGQSD YKKFEKARELKKHIDRIRTDYKRDLKGEKMADRQRATAIYLIDQFALRAGNEKGEDEA DTVGCCSLKFEHVTLKPPNKVIFDFLGKDSIRFYDEVTVDEQVFKNLKIFKKAPKTTG DDIFDRLTTSALNKHLTSYMPGLTAKVFRTYNASWTMARLLKEMKATGTIPEKVKQYN DANREVAILCNHKRTVAAGHAGSIEKMQERINGLRYQLWRTKQMIIDIDPKQKKKKGA DFFERPEDLTDEWVVDHIEKEVEEKRTKIQKKFEKDNEKLKANGEKEMKPKALDELLK EADDLGKKMRKEHKTGKVEAEGKGPTVEKMEANIEKLNQRIENMKIQMEDKEGNKEVA LGTSKINYIDPRLTVVFSKKFNVPIERFFSKTLREKFDWAIKSVDEDWDF CC84DRAFT_1162748 MLSRAARPALRAGLAAAARPAPLNAPSAATYATLREIEGRLKSI RNIEKITKTMKIVASTKLTRAQRAMESSRQYGQTSNTVFDQAETKPVEGDGKKTLIVV CSSDKGLCGGIHSGMSRATRKILLEEPEADLVVIGEKCKAQLSRSNGKNMVLSFAGVG KDVPTFADASAIADQISTLPTDYSSVKIIYNKFINATSYEALPIEAFSEEAIRQSPNY AAFEIDDEVLGNLREYALANSLYWALAEGHACEQSARRNAMDNASKNAGEMITKFQIL FNRTRQAVITGELVEIITGAAASEDS CC84DRAFT_597760 MIPESQYCQMQAVMPEVKQLFQSRRYIQCANVCERFLSHHYDEI HPVHRVYLHFYFALVHDTMARETTLKHRSTGLELAEHHYRAAIEILTPSEPYNLDDLL SPLSPTSEYLSAAGFHRLSRLSFRSAASSMSEDEDRNWDRVDSPYDDTPSPTHSFRSG KPRPTPITTFNASRAYHEDRFSAELFALLPMLHAHLRGVKQLKSDKTTPRCSFSRSRT STWSSRPQSRDSTGSNESELDQLRSMRKNLNFRPKFDPADIQKLCNEALAEL CC84DRAFT_1142002 MPAPSEDVLIERKKLKVGVETVSNQQSTDFPGHWPGESHEWDLE HFKNNMRIEFNHEHANKLDLTFSLVGVDTSLANALRRIIISEVPTLAIEDVFIYQNTS IIQDEVLAHRLGLVPLRGNRDALRWLKWYQKDEDVPDHEPKSDENCVRLSLNMECRWK EGGLEKAKQGVTDPDELYENHNVYARDFSFEPWERQTEKFGDDGVRSSYPDILVAKMR PGQHIELLMHAFKGIGQDHAKFSPVATATYRLLPTIDILKPIVGADAKKFARCFPKGV IRLDKVSSEDASAHEECAGKEGEAKAVVDNPSLDTVSRECLRHPEFADKVKLGRMRDH FIFRIESTGQWDSDELFLESIRLLKVKAARIKRGLTRVDAPVQ CC84DRAFT_1194934 MPVPLARYAGHAAKRLCQAPRPTVASSAPRLQPFARALSTSRPR HYADVQHEQSAKLIPTDADFSGPIDPERKGVLGHGHDDDVSGTSGKKIRHYTVNFGPQ HPAAHGVLRLILELNGEEIVRADPHVGLLHRGTEKLIEYRTYLQALPYFDRLDYVSMM TNEQCFSLAVEKLLNIEVPERAKYIRTLFGEITRIKNHIMSVTTHAMDVGALTPFLWA FEEREKLMEFYERVSGARMHAAYVRPGGVSQDLPIGLLDDIYQWATQFGDRLDETEEM LTDNRIWIGRTKGVGVVSAADAINYSFTGPMLRGSGVPWDIRKSQPYDAYDKVEFDVP VGVNGDCYDRYLCRMEEFRQSLRIIVQCLNDMPAGPVKVEDYKIAPPPRAAMKENMEA LIHHFLLYSKGYSVPPGETYSAIEAPKGEMGVFLVSDGSERPYRCKIRAPGFAHLACM DQISRGHLLADAVAIIGTMDLVFGEVDR CC84DRAFT_1194935 MASNEYYSGGGGGGYGGDERRGEGYGERRNEGGGYGEERRHEGG GGGYGEDRRQGGGDYNDRPSQGYGGGGGYGEERRHGGGGDNNDRPSQGYGGGGGRDDY NDRPSQSYGGGGGSGGYGDEQQHSSGGGYGQQHSSGTSYGGGGYASDDFSGAAQHAQS HAGGAGDSDLFSTALGLLGGKKSKLQEEDVDEEDAVKQHQKLYGGGNDHEPASSGSVG TAAAMQALKMFTGGNQEQASGGQNKFIGLAMGQAAQLFDQQSAQGKTQSGATKQDAVA QAAQMALKFYMKSEMGGGGAAASGGGSGGGMGGLMNLASKFLK CC84DRAFT_1162753 MSVCVIDPNGPPAIPPGPDQEGPPPQFMTSWIPTPPPPYSTTAS KLLHPIVIPRIDTTSVLSAKLPFVRAYSHVLRAHGINEGEFMSFMDMLAVCQAPPVPL QMLDAASHVVGIVPEPVAMGVSAGMGVAAGVGTAATTIVRTRRFMEKVNREFFAPRGL KAGICKSEELAGKLGCGPITVDSLPAESLASDGTQQHLRDRYMQALSPCIAPLTFDVP PPSKQRHFLDELSAQALKRRVKKRESRQKKKVEKANRKNSKQATDRANEGYDSDSSSS SSSTDSSAVRGDEDGVHADKKIRKIERETERKMSKANTKDAAKLAEKKEKKIEKRERE IERRAEKDDEKAARKSAKAAVKSEKKGDKRKRKEEEKIAAMEFLVVENLDSPA CC84DRAFT_1215391 MVRRIRTLRELQIHDTEVAEQLLSFFRGSYNHKDIAVLLVDPCP KVDEQFSEFSTIAVPKSTPPRVAIDLEETDWLDVKEEDVRPRKLQFEQTLSMYDDLVQ IVAPRNSAITAALEGGPPYYLADFFAKNVEARNASTVQSLFVETWRLTGVASTGVQKL ADFDFSNLEHKAWSDEWKGKLFTQLWELREELEILQYKMQVNRQTLSQLYEGDNKLLG WDKLLRTNNYAIQLMNRTTDTYVQAIGSTAAQFANEQTKNSKKLTGFAAVFVPASLCA AILAIPSFTPDAKSITKFWYEALLPHNKHPDYCG CC84DRAFT_1240675 MDVIDDAMRANSESESVILNILIWTAALLGGQAQERPRMGRYCF FQEEPSFLENPVGSYNLFLRFTEFLLSEACERVSGIVYVLSHEQETIRQQQLPATIRY SALKSHLKFAKSFIGARSPNSAILAKNRLPIGPQAAAMSQAMDSTWREFFGNQSLGTK EDHSDEVVEAIVNSMYGRARRQLRDTELGRLFTKQLDEWIDEAEKEKKRIKKAGGDLS DIKSKIKLLEKDRKAWKKLKCPDYS CC84DRAFT_1257682 MRTTILSSVCLALATFATASAKSSYDGYKVYRVKTGINGKAVQS KLASFDFEQWNHDSTSHIDILIPPSQTAPFEALGLDYHVMHANLGDSIAAESATSTSN FKRQADNSTSWYDSYHPYADHIQYFDDLHAIFPNNSKKISSGKSYEGRDIYGLHLWGS GGPGKPAVLYHGTVHAREWISAPVVEYITYQLVTGYKSNDATVRTFLDSYDFFILPFV NPDGFVYSQTTQRLWRKNRQPGPNNSTCFGRDINRNWEFAWNATARGASSNPCSETYK GEAPSDTPENKGLDAFVRKLRDEQGIKLFIDWHSYGQDILSPYGYNETLYAPELGKWT KAANLVSSAIREATEDRTTFTFGPSGAALYATTGAAPDHVYSIGGAEFSYTIELRDTG DAGFVLPPEQIRPSVVEQWEGQKVLISLLDEVFFDGKGLA CC84DRAFT_1162754 MPAHVSVLYPRKAKFNMDYYLATHMPLVTKHWTQHGLKKYTVTQ YDDPESPYSVGCVLEFESLEEFKKAGAAPEAKEVFADIDNFSNEKPSIVAGEVKEAKS TS CC84DRAFT_1204153 MDYYQCTKSDLHQELTRRGLQAYGDQDEAAERLKQDDHQRGTVA TEIDATIGLSNTVYTWRLSNEYGKTVVASMLVGESIVHWTMNQFFPAVQLFFESGLSC WIEGSQLPGACIGLDSALRFRLTDIAHAEDGCLINTILSKRDSASDPSIRILEATVAN RKSIAVKETHQFVEARPVTTIAQEQHIVVGLRLEGMESMGYVWARLPSTTVGVASRMW GHVRIAGMRIDIPVPFVRFPQTGIKPGAQSKVVLKESVIDPKLRGHPTI CC84DRAFT_1204154 MVSLSTTAGDPLSARDGKSTCDTLCSPATAANPSPANAAYYTHY VNHVANPSAPHPKSHSQLTHPSLAPRSSLTATAPPYPNRSPNRPNVASHPLVSPSSAP TPPRIRQSRAALVPSPRPAPTGTRIRLLPTDGLLETQQQKPSAAALRKGAHAFCAALL SPPAPSELLQTYFTSTPRITEHGPRWAASRLPFLGKTFQGRDGCEEYFGLLGDVLEMQ LPKDALPGPEGFIVDAEAGMVSVVGKGRFKSKKTGKGWDEQFIYRLSEFDAEGRFGHW EIWADPLSAWDAVGE CC84DRAFT_1162756 MGNMEVMTREHLEMLRSSAFESEHAQLYDTSYMQHEAAALALEQ EIEHSLVSISPDENSDEYRRIARTQIMFHRERQRALKPHLESGSGFEDEDGNECVFVP APNHWGANGDLDEESGSLSSVHNLLTWQANYSPMSYTPLYEEMPSPDIPFYTMLDPSQ PPVTYHLHRTREWTTSASRKFIYTAREFSDRYSLYRLEAESHRGDNQVTSANFFRVAE YPQSCIDVLLSGIDKLDAKAAYKSRCIHLRGPFATPIKEYPDRQNKIPWSPRRFTYGG RKFVWKPGDPHDDVMPETLYEYKKDYVKPGSRSGKKVDDAAPTKLVWGEKKRKGKVES YTIHFAGGVDQVFRELLLASQMARQVCLFTAME CC84DRAFT_1050943 IISARGSTQAAGEGSLSSVSSLIKQALPGSVSVGLSYPATLDNY ESSENTGVVNLKQAITSYTTSCPNSKIVLLGYSQGGQVVGDALGGASFSSEAPLASTY TKNIVAAVSFASPAHVAGKSYNAGTSKGSGIYKRANTATLDTYASRLDDICDSNDLFC DSGSSLTAHTQVVSKYASAGANF CC84DRAFT_1185642 MADPPRAPKNADAFSLHSTGHDSAVHVDDHREPHWTPPPPPAIP ADVAAPAQALAQSDHGDDDDDENAPHEYVSDSGFDSESLLGDETDTLASSIMNYRIEN GRQYHAYRDGAYWGPNDEVAKEILDFAHHMYLLTLDKKLHLAPIANPQRILDAGTGTG IWAIDMADQYPSATVVGTDLSPIQPEWVPPNCVFEIDDVTLDWTFPPNHFDFVHVREL FGCVPDWDFFFAQAYDHIAPGGWIEIVEHGVHPRCDDGTMGEDHFFHTWGKTVVGLSE KWGKSFTIWEEAKERLEKAGFVDVTEVTYKWPMNGWPTDRKMKDIGRWNQLRLHEGVE SFMLRLLTQVGGWSVARAQLFLAQLRTELKNYKVHAYLPGTVVYGRKPLRST CC84DRAFT_1162759 MAATPSAAHVANGAAAHLPVLVVGSGSTGLAFAQGLRKAGIRCI VFEKNAPQVGERDWSMGLHWGAPVLKSLMPDEWWPRIQSVHADPSEPPKDPDTMKFVQ GDNGNTIAAFPISNFYRLRRSKLRELLSEQVDIRYEKRLASVTFAEDAQSLTAHFSDG TSATGSMLVGADGARSTTRQCLLGPELGAINKIPYCATFVESSYSKEQALFLRSFHPL YLATAHPDNYMGFFSTQSVEDPEDPTTWTFKFYISWRSSLEEQEATAHWTDAQRLAQQ KEFAKKFCEPWKSAYEWATEDAGVWYMGMTEWDPGHEGHRWDNHNGLITMVGDAAHPM TYQRGQGLNHSLTDAGKLRDTLVKIREGADRSQAITSFEEEMIQRAGDEVRSCTVNTA LLHDWEKVKTSPFYSKGMAKNH CC84DRAFT_1257690 MKFTTIFVTAGAILVATVYAVPTPQFGGNSLQDRQWGGEVKADD KRAARFGGDDSNGALYVPSGPAKADVKRQFGGDSEEKRQWGGSSDKRQFGGDVKRQFG GDSEEKRQFGGDSLQDRQWGGSADEKRQFGGDVKRQLGGDADEKRQVGGDVKRQWGGL SSDKRQFGGDSLSDRQFGGDVKRQFGGSSE CC84DRAFT_1257691 MSRSPMQRDEARPGRSLEAHDHSHFQGLKEHQKTTISMFIENGI NPESIVKATQHGGVQNLLLEAERIVGVADAWQTLYGIDINPLVQLYNKYGRNVVEVCL IDLVQMSQQGLLHVKPVVASEDMPAESCENDDPELSALAGESLDHPSKPTRNRVEPNP VEIRTPRCAPVTGKRPPPSATIFKKSVSSAFVGKRRVVGQVCTTRCTKYMKRQHFLNH AVLKHKSKLPSQMSFLCCCDHYYTDDEAYLDHHWNKHSDIFPVEESEAAVTPREQPDA PYSTCSTPPGPVDPVEF CC84DRAFT_1240691 MRQGRVGACNGNVPYNSEAALAPLPRNEKTKRPPTRRSPESIRE RCAKDQLSAVSQEWTLHSNWNGKEAEASADRVWSCSTALVNTYALMLEREEAATMRSS ERRPGHDRAERQRPAPTSSSYFKSVSNYFRHNWSKMTTSEAEGQERSSAGHDGGRTAA KPLQAQRLLRALPSAARVAASRASPGRCLRTSNLAWNMANFAHARVRHSRRGCSVRLS RGS CC84DRAFT_1162763 MAPAHRNPTYHPRDGSFESTRESNRARYSGPWPPPDVVRVPPSQ LPGKDVFPPPDVPPLALCHVCSVCGQMRSAGYHRHHPIVPGQALISTPCRRCKKRARK MKERREAERRKHQEKEASSIITIKIDDGERRGRPRGREDHRYHSLSPESGIYRSTIRA YVGPRESTHVKYRTSRGISPERSPPHALRRRTRSEVRFSSGRPPTDGVRVRYQRNQEY ERLRSPSPDARTRLAAHPTPFRTFTPANMPGRYPEETQGPPPEPPRGILKNPFQVYEP QSSRHVIETSYDSMLPEVGGNRVQFGGEHRPSEHVGESHARCVTCRGEQCVCDNEYAY LNRRRYHHQHGHVEETPSPELPTQKLEQLRVREESPPHSYTRDRSHPRRREVDELHFS RFHSNSRRDIHEWRENAASQPPLDDRGRTHYPPAEPRREASPLRRATSQIRSLGQRVR RRFSRSPTPPRNRKHEDWDDATDSGSEASGEHYIVKYREVDENGRPYTMYEERVRKAL PAGDGMPAESSMCGGLEGPAITRGFAPVRGR CC84DRAFT_1162765 MAAAESETDKFIKQVSGRNTRGLLRVIILCLIAGAAVASRLFSV IRFESIIHEFDPWFNFRATKYLVQNGFYSFWDWFDDRTWHPLGRVTGGTLYPGLMVTS GAIYHLLRFLTLPVDIRNICVLLAPGFSGLTALATYLLTSEMVTSPSAGLLAAAFMGI TPGYISRSVAGSYDNEAIAIFLLVFTFFLWIKAVKNGSMFWGALTALFYGYMVSAWGG YVFITNLLPLHAFVLICMGRYSPRLYVSYTSWYALGTLASMQIPFVGFLPIRSSEHMS ALGVFGLLQLVGGVEWIRTQIPSKQFQTLLRALVLFIFVVAFGGLVLLTVSGVIAPWT GRFYSLWDTGYAKIHIPIIASVSEHQPTAWPAFFFDLSMMIWLFPAGVYMCFRKLTDE QVFIVIYAVLASYFAGVMVRLMLTLTPIVCVASAMAFSQILDTYLDAKTPKAELPKEN GDSRDAAKVAAAAVLPDGLRSTRQPLIGVYSYASKLTVMGASVVYLLLFVLHCTWVTS NAYSSPSVVLASRLPDGSQHIIDDYREAYYWLRQNTPDNAKIMSWWDYGYQIGGMADR PTLVDNNTWNNTHIATVGKAMSSREEVSYPIMRQHEVDYVLVVFGGLLGYSGDDINKF LWMVRIAEGIWPEEVKERNFFTPRGEYRVDEEATPTMKNSLMYKMSYYNYHSLFPAGQ ATDRVRGARLPAQGPELSTIEEAFTSENWIIRIYKVKEPDNFGRDHQSATSFDKGHKK KKAPKRKGPRVLRVE CC84DRAFT_598208 MAQPNENPAIQYIGADGSSYYFDPQEAQAGNEYHSQWRHPGPVP APNTPSPSGSHSTVLTAPVFFNGHQAISPSVHPQDGRMSNNLQGLSHLAVPSHSGRPR STIGSPSDTDHATSPIYSYPSGFAANTTGGVSHAANLQTSVGHGMTSVNGVTEHASSM GSLSLRMYSNDQHSEPWNPMRAAYNDTAVDRASFPGSHMKFGPYRQQQISDMESVVGP RSDSGYFTHPAPQSVISNELERADQDLPTGIFEMSNLNVNSAPSESTTEYIPPPSDQA SVYSGRSQNQGKSIYLCSKCKEVSKCPSDYKKHMLKHDKPHTCDVQGCRRAAQGKGFT TINDLQRHKKSVHRIGVERDSYQCASEHCRNRGKIWPRLDNFKQHISRMHRDEDEADL IRKSSYRGPMPPSTMSQADMLAGIGIEAQAAGNELDDPASLISLTPDQDENPWAASFD SGSHKYALDNDRTTRHEGGHFLKAPDFYTGAKSGTRSISPLSSTSTDPNMRKPRLQNS LKMLADDASTQSPTATASLHHLSSAPQTKAEQQRQLTHAEQQCYALQKFGKALIAECQ ADPSVDGTDLGNVVLRILSGTSQRFQSDKYEPDDPRLDAIMTKTEALKASQAISNLIK HSRNSPSISRPRRSSRGSSSDRLQCTRCEVTLARACDMKKHMKRHTKPYGCTYPKCHK RFGAKSDWKRHENSQHFQLESFRCQRTDQIHGGPCGELFQRVELFKQHIVNEHRVAKD SDMSGETKACLIGKNYQRQFWCGFCQKIVKLKERRNAAWDERFNHIDAHFSIEKRGIE LWMCVETRKTKGELLREMDRTKFDDDDGDEDGDGEPDDSPPPTADGAGNGLYPTYDAF PRPPSIPPPVTITEDMTRKRQYPADDDFAPAPTSKRRRTDVNRYCCSCGNGPWQGNMY VMCMDCNHHLCSSCPADGVVGGLDGGIMLV CC84DRAFT_598271 MCGRRWFPRGGSVRLGKEGNRRLVPSGRRRPPAANLHHCQKWLG SLALRIPTCHTIQACVEPTSLGSTHKLLSLAPASRSTGPCSTPVSVRAARGPSPTVDQ FPISGPTCDSHQRMGR CC84DRAFT_1142028 MNTMDDRPQAFNSQRREQRHTDDGSTNTFLPTSTSSGNFLLPDA HGQFTFSAEPTSMIDYSSMTAPTGQETVFTPNTASSFDSSLHPDVINQTYPPAHVYMQ TNHYSLSPHSRNGQSISPSHSVDHLSPETGYMSDPSYQDLSNYATPTFNGQFLDESFQ QLNFADRVGSQAFPPYQGEVDMAMLARNTLATYNAQAPTIPSNARLDSHSQLLSPCPT NNPSPVVTDEMSRNANFSNVQYTGLEYNSSSTPPSRTALPQHSTPIKQQKQQQQQPSP ALTNSPSNLSLANPQPRATHLTSPIVRVENYGGRDSPSHSDISRPMSKRSHGSRRSGN HLSPFPNDESSDDGGDDDDMQRPVRVQPVVRSAQRNDDGSWIISRASGQAGLTPEDRD AIGDAWIPSLDESADHRKKEEKKLDVHDWLSKSEVGSEAGDGGSSTNLLKPFTTRRRA KSTNDAHGRQAMGPGYGLGVRTDFDRFDDSNIPGPGLYIDERSENDDESDEEEEVPES PPAEVSVVANQVDNEASYFPPIQHGGLLGAIVRPWVDVPSQPATSTTRYQPPTSSAAM MRFRIRAKDVESASLAATVGSRRLSESDLGSIRAAPGVIKAIEPDLKKQKDRQRRPSF LENILPTRKPTNLLKRKGSIPVQPQSQENVAEKPKEPVMEKPKRMGSWGRPKSPRLDT TVAIHTRDIGPPSSTGLTPNTGTWYQGAKNAIRRSRSRSDIGKSPGLAELMTQHGGPP MPMLASPLAETEATKLSAQQSPTGDDDEDDVQDVITMNLEVRSDPIIPTPEGFKTHAR QLNPRLTDYMVERITQEQVRRYKRLLEFKVKHRIAVENGNCSSSSSSHNFCTELGGDS KQLTPKAGNKDSEAPFLGFQVTAPGSDDDDGETPAEGTLAAAGFPSGVPLPPVKRLPA EFECPLCFKVKKFYKPSDWTKHVHEDVQPFTCTFPNCGEPKSFKRKADWVRHENERHR QLEHWTCQIADCNHTCYRKDNFVQHLVREHKIAEPRQRTGKGAAKDAPGNADSDDIWG LVARCRRDTTKQPKDEPCRFCGNICTSWKKLTVHLAKHMEQISMPILPLVDQKQISAD TVISPVVEIPESRKLSVTPNRSPVDNPSRYNPNSTLAPGIDPSYGQYPSDSPGAASSV MHTYPPPQIVPYKNPQEQVPSSNYASYALQNPHNYSGRTYPGLQEPSKGRGAYVNNLQ IPNQQYMNGNLQNGGNRFPMTPVSAIGQQAPMFNTSPVDTATFSTDTMASQYFTQEPQ SMGSNGMTDMSGFDTTNPIQYQQPATYPDMAYMTAPQRNYQYQAQ CC84DRAFT_598384 MAGSVAPPPNARDLLPPLLACLATANASRQPPPALLPLLSPILR QRVQLLASEDWLSLLCWDKEVASRLPEVVRNLHVEPHPASGEIEVEDPDQILYRRSDA ETLHAKLVLGEYGIVPTYLWCTSGEQGDRWELSDLRGIQDMDDGTEWFPSMSEAADAG FRRKSSNTNGATAHPKAYLQPEPEPQQQNDDDDGSYWAAYDQTPGGPTPNKQSPAPPT NARVQIGPTQTELEYFARYASEVQPAMDPHDPDEEAAGLGDSTLNGNSFGFAQQSIPE PTRRLSDTANGVDRTNGDRRDSPHDKPEHIESVGDNRFQDLNHPRPASSASSTSVEKL EREAQNHSQAELAIKQHISTDMKSLFRLARASGIEREEFERIIRRELEVLPLLEQDE CC84DRAFT_1162767 MSATTKIAVHSLSDLKNTTDDALPNYLHSLKFKQIHKQTDVRLV IGYTAVIIAGALFYYDWKFGWEASKPYTLPAVVAYFLLNTAFSYWLWFVEAGTVYEGE GKTGKVRIASSTKKHIPIYEVDVTFTPAGSSHPQKIHIRAPFTRWFTSDGYFIAKPFQ QWLASEVPVVGAADPNNVVEEIGRGSEAENVLNASGNQSAIDILQQLKASGANVSAGS GRRRKA CC84DRAFT_1162768 MGQPLVQTIHRDPALFWWILLPITVVMVLTGILRHYAMILLQTA PKKQELPKIRQQRALVRGINLRANANVLTPASFQARKAYMVSAFQEGKFLAEPEARGK PRPNPMSDPAAMEGMMGMMKGNMAMMIPQTLIMGWINAFFSGFVIMKLPFPLTPQFKS MLQSGVGTRDLDVRWVSSLSWYFLTLFGLQPVYNFILGSNNSANNVTQQMAMANPGAG LMGPEQDPDKLFLSEAENLEVLEHRWILDGIEDRLIAKMAA CC84DRAFT_1142037 MEPYNQYGDLPQIPFQSEPHSTTWSGEPSATLEHRFFVAGDGHC YPQRASNDLMRLQRMQYFLGEPSPLTSGMLHANLNHGIHHQRQVLSLYPTPEYARHIS PDRTSTSGNSSYATGNEARSPRTLPVAYGSPADYGQLSSPYPVTDHIKQEAYPPEFAH GGVSVNMRDLELYNHQPEPESLVEEIDDAETKMELDGTYEPDPDYTRVDSGTETYKDS TDSTVKHSLRDAESVQPIEPSEGESSDADYTPRSTRRRRSSASSNSSQRQGQGRRRSY INGRKLSSTATIPTTRVTKRGGRGPHKVLSETYTVDSQRHFPCPLTMYGCLSTFSSKN EWKRHVSTQHIKLGFWRCDLCATTVDPHDPQSVYHNDFNRKDLFTQHLRRMHAAPSNS SHRSQKEYPVNEENIADHQKRCFQVLRETPAQSSCLYCDETFTGHSSWENRMEHIGRH LEKDRKAGSVIGHVATWNIDKELERWLFDEGVIALDKMGNWKIGDGRPRRLGASNDDT SDEDA CC84DRAFT_598563 MTRPFAAGHRPCTIPTATFSMPASDLPTYPQTDCTPYRPQQLDP RSWPQTTSDSPPSRSLGNTSRSLQLPGARGHGRDRVPGEEVRPPAASKLRRHDAGVGL DIELLLRALDIAARRRPQILTCCEVLVFHSRGCGAHGESLPCACGGGG CC84DRAFT_598440 MRLCNVCVGMLRGGKGDIWTGTHDLTFEHHKSTASLRRSRKADC MICVVLAGLYRRAGADILDDRPMSIQATIHRLEAHHHNLLDERTPAEETTNHAAAVST QVQGTDSARRLEELRRKFTAVFEENISIEVLPQNELREITNELLKLTKKKSNFKGFRL DFDIEKRYTRTFLLKPINYDTSALRTPKSTNTSSDEVFERACDWIAKCDRKCDCSRKA STAWYPKRLLDLEKLSKAHGLKFPGGLKVLSERADLRKTKVHLIDGTEASGRYVTLSH CWGKPKSVQGQLRLTGKTERKFRVEGIELRELPKSFRDAMLFASRLEHVRYIWIDSLC ILQPAVFSTDDFEDKSEQDWREQSRVMGEVYSHSYLNISATAAKDGDQGLFVPRRPEY LWENEINVNYTGTNSFGSEGVTMGRDELTRCNIIDLSFWSELVDQAPVNQRGWVLQER LLAPRVLHFCHNQIAWECGGFQDVEGYPDKHTTLKVRYGDIIDEGRLKDLDERHGLRL RDFRLKGSPDPDKHMPKLGAYELWKCMVEVYSRTRLTVSRDKLIALSGIAQKFFEITR CEYVAGMWREHLESQLLWQVNELYRDGVFENPAHRDALRSPSFSWAAIDTPHGITYGE VTDYGRDRQEQLLFEVTGTQIEIDDRNNPFGLIRSGQLRIKPRYLRQIILRRLEPPWR VPYGWRLRDEAYPGQAAPAEEPSAEKEQSAIRKVQSIEHYNLNLDAPESDVDVFARDA DLYCMPAAFGDRTVKKHWRDLICLLLIKDKATASEDIRSTGQFRRIGITRLSSAVHWK SHRILTEQPFDGDIILN CC84DRAFT_1162771 MSKQFTLSDWNDIPVVVGLGVDNIDLDEKKLLKFPAFNGWQKTL RENLERQKRPGQVYSTDPWRLEKVMIHHVTLFGENIGFMTIEAFLRKGDGQALENRLD RVIFLRGGSVAVLMILRPKDNRNERYVILTDQPRLGACSTTFLEIPAGMLDEETRNIK GKAIQEIEEETNLQVRADELINLTELALRQSKAVEDLQQAIYMSPANLDEFIPLLLWE KELDRKDIMSMRSQLGGERTQGELITIRVTEYDTLWREGARDAKTLSAWALYEGLNRT GVIEKELQRIRSVNFDK CC84DRAFT_598461 MRSTSAAIVVLASTASAQLYPGQSPYNHTCELQNPLLSCPPQDP LLVDSCCVETFGGLVLSTQFWSTYTGGESAGQLLPQDTWTLHGLWPDFCNGSYTQYCD LTRQYDPIPSPNTTTGKPDGVPVPAYNGSNIGTFLEPFGKYDLLDYMDNYWIAQNQDN PGFWGHEFSKHATCFSTFNVPCYGPQYRKHEEVVDFFETAIRYYKRFPTFDWLEEADI TPSNCTTYSYEEIRDPLVKQHGGLPFIGCTGPRYNTTEAGKNSTDNGYTVLSEVWYYE YVYGRPQEMNTVPVNASATYYTNCAKSEGAIHYPERTNGSVRVPTLPY CC84DRAFT_598483 MSTTVTPLPPRRPASYQDPPLHHVGSPPTSFRNPWPSYSRTTIS KIFTTRFNTPKNFVAVPSDRAGLVQVRKPDFETAAAGLKATWIGHASFLIETTKAEGA QRGVRILLDPVWSDRMGPYGVVGPVRFTPPPCPLEELPEIDAVCISHDHYDHLDSDTL KRLNASHDGNLQFFCGLGVKAVLTGLGVGIKAEQVTELDWWDTVRIEKEGIGSVDLVS TPAQHRSGRTPWGFDGTLWCSWILKESSKEGKRLFFAGDTGYCHVTSNDEPSHHNAPY PPCPAFAEIGQLYGPFDLALLPVGCYQPRSLLSGQHSSPDDSIAIHQDVRSKKSIGMH YGTIRGGISINYEPVTEPAQRFKNAAEKAGLRWGEDVGLCDVGETVTV CC84DRAFT_598434 MTSHIDHEPWNLIQQPTTMPPAPPNYFSDEQSPDVCSVEPQVEN SEATKSTETSFVERKEDTPQLKRNETTESWYTDTVDFARRGVPRPLDPYDEFVRPPRL ARPARRVPPPPPRWPTTDPAAPILNSSVQLLDQLNYDGLADLPYPAKSSIYLSTFPFT DKDVRDWSWLLQLGIEGKFLSKQGDMRDDDGDDEDDWFYPGDRRGRITVRSVPHRGRR DRSPIYPYDHGAEISCVYLSRALDAAVVPESSEMEFRFVIVVRNSGRVGGGIKLLTAG SRKAAGILIYYEALVGYSVVFVGAMKEGVEKGTKASKYKRAETVEEAATMANEGVVGV IC CC84DRAFT_1257703 MDPLAGLSLACNIMQVIQFSAETMAVFRRLNEGKSPDPYVEVNS QSLVAATERLKSTLNTRSVIHGVPRDASLLQVCNEVVLVADALHKHAQKSLPAKGESK TKLIRKSVAFQLKHRSATEKLSRQLDKLQHRMESEVLIDLRQTLKQDHSDLVDRLQAL DKDLIDFRANLIAGQTRLEDLVDRESGEIKKTIADEAQKTRNYNSQSAQETRDFINAT HKERINDTAYQQFLNSFRFVDINARRNTIEFSHPETFEWIFAEPEDSQSSDDVAPNWS SFPEWLRGGTGLYWISGKAGAGKSTLMKFLLESQRTRQILSENSPNTMVVSAFIWSLG SSMQKTLIGVLCTLLYDMFAQDRELCWCQFRGRQGEFHLKQESSDWSLQELVLLFSTV AENCPRPLCIFIDGLDEIDRSKPTEITRLMGWLESARNLKNLQLCVSSRPEPTFENRL QEHPHLRVQDLTAKDTERYVSDSLRGLESDLKASPQDLQDLIATIVDRAEGVFLWAHL VVEHIRTDADYFPTWKMLVQRVDELPSGLHSMYKAMWERHNGDSDVYRAETASYLNTL LARDSYWNSDQLLMMMLKFSVNVQDNIFKGGQAVSLEFLVHECSRFDFEVQKDDSKQK YHVSRCVSKPINNTNLRMDLMELNARLCDSKLHVKVTSDLHNLAAEQDPATTVTGPVY DIPVDDIDTLFYHDKYLEQPTLDTTETLNYLIERGHLSEQRLDPVNPLRAFLDDDLKP QERAQFDEIDWSPEIDCECFERESRAVIESERRAGNLKAVAPFDGGMQLRKAAKKWWE EVKERV CC84DRAFT_1257704 MAEHSDACDVPDRPKSSHADTSTHTFTLSTKICSHLPREIRNKI YSHLSLDKETKHVKRIDHFTSPQFCFQFSRECLFGYYENVPQMLYHPFITDDIEYFMQ CCTGAKKIPGLTIIVEGTRTEYELGLLTKAVDRLKATGYLDDIDRAFKVRVYIDMAQN PCWRSVNPEIETAVKTIQPILQFFKERTESVRCFARLMHIDDKEAREDGLDVTEHMEK PMDEILNCLGLFQYVAIGPVISEEKRKARQAAEKRKKLLEAR CC84DRAFT_1194948 MSLALVQYSDSESDDADAKLPSNNALKRKRSASAQASTSLPPLP AAFHDLYSTSARVSTSDDPSLHGGRKRAVPHIQGNWPSHVYLEWLPSQAESQKLNSLI KHVRKAIEGANSRMKKPLSIPEIHPSLLSELGTPIPLHVSLSRTLQIKTDDRDQFLET LNKSLHRAAVRPFDVRFTVLKWVPNYDRTRWFLILGIERPAQDELNRLLHACNDAAKE SGHPPLYVGGKGDGPMENDGTIDIYIANKRKKSVQDEDTKEKAKSTIDRTQNYHVSIA WTLTQPDLEWITLAQDVDVSTFIESPQTPFEVVKAKIGNNVHNIELDPRKTSLGKRRG LLGLG CC84DRAFT_1162775 MGSDKGFVEAHHSLIDPLIEPDPSDDTGLNNHFTSTFAPRPGLH TPPDSAGSQEFPSATIRNHNTGSSVNSNPFVRSQNTGNSVETNPYRRSRASSASQGPA PHHGETTPRRSSKYEYPSPPNSASPRREHFSRDHRREAFGSISEGRPRRSSQPTGVNP MKGGGLTRGGSLRERYPGDPSTRPLDTIRKETKNAHRAPHLRKKNFTGADIIDRLDKT TIGGAAYHHEGPYDATNLSRNLDFKHSPVAAVAESNEEALRATPKENIVDSVQKHRPL EGVAIVPPGVPDRMGRTYDYKEGADLMREPGADYKRWAGVTYHPDDLKGKGEPSYSIE KALKDHKAYGDTGSEMQSHRRNVSMGSNGIPGHLPSESLDAGTSSGIGRSNTTGKSVG SALKKRFGSMRRKKVEA CC84DRAFT_1162776 MASPSPPKPWERSGGATSASVGLTGTNTAAAPTATASTASSNPP PLPEVPSSLSTVANRNASNYSTMNANRYGSPYGSTMGGMGAMGGMGGYSSPYNRMGGY GSMYGGGMGGMYGGMGGMGMGMGGMYGGMGMPGDPNNMSLTQSFSQSTQTTFQLIEGI VGAFGGFAQMLESTYMATHSSFFAMVSVAEQFGNLRQTLGSVLGIFTLMRWIRTAIAK LTGRPLPASSKDLTPASFAAFSGRAPDGSALPAKPSKKPFIMFMLAVFGLPYLMGKLI RTLARNQEEEQKRLMAADPLAAGEPLDPNKLEFCRVLYDFVPDATMNAQPGLDLAVKK GDMVAVLSKSDPMGNASEWWRCRSRDGRMGYLPGVYLETIQRRQPAQITAGSQPGSRA QTMTSSVGLDASRAASLTQKSVAPAPKVEGKAGDMGVESFQKGAFYS CC84DRAFT_1085471 MRSTFALFASLFAPALASPLICRSHTQPNPITEDYPKDVTGVIN GTTAIVPIPYAVARSIVPSKYPILTAAYEQVFPTLGDGLYPAVLEAVQDHDVGLPPFK IPDFTRVALKFPFVDRLNDGASAFKYTPPQLVSATNLIALLGSTIYGDTVAGTFAPPC DAYASSGPSETYMRAYTFAESLLPNAKPVADMSFRTAASIPYTIDAFINVLNQPLFGD GIPLCDNYITLFNATVTTAKYAPVPVEGTLVVRPPLYPNEETFGHVYGFRMANAFVER NGVICESLKGYSRRRC CC84DRAFT_599352 MSDLDILVSNGTCYTKAGEKLDESFIPCGNTAFGFQTCCGAGDN CMADNACFGVHGSGYGSQLTYQAGCTDPDYKDKSCPDKKGIDQPWIALTRCDDDESVW GACSQEGNPSTLQPGSQCSCTEKAKFTPTAFSDSPVLALFASLPTGTGGSIKFETGHV PTGDPTSDSSPAETGSASTGGSGSGGTNQSASQTGNSATEGSAGNNATPSRTGAGSLS SNTSSGSDSSSTGSGSNNGGSNNGGSNNGGSSDSSSGLASGAKIGIGVGVAVGLLLLI AIIAAVLLRRRKHRRSAATAPEIEKGDKNDASSLTGAAAGRASDATGADPRLSNVTGA TEKTNNISEADGKPLSGAAKPGVTELDSEAVMEVSGQTAHPWDRGAELDGRPLRKPSS PKELPGSLAAESPPSWVVR CC84DRAFT_599351 MIAHADRLKVLTPEQNSSTVRTPRARLIHGTYIRVAEPLSYALD RRDTGLYISMFEPSLAAQDGVPRSWAKEDKRVRLYSIVTYFDTVVVRGQSQYSVQHLA VS CC84DRAFT_1085829 MSVLSMVSTIWRLVARSRASLFMGCSDWLMLAGSAMNFVCNIPF GILCVRAGAGRLVSDPFWASHRVYTTIHFEFISINLQMNAMFLVKLSVCTYLLALNFS RRFRVIMWLVTLIVVMFNLIMPLLFNFWSCQPYYFRWHPEIVPECWPEMVGMVAIYAQ IVSNICTDLVYASAPLVYLRQVKLTKQMRCKVRIMFLLALMQVIRLRTSSSVVKMYVM HQWLHSSEPFYDIADLSIWGINEVSICIVVANLPMQSRSISRAIAFIVPNCLHPRLGL ESDEGYVEDDSFTSTIDNLRQTRSITPGADDGSEMAIIELENGRIVMAPRTPTPAVVR DNGSQGMLATFWRNDSKESMT CC84DRAFT_1162779 MASTLTFQPTNFQSQSPLFGVLPGEIRNEIFAYALMQYEDDAAS YPEDSYWYRPGFSGPRKANSALLQTCKAVYTEGQKVFLRDLEWAFWFDRGPSGRSGNV ACLSFFSDLSPQAAQALEKVRFFTQMYWLEDGSNLRRIFAEPQFRPSELTITIRYSDW WWWEDNAPMTMKETWLRNFLGSPGLRKLRVEYETLSWKKEEMMRIVERNKKWKLPVRT DVDSNEFDGYLSAEKTALSEWTWKGTSKLGGQTWSHFGKGEKDDGTVEYIVVTDTWNF VQGSLDVEGMRGRGLLEEYEDEYEDEDEDEDEDENDDDDEFEDEEEYHSDQEESNGE CC84DRAFT_1162780 MPEILPTAVYASAAIGTADFAQYPVSPLDERHTLNVVDDDSTIP RYYFTPRFSTTSTYQPSADSPKSCRDFALPKAPAPLLEMMKPSPVPSPRYAYPSSTYP ASYRASRADSDFDSLYDITDDEAEVPLHASASVKKIARTSRSRYPSLIIPSPSAWPTI EKLKSAISAQPHTPSQLLTPSKQALSLITSHNLSVPARSAAPSLDGSLTSEEMDKLSC PSTPETHKRESIGSVDSWAPVQLDNQALETLRHLSRSRDSSRGSSPERSPSRGNDGSE GEMREVRPMLNLRIPSGIVQTSSGNTPVSALSVPSPGGFFSSLQSTARHTWSLPKRDE SIPNTSTAEKFYSLPWAFKRSPIAQRAITLAGSTLDGYNDGLPTSRPVMLVEAEEAVE VSEIHPAKTIFQYNENYHVELQKLSSANIERTGHWLEEQEELQAALRDMDIIGSPSLS TGTHSRGNSIDKAIKKSVKFAEEPLKSPTEEEEPKKIITYVQGFEFLRQRTRRMDVYI HRQTRAEAMHVHRRCNPKSHQDQLLGKFELTNPVRPAPPRPVSEFYVNDPTVLKERIA RAQMERQALDQMLPICWVLQALRQLNGGRLLSKYAARLVRRTSVPKILDVGGVATNDW AWDVAYDYPYATVTTVYTAGNNLTSNVTGPSNHKQTTVPNLWTLPFPSGHFDVVSART LHELLKTDKPLGRSLDEYDLCLKECFRCLKPGGILEFSLLDADIIHAGRHAQALGVEF GFNLKTRGYDAAPTKSFLPRLRKAGFREVHRTWMVLPMGKTAANWKDTLPVLPAKAAT ERSISPEGEVVESEAPVWGTTVDAAMMTGIVGSWYWEKWMLRLQVEMGKEEERLLEGV VQVLEEGAEAGSGWRYLTGFARK CC84DRAFT_1162781 MSPPAIIAPSILSADFAELGKACSDTIHQGADWLHVDIMDGHFV PNMTFGAPVVTKIRSHVERPAAAHGRGTFDCHMMIAEPKRWVRDFKKAGCDLYCFHYE AAVDSTAADSPSGTSSAKTSPKELIKFIHGEGLQAGIAIKPGTPVDVLWEILDNPVKE EVPDMVLVMTVEPGFGGQSFMADQLPKVTALRQKYPNMHIEVDGGLSEKTIDQAADAG ANVIVAGSAVFGAADPGQVIKKLREAVDSRRGKL CC84DRAFT_1162783 MLFFSFFKTLVDSEITVELKNEVCIRGTLKSVDQYLNIKLDNAE ATDELRWPHLCSTKDMFIRGSVVRYVHLPSGAVDTALLEDATRREAESAKNKAK CC84DRAFT_1240745 MDPGIYLCRPPLHFSSTRDFQLVLHTLSEQQRDQRSITIMEATV YFGVTHTAAIPSTLITSFTNGGGVALGGRDRRDMRKLVTISGSVVILGDHETILPSGW VTVIDIPSQHNSQGVLPDNPVLRISIPAISTPAELVQTSITPLITPSPVLNATPHLGV EPNLSDSAGPCPINTALLDQQQSSYEDCQRTIEPGGRCCIPGVPDPSDLPSKTTTSTP VSAPASPTNTLSSSLMNSSTSLPTPTKPPISSTLPHTSSIPLSSAPASGLTPVPTEVI PPKRKTPILPILIPCSLAAVGIVAFGIWCTVWRSGKPRHPSSPPVAIAPRVINGQNVF EAGNFAGAEAFEKGIEEMASKEKASDEERGKWKPGG CC84DRAFT_1174363 MTIISGLTVITAPGPTISRPQPCWWMSTPSVEKNCLLTGHTTVT PTPVVFPTPSIIPSSTTLSISTYGPASPTGSIDVVWPSSGCDGFIVNETCVPWQYWLD MYNEAFPPTGWPKPQASVVFQTPDLDIRGPNAWCNGFVINNFCLRVADVLAMLGDRFT RTSSALTTATASDPALAPAPHNSNPKLGFIAIPIIVILVTVIGGTFFWRRRAPKKASP PAGPPPPIDSNPIANIAQLYGPPYPDVEQDPRPSMYERRSQGGRYLRNGVKIFDVRHA NRERQKEMTRRGQEIEQGPSSLVRKEWWDKDLLF CC84DRAFT_1142076 MSSYFDKPQFEELKERMDRIEERLEDHYTDLNQRMTRIDLALQK IMDHLHVGSDQDQAHAARTAPALTKTEAAPTETAHVVLDGPYPYTALDPTKSQIRILE LYSAPSSADTLFASLKTIDLAEPPVTFTALSYCWGPPIMDHFIVVDNYQVPITKSLDS ALRYLRDFYTDGISVWEKYLWIDQLCINQSDLDERSSQVSLMRRIYKKAENVHVWLGD ESDTSSKAIDLLTILGAPPENAPGEAIQYLSLSEADVKLNWIALGSFFTRPWFERVWI RQEIALHEKVLLSCGNKTLDIAVLEPALLMIDHVKSMGYDSASLSTGHTYKPGQLPWD YHPSKLIQ CC84DRAFT_1142077 MAADTLHHPTLKCAIRGKPSTSTVQFRNLKYATIPGRWEDSVIN EELSSNTDGVYDATQLGPSCPFNRAAQAWDLTLVGDVIMPMEGGIQEPMDEFSCLQLN VTVPKTHLENAARGEQLPVFVWVHGGGLSFGSNNWPQYDLTRFVERSVEIGKPVISVA INYRVGILGFLASKELDIDGNFGYKDQVLAFQWIKKHIAGFGGDPNRITASGESAGAI SLSTLLCVDTGGEALFEKVVIMSGDATLRKSRVRSWHDMMYQDQLKLLGLDQVEVEKR KTQLRELDPMEMVNKLPIAQHYCACVDGKFLKENISLELMSDGSRELHKPTWCKEFVI GDVRHDGTILHGRVLALPNAFENLKASCAKHLTESETNTLLAAYSLPASTPEQERRSL IDLISDLRFYIPTLAAHSGWKSAFPAERSFRYHFHVPNPVKGEFTGLASHEFDVALLL QNYAEHLDKATNKAGTQMTDHWIKFVTGEPWGESGQIVVIGAHEITQMSEEQYDRDYR AGNGKVLQSLWLDKCFRVAEGWQGVRAEIEENGTNSRI CC84DRAFT_1257717 MKLTLAWERYTQKIVLHCSIVTAPMLVSSLVILYIVYANVVTPS CASQELCPASGLINTTSRAFYYIDFPAAQLAFVSSWSATVSFALVGFLMAFASYANAA ALLKASEGEEQDDLPTPHQMSVLLRVLNAEMMILWDLATVKVKNVFWHREKEADTVKR SSPILNTSVVVLLVSIVASLLVQVADIYFHIAAEAVQLVQVQEVASISRQYSREIAPW CRDRPENGPLGPKTFWGCAITAQRAANNGTSLAPTNATTIQDMKNSVSDQHETLNFTN ANGVQYAVVGPPNADPAEDYKATSFGVSTSCAAIPQGGCEVGPPITNVTDGQGSPVML VPFSCSKNSSGIDIVGNLTSHNTVTNMLNFHKYASESSPFLSKIMETPDGLSNVDILT RIQNETADEVFRNSWSVLALRKIPFAQQADFERLPKPFRNDTRIWKHDLLGAFALMVC NVTVWDITYVKAGGQITSLTKSLSNGSIAGMTSMPGTRFLGTLANVFQDLSTGPESRS SPSAFIRAFEIGMSKAYSFPLASQLSSRASLAAQVRSSKVVTRLPVAALWTLVVANVG FAALGLGLAIWAMRLASPDVHQTQMRLGVAGLAAALFDREKFEQSANADDALFAEKSG NEGVDVKRIGFKRTETGGSTFAVYDARFRFAEAKEMRKRYFSSIVG CC84DRAFT_1215434 MADAQSVTPPDQSLAQAAKTTYDFNFSDFLRREYRFGLDPDRPQ CKAYMQGHCPLGNRCPDKHQVSSSYNNLVCKHWLRGLCKKGETCEFLHEYNLRRMPEC SYYARTQTCSNGDDCLYLHIDPEAKRPPCPHYDRGFCPLGPHCALKHNKKANICPFYL AGFCPEGPACKHGAHPRFPTDLKKPEVRVEKSPEQLEQERLEREAEREREEREDREQQ ERNPHHVGGHKQGGRWNKGGRGRGRGRGRRGGF CC84DRAFT_1115860 MYFNAVYYPNWRIYRNQPPASLNFDVISHVFYAFAWVKPDGTVY LSDEWADSQIQVPGAEGIPETTGCLNSFALIKKRYNRLRVVLSVGGGGKGSEPFAEVA RHSTSRDRFAQTALALVQQFGIDGIDIDWEHPSDAQQGADYIQLLATLRAYLPGPQYT LTSALPAGEWALQHINLAHAAHYLDMINLMSYDFSGSWVKKCGHHAQLYTPQVPHSPD AAISCHSAVSYMVRQGVPQNKILLGVPAYGRSFTGTKGIGHSYNGHAGEEGTFEYQDL PRPGATEYVDEQIGAAYCVGGDGGFVTYDTPRTVQMKAGYVRQNGLAGLFYWTGTGDV SGHTRKDRSLVYNGFLGLFPQ CC84DRAFT_1194961 MPAPSLDSADYDPVDHLNALFTHPSTLQSVQHTSATLRAYQDDL DEDIAAFVAYQTTSDADSVQRIQDAKAELADLFKKIESVRERAMQTEQTITEMTADIK RLDNTKRNLTLSMTALKRLQMLTTAYEQLRSLSKSRQYRECASLLQAVLQLVAHFKSY RSIDQIATLSRNVADLQRELLEQVCEDFEVVFAKGEVGTRRGMLTEACLVIDALGEHA RTRLINWYCNTQLREYRQVFRGNDEAGSLDNISRRYSWFNRMMKTYDVEHAAIFPSYW KVNEMLANSFCEGTRDDFKAILQRSMRRGDGQTLDVDLLLSCLQETLNFEHNLEQRFS TGSRSSMDTMASKDDKPHGFSQTISEAFEPYMRLWVESQDKQLATLIPKYRQQPLRND EEEFSAQAVIPSSTELFHFYRITLAQCAKLSKESSLLELSTTFAKYLDQYSQQVLYYF LSEKYGAQGPSMEDAVLILNTADYCYATCNQLEEKIKSRIDEDLREKVDLQNQADAFM GIASATVRVLVRKVELACEPSWREMRNTPWSKLESVGDQSTYVAELLRQVKGKSSETL KCLHKQQYARAFCDGLVDQMATTYIGNIVQSKPISEVGAEQMLLDSYVLKKAFTEIPV VNEEPGTAPPATESSFVKRAQLTMSKIDPLLKTLQVRPHPPEALVQAYLIHIADKSDT NFRKILDLKGVRRADQSVLMDLFNAHKSSSSHENLPANSTLLTPLQIGSGGHGTGIGS LGNAGSVMTPNLGGGRFDPAGLGNAMLGAVREGVDRFGSPAPGQEGSGVGEKEGQTKL NENLKNIGKFFRRDVGGFRGFGRSDEGR CC84DRAFT_1215437 MSQPNAMLALFLDGNLSFQLTREGFAGSKPRLMIQMHDTSSAAT IIIPGYQPSMKGFSLAFALQGGLLDLFDAGSNSKIPVPSNNAQPGELVVRAGAPSQWF NLRFDPCEDFGQGPPEQVPVRFLPRPIKLNVFDEATAPPQFSLSLTPTNKICHLSGEP RFGFKLEFMSHINEVITVCLYKTPLRELHGLEDVAIVEDEGGEEVEWPWGIGCWEGPE PFPSDDNFEELKPGVPYKTTFWLDKFDTRTSTGGELESLQARTRYTGMVSDDLRSGFG NWRRGNKEKLLAGDVKEKEERWKSGSGCSAQSIIEVSDPFTFETAA CC84DRAFT_1142089 MASKVQARHDLNDDALGKYLQQHLPDLSLPIVSTKIGYGQSNPT YFVDDAKKQRYILRKKPSGTIISPVAHQVDREYRVLQALGTVEGFPVPRVYCLCMDDK IIGTAFYVMQYVEGRILKDPDLSELAPADRRAAWFSVISTLAWLHSIDPDAIGLSSYG KKTNFYARHCTTFSRIESQQAQVKDISTGKPLGRAHPSFDEITAYIRANLPSERAGII HGDYKFDNIVLHPTEPRVIAVLDWELSTIGHPLMDCVYVTGPYWNRYSKAGLGKPRDD QGGEAYDDEKRAQSGMPEMGDLLDEYTKRAGWDPRGDRWEVAKVFHLMRGGTISHGIQ ARTISGQASSEFSHIYFENTRSLEAALEMVRSLKEEEGPKSNL CC84DRAFT_1142093 MAFGNSEPGQEHFPPPNYVNPTTRVPVVLGLTISTCGLLLVLTG ARLYARSHLRNVLGIDDWMMLCAAALAVTLTAFGASSCLYGLGYHLWDRRPEWDEPYY KIGFAFQIVYPLCVGLTKISVCQTYLRIFPSTTSRYFSHSMTVFVTAYTITCVILMLT QCYPLVGYWDKDVEIHCVDMRANMITIGAINTATDFLIYLWPARYLWRIQLPLKQRLG LVFVFTCGLIVCVAGVFRMIYLQRYFTNSDLLWDAALTTSLGIVEVNIGIVCGCLPCV KPVLAKLLPSLFGSTGRTSRTTPSGEARGPSYQFKDLSAHHADKGGSTAVLREERIEE ILEESESDGASTHSLTKPVVEYDARGHKGPANRDSIALPKNGIIVDRTVTVERSWVAD A CC84DRAFT_1162788 MAADMDIDFTRRNKKPRPLTEHEKDKLDEFIEAIHYSARYSDEE YEYRHVQLPKQMLKQIPKEYFDGSRGTLKLLWEEEWRALGITQSLGWEHYEVHEPEPH ILLFKRPINYQAPMH CC84DRAFT_1240777 MSIERYLQAPLEDEPASVPAINAALKESSSPAWIERRAHSRDTS YDANSEGRRTFYTSASGQESTGTSFSIGPRPNHHQRSNIHLAMPPLPRLRTDALVRDD VRSPDEPNTGKTFDSERTLTDQHEISPPGKSVDSAYRARVEAELARIQEKARRLEEEM RRFNQWKENEELLVPRITDEMAHQQMALKPKLAWILGEDSTNISSTDRPMTSTSVTAD ALNDTPSRPATSGRSTGSMPEKVPRRSKSSSALIEESHWASDKRRSVLVRRTSSKRPK FFCTFCQKRFHNRAEWMGHEKNIHMPEELWNKLLSERTFDRKDYFLQHVAQEHNVSAG QKPLRLTQLVEAWKRPLPLTQGHQALHCGFCGLTFSTYAERTEHVNSHFMRGADMVSW WNERKSHHVMPPAKGGLRGNPASPHRCNYCNRTFATLEAALTKHPVCNMWSCSFLPGM QYTIYPSGSDEGLEAVCCYCNEGFSRGNGKVKGTLLKAHVSTHNFRNCNQSLYFSAQQ FRQHLQDNHRINYDGTLFAGWTLLLKSSKKERSAIFESVDVSPKRAYTDPVVVVPKHQ LKMTKEVPEPKMNFMDFSETPQFAPKKKIRRKASSQTMRDAPNKAMRESTVEFRHAAT IDFARGDGSARTVPNHCDTTAAYAVDAATSGLQFFRRRIEGSTRNRLYMRNETEGPLT KSSQKLFRKIPASAFGGLVLYSSLLAATPARLTNSVDIYSLH CC84DRAFT_1085847 MPRPTPVPIAAARPKKQHKPSPGKENHVAGKPVSSTVGPVANIR TRRERPCDACRRRKSRCVIHEGAVLCVLCEFHKQECTFVQSPLPRKRKVVDGEKKDSP SAQKKRYPVDAEPPPLALPTPTITATAPSPPHQSPLGSVHIPHLGETLGLQRRQHSRY IGLSSPFDSLLVGLSHFDARNESPTDLGTLRRVNDHECFIMLPDENTHEYVDEAETLA QVEQCVGGHGQALLELYFRIVHPSFPIIQKHLFIGRYRNGDRSFTPALIAGMYILALN WWSLDPRLAHLPKPDIARLEAIAMSSLSRSMEKPKLSTVQAGLLLLQRPEADNWSLTT QLVAIGQELGLHLDCSGWSIPMWEGGLRKRIAWALYMQDKWSSLIHGRPSHIFSANWA VQPITDEDFKEEGDGYETRAEENEDEQEEILRGQTLFAQMIGLTQIMAEVMDTFYTQV AIQDFKNAGKNSTQLILQRAKPVQIKLRQWHERLPANVKMNAATKSKFSSLGYLHLAY FATEITLHRRIVQSLAPDHDPYGFFMIRNAAKTRLISAMDFVNRLRPEHLQSFWYFAS KINFTLIGTFGSLLWVTAPAQEEAEFYKTRLREYRWTLSVSSNRAQFLDYAVSMLDAS RGMLNNLAEKPSLAQQISSAGVPAAPKRNPNGVGFQQNNGDGSPMEGQMYGSPELRRM HSGESFHGFCSGPNSGMNSLEGSVQGDA CC84DRAFT_1085497 MADEAKPPTLAPPAVTALKKEAAANLMQRVQMERTVSEDMRNER EDLKEAAEHSMNVIMDLGLDGKIRYVSSSWKDVVGTTPEDVVGKPVSDLLLENPNQFA DTVESIKQDDSRSHITRFSMRLGPLSLLRRKRSKQSESQQQQECALETPPSEEEEEQI INLEAQGIMVYDRTTGEESHTMWMIRPAVVREVTIDLPEVLVESLGVGAEMLAHYLTG LAETAANDPGNHPPPLPVLCRICERQITPWWFEKHTDLCLQEHRAEMDVQMAQEALAE HRNAIVRVLDALEAQSRPTRATSTELVQPPQPAEYKGAIIQPTSTPSSGTPSGRNSPA SPPARSRERSTGFGHHRARSFAVRRPLARIVELVLDLCDTAVEINTPAIKDSARSQSV AEIRTQSPQSENRISQVLQWQSPTAGSTDHCEGLKMLCEDTSKLARAKIDAIFRHRRI LEYSERIRVEFDLLVQECIEAAMDKAARIAAGEDSSSDDEQRQDEEAAREASDFPTGE EGIFPGSFDAPSAMAQALRNASDPSLSARLSRRESSVAGSTRSSSPYGGALTPRSHAG AITIPTQSKRQSMHFESDAGAESDSSMRSSVLSGPRRAESPSSELGLSRIASSRERKR KSLILPSVMSNSRQHSPARQLITPSSPLRMSKPRLPSGVEALQSPIMSPVLTTTEFSS PAIGPIHHHRRQSSTAGSDGPRAISPRLAPVSQPQPRAVPPSIKDFEIVKPISKGAFG SVYLAKKKSTGEYYAIKVLKKADMVAKNQVTNVKAERAIMMWQGESDFVAKLYWTFSS KDYLYLVMEYLNGGDCASLIKVLGSLPEDWAKKYLAEVVLGVEHLHSRSIVHRDLKPD NLLIDQKGHLKLTDFGLSRMGLIGRQKRALTNKSAEPVPDLLKSGPFHRAVSIGSSRS ASFDLNPSPSQTPSMTPALAGDLGQPSYFSLNREAAPRDPSRRTSGNRSDSGDSDALQ AMFRRFSIMEDHGQSRRSPIEEEAYSDEGSPDLYPLAHTISHSAAALNNTPPLPSAMP PPPMALFDPEDNNRRFVGTPDYLAPETIAGSGQDEVSDWWSLGCILFECLYGYPPFHA ETPDEVFSNILARRIDWPENDEDYGISDEAKDLMNRLLCIDPAKRLGANMEDKFASGG EEIRNHPWFADVNWESLRDDEASFIPAPENPEDTEYFDTRGAAMANFAPEFEDNSASP AGTPSQDYPDRPHDALSRVRSQVSVSTMKRGLIPLHIPAHVREGRSRRLSEPMVTDDF GNFSYKNLPVLEKANKDVIQKLRAEAMQSQNKPSSAVQSPGVISPSPSLESSPMVPGQ LKRTLSSNKPTGRPSSPSISGPNSSPSRGSQPSSPLLVQFSTGQHHERRKTSASSSST LSHSISNPTSLQPGSFFEGPRLSSGLRPSPEAISPIKLVKTPSGMSASFSEKGGSGQR QSSFGQTSVPSPRTRSQTIGSQEGDVVRDLLPSHHKRRSQVLDVSPSSSDTEDTRAKA LLRVQRRRQSSRRLSQISFADGPMFRPLDVLVCEDHPVSRLVMEKLLEKLRCRTLTVT NGSEAVRYAMGEVKFDIIMMEFKLPQVNGADVARMIRDTKNANSNTPIVAVTGYLKEL SAPHHFDALIQKPPTIAKLSDTLGKLCQWKAPPPNWTPASSNYPSMMPSSLRQESSRQ EGSPTSNSSSFPPVPSGSYRGSSREDSISSSFFGDNDSRTGDDIPVVIARHNTDDWRE RDLAREMSGLGISEDAANVDPLAGQKLAVPHDLASAPAAIEDPTIRRQPSAELVGAKR RVIGTRKHESAESGDDEDDELGNVNVRAKSPKTRPKSSSKLGIEMMRTNSRGSVISVE NIPVDESLLPSSPPPAISEDRTAEEREDAFHVPKATLTPPEIFPRAPGHKVEEIEIDM GTPKANQRQGQERDPDPTPRANTSPSL CC84DRAFT_1185669 MPSKDEKYTDPELRNEVKEEIQASDKGGAPGQWSARKAQMMASE YKKRGGGYTTDKKDEKAQHLDNWTEEDWQTKEGGGKAKQEDGTETRYLPKKAWEQMSE AEKEETDQKKQQGSKEGNQYVANTSKAKSARKHASKDTENANGKPNMKDAQSCTHHWE DEEHLKNGQKAYQEFKEQNKKDGAASVEAQSDSGSAHSDDQEHPTPKKRGRGANASGS NKKQKTSGGSDERNGSAGDKNPAGDKNRVPQVGQKVQWKALPGYVDGEVVEVAYEEKT VEGKAVKASKEDPRIVLKSSSSGKIAVHKPEAVYFN CC84DRAFT_1162793 MYAKTSIAALFGAIVAVSAQSTTPSSAAAKATGTILSVLVPGAD NMTLVGSIIEVKSALTTLAVTCPSGTDSNDCGMPEEGMTITQGPKTVVMSTVMPEESG SPIVVNYGWACSLGGTTTAECTYSAIPSVTGSVDPSILSEYNAQMTAQSSTTKLGGEE LKSVMFPVTITAGAEKLSQTGNAETSSSASGTKTGNAEASSNVSGSKTGSASSPTATP GAASSVKMGGFALGGALAAVFML CC84DRAFT_1162794 MSSSTIPITISHLGTLNVGYRISNDAIDASKPTLVCINSMCMTT ALFTPQFESPELSSAVNILAIEPLGHGSTSFPTKATHFTYWDSAAVALEAMTALGVEK AFLLGTSQGGWMVVRMALLAPSRILGLLPLGTSMDAETAETREKGCWDPVPLLYPFAE KWTSAKPTPDFEIDDTWCGMVASFGFGAHATEASTAFWTQVLKDTYKGDEGRKKARMA VLCLLTRDSLNLRLADLTCPVHWLQGSEDAPYGCAVQKEQIELFKGSVEKKLSIVEGG AHYLNATNAKEVNEAILAMVKNHA CC84DRAFT_1115882 MPAKSRFGRLDAFTKTVEDARVRTTSGGIVTIGSLLVILWLAWG EWADYRKVSVRPELVVDKGRGEKMEISMNITFPRMPCELLTLDVMDVSGELQMGVSHG VNKVRLSPESEGSHAIETNALDLHKDEASHLDPNYCGECYGAPSPSDAQKPGCCNTCD DVRNAYASISWSFGRGEGVEQCEREHYAEHLDAQRKEGCRLEGSIKVNKVIGNFHIAP GKSFSNGNMHVHDLENYFKDDTHTFTHKIHHLRFGPQLSDAVITDMQQKHANTGPGGW TNHHINPLDNTEQQTTEKAYNFMYFVKVVSTAYLPLGWENVVPGRGMHENILGATIDT NYKGSIETHQYSVTSHKRNLAGGPDEEDGHKERIHARGGIPGVFFSYDISPMKVINRE ERAKSFSGFLVGLCAVVGGTLTVAAAVDRMLYEGANRIKKMHSS CC84DRAFT_1086123 MASTSTKVAHGLAKVLGIDLHYRHETGSERVTRGESVFSIGSAD TYVEEEPTAWEWIRDVTPNGQDLKQYFINLFPFLRWITRYNAQWLIGDLVAGITVGAV VVPQSMAYAKLAQLAPEFGLYSSFMGVLLYWFFATSKDITIGPVAVLSTVTGNVVTKA QAKIPDVPADVIASSLAIIAGSIVLFIGLIRAGWLVDFITLPAISAFMTGSAINIAAG QVPAMMGITGFSTRDATYKVIINTLKHLGRSNLNAAIGLTALTMLYVIRFTCGQLAKR YPSRAKTIFFINTLRTAFVLLLYVAISYGINKNHRSKPRISVLGNVPRGFKHARVPHI TTEIISAFAPELPSTVIVLLIEHISISKSFGRVNNYKIDPSQELVAIGVTNVLGPFLG AYPATGSFSRTAIKAKAGVRTPFAGVITGLVVLLAIYALPAMFWYIPNAALSAVIIHA VGDLITPPNTVYQFWRISPLEVIIFFAGVFVTIFSSIENGIYTTISISAAIWVFRAFK ARGRFLGKARVHSVIGDHLLDPTGEDKEFGDRPERRSPAENEDSVRDVYLPIDHHDGS NPEIALEDPYPGIFIYRFSEGYNYPNCNHYLDELTDTIFKKTRRTNPDSYPRLGDRPW NDPGPRRGKQAESTDHRPTLKAIILDFSSVNNVDLTSMQNMIDVRNQLDRYVAPDTVD WHFCNINNRWTKRALAAAGFGYYTPEPEGSVMTRWKPIFSVAELGGSNSVAADLEARE RRKALRVAAKQDGQPDDDIEEHQISSSDEDSLDKKLSQTKAYGQSHSKPSKIAVVQGL NRPLFHVDITAALNSAVANANRKSH CC84DRAFT_1162797 MLNLDMLLLKGPSPGVEEGLNELRFAILADGIPANSEGMSELRM YIWLILLNTPPLKTDVYLDLVRQGASPAHTKIQNDTFRTFQGDPLFRRRVTQNSITRV LNAVAWRLNDAHEARVNGWQSPPTLSEFGGSPDTAMMSRHSLAASVRTDASTTNDAEQ IGYVQGMNVLCGPFLYAARSEVEAFTGFERLITQECPGYVRGSMEGVHKGVALVDRVL QVVDEKLYAHLMSYHMEAKIYAFASVLTMCACTSPLPEVLKLWDFLFAYGPHLNILCI VAQLVLMRNDLLNSPSPNQKLRSFPALNAGAIIPLATGFTSKIPEDMYEEIVHHAK CC84DRAFT_1204195 MDNTGVEVAPATLRYATDDGLCLPSRRVHCTLVSGRPQPLLECI THRTCTARKPSDQLDAEVPSEQPLCRSAISVQTETADSNQAQNHAIPGAHDERMIGDG LDDLSIDIEGGTVRRRATSRVFDRVDAPLRGLRGHDLAVDLHDGLTRYDGFQLPQQGN PVTGLPSYLSEPCAITVDVNAHQLHPPVT CC84DRAFT_599713 MSVPRLHGSHARTDWVPYSWAGLWDALRTQKHSLVSLNISYIVN RVQLGVAARFCPVYAWQIGTLGSFADFNELKQLRVPADPIISGPGIIQCLPVGLEYLN LLYDEVTETADLRCMETIVAVIASNMQTGRQIALLYLSSKDFLIQLYHVILLNA CC84DRAFT_1174383 MPSHHLPSIGIPMIYTLGIDASMTPKAPAAFTTRIDRDDTLAGL VKMVHFRYAFTSLMKYQRGIGLQGRASRTLVLNEKLAAIHDRATERELESLVKLFLST ITMEKLSTEDVSGRIIDMHRTSLLYWVHLVHMVGTPFQPEDQPFHHLKFLNIYLGPIP AGWIRLDNFVPGLALPCIKQLYLQDFIET CC84DRAFT_1115891 MALIQTSLIWVAYAVAVVLLLAVASIFVFLYQTPRDRAASVTTI CIFTTSVLLATVLLMPVDIALVSSTSLSSQGRKKDWATPERVGSILYTLKIVYYTLYS LDALLCLLVVPFTYFWYEEYDEDAAEHGEQTAGQRFWGAFKYTIAFVVFVVIIFLVGF FVPFAKQAKGDDRLDLDYFKHLLAENHGERALSFALGLLMLIGTILYVLYTGAGLALL PVAMIKSAPSISAPTLAANTASQLESNRERQRQLEGRGEGRHGGLDPRDRRELEALVR EERTLIRRERLAAESNGEDRHWLVKTWIKIEAVFRPLKLIGGLLLMVLALLIFTSMLI TGIDKAKNSICKAGCGYILGHINIFQPLNWILVKSSKVFPIDYVLFLLLVLFFFCSSV VGIGAVGIRFLWLTIFKIRKGHTSPQALLLATVLLNLIVLAINYSVAMVVAPQYATFG PQTFCDRQPLIAGAQPSCKDFPADIKPCTETATNHYAKDVCTPSVLSTFINRVTINFP FFGVVLFWAQFAFLGVYLIVFLTTLFKTPRLDQEQIDRDLEEEEEEGLLASTGRRFNA TWQDITGRGKRTYGTTDTNGERS CC84DRAFT_1194971 MQCYTEIAPPTAVSHAVHLPFVAPRAHNLVVARNALLQIFELKS TVTDAAPSDDAAENAGPLLDTEAADLPVQRTENTAKLVLVGEYPLAGTVLSLARVKVL NTKSRAEALLVAFRDAKLSLVEWDPETYNLHTISIHYYENPDLPGISPWSTDLKDTYN FLTADPSNRCAALKFGVHNLAILPFRQRDVFDEEDDFDADAQKDTKMQDANGTEPEQK TPYSASFVLPLTSLDPTLTHPVHLAFLHESREPTFGIIASSKATAPSLLPERKDHLTY TVFSLDLGDKSSTTLHTVQGLPYDLTSVVPLPSPIGGALLLGSNEIIHVDVAGKTTGV AVNEFAKSSTAFSLKDQTDLALHLEGCSIELLSQDSGDVLIILNDGRLLTLTFTLDGR TVSGMTVQRVAAEHGGQVLKSAPSCISNLGRGRIFVGSEDGDSVLLGWQAAGRFGALG RKASEVGTDDDMDVDEDEEVDDLDDDLYNDTAPAVKKITAAAAEPTAPGSYTFRIHDT LPSIAPIKDVILHTDAAAQYPTTGEIMVACGRGAAGNVTALNREISLTNLAQIDFPSA KGLWAVHARKQAPGGITADFGEDTEANFSVDADYDQYLVVSKTDEEGNDNTVVYEVSG KELEETSKGDFEREEGSTLSVGTLAKGTKIVQIMQSEARTYDSELNMEQIITMEDEDG NEGLRIINATFADPYMLILRDNSSAKLYKASGDGEVEEVEADGLTSTRWLSASLYKSS ASGEIYAFLLTHQGGLHVFATSDMSKPAYVAEGLAFLPPFLTAEYYPKRNTSKATITE IIAADIGDSTSRSPHLVVRNSTDDLIIYKAFHYPARSASEPWTTNLRWIKLSQQHLPK YTDELDASDDEAGLRNTLVALDDVCGYSTVFQKGTSPAFILKEASSAPRVIGLHGKAV KGLTRFNTSACQKGIAYLDADGTMRISQLPAQTHYGHLGWAARKMPLGSEIYAFSYHP RGLYVVGTGQQEEYMLQDDTYHYEWKPEDITFKPHVETGILKVIDEQTWSVIDTHVLD PQEVILCIKTLNLEVSETTHERKQLIAVGTSTVHGEDLATKGCIRIFEVITVVPEPAR PETNRRLRLIVKDEVKGAVSAVSELGTQGFLIMAQGQKCMVRGLKEDGTLLPVAFMDM QCYVTFLKTLPGTGMLMMGDAFKGLWFTGYTEEPYKMLLFGRSKNHLEALTGDFLPHT PSKSLALLVADADSNIHVLAFDPENPKSLSGLRLTHRGTFHTGHFPATTHLLTSSLAI PTAPSSTFDNPVDDDAATASQEASTHQVLHTSQSGTLALITPLSEATYRRLASLTTYL ANTLDSACGLNPKAWRVGGGEVGEGGKGGMLDGNLIMRWGELGHAKQREGLGKVGGEG EEWVFEGEREVLGGWGIFGRRGGAL CC84DRAFT_599807 MRDSFSSLLASRAGKLALSLLLASPDLISHTTAFTFNPVPAPNI DIARLGRVALAGDFDAISLYQYEGQNQDAATNGLYSRFPNGLFAKIQKTDGEVRAMCH YKGGNADAIVVGGNFTSVDGQSTPGGIALIDTNTGDVTATTGLTGQVNALLCDDERQQ VYVGGSIDGGANYSNAIIWKADNSWSVLPFKGFDGIVHSIVDGPNDTVIFGGQFQNLK GVNLTGNGTAENNTQSLPIGSATITAQTSSGLQGFTDPKVIVCKNDTRTQGSDSTWLL ADNSPGFWKADFGFGFQPTQLQLHNTDFEGRGTKEFRFTALPDGGIMNLTYNDPSSGE RKFCDARCPLPQGNTSAQVFDFVNVVGMNSFRIDISDWYGNGGGLNGIELFQTEIYAY AIQEFNAPKCGGATSGGQATAVGEWQSTPSHDSTSKYLTATLDTANYSPNSTYVVFRP DVPQSGNYTIKMYTPGCQGDGTCGTRGRVNITGFMETGARPSSTVIAQTNDFDKYDEI YNGHVDVTNGFRPEVTLAPVAGQNPGTNVLTVVAQRVRFEIRTAQDNGTELNGLFEYN PKEQITSTNFTASTIDKAGSSLNPQSTAIVNALVTQGRRLYVGGNFTNGDGLNYIFAV DDGGPTALSGKGLNDQVVTIYPGGSTLYVGGNFTNTQDNSNGNLGRVAAYSDDKWQAL GAGVDGIVTSIVPISNVTGNATEDVLAITGDFDNVRAFGNNPSFAADGFAIWVPSQNN WLQNLDLSTMAISGSITAFVGLNETDRFYAGQISSQGLGASGAAILNSNSTLSSLGID INLQPKQQASLRKRALTAGQNVNNTGISTALFHKNGNTIDKTILAGHFAATDKDGKNI TNVVIIDSNNEITGFGDEVDSNSTFRALGLLGDVLFAGGMVTGQVGNDPVAGVVAYDL ASKKFQDSQPPALQGTNVTVNVIAARRNSQDVYVGGQFDSAGALSCPALCVWDNGSKQ WNTPGADISGVVTNLLWVSDTKALVAGNLTLGSNNRTKIFTYDSTNSQFQEVLGAGDL PGTVETLCPANVDGSQMWAAGKSSDGKTYLRRFDGSKWVAVDDKLLGSGTNIQGLQAL PLTQDHQKSDLIDQNQDLLILGQVNITGFGVASAALFNGTTLTPFLLASANGGASGSL SKVVAEHEDFFQGGGKHLALGFIVLIALAIALALTFLLVVAGILIEWYRKRAAGYSPA PTSFTDRVGNQSRIPPQELFGTLRGPQAPTV CC84DRAFT_599806 MRQQAWDLHRQPTVCDAGVGGEITSRARPRPLSHHPVDVSDRNG PCAFVKRLALRVRWCVPRETSFLAQVDCGAEPHSIGRWGNCEVRPISRERPQPDPDAH PSTASSPASSSCVCGLLLLQRLLALPRRSLQHPFSPTVIRFRPRHGVQIAIIVRQRRA PA CC84DRAFT_1162801 MTYFSTCLPQNLLSSLCSSTSSTITSASTVTMGGLAIAICPSPP KTHASPHLRTPCTTPPTIVSQAPARSDMDNLRRC CC84DRAFT_1142126 MSAPNNTGVLANESAKEALPSTPSEESISEKSTPSTDAEAFNPG WRFIAAFGSLCVIVLMSALDATSLSVALPVMARALGGSAIEAFWSGTSFLLTSTVFQP VIGSFSHIFGRKPLIYLSLAFFLAGAIVAAVANNFTLILVGRSIQGIGGGGIICLTEI VVTDMVPLRERGKWFSMFSAMWSIGTVAGPLLGGAFSQSVSWRWVFWINLPFIFVGGL LITIFLQLNYKTSSLLAKLRRVDWLGMFLFLTSTTGFLIPITWGGVQYPWDSWRTLVP LILCAFGMAAFIVHQEYFAAEPLIRTSVFKNRTAAITYLSAVIHGIILWSILYYLPLY FQAVKGMSPIMSGVAIFPWTFTVAPAAGISGFLIAKTGQYRTITWIGWFLATFGNGLL VYLKTETKTVAWIFLNLVGGTGAGMLFASMAIAVQASASNADQAYAANMFSFLRAFGQ TLGVAIGGVVFQNQAKRKMLTYPLLADKAAEYSQDAAGLVELIKSLPADSPMKLQLRE SYTEGLRYVWIVMTVFAFVAFIANFWIKAYSLDAALETEQGFKEKRKSQDVEQEP CC84DRAFT_599801 MTVIASHIFASAQLLIDLTLGPTRWPSYRTRFVRSQKKSTGLVM GPHLHSSLNRDHFMSVELLDE CC84DRAFT_599896 MLLAQGVEKLLAWVSARQCDVFLMSEHFDFCCSLKYLENFWNEQ SCKESLELAIMLKLCSAQTLPVLARCLCCVRHSELAVSFARVVKLRPDYLMTGVGGPP VVPYQQSAFSDAKQREDRKARQEHRSHRSPAQATILQPHQFLRHAILIV CC84DRAFT_599925 MIPSYTAREPRGMNRSQEGHMRAHIKQRNISSMSLEGMEQPIRS FNSFVQTAPPNPSETDKALPLTPAPWKQAKSSRAKIAAPTSAGLPLASRDSDSSIASW RAPAEWYDDNASVKPAHSTPSPSPTSAPRTFSPLLPEPSPSLLDMTEPTAWLTPGFPP ASRLLPIYERTNGNSDLGPPGSPPRSALPATPPLNSRSIENVLPPDNRRANTSSSKDV VATDRVQELAGTGCNKEKAYASLGLDSPLPKSSYESDSSQRGQKRADCQYLREKKLRA LYKGSPLHDDSWEDEDMDDKTRELSFSQDYHDLLADQYQEMNVRAQEVLSTGGVQQVH EAQLAEPTRNALPNDCDIVPQTSSWRKSSGPSTPRSQSHKGNGEQASSTGKKSRHKRI SSFIPHRLGSSEPNKEEPTKKKRRPLESKRIPESKADKNQDGDPRFSRFFPSRRPIKL GKKLRKTESAKASSAPSPPPQPNPLIRLPGGLAVVRTHSPSPVPKSDVSSDKSPTSTQ ARGSSQFGSDYSPMTSNPRSSYNSNNSPSTANITITMRSTFRTSGGSPYSHRSSTGHP TVHERAPPFIVPYSIPQSPPLSLETLHRLRDEDNQAEHRHRPNFIEKAKEARRRHLTE ARQDKLKRSIKVLGPTDPGVAQAGYVKSEGRFERSDSDLGGRLPGYLVGGSA CC84DRAFT_599920 MRFLATFSAILLAPTAVFAAWGYTDDGKNYIIDTNANLVVSVSK TNGDMNSIKYRGVEYSGQNGKYSHVESGLGASTVAIKQYTSPANIIKVTVKYGTLLHT LVFRYGNPNVYIFMNKADTSVTVSRYILRIPPNIFTNNPNEDTDWIPDGATAIESGDV DGKSGQTWSKHYSGKRYGRTIDYDYVGYTNKNVGMFMVRSNHEKASGGPFFRSLIRRG GSGGPDLYDIYHYNMGHTDVMRFGLQGPSVLTFTDNGAAPNANLFARKADWGWFDSLE IAGWVPQSKRGAVAGVGLSNMKSGYQYVVGLKNDAAQYWTITTGAWRISGVLPGTYTL TVYKSELEVHTESVTVTAGGTVTKNTIACVDPQDTTAIWRIGDWDGTPKGFLNFLDTP MKPTYMHPSDTRLAKWDASNFIVGASQASNFPGYIWKDINNDHLVYFKLTANQLKKGA KIRVGVTEGMAGGRPAIAVNSWTAPLQADKGQGDTRSLTVGTYRGNNYIYEYSVPTLA WIQQANEYQTLKISVISGKTATGYLSPGISVDAIDMIAV CC84DRAFT_1115907 MGDAVHPGALEAINIPSAPPQQLSPHLTLQPPLSRRGSGPGLIL VVDHYARLEVSEKSLDPPPLQKWAEEGFAVVQIKVPGKAEDGGEFGLERGLQALRDCK QCIWGDGVGLISYVTRIPFYVEEAACHSPHIKALISYGGRKFTSISSSTNANSTLPPQ LVHISGAETTRRESISIVQNADISSSTTPEGPVKTFRYVNARQESKWVLPADEDYHSI SAGIAHTRSLAFVKPLLNGPYFDLEAIWDEHCRHEFGERDVARTMATMVAQPYVNHIP TLTGGIGQERLTAFYSNHFVHANPDDTELEMVSRTVGIDRVVDEFVFKLTHDRQVDWL LPGIPPTGKYLKIPFTGIIGMRGDRLCHEHIHWDQGTAMRQAGLLPEWTKFPYPIDGK EAPAGKRFEVNLPTTGVDTARKLVDEGALESNSLMDAKWREVDDV CC84DRAFT_1204202 MTTYIPSLTLPNFMFDNPAVAILLPVLAGTAVGFSTRPTETQKL YLALKQPPFNPPGYVFGPVWTALYATMGYVAHRAWTAGTTSINSATVSLAKQGATLYT IQLGLNLVWMPLFFKFHRPIAATVDILALGATVSYLAYTWGQVDETSGWLLAPYLGWL SFATYLCVGAGYLNNWDFTSASKKQN CC84DRAFT_600020 MDSYTSQETDSYRRRSPGSGDRRNRQSRGRSRSPQNIDRYQPDR NRDYDYRPREGGRRRSSPPPVQAGIDRYVPGQDNFTPTFSSNPMPNPMTLEYQVGFNF FAEWWRVEQIIKEEKERAKNGGRKPGLKGEREAREERGKERELIQAAYDEYKEKLQVQ MAKTFVDKHKGEEWFKERYNSEYRQAFREKLRGYRHAIYHDWARQIDDGYFDEFTLEG IYKNESNGAGGLVEKEEGETTAANEVLGVGDLLPCKGGELRDEAALQPTLLIKTIAPT VNRDKVEDFCKEHLGEGAGGFKWLSMSDPNPLKKCHRIGWVILHPSDEQPMIIDDRGD GRVEEEGEDGAVDDKPNVSQSPLGTAQKALEALNGKTVIDDQRGNFTCHVGVHEPPAA PRKKALWDLFSAPERIERDLELAERLVNKLDGEMREGHEDEFGEVNGVAKIEQRVEDL RSKGWLQPPANAPTAVKKERDPEDLEEGEDETMDDEEEGAVDDEVDDEDLLAKKKKLD LLIEYLRRVYNFCFFCVFESDSVHELIRKCAGGHLRRPRASLTTAAKATARATANGQP FPYKKQESAGDVEGDGSPVEVRQTPKLSGKTQQQLQRAFNWVKTYEEKLLQLLEPENI DIRKLGGKPLEEAVEDELAKYVKQEDESKWRCKVPECTKLFKGQNFWRKHIEKRHPEW LEKLHTDLQLVNIYVMDPAHIAPSRSDANSNGHFPMGNHVAATGTPRGFNLANMNINL ANPGANFQGLFNPAMGNWDANFSADGHQAGPMRRGGHRFNNRSGPYDRQNRDGRNRNN GRLTPPPRNGRGGPRFGEGGPGPMQSTQGRSLKSYDDLDAVGSGGTGELNY CC84DRAFT_600033 MASGDVPSLQLNGTVEPTTMALPPADNTPSVPDFGEPEPEPSRP GAPARNDTTYSKLSMMSVPPEGSILTGKQEHYLKRELISQQTQFEISELSSPTALQRF GAPFRSDAGEVAPEDSELPVLRYIFVHHVRNFPFLDKAKEKEFWQDKLQIFLESFANK NISSSEDRLEETKRRKLALKAEKLVELMMVSGIPTASGYEERIRFSEMEIVDRGANEN GLKLNAPDGHFINGWDINVAGVRTTSVKKHVRYHTHAEYIIRVKQSGSHDFYIGRRFA DFVKLHKRIRLELPGKVLPPLPRKNKKDSVLTSADDDASSVSSISTQGPEPEPYDNNG GGAGGGLRGWLYGNHKRNSSNTSLGTPKTHSPRASGENLAFHPPRVLYREEQRVSLRA FLRNFLSNEVIAQSSAMAEFLTRDPVEINEEEMEDIEKRKIMDEKRIEEQRQFYEVAR QRAAELDIHMEKFRREIVESNGLSHLFQEIKVKNKIADLKPEFQKFAEWLRIEVAATI YHLFLAEDNSPELFAQAKRIHSLVPYSVLKQVIKYANPAAVMAGVLDLFLAQPFGARS LLQRMFGLAINDGVKSVQKSIDALGSTKIKDEVLCAKLKAFVEADEDVQDILREEAVK DNVDIVVTVLRSELLTPELKPEQVEKIFNAYVAWNSAVENTGRARLSRKSSSYSSKTV EKTKGSIQLDKDLRQGAELFAHLKQYLKLCLRQRDKQMMLELIEEPTTLQLFRDLFTI FYEPLVRVYKSANVYNSITDFAMFVDDTIRTIEACQRQDISADPNQTVQMFIDLCARH EDNFYKFVHEVHLHDNGLFEQLMGWLEGILEFLRHGPRGGGKLDMNALFQGGMDSGTI DKVKAIKEINNLIRWQTARKKWHQDKTRQKMASGGGEENDNILSGMGGFKTSDFGLNE VSFLSLRQNGKGY CC84DRAFT_1194980 MAPGLVDASRVSTDLPPRKKFKPSSLPDVQADDILDAETAAAAV PPHPLGVRPAGNAYTATENIKANCGSFARLPDELLNHIFESFDAETLRRLGGTCRALY AFTRLDELWRALFVSAPPKDFVWRGTWRATYLGIPLEHVASIPCRNLFSDVLYRPFQC AHVSLAPFTSNIPLHNEIDRLLNLTYEEYADRWTNKPFILTEPVKEWPVYGKWTPEYL LHKYPDVKFRAEAVDWPMEKYMSYMSHNADESPLYLFDRAFVEKMGIAVGRDAANAAY WPPDCFGEDLFEVLGDQRPDSRWMIMGPKRSGSTFHKDPNATNAWNAVLTGAKYWLMF PSSSSLPPPPGVILSDDHSEITSPLSIAEYLLTFHALARETPGCREGICRAGEILHVP SGWFHLVLNLEDGLALTQNFVPKKKLADVLGFLRDQRDQVSGFNDDVCDHAYELFVER LREKHPQILEQGMAELERKNKGGRGKWEELTKGDDEAQSGGFSFGFGGGDDDDAEIP CC84DRAFT_600100 MADKGLEDVPEGQIESNYDETTDSFDAMNLKAELLRGVYAYGFE RPSAIQQRAIMPVIKGHDVIAQAQSGTGKTATFSISVLQKLDPNIKACQALILAPTRE LAQQIQKVVVAIGDFMNVECHACIGGTSVRDDMKALQDGPQVVVGTPGRVQDMITRRV LKTDHMKMFVLDEADEMLSRGFTEQIYDIFQLLPQSTQVVLLSATMPQDVLEVTTKFM RDPVRILVKKDELTLEGIKQFYIAVEKEDWKLDTLSDLYETVTITQAVIFCNTRRKVD WLTDKLTARDFTVSAMHGDMDQGQRDVIMKEFRSGSSRVLIATDLLARGIDVQQVSLV INYDLPANRENYIHRIGRGGRFGRKGVAINFVTADDVRMMREIEQFYSTQIEEMPMNV ADLI CC84DRAFT_1162810 MLSQASAQALTRLRAYAPPPTTYNKLPLTRRAAVLILLFPDRHG ELKVVLTMRAATLRNYAGQAALPGGKADTLDEKPFETARREAYEEIGLPTTDTKLPPG FRVEHLCELPANLAKTELGVRPCVAFLCPSATPASTGTQSAADVEEKMIPRLDPKEVA AVFTAPFAQFLQKEWTRNEPGPVNGKGGRHSWYRGTWTDWHESRWRMHNFYIPKPPPS ASALRRNPSHSQASQTPRSQDQPEGDDPRPEPTVFEDLQNFRVFGMTARILVDAARVA YGEEPEFEHNSHFGDEEMLERLLKVGRLSEVRKKGEELNREVLEKAMKETSKI CC84DRAFT_1115927 MAEDFSEGRLETFVNTPQKELPGTKDAYVSYLVTTKSDFQSFQR PEFSVRRRFTDFVFLWKQLTKEYPQCAVPPLPDKHKMEYVRGDRFGTDFTQRRAHSLH RFLKRITLHPVLRRAMLLISFVESPDWNQHMKGRSSRAASGSDAGGGGFMDAVAESFV NTFTKVHKPDKRFIEVSERATKLTEDLSNVEKVVVRVARRQGDLDSDYNELATQCQKL QTLEPGVEGPLTSFATSVQETSVGFKELRDKTDQNYLTSLRDMDAYVVALKQLLRARE AKQLDFEALSDLLAKNASDRDSLASSHGAGMGASGFLRQKVEDFRGIDHEQARRNRVR KLEIDIERLTGEVENAKKATEAFDEHTVKEVDDFERIKAFEFKDTMGDLADAHIDFFK GTIDTWETFLAQMRREEDERKKALEREAQ CC84DRAFT_1115932 MALARAARIILVGAPGVGKGTQTERLMKRFPDLSSISSGDLLRK NVRERTPLGIQAEAKMKTGELVPDTMILRLILNELTTRGWIQDNTLRPYAVYSSATGM DDADGTVDSVLIPSQFRAAKYQYTDHPSASFILDGFPRTASQAMQLDDIVPINLVVNI QTPPEVIIERICNRWVHEPSGRVYNTTFNPPKVEGKDDETGEALTRRADDDPEVWKAR LKRFKETNENLLEHYDKHGVLWTVNGNSSDEISPQLFKEFGKRFGAGGN CC84DRAFT_600042 MYVSRAATRAAPAVFRAGVRTAARPSRQLPKNFPAIAILIPHRA VSTETSSNQSGNFPPPGFNAQQAKKPLPTDEQSKSQEKTNVSIPKNEATAVPKHEAQD LRTLSELGTEKAEQAKQDLIAAKKDEDKKKLTLWEKVKKEVAHYRDGTKLLVTEVRIS SKLALKMAAGYELTRREHRQLQRTVQDLGRLVPFSMFVIVPFAELLLPVALKLFPNLL PSTYEGQSSKDAKAKTLRSTRKEVSSFLRQTLQETGLPVSAENAQREEFSEFFRKVRT TGEAPSTEEIVKVCKIFKDDLTLDNLSRPQLVAICRYLNLTSFGTDNLLRYQIRVRMR QIKRDDRAISFEGVNSLSVPELQSACASRGLRTYGVSPARLREDLQTWLNLRLDSGVP STLLVLSNAFVYASGKESDATSQIDALQAVLSSIPEELYHEIELEVHTAEGAATNKQR LEVLKEQQELIDEENEQNQGNEKQLPKDHENIDEDDKPKETAKAEESNEAEASTGDSA TSMGSSERAEQDQRATETAAAEKKESSKKE CC84DRAFT_1115938 MPPSQLKRLKASLREQGLTGPQKSKKQKKAQPKNADQAAKKAAA LAALRESFNPFDVKHLSRPKKHEYVSNNPQDAKTKVLGRPGVTKSQGEETRRKTLLPE MSKRNKMGGIMDRRIGEDDLTMSLEDKMMARFEREQQRTRGGNNFDLEDDGDDVELTH AGKSLRFDEGDLGKEDYDAASVSGGSSDGDEDGFLKRKRRRDSEGEEEEEGEGAEDQP ERKKTKAEVMKEVIAKSKQHKYERQQLKEDDDELREELDNDLNDVLAALRGHMSGKPP VKEPTTTDVKPDFGINPDRAALLAGMDRDQADKEYDARVRKMMYDQRSKPTERTKTEE EKAKEEAEKLKALENKRMRRMRGEPTSDDEESQDDGKGDEDMDEDAESINDDAAEFGL GAPLQQKSRPDGVDDEDDFLLEDDLIASDSEAEISEDEDSDGEFGADDTMAVDEDDDF LKSVLPEKTQPTKAKNGVLSLGLESTPKLAYTYACPRSHEELLEVFKNVSVDDTPTVV QRIRALYHAGLHADNKDKLADFGCALVDHISYLSNQSPPAPLQAVEAVIRHIHSLSRS YPVAIATRFREHLKQLHTSNDPNQGNLTVLTAISSIYPTSDHFHQVVTPAITLIARFL GLQTPNSAKDVATGAYLGALCLHYQRLSKRYIPEFVRYTTLCLKSPHTTPALLTAHTQ NILTASDIWSKTPAFTEIFTPLLAPLKAAKPAQTTAIHRLTIALQHARVHRRPQLLHH HRPLPIKSSIPKFEEDFDPTKHYDPDKERAEQAKLRKEYKRERKGAMRELRKDASFIA REKLRDKKEKDKAHADRERRLIAEIQGEEGREKKEYEREVRKRK CC84DRAFT_1174398 MAHHIEDRWLWLGLGIFTFFAVKGVAHGLRRIVTLTEVHKSLPP IPPLRTEDAIKSSSLATLATCENVEIRKAATKILLDRFIAHPSAYKHLIRDANSRNEE RKHAAYLAFSLLEDYGYVGHQYGVPPPMPATPQAHRLGARREWLRGGEGSTRAALNGD LEERDLRRRRREAMVIHEGDRPFSEEDVWMRDGEGRISTEEQAGPRNALEVWQASGDW LNPVTGELVRDAQTTRAER CC84DRAFT_1194987 MAGASRKELLGKVYKMIPPMLESFHKGQLGRVAVIGGSEDYTGA PYFSAMASAKLGCDMSHVICEPGAGAVIKTYSPNLMVHPYMRQSKNLASSESIDSVSS EVVGMLSRLHVVVIGPGLGRDKLMQDTCAKVIQEARKRGIPFVLDADGLYLAQTQPEL VQGYTECILTPNVVEFGRLAKAKGLKVDELDPTEQCSKLAKAFGGVTIIQKGAKDYIS NGTQTLVSEGEGGLKRSGGQGDTLTGSLATLLAYRKAYLDKIWEHDGSLDAAETLALA AYGGSAITRECSRLAFAEKGRSLQAGDLTEHVHQAFLNTVGEKESK CC84DRAFT_1115946 MASVDLVNQAPAGTKLWILHVGNLEADEGWFKRAGNTSTLSSKN PESQRRKLIMLSILIEHPTEGLILYETGAGDDYPEVVGSPINDIFARVDHDKSMELSA QIKKTGHDIKDIKAVIIGHLHLDHAGGLEPFRNTGIPIYAHELELKYAFYAVATKSDL GVYLPHYLTFDLNWVPFHGSFLEIAPGINLHHSPGHTPGLSVMQVNLKESGTWIFTSD QYHVKENYQDDVPQGWLARDHESWCRSHQMIKGLAKRTKGKVVLGHCWDTIKDLGLEF APNAYE CC84DRAFT_600574 MTFRYEITTPNGSGVPHTSVDEYMNDKIFMEDMRSLVIDTINDN DDTPTLTPQSGSSAMMVTPPGMSTFTMFSNRNRQSSEITITPVVERPKLRRYANTAPS GTLQIFPPSQPQFTPQPPRRAVSSTTAHAFSRSGSRNRRRQSNTLPLTTIKMSKLGKP TTRSVISKRESKRNSGGTMGLKTKPLIQRMSSPPKAFELPPDLKPSFSGRDRVKETMR MKNIKRIKINFDNIEPRELFLTSASSIVNTPNVFYSGPASVRTKTPPSPGGSSTIQRC TTSSSATPLEIGTPPRCSSPQRKAIFPTRTSPSSSLRSTASSRSGTLSTRTKHFSLPL SPVAQCVPWRRSMVVENPPRNRTPAPQERKPVYIPGPIQLEEKISVTPRRNSVANLEH FDDGTVPQAKRFSDLVVLDSITMYFEIFGVATEASDACLDRYWLRNTTHSHWGSGTSA KSARKGTPAVLPPPIPLPRSGGIATTFEGIFRSQKVLRDDEVQATASGTAGRRKPLLR QLLKPSRKSG CC84DRAFT_1257755 MATASFSSSIDQLKQAFCNEYSHLSEQHRQQLWLQHVATLPSSP QQHSQAQHVPRSMSSSAANMTQLLVNSYGHVGRVQSPSAPAMEHSMSLSADNSLQRSS SNMSNWQSSFDDHSNAYVFSDASMSTQQALEPINETNFTNDSTVEYSPDDYVNFIETS DNAHLPLSQPQHQPQLQLTPNNQWMPALDVSTSPSTPSTALMTPITLSSNSMSRQSSF NPQFADDFSMLRSDSQMYPILPEDGSISFPSDVNHVQKGISDHADNLHFLSSTGFTGM PSETSFLSPVAPVSSASFHALAQHQENEPCLVEDMRRSASASSTSASESGASYGSASP NRFHTRHVRREREIIAQAGSCRIAPKTAPSAPQTKSASPNVQMMRIQSEDGSSRHVGV ISKAPYVRPQHPKILCPHCSERPEGFRGTHELDRHVARAHTAVRKGFICVAPAFDKNF LSGCKHCRNEKVYGAYYNAAAHLRRAHFHPRKRGRKGKNDEKRGGIGGGDHPPMDFLK QHWIREIEVANSNKAKSPKSSHSSPASESESTTEHDVDAPPYDTELTYTTDVSMASIE PHAYVDFAAYNTVNMNEPDAAASMYNASLMYATQAQQPPSDDFDFDAYRT CC84DRAFT_1162819 MSLQTTPRRLAPPRSSHTLTSVASSPALSLSQSQSTAVARKASL NALVGKPTTPTSTMGADSSELEVGDLVDVPGAMTGVVKFVGSVRGKPGVFAGVELSRQ FATRGKNDGDVEGTSYFRTSVPGSGIFLPIHRAKKRATSGSDLSPTPTTPSYGSKFSA SARERPNFSASMRGKPDFSASTRQRPEHSPETPSIPKFSQSLGPGARAPSPQFKQPGR PSLPRPESPFRKQPNLAPTPSRAGSITPSGFSKSAIGPPRFNSPGPRTAAFQKPRTPG PPRPYSRNNSRLGHHDIIDEDAETTPTANRVSNSTASSKGRRPGSSQSDEEVTRLSKL LEERDKQLKEQAASLAEMESSLAEIQSMLPEDGMSFGSSRNSGEESGDVTQLRSLLRE KNEKIAMLTAEFDAHRADFRSTIDTLEMASTETERVYERKVEELQEELRELHSRGEDV ATVAMQLKQLEELVQELEEGLEDARRGEAEARGEVEFLRGEVERGRSELRREREKAAA ALKGAGAMVDSPATPGTRDLEQRDDEIRGLKAIIHSLSSGPAEEQKRSPLSRQGSSVN PEELRSANARVETVEREKEELRGLVERKAFREEELEREIERLKEQLSANAQRESIVSN GLSEHTAKDEKRSSGRDSKGTVVSWRGSPQATRRDAPPALEPMTESADGLSSVDGDNM WCGFCEESGHDILNCPAMGGSEVPKPLAGARNGKDAVIEGLRNLSVSSDRQRSSAVTP TKVPGNAPSGDLPPPPPLFTASSPSPGVSLVPPKGESAPDPDKWCALCESEGHDVTAC PNEDAF CC84DRAFT_1115952 MAGAVRQPIDIASLESYISSNVPEIAVPIEVKQFGYGQSNPTYQ LTDKNGKKYVMRKKPPGTLLSKTAHKVDREYRIIHALEKTDVPVPKAYALCQDEKVIG SDFYIMEFLDGRIFEDPSIPGVTPEERSKMWHSAVTTLAKFHRVVPKDVGLENYGKPS GFYNRQITTFNTISKSQAEAKDKETGIPVGKIPHQDDMVAFFSDPKTQPKDRSSFVHG DYKIDNVVFHKTEPRVIGILDWEMSTIGHPLSDLNNLFAPYLWADKKKSLGSRANEAF LPGKTSGLPSEDQLVAWYSEVAGWDPRSDLTWGHAFSTYRSAIIMQGIAARYALRQAS SAQAHEYGSLMKPFAEAAWDLVQEYKRSHQRAKL CC84DRAFT_1067816 MPQAQPELKKYLEKRILVSLNGSRKVQGTLRGYDVYLNVVLDEA VEEKKDGGKERLGMVVIRGNAVVMLEALDRINTD CC84DRAFT_1115956 MKRSGSGRVARKIGAYDDSSADSANSSQNEAQSQPDIVVRKPTF GKSKKRSSLRISFGPGDSDANDGDESSDAGVFTPKKSNLSRIAQERSAQLRARSPLVQ EAPRPVTDEARPSYNSDHLAELRKSTPSKPKDLKPSAEEEEEQRALDIAAKFGSSAPI SLDPVTSAIPTQAEILEKKARRRRLAQEQNAYDEDEDRPWASDDDDEFRTHKNEISLR PKEKWGETRLVRDDEDIAEGFDDFVEDGKIAMGRKAERETQRRRRAEMADLINDAEGG SGDDGSDDSEEERNAAFAAAQARSGRYGQKDLDEEDGARTPPRITPLPDLGDILSSLQ SDIDTKQQRQELIRRKLEELKEDKVRVAERKHYLQQQLQETAEKFEKLRQEEGLPALP SNPAAGNRMIAERGLDSLGTTPV CC84DRAFT_1257760 MHPHLHTEEVQKGCADVVAALDECHARGFMHKVFGGCTDAKYKV NMCLRAQRLEKTRLNREAAKEKREHIKKVWAEIDANS CC84DRAFT_1162824 MASQATLKKQQDLQTTYQNYKSTLQTIASKIGDIEQETEEHKLV LETLEPLPGDRKCFRMINGVLTERTVKEVVPILQTNSDGLKKALEELVKQYKSKQEEM EKWKKKNNVQVVQQPQ CC84DRAFT_600755 MQMRPTPPQRHRVPPPLTHPLCRLPARALLRPLLRPLACRAPPA RRRRPPSCTPAAPARRTRWIVTSATVPQAAQRSTRARKQTAHQSVPCKPARPHAQGRR RAACPVWAAPPLWPLSPVHTAPSTATCPMPPLRLPRPALRFPPCRRAPSCARMTLTAY A CC84DRAFT_1086220 MSSTPPKNDGVEPAPQSPDDSEPHMDREAHETQAQGLGYEFEVK EQDRWLPIANGARAMSSASPQSSSSPPQTIPKSANAAAADANIRNFAPVARIMKMALP ENAKIAKEAKECMQECVSEFISFITSEASEKCQQEKRKTVNGEDILFAMTSLGFENYS EALKIYLSRYRETLLANQNKPAGANQFNAAGSAAGGNPGPEGAQHPNVLSGDAEMGDN DNTAFGYTVHNGNNGAEF CC84DRAFT_1162827 MGITSLNEIAVPGVYLLIFFLGYPSQVLFYYLDPAPLTRNELYI SNVVLVLIFITYTKSVFVDPGTIPKSYRQDDKKEHDIAGEKRGDGVKRTKWCRKCDAA KPPRAHHCKECKRCIPKMDHHCPWTANCVSHTTFPHFIRFLFYTSCGLSWLEYLLWIR IANLWENRNMPAYLGPNPFLVAHLFATTVINSITVFALGVLFIRNVWCLLVNTTTIEG WEIERHRTLLRRARHFGGVLQSPDGTEVPIRKQEFPYDVGIWQNVKQGMGTGNPLSWF NPLAATPSLPSGLTFPTNGFEDASLSWPPPDPDRSYRRPAPSANATPFTFAESALSPQ ESLAAFRERQLADAVRRRKPFVQRVEAQLARDRDGDGLGDGHSIGVSEGVSEGEMSGD EEEHERGRTGEKDEEGEEAWRNSEGERLKDFGVDEEVEFYDEQEDDDVPLGELLARKR AASGTVY CC84DRAFT_1162828 MARIEWAFYALIPTFILLHLWVSPYTKVEESFNIQAIHDILIHG VPNENADQFFTANYDHMSFPGSVPRTFVGALVLSGLSRPFVWLLNSPAQLQFLVRAIL GLLNAVALVAFGRSIAKIFGKSAGIWFALFQASQFHVIYYASRTLPNMFAFIFTNVAL RGLVNAHAASFDGKSISRSYRLSLYLLTFAGVVFRSELALLVATITLYLLATRSLSIV GVIIPAGLAGAVIGLLTTVLVDSFFWQSTPLWPEWTAFYYNTIQGHSADWGVSPWHFY FVNALPRLMMNPITYLFCIPAALLPAATRTRSLDLLVPALAFVGLFSFLPHKEWRFVI YVTPALTAVAATGASWIWTRRGKTWLYAALSLALVGSVLLSFVASTTLLAISSLNYPG GEGIHYLHKNIDSAYGVHWDHTNVYFDNLACQTGVTRFLENHEGPQTIVDILEAQDLL SKRKWTYDKTEDPEVLLDPMFWSKFHYVLAENPAKIIGAWSTVHVVYGFGGVRILKPG EESGSLVEPLDVVARTRVADEHWTKKVAQLWRMAEGLVRYRFLRGYWVEVRMEPKIRI LENQALYS CC84DRAFT_600762 MTTESYPRTVLYCGVCTLPPEYCEFGGTTKKCEEWLEKNQPELH KKLYSQEALEQNLSSLSLEAQKRAEKDSQKKAAKAAAAEARDAETRASSKVLIKRIER NKRKYVTAVQGLEAFGLDIKKVAKDFGKKFATGSSVTKVPGGGEEITVQGDLSDDIQE YIEENYKNVPDDNIELVEDKKKKKAEQQAV CC84DRAFT_1194998 MTGSTASRPRGGGIRKQRAKRDRDGDLVMGAAPRVATAARASGS KPRASRDSHTAPFTELKVTGWSDDKEVAKLLNFLERHAARRSQKVTKGAVPPKMVKRH SAAGTLLKIWVRPEDVPAFGKINGFTFTSAHGSQKLTITGPGIRSKSPNAMETSGDKD NATLKPETSETIELLKGFLSRRYQADEKLLNLSKIADDEEVAKSGMFSDVRTQKKFFP ALMVVCDQTLKSAEEKREAIHSVTLSGNNLPNLDVVRDLSTTLPHIQNLDLSGNNFAN IRVLKPWKQRFRSLQHLIVEIAEPGWEEELTSWFPKLRILNGQQVRPDPAPTPTEPAA TPAAPVAAPVPTVALPIPGLTPEQEQMVAYVIEQTNLKREMALQCLEAGKWDINAAGQ LFLATKDTLGPESFNS CC84DRAFT_1162829 MGPRERAVNDEETEPLTRADTPSRDSAEGSGSSVSSVSTTSLVL EHIHDDATNGASRTTFGEKYRDDDAEPERRRECFDVEDGLSQGVKPVDKKYRRLLWIV GTICVAGWALALFSLLSNGNYKHVSTRPLDPLATSTKGSGKKVTLDSVLSGQWYGDRQ SVSWIAGPNGEDGLLLERGVSKGGFLVVENIRDQGTAQVAASRTLLMKDRNFRINGAF VYPNNFWPSKDFKKVLVIADEQKNWRHSFTGKYYIFDVATQTGEPLDPANPDGIVQLA SWSPQSDAVVFTRNNNMYLRKLDSDSVIPITKDGGTELFYGVPDWVYEEEVFAGNSAT WWSKDGKYIAFLRTNESTVPTFPVQYFVSRPSGKDPEPGEENYPEVRHIKYPKSGAPN PIVDLQFYDVDLGEVFNVNIENDFADHDRLITEIIWARNKQVLVRETNRESDVLRMIL MDVGKRTGKTVRTDNVQALDGGWMEVSHTTTFVPADPQNGRNEDGYVDTVIHEGYDHI GYFTPLDSTTPVLLTKGEWEVVDAPSRVDLKNNLVYYISTERGSMERHPYVVKLDGTD KKEVMENAAAGFYDASFSAGGGYALITHEGPSIPWQKIISTPSTSSKFEKTIEENKGL EKFARQHELPILKYQMVDVDGFKLNVVERRPPHFNEKRKYPVLFFQYSGPGSQSVDKK FSVGFQEYVASSLGYIVVTVDGRGTGYLGRKLRCITRGNIGGYEAHDQIAVAKMWAKK KYVDEERIAIWGWSYGGFTTLKTLELDAGQTFKYGMAVAPVTDWRFYDSIYTERYMHT PQNNPGGYDNSSIHDVKALSQNVRFLVMHGIADDNVHMQNTATLLDKLDLAGVENYDV HFFPDSDHSIYFHNANKIVYDKLSSWLVNAFNGEWLRIQNAVPKAQVERR CC84DRAFT_1142208 MFFIKELEKTITLHPSYFGPQIRLHLHRELLSKEEGSNTGSFTI VCILDSFDISDGQVVPGSGSAEYTVHYKAIVWRPYKGEVMDGIVTSVVRSGFFVSCGS LEAFVSRAMIPSEIKYDANATPPHWTDNADQVIEKGTNVRIKIKGVRSEVDRQFAIGT MKEDYLGPLQQ CC84DRAFT_1142209 MSLNLEKQLRFYGAYHNNPINIGIHTVCVPLILFASVVLLTNTP AIPLPEWLSIPHLPLNVASAVLVLYTTVYMLMEPVAGAMLAPILIGVTAYGNHLTSTY GAIANKWAGGVFFASWIAQFIGHGKFEGRAPALLDNIVQAFLLAPFFVWFEILFTLGY RPDLKKRVDKAVEEDIRKFREKKGQTANGNANGIKAS CC84DRAFT_1195002 MFSRALRQSSRLAAVSARAQITSARATAPLYNSAVRSYASDAKA SPTEVSSILEQRIRGVQEEAGLAETGRVLSVGDGIARVHGMNNVQAEELVEFASGVKG MCMNLEAGQVGVVLFGSDRLVKEGETVKRTGEIVDVPVGEALLGRVVDALGNPIDGKG PIKSTEKRRAQLKAPGILPRQSVNQPVQTGLKSVDAMVPIGRGQRELIIGDRQTGKTA VALDTMLNQKRWNNTNDETKKLYCVYVAVGQKRSTVAQLVKTLEENDAMKYSIIVAAT ASEAAPLQYIAPFTGCSMGEWFRDNGKHALIIYDDLSKQAVAYRQMSLLLRRPPGREA YPGDVFYLHSRLLERAAKMNDKLGGGSLTALPIIETQGGDVSAYIPTNVISITDGQIF LEAELFYKGVRPAINVGLSVSRVGSAAQLKAMKQVAGSLKLFLAQYREVAAFAQFGSD LDAATKQTLNRGERLTELLKQKQYSPMAVNEMVPLIYSGVNGILDSVPVNKILQWESD FLAHLRSNESELLAQIDREGALSKELEAKLKDVATSFTKSFT CC84DRAFT_1204228 MPERVIVVGAGLSGLSAAHTIYLSGGNVVLLDKNNFMGGNSTKA TSGINGALTRTQVDHKIPDSVKQFYDDTLKSARDKARPDLIKVLTYKSAAAVEWLMDV FNLDLTLVSRLGGHSQPRTHRGHDAKFPGMAITYALMQRFEELAEAEPERVQLIKKAN VKKVNMTGNTATGVTYLFNGEETTIEGPVVLATGGYAADFTETSLLKKWRPDTYDLST TNGAHATGDGHKMLMSIGAQGIDMDKVQVHPTGLVDPKDPKAKTKFLAAEALRGEGGI LLNSKGKRFCDDLGHRDYVSGMMWEEKKKDLWPIRLVLNSKASNVLDFHTRHYSGRGL MKKMSGKELAKEIGVSDKELQEEFQSYNAIAKGEKKDQWGKKYFHNVPFDINDTFHVA LMEPVLHFTMGGIEINDKAECLDANGKPFDGLFVCGELAGGVHGANRLGGSSLLGCVV YGRVAGESASKYLFQQALSNAGGSAVSRLGQISLHIDPSQPGKVTVDWGNGAASGAST SLDSSKIAQQKQLSAGPVMKSDGDSKDPGKVSKPNEVKKFEVPEKEYTLEEVAKHNKK DDLWIAVKGVVMDLTNWTDEHPGGPQALFSHMGKDASEEFEMLHDDEVIPKYAPEVVI GRVKGQKISLEY CC84DRAFT_1257772 MTTYDEYGMPVGAYSEPESLAHQAWRVARAFALYRVKPELEKRW TGIRRGDWSLRRLLGAVRLLVVVWWVAVYFGERSAIRNAVDACRWERWEQWESGANPH RLVFVADPQLIDPHTYPGRPWPLNDLTIRYVDQYLRRTYSRIQEVLYPDTVFFLGDLF DGGREWSTHTHKNPDKQWQQYGDDFWMNEYRRFGRIFFGHWGDGGLDARPGQPGRKLI SSLPGNHDLGFARGVDTSVRDRFNAYFGDGNRIDIVGNHTFVSIDSVSLSAKGLANPQ AVENLWKPTTEFLDNSKKQKRRLVQRELRIQRGLHAYPGFEHKVMERDELAKAAIPHS DEDVTDFPTVLLSHVPLFRLPGTPCGPMREKYPPTPVGKGEEPLEDDRRNAISVSGGY QYQNVLHREITVDIADKVGDIRYAFSGDDHDYCEVLHHAYTSGGGGIKEITVKSLSWA MGVRHPGMVMVSMWNPVDELGKPLSGDASRTLQSHLCLMPDQLGIFIRYAGLFGLTLG VLCIRAALIARGVIKPRRPAENPLLPTNNSSAEYEKAALNSPDAAQNPSDATHSSNSS TSSTHANLQVRNMQSRTRSVSPVGGYGLPSNSAPHSSIYSYPHVQTADQGKRREAQAR TWGGVSTKKSAGKMKGMALFWAELGRSLGTVALCVLSWYFWLIWRW CC84DRAFT_601265 MPDPPIGDDALLARLNALKKSSVSFENASSSLSALKTNASDDLA ARFARLGSTSPSSSPRPSRTSSSAEERNKGAPAIAPGAPSYLEGIAEGIGAGGSDMNT EDERSLEELLGELGPRDDWDIDHKDEKDVGKLLREIKTILPEVQKSRRQEQKEKEGLT NWENVEVEIGTGGVKVGQGDQDGEHDDEQAEEGEAGKKRSEDDEADDIIARVMAELEI SKKYDPPSPPPEDDNDSDSGDHKTDDQNNHPKSSAQNSNELSLPSAPTSLPQDDLDRT QAIEDALTARLAALSSPSSQTDALGLPSAPSFSPAKKPTNIQSSLAKKLDDEIETWCI ICSDDATLRCLGCDGDLYCRKCWMEGHRGESAGFEERRHRAVEFVKGGKRRKEAAV CC84DRAFT_1204231 MPTDNLDVEVRLRPNSTSTIRARSTRPELGFERSSKTSGMSREA LHGMESLILTLAASSRQAIVRRALERRSRPGRCLSKRHERARAVFEIRGKCKRSAANH FRVGGWVAQMMRLGEPIAHSAWRCTSGQEFCLDSAPIAEQPCEVVPLPLRNVPSQPSA DATRNSQIRPGCFAQATNDLRCPMTHTVTSSAWPMIPILITVPGTSS CC84DRAFT_1086576 MQSFTFAPPTLAPRENQRNYVFVDEHNRHKRLKVMRACEGCRRR KIKCDAATTNAWPCAACIRLKLNCVPPTVSYDKDYNANTQTYELEEKPLEYATAPNPQ HNFQRPPVMPGSQGLSSHMTSTMATSVTAPYQDGMRMYQNAPYGEQQPHDHIQYASLP QQQVVQQNMNYPPQMYSDTPPPAPTMTMTPPESEGQWKQDPSSNLADALGELKIDHTA VAPWITSQRKTLAETPALEDFEVQLPPLTTLDNTVRIPPEMMPSEEQALHYFDYYFDN VHPYFPVVNKTSFYQQWQGARESLSPLMLEAIFACASLMLGDDTEGHRWLALAAKHEE SFKDVPRLSTMQAMLLLLKAREASPKRGYFWRSWMTVVNLVAMGKGLELDEHYENHQL GKSCGSNIHDCVTKTHVWHMLFILEVMVGGPQGRTNFQVELDSIDFDMPRPIPGLDPY ELQVSRQFTQYVRALKNVHFTTVMHGKLRKKTPDWALDPVFVGHNADFPVWLRELPDD MQISYPHDGSAPWIPSHFIANMHSYHYLGIIMHLRPQLHAISDPYDGLWKQHMLACYS AAKNLCKLQEAVLKTYGLPGLLCMIRGISFTVYAILTCTMLHLVAITCPDPDLNNDAR EFFVRHMRILEECTPAWPMPEMQQQVNNLRQAFSADVTKPFELKPTFPFGSPQVHSQS SPPSNPGSFRSRLPSQQSPLDQPGQVNYHAHPISPPISASDHDTTADSPVAQSLVMMA SGQRAPQSTTGMQMQETVPWNPQRIFDQWNVAFGTPPSSATQSSPPLRPPPTGTGNNY ELRSPQDGTPSNYQIPHVSPQASGLSSAQSQVPATTTFATPTATYVTPTMWQEVVASS FQDGLKRRWDHGQAPMIDQSMYKRAR CC84DRAFT_1174421 MACRGFRDAGSQCGSRAQMVARAGPSSLGPATLRREGVEGVKVG GPCAKILPQGLGRTRRIRQRALSHRRTQVGKRTQRVAAGGSPRCLVGVTRQVGRGALE GLVDKARARVRPGSLQTSAMAIRRLPALEFGGDLKMAAAVFRWSSGCTSSDCSA CC84DRAFT_1215494 MDDKQNANPTILSLSDLPSRRRGSNVKRRDDGGTGLFDGLIPQY SYLNDPFEHPLSSSDSDDDSVEDIDEQEVYDLISSISDPEHPLSLGSLAVVNLPDIHI QPPSSPHSSISTVVVEITPTITHCSLATVIGLGVRTRLEQALPPRFRVDVRIKKGTHS TDEAVNKQLGDKERVAAALENGTLMGVLRKMLSTCE CC84DRAFT_601341 MRHVRALQRDNKFASIPRSSGRYLLSPFHTSRRMFTAGQDMRGT RVWCLARNSTLPFIDRHRSAFLGAISPHKRARNRKLWSFQYFHLLHASLARLFDPVNT HHMETVRMRPPRHLWFDLQQKLQRLWLNPRSVAPAFAYPCVDPYTLNSLLHTTPNIRP ALNIDKTQLAIASSGRHSSRGQSLK CC84DRAFT_1240849 MQFSKTLVAAVLALTPLAASYCVEVYGEAHSGLGSTEWMNVKVN DALICSTEIFEGGGHHLVDCGDGGSAGGTLEFEVTALAGPWDATYCDEKGRCTTVTFE GPGWNCNYINCCGGNIPCQCADCGGFGKTCVDD CC84DRAFT_1215496 MARPKRVLSFMSEWGGNRLHRRNTSTASTPAASPAPASPTVATA PPKEPNASSFSVASASFDNQENSPSPDPHALPDQRRSSRPLSMIQTYQPPVMEVGQDT LPELQRIFMFLNSHSNKLYQEGYFLKFHDTDSRGRPAPDRVWQECFAQLAGTILSLWD ASELDRVNPDLSTNDADVVPTFINLTDAAISMMPSMTLADGKKLDNILSISTAASNKY LFHFNSFNSLTQWTAGIRLAVYEHTSLQEAYTGALIAGKGKQLNNIRILLDRQRAKYE DWVRVRFGAGTPWRRCWCVVSPPDEKEYAKLQKNQKKKDVYNRNPTVLKGDIKFYDSK KVGKKTRPIATVTDAYSCYAIYPQSKQLIDQSTLVKVEGRITVHSKPEHTTEGFVFIM PESRPAITGFEIMLQFLFPVYDTFALYGRPNKLVADVLDTRGLMFAMPPDRRYGYLEM WDVVSLIHTEGSDSWTERQWRKQLKDLTSKRMATMPRTRSRTSTTSRAGTRRNTLQSR TNVPLSRSGTLRFEEPGSIHSQPSTRQPSPTLVEGVEPQAPQRVDSAPPSTAFTTSRH QRSVSEQVHGYKQPSSRLARFESPEDLSNGQINGTSRPIDEPDSSEPPSPDSAEEPRN LPDVQIAPSAPPQGPVASPPTFAHAPGQKPPVPVQHPGTKPNANMDPATLHQLVDATN AAIPGGIAVAGAAAAWKGQDGSNGARNGNAGSENYQNDTANHLYAEGAYNSYSGYPGN RLSTIPASPFVEQDQFVGPPATYQPSGPPVPEHVELPLRQNNDEYAQGAFYENERSHI QRKPVPGRSLPLRGDDTRSTHSTTSSTLDSLRNDIIDPEALDRLEYTDPALLRQASQS SSRYSRDDALSTSTPDYASTTSEEAQPQQSVRRNADRPRSGMLKFVGNPDLNPKSEFV VGDTHYKPAAKPLEVTSDMPAIDFGPTYLLDPSTKRPGTSGTMTQGMHDNSFSQSKEN LALSASEQKRQSYSGRTTPTMSMHMRTTSGSPQISENRSVAWQPGMVSQPHPNSQRVE AEEWVAQRAAIPGQAMTPPAYAHSRTKSHTPPVSRTHSGDWSQSFGVDNSPARPPSRP LSRPLSRGAGQLLEQRPTSLLVDKRPTNLSAREQEQVARITNTPLIDINKKPKMEQRP SSAGLTAYIDYREKEKAAAKANHSTSAMQKEIDRRMMAAQQRQMMEMQQMGQQPQIGQ AMATTPGGYATPNMMASPQGYPQAYIYSTPGQMQQTYPQQSYFPQQSMMTPMPGPMPG GWGTPSPQSMPAQYFMQQPQQQYQQQPATQPYGASFDQAQAAARYAHQQGQQRRHY CC84DRAFT_1116009 MDDGGEDPALASAFTVDSQRLELDFSFCPRLLKGKTQITIQPQS PKLKEIHLSCRQLKPSRVRLLGRNVHLEYHDQYDHLGLPPQHTIHQYHFLRNRVGRHE IGIEEELKIPLPPDFKIPTRRMQGSQEIVCDPLVVEIEYKLDDFRDGLHFVGTGEGDS RFPHVYTRNTGFPGFASSLFPCVDDGNTRIPFELSVRYPRTVADALGKGSASASGSQA NGVHKADSVLDGSNDDNDLTEEERALEMRAVCSGELTDTIVDPKDPTRTTSSFRIDPI TGGGILPQHVGITIGPFQEVDLSKFRTESEGDRLQDQAVRVHAFCLPGREDELSNSAQ MIPRTLDSFVDCYQTYRLGKSYELVFVDDLPYDTAHTMALTICSSRLLYPESVWEPLE HTTRTLVHAVASQWIGVDVIAQEFRDHWIIAGASWFMTEFYLRDLLGRNDHRFRQKQY MDKLLQLDVRRPSLYELGRYIHLDHGAQEFMDLKALAVLSILHNRLVKTSGKNGVDRC LYRLLLNRAGGSLNNGAISTDAFLSLCEKVGHQKLDGFFKQWVYQAGYPIFECHPTFN KKRQTVNLVIRQSQSGPINDEALRSTDFMREAKERSRSFQPNSDLPAFVGPMTIRIHE ADGTPYEHIIEINSSQVRAEIPYNTKYKRIKRNKLNKERQAQAQGADASGEQDEVTIY SLGDLFLNSEEAEEWKIQDWSTEDEQKMENEAYEYIRVDADFEWLAKISITDMPSYMF VSQLQQDKDVVAQAESIQYLAKKQGHGIISSFLVKTLMDARYFHGIRTMAAELLANQL HIDGPVELIGLYHLKKAFQTLFFVSNSDMTRPNDFSDRALYNVQCAIPRAIAKVKGRD GRTPAEVKQFLLDIIRHNDNRGNDFSDDYYLATLMRGLAECLAVTPADNISIDFTEQA AEIDFRKKAMGEIDRHKRLDEWIPSYNNVFTTTALECDARLMKDRLKPLKISEFLFYS QTGNAENVRLKAWDCLVRLGAFCTKDHITKFMIHELYADPSPYFRTQLLRIMGIAIGQ IAVGDTVILNELQKREETDDRLLVLGNEHVEGQIRKDKQDRAKLDGALRALRVDLGKN VAFKEALEEALKANGSSLQVVSELLDICDMFVEQANKMIVTLKYPRYWNVERVDPPRS GKLRMRFYRGEKIRTKMFRPPKPAPAAVVEEATKPAPKIAIKFGTGKSTAGSPTADAL PLSLKTSLPAQSRPASESAPGTPVRANPPGASQDIKRPLPVPEVQSSATFTGTPNGSK ASTPAPSFQAQAPSPASTQAPEISTPMEGVELTSAPAPAPPRPQPLPVKLSIPAPAPT RSPAATPAPASARSTPAPIPTPTVDTTKPPKLKIKTLKHPKPSSSSQPVKSSSSSQHP KPSPSQPKEKKSKHIILRLPPAKLAMIRKRKAQTDIDPRPAKRTGTESPFTNGFTTTP KSGLVSVVKKDGERPRLMVKMKVGSANLARLVR CC84DRAFT_1257778 MAQPSAPLLPSWFKLSYLPLALVVALVFRFVYVAATSPTRSLPG PWHTRFTHLRLKRAVMTGQRSFYIHSLHERYGPIVRISPHEVAVADLDAFKEIHKIGT KYLKSEWYQRLANFPKAGIFTMIDPREHGPRRKLLSRSFSRSYLLENWESTVRDKVEL CVSQIKKNAEHDVADVYHWWMILASDVTAHLAFGESFNMLQTGQANEFIRVLKKLIMS AGIMVEAPVLRLLRFIPVPAIQEIFNCNEFIFRGADRAVELARSRSGEKNVFAKVIED CEKEGEGTIDDMDVRIEASNVIIAGTDTTGVTMTYLTWAVLQRPELQKALEEEVAQLP EGFMENDLLDLPLTNAVIEETHRLYGAAPSSLPRIVPQGGSQLGGYYIPQGTTVSTQA YTFHRDAKIWSDPLTFNPYRWIPTKEGGTAEALTPEAKLAFHPFGVGARSCVGIHLAK MELRYATAMFFRECKSIKLGARTTPDTMEFENFFLVTPKSHRCEITLRKEKMLQL CC84DRAFT_1162843 MSNWSAAVSVPAETVHGSYSTLGANVMNLVYTSQLIFWSFILTQ TTVGYIGQESLYG CC84DRAFT_1142240 MQVYEHPASSPPLQAALKSTLPHSMSIFYRTKHPNRTADAHILA TFPISTTDSISRCWATAYLDRSMRPETELWIFTTGEMPGHYASPDKEKQGFCTECKKA VLSLIDYMGSLPIPPIHPWNEPAIELARQHEKEHPQTGPDAAYPPGPGLYMRHLLIPT IVTLGACHQEVVQLLAEAGILCKEFPGHEAYLNKFWFKVSDLPHTRDLPEELRWGEMR EQDIAIVQARTSIPRTARTLLSLKSVGVFEIATDKPVAWTFLGLDGSLTTLHTEPKWR GKGIAKAVAVKIFKEHAPGLAVDDEQNAWAHADVYVGNVQSESVCRSLGGKPSVKILW VRVDIGKGGRLGA CC84DRAFT_1142243 MGGKKENKVAYFGKLENLLKEYKSIFIVTVDNVSSQQMHEIRQS LRGDGVVLMGKNTMVRRALKGLVGDFPEYERLMPHVKGNVGFVFTNSDLKEIREKILS NRVAAPARAGALAPLDVYVPAGNTGMEPGKTSFFQALGVPTKIARGTIEITTDLKLIE AGNKVGASEATLLNLLNISPFTYGMGISQIYDNGQTFDSSVLDIEESQLLKAFSSAIT TIASISLAVNYPTLPSVMHSVVNSYKKVLSVAIETEYEWEEIKELKDRIANPEAYASA APAAGAASGGDAAAPAAEEKKEEEEEEDSDADMGFGLFD CC84DRAFT_1142246 MISPYQCLAACTRRAADGHEWLLFGATGSDLVVQSSSGVASTWT PEIAAPEEPDREAAQEGPPAKRAKLTPVAEPKPNLSHLKVTKDGKHLVAVSAEDKCIR VFKVGDQCQLVQQSQRCMGKRPSSITLAMDDTTILCADKFGDVYSLPLLPSPEDEQAA TPEPKDDQKKFEPSASVLTVHSGRNRKALEEQLKQAQKGAKQVKDTPRFKHHLIIGHV SMLTDVVCAKIGSRSYILTADRDEHIRVSRGIPQTHIIEGFCQGHEEFVSRLCFSKSG RLVSGGGDPYMYIWDWLSFRLIEKIPLQEPLFEHYKGHPHHTGAAEFKVAVSGIWAVP NSAQEILVACEGVPALVSFKLGSSSRTGRVLPLNGNVLDLAFIAVGAGHSIAAVSIDH VHQPGSKHELRQESGASRLQCLSNHNSGEWEDDETLTKALERFSRSMPNGARESGTAS ETDRGKADNKAKALRDLLYGVENLRKRPGAED CC84DRAFT_1162845 MYEGFLALGIAAGGQLTTTDEVENFPGFKMIQGGKLMDQMREQS EACGTEIISQTVAKVDLKAKPFKYWLHPMGDDETIEEEEHTADALIIATGAKARRLDL PGEDKFWGNGVSACAVCDGSLPMFREQPLVVIGGGDSAVEEALYLTKKAKKVTVLVRR DKLRASRTNARRLTTHPKIDIRYNTSAVEIKGEEKKNGLMTQLVIKNNVTKEEQTIEA KGLFYAVGHDPATALFKDQLEMDEDGYLITDPGRCTTSIEGVFAAGDVQDKRYRQAIT SAGSGCIAALEAEKWLADQDDSITNELEVENQAEKSQTNGVVPEYRSNPLL CC84DRAFT_1215502 MADMKEDQTHNAEHADNAPNVEDARAPNIPKDPTEDARPPSAQQ AQQVPEAEDLEPGEDEEYDSEDDADPADEMAHFNWEGLHERYHDTINTASAQEQELLR EFSELVNYFNIWANAGANQESDRTFHRLRTRMTYVQNSEQKLENTRQHYIGVVQAFES ALNLLRNSGFGG CC84DRAFT_601456 MECDICGREGALHCVTCARAFLEEPRYKLADKLIVGETYAKHIK AVVEVLEDQESQHVSLQDSKGDLLVDRQECTNNIAIQRTRAETAEIEERTALIKKQAE LLRQQIEDAQKQLVDKRRQISTRRSDISSATHDVNARRVNERDKVQQSIKRLEYESDK VHASTMEMRSYLVNSAADIAGLKSLKRRTKGGEIRETWYIGPDIDRHRTLSKSGRHVR VWDLRELNDAPPDELSASLLAITQLLIRATAYLGLRLPSEITLPHKDYPHPTIISPEE SWEGKKAPFPGLTPSHSSNNSPEASRTLDGVPMPRAMVLFLDRSLPHLRQEDKAAYTT FIEAVAHLGYNVAWLCRSQGMKDEIVKWEDVCDVGKNLHRLLKLRVTWNPQRPENPLD KDIPQSRSNSYATKKNPVGLGEISYSTSHSFALDGENVQRLGDWNVTPWKLKDQLKSY LYAEEQTKDWDNLDPKEWADMENAIKDDPIMVGKRHHEGDGKSYIDNAPNITETAAVE ERKMNGWTRVKSRSEDTAKKQGPG CC84DRAFT_601458 MTLAEHPIETPPRAPSPVHRFGTLAVHAGSPHDPVTGAVIEPIS LSTTFAQTSLGKPVGQYEYSRSSNPNRDNFERAIAALEHARFALAFSSGSATTANILQ SLAAGSHVISVSDVYGGTHRYFTKVALTHDVKVTFSPSIEIDIAELITPETKLIWIES PSNPTLTLVDIRKVATIAHQHGILVVVDNTFLSPYVQNPLDHGADIVVHSVTKYINGH SDVVMGAAAFNSQDIYDRLSFLQNALGAVPSAFDCWLAHRGLKTLHLRAREATKNATG VATALKNSPHVVAVNYPGLPSHPQYAVTQKQHRDAMGGGMLSFRIRGGHEAAERFCQA TKIYTLAESLGGIESLVEVPSAMTHGGIPREQREAAGVFDDLVRVSCGIEDEADLVAD VLQALEKAVVGPKITNALTSNGNGHA CC84DRAFT_1174433 MADPPTEPGAYDDRKNDVATAARTNVGIRAVIKDSNGMLDPTPR GACIPVFERNQQQSPFLRLPGEIRNKIYGYVFETVPECFIYLMGTLRIPEWNSGCFHL STVCRTMYKETRFLCFTNATVYLSSASFGCGVALRDIFPVQREAFSTLVISHANLHGF MARGFLRASQNYLPGLERVFLHDFWGHHAPCMAGHQFSAMQQEVGEEEAKPFREIVKE GVQVECGYWDRDAM CC84DRAFT_1204243 MAKARATNDGRVRVTKRKSRKQAPKSMSGLLDVSVLEDVTDIAE LTHRNSTESPLLRVPPEIRNRIWKHAVGGLEIHITGVGVLVSAQLSYGTRPIGSRGYL LKHPRVASHMHQVSRQAYFEVAPFIYTLNTFSFDYVGVMDRWIKNRAFGQMQFVTSIN IPSQYYGLYIQGFRRTFRKKFPNIKRIGIGHYSVLVRRRMGETIEETKDRIVKQVHER EGDGVVVECPDSGTGRAGTRDWTVAFNRPHVVGWSMDIAMVKFGVRGSVGA CC84DRAFT_603106 MKLPLPCRQFHLLTALIPYELLRFCFWSRRAMNTWMSCSIPAQG EVAGLVPMTGGLWMWRLVRSSRSQIPSQPGGADILLLCNGSGGHRTHDPVCKDSKESL VAKRDRTRFRISKRCAASRTGWRLKLFELVFLFILKRSVVIFPAAPSEILHRPFSVTS TLILTLTTLIE CC84DRAFT_1185721 MRLIDVRDGSFKEFIGSQIPEYAILSHTWEDEEVSYKDVIDNVH KRKKSFAKIEATCAIAASQGIGWAWVDTCCIDKSSSAELTEAINSMYRWYQRSKICYA YLADLPRSEPLEEALPKCRWFTRGWTLQELIAPDSVIFYDEEWNIRGNKHTLMDQLSA ITGIGGRILGNTMPLSAVAVAERMSWAARRRTTRIEDTAYCLLGIFDVNMPLLYGEEE KAFGRLQEEIIKTRADRSIFAWSLPQEEEASADGANTRTLCGVFAASPAMFENATCYS QTVVHWEHLELPWPGRRIFHHQQR CC84DRAFT_1162849 MSVNITTTRLTVRCASQHVRAGTPTCKRRTFFSSIFSGPSTVKR RARRDEESPALPTPTREYHPTPIPHEKLIHSPANAGQVDAYPVKTIAVSIEENLRTFD TVFLRDSCTCPRCVDPDSKQKLFQTSDIPQEIEGKARTVQDEKKGWVVEVEWQNDVPG YGPDHRTTHSIDWLRRALNTEVELRGGVRYDDRVLWDRDTITKNNKWIDYADWMSQDT VLFDALTHLNKYGLLFLKNVPESETSVVSIASRVGTLKDTFYGRTWDVRSKPKAENIA YTPQFLGLHMDLLYTSNPPHLQLLHSLRARTPGGESFFSDAFHAAAKLNEQSKTHFRA LCTFPVTYHYHHAEHHYHYTRPTIELHPYPKYSEPTNLALHRVNWSPPFQGPFEARIG GESQTALRNYLAAANAYEKLLSQEENLFEYRLKEGECVIFDNRRVLHARRAFDATKGE RWLKGAYVDDDVFFSRLRVLEERLEGRWVADGVVRPVV CC84DRAFT_603121 MSGEVAQLKHEGSQLQVVAAGAVAGLVSRFVIAPLDVIKIRLQL QVHSLSDPLSTRGVQGPTYKGTIGTLRSIVRDEGFTGLWKGNVPAELMYLCYGGVQFS TYKYTSNLLETLPSPYELPGSAVSFISGATAGACATTATYPLDLLRTRFAAQGTERVY TSLGASIRHIAKHEGPTGFFRGLGAGVSQIVPYMGLFFASYEGLKPAMANSPLALPFG GSDAFAGIIASVCAKTAVYPLDTTRKRLQVQGPMRSKFVHRNIPLYSGVMSTLVTISK REGMRGLYRGLTVSLLKAAPAASVTMWTYERAMAGMLMLEDRLAAD CC84DRAFT_1162851 MKRKNTAPPNGKSKKRAISDDEAHGNFRRGLFDSSVLADYTSYY ASSQPYKHAVIQHLVDDQLLRNVRTEIREHISFTPKETDIYKIHQSGDLANLDGLDDA ALKRLPSLLTLRDSLYSSAFRRYLSSITGAGPLSGVKTDMAVNVYTPGCHLLCHDDVI GSRRVSWILYLLDPDIPWKAEWGGALRLYPTKHMDTADGDSVKVPLPDFTEVIPPAWN QLSFFTVQPGESFHDVEEVYKRVAGDMEEEDGGRIRMAISGWFHIPQEGEEGYEEGME EKLAEKSSLAQLQGGKADVFDEPQSHWVENPHWEAQAKAQDDQMTADEMDFLLKYMNP NYLVPDTVEDITRLFSDESSVRLAEFLSPKFCARLREYLESKDHEAKPSLPSNPFFTE QSEVGVARPPHKHRFLYRKAVQPIQTSYPENAILTPYDDLVDVLFPHPAFTKWLSLIT GITLTKSNILARRFRRGLDYTLATAYEEEDPQLEVCLGITPSPGWGDEEEEEEQDDEA QTNGSSSKANGKAPAAKPEEEVGGYEMYMAGEDDEGTDGGAPEASTSTGAGQRRKAKA DPAVYKSAADEDDDGILFSQPAAWNNLAIVLRDRGVLRFTKYVSKAAKGDRWDICGEY GVEFGEDDEDSAVGDVEE CC84DRAFT_1162852 MSPSKPKNKILVAWSIDFDALSGWLGTGQHPSNSTADYSAGYFS AHVGVPRLLRLFTDLQIADKVTWCLPGHSIETFPTQTRAIVDSGAEIALHGYAHEAAS QLTAEQERDVVTKCISLVHDLTGKKPKGYRAPLYQLKERTISLLQEHDFTWDSSLTHH DSTPYFLPLDPAPIGPIDFQPETNAKDWMKPTPSFLDLPKSQLVEIPCNWYMEDMTPL QFFPNVPNSAGYVDVRVVERMWMDRFEFFLKEIERGRDDMVVYSMVIHPDTSGMAHVI GMVERFLRWVLSFGDEVVEFRTCGSIAEEFRKRDEEKTGKET CC84DRAFT_1162853 MPFSSSTKALTTEKFTMSQKGPNSRQDVPHPHEALLDPTGKFML VPDLGADLIRIFSIDANSGKLTSCGSGKTDPGDGPRHGQFFWTNKDSAQPQILYTVNE LGNSVSSWTITYPSGSGGCLSLTKLQTLSTYAPGTKGGPTTKAAELRTFGNFLYAANR ADQTFGSQKDSIATYTIDPATGKIAWLEAANSYSYYPRTFQINKAGTLVAVGGQTSSN VAIINRDPTTGKLGSLVTSVVVATPGRAGEEDGLAAVIWNE CC84DRAFT_1174440 MDPRQDDNKNKKPSESVPTSIDSAEATSLPTEVPAEGMLMPNGK YYTNFSTLESAGSYRTLNSSTTGCYAENGSMPILLAEKDDCNLGFFCPNSTDNAPPQY CPPSFQCQALRMTGKDCPPQGLLEPVVCQGGYYCPKGGKEKIECPKGSYCPFGSFEPW PCAYGAICPAKSQRQIVTMPFGFMIAFDIVLGIIVGLGFFISAWRKGRKKNYAALPNP EKDMDDDGIELIAKGNKEAAEDHDDLSANPDFQVFMRYISRLIKTKDVGLSFDFEGLE FEPQPGKKILKGVSGSIQSGSMWAVMGGSGAGKSTFFNVLMGKTRHTGGLIKVNGHPK DMAKYKKLIGFVPQDDIVIPELTVRENILHSARCRLPMTWKDRDIQAYVDALISCIGL SHVQHSLVGDANKPVVSGGQRKRVSIGMELAAAPMCIFLDEPTSGLDATSASSIMRLL KAITKLGVTTITIIHQPREQIFNGFDNILLLGLGSEIYAGPTAEAPVYFDSLGFTFPP RANPADVIMDIINGEGFEYRQDHATSETPVTRLIENWRTRHQREAPAYHAPQPQHLLP EDAYNQPAYDPPEQSYQDALAAPKRPRPVSMISTADQEAELKRTMKKRGASWYAQTYY CCKRSITQQVRLKNSFFFEIGTGAFAGGIIGLSAFTAEGELFKGIYHDSFSMLSHATN YTSAPQLGLLGAMAIGLAASAPAVKVFGEEKLIFNREASSGHSMSAYYVGKMVSVLPR LFIAALHFSVFMGILATPIMSWVDMFVANLLYFFCVYGLASCIGMVVKREDGPLLAVM ASLIIGILGGVAPPLSKVKEWKIEFLWRMSPGVWFTESYFSQCLLPLDYLYMLDQAKV ATGFNLGKFSLDMGVLVALGVVYRVIAFVLLVTVQRKRG CC84DRAFT_603299 MEGRPVDRPRARALEKCRLELFVDGIERSLSCLAEVEEPLNGQL APAALQVMKKASRVSSKSTIVEGWLLVMLYATLLCTTAAMVQFSAVEQKYEKLTIQRQ LSHSFRVQRRKSVCGVRPRRQPMPVHLLLANRPHDSMDVHSAGIRPHPFISITAQLLT LFCELPRAFSMRYQRAAQRAEHTGLRGTPRLALLVVAEALQCWGRVAGATVIDADDVV VRL CC84DRAFT_1215516 MGLAAAVLFGLQVLAGWIALQCALDRVGERVARVRPVVAAMKVI DNFALVAGTTLCALLVLLPSFVFVVLEVFRFVQVRSRGDGNDSRDEQRGGDPSKTITA HMERVVGIVDELDAMGERMRQAALSLNLGEAQVIEEKYEAVATAETKAAILSQTCGNV LLAVCRHSETMEKLYSAMSPEEVDDLIYCDCDEFEVRAEKVISLIQILKECMERQTDL VKTVDDLYPMIPTVPVGVTSPTSHSALKPIDSPMIGTTRGCIEDEIDDSGFMED CC84DRAFT_1162859 MSLLLLSSLQSLLLMCALIFMCDVNLRCAWVFKIFRILKSFRLV KSFWLVKSFWLVKSFWLVKSFRLLRSFLPLLQYLRLLQCLLLLLSLLLLTFLLPIPFP LALLPLPLLLSFSLILSHVLLKSSRILMSHSHQLIRHRTHDRSTRHNDCGSSSNRRF CC84DRAFT_1142274 MLAGSAKLVARHVLSRITASPESSSRRAYASSSHSLSSTRPRHA SRARSTQLQWHCLTQARGAKRKATVSVKDIPQGAIDGPPLPPQDDETDYPPLLQEVRN NLLKFSHCVLLTRVGGFYELYFEQADEIAPLLNIKKTKRKASKGSKRPPVSMAGFPAY QLERYLKILVQDLSKHVAICDEFVNDASTRAKGDSQFTRRVSRIVTPGTLIDEHFMDP WENNYLLSIHVNPEALKANAVKVSPGSSKVSSVLNLPRTEVGLAWIDLSSGDFITQST DIASLPSAVARIRPREIVLDSIFAEEQNSRMVSILKEEGHVITFQEPATQPLSVVDWL PMMGDVVEDFNPKDFSTAEVAAGGSLLHYVKHQLLGARTRLQAPVRHQAGDHMSIDKN SLRALEIRSTIHDGSHQGSLLHSLKETVTKSGTRLLTQRLCAPSMSLSTINERLDLVE EMIDYPQLRQDVRALLGQTFDSLRLVTKFTVGRGDADDLMELSKTVATTADLAKILQV HVASRNAIIISQENQVVEARRRQCIPALQNRFDLIQPLELAQRIQDTIDEEGLSEYHR IENDQAAEMEEMAQDVLGQEAGKEDLKELPKRIQPKPHMIAPSYKSATDGRDDIWIMK RKASETLAKLHSTLDGLDESKANLEQQLRKELGAESLCLKWTPNLAHIAHVKGKDVSR VTAQYPKSLSSSKSTRSFQVPEWTRLGTEMDEIRFRIRNEEQRVLSDLREGVVRNLVK LRRNAAVLDELDVACAFAILAVDKSFVRPILNAGTAHHIIGGRHPVVEAGLVEQGRTF APNDCLLGENERIWLITGPNMAGKSTYLRQNALISILAQTGSFVPAEYAEVGLVDKIF TRVGSADNLYQDQSTFMVEMLETAQILKEATPRSFVIMDEVGRGTTPEDGIAVGYACL HHLYHINQSRTLFATHFHALTDMTKDFERLRCYCNDVAEEADGRFSYVHRLRKGVNRQ SHALKVARVAGMPENAIAVAARVLKKLKPEVTNDEAGTDEQLGPRPAATA CC84DRAFT_1195023 MAPQFEPLKNDLILRTARGEKVERPPMWVMRQAGRYLPEYHEAK GSHDFFECCRSPEIASTLTLQPIERFEGLIDAAIIFSDILVIPQAMGMEVIMVDKKGP HFPEPLQGPDDKQYQEVMEREVDVKESLDYVYKAITLTRQKLAGRVPLIGFCGAPWTL LCYMVEGGGTKLFIQSKTWVFKHGEESKALLQKIAELCVEYLALQVQAGAQMLQVFDS WAGELSPTSFKTFSLPYLTYIADNVPKRLAELGLESVPMTVFAKGAWYALEDLCKTSY NTIGLDWLHDPADAYKIARKYGKVLQGNADPGVLYGGREAITTVVKEMVTGFGGGKQG WIANLGHGITPFVKPDDLKFFFEEIHRLAASSQAAGNEASEEPSARDLAMRGEPSKNL LHGI CC84DRAFT_1185730 MSESDVGFKAAFDEAHKGSEEGGVPIGAALVSAEGKLLGSGHNM RMQKGSATLHAEISALENAGRLPASVYHGATMYTTLSPCDMCTGACVMYKVKRVVIGE NKNFLGGEEYLKSKGIEVVVLHNKELQTLMKKFIKEHPEEWYEDIGEETN CC84DRAFT_1086132 MVKDQKFYDILGVSPDATEAQLKSAYKKGALKHHPDKNAHNPDA AEKFKDLSHAYEVLSDPEKRQIYDQYGEEGLEQGGGGGGMAAEDLFAQFFGGGGGPFG GMFGGGAREQGPKKARTISHVHKVSLEDIYRGKVSKLALQKSVICPKCDGRGGKEGAV KKCAGCDGRGMKHMMRQMGPMIQRFQTVCPDCQGEGEIIRDRDRCKQCNGKKTIIERK VLHVHVDRGVKSGHRIEFRGEGDQLPGVEPGDVVFEIEQKPHPRFQRKDDDLFYHAEV DLLTALAGGKIHIEHLDERWLTVDIIPGECITPGEVKVIRGQGMPSYRHHDYGNLYIQ FDVKFPERLGGEDGAPMTEEQIKALESVLPPRTAAATAPPTDAMVEDFTLETVDAARE GGRARGMAGGMDDDDDEMHPGAERVQCASQ CC84DRAFT_603331 MTEVQIEDEDFASLRNQAVVITGGSSGIGLATVKLLLDNGALVA VGDVNEPPLQHPNLIFQKTNIASWGELSALFKSAKSNYGRVDHVFANAGISGRTTYLE ENFDANGELLEPDDLVNQINLKGCANTCALAIHYMRRQETGGSIVVTASASSFQRFRL VDYTMAKHGVLGLIRGLQPLLHPQLPIRINGISPSWTATGLAPKEFIEMVIPTQTPAV VARSVALLMADEMRHGQLIYSVQGKFSEIEESVLLPAAKTIVGDISEDLVLTKVQAAA ANLGLTKN CC84DRAFT_1070244 DLTRPSEESIGSWLCEEGSTYQKYIAALSGSNPGLKKPDPNNEK YPLTDNNALVVLLEAPPNQKVDFAITEFQSPGQLARHFEAVSSSKENHDRRRIYIMEG LAKKYISILGGHFMMNPSFFQRQERTCVWSNDFTPVSDALPHPSLLDSESSFHLQYCE LRQFNKAIENKPYFCKRTRRHVGMTPPRHKEDSTTGILRRKVSWWSRKTSGGGWDVVI LCDPQLDELDAQPREYRNGIYSDLRSSEKLKNFPFQDGYLDFIPTVSGMVAANKLKHP HSSMLRDLVHYYKNHSDLFSDDWVNSSRSSLFVKKIVAAHYLQLVDYIKAMLPSLELR LTTAWVEEQEQWKSLQTISRRCGNYRDDIEDTLLSLGYPVDVLDSGRRVDWTDCQKDF QYIYFRLKILKERADTLMQSMTGLASIAGNRQNLEEAKRVKRLNLLALLFVPLAYTSS LFSMQDNYAPNKGQFWVYWVSALSVVGLTIIITWILDSALDDEAQW CC84DRAFT_1085162 MTTNTTFKGLPVIPDEPLTTTAPRKIQKSFLAIEQSEGAGARVR RSIGTPQLRNFSPFLMLDHFSVPPGAGFPDHPHRGQETITYLLSGALDHEDFAGHAGS IEAGDLQFMTAGRGIVHAEMPRQNEDGSPNVGMQLWVDLPKELKSCEPRYRDLRAKEI PEATGDNGKVKVKVISGRAYDTDSLKELAYTPVWLLDFTVQPGGHVKQALPKGWNAFA YLLNGTTIFSSEGVSRPVPQYHNVVFGTAGDSIEATVEEGAETASRFILVAGMPLDQP IVQYGPFVVTSRDEVVQAMTDYQTHSNGFERAYNWESEIGKNMVH CC84DRAFT_1142289 MSSPQPLVFSPSGSWDGNNGNWSTVVVRIGTPEQDFRVLPAPIT GEILVPDSEACQMSKGDLPNCGALRGVVNASHSAGFSFNASETWDPLGKFMTNIHCEL GYASGATFGTDNVGLMVQNSGGPTLQDQVVGLLGKSPFFTGFFGLSPKAANFTNYNDP HPSYITTLKNTRRIPSLSYGYTAGAFYTPGHAFASLTLGGYDESRFEPNLNITFPFHG DDERPTSIYLQQIMAENTPNGTVSILRDKIFVNLDFTLPFLWLPTDACDRIASLFKLR YDTVHNLYLAEDNVNAELMEKNAQFTFDLGDSVHPAERVSIVIPYSAFNHGLSWPIYN STTKYFPMRRTNGTHYTLGRAFMQEAYIIVDYERDSFSVHQASQSISQEQKLVAISAK DQQEIAQSQRERKTLSSASIGGITTACILGTAAFLALAILLFRRRSRSHGTSRMSIDG TYTQNEHEGHGGLDRQLMSTEVVEIQGPVAQMLQARHNAELQETARELPGSGITLNHC GTVFKNEETDGRFELSADREPRGGGR CC84DRAFT_603340 MTELLDLCYDVLIRILEEIDPEDVGRCAQTSNGFNDFIKKNTRL YKAQYLKNFDDPRRRPTDPEPAWIPQLQRLVRCRKMLESANSDLKRDEFRFIASTLET LIATGSTDALGNSHNQQLITKLFQPIYQNHDAFLCRSSLYDRCGKASQKPADDEEDRQ LSAKLLCLFGIPSGNVGNRVLSTHPYARSRVYDLRNYTDKTGWGPFRDDGSMRVDWEM VESLMIVLGYNSGLCGRQFIQRFRPPWTAPLEGVLPARDRILPAYPPKLYTQPEIPLS LRDPYNVSGVWSRIVCFLDYNDLYHYNFNSEAMKVPPDQPREPIQTEEAIRHIVMDLK VTEVLAPGEFENRALPIVKFTGTSRSVDLYGDPNANSKLRGSVRLTPEGEVRWRTISV FYGGEERWRSEGVQVGGVRSQRGVIGTWFDKDMDPHGPAGPTAFWKICDTNELDSEGS EEVDVEVEDEEEDEEDGVWILQEL CC84DRAFT_1142294 MVGVGEAPPILDFSAFYTGDAAAKKKLVDDVRSCCLHNGFFQIT GHNVPRELQQGIMDWNKKFFDLPLEQKMEVSKDTTNTWNRGYELLKSQILEEGTLPEL KEGFYIGDEISKSHPYFINKKLNSGPNMWPSPSTLPEVADFQKTALAYYYQVVDLAKD ILKVLAQTLDLEESWFDEFSEGAVATMRLLHYPSQPPDSPQKLTRGIGAHTDFGTITI LLQDKVAGLQVLDNQTKEWLDVEPTPGAFVVNLGNLMMRWSNDKYISNTHRVINQSGE ERYSIPVFFSGNPDFVVECLPNCRAEGEEAKYAPITVEQAVLGGYADSYGRAERFKKD AAAKASAVPSAQGVAVA CC84DRAFT_1142298 MPPLAASDTRIPNAFGSLPVELFLNILDQLVGTRDGRLPVAYEP SHSATKALRALTLVSRAVYLCASRYLYANCLYLNDVTRHHRFRRTLGLELGHHPLALE YSQAGRNEDLFNEADIPQHVVSLFISPEKTQNCGMTPRVRLPQVIDLCLAVGSTLKRL AIDFNPVYVPASEQVLMKPHCRSRNIFLHMLNLEELVCSYDTSDYFRYPPPNLKRLAM TANDMEPSHLDFYFMVSSLETLFILRPKNLKAKHIDEFFDRYNGKHIDIVLVDVNNNH RTPPSTRDWSSDDRVTIWEADVPRSFYGDDEDLILCDEWTWTHGVKGDLWDQDKRRMR SWSEIERSLAEPAL CC84DRAFT_1116079 MSFRTTFCAIFVITVAHGFALQHEDAAQHPLRPLESTIDDVDYI SHHWGQLSTYKYNAPGLFGVKKVGLPKGCQIEQVHLLQRHAERFPHPGDAQDGLNIEK FTEKVVKAPNDGKLFKGPLAFLNSWRNTLGGEYLTGTGAMAEIASGVQFWNLYGRLLY NASEGQLGYQPEDVDKRPLLRTPSQSRMHNSMINWALGFFGPSYQESAQFSSNWTDAF RTLIIPEIDPGPWNNTLAAHHCCNNSEAHGIGTIGDDYMWNYAGLYLPHATKRLSKYM PKHFDLEIKDTYAMQLLCAYETQFLGVSEFCNLFTRDEWEGFEQSLDIRFFYKHSFGN PTARSQGIGYVEELLARLQGKLITESDTSVNSSLTDNETTFPLGMKFYADFTHDKMIL AAITALSVDYFRQVPDLKTYPPRKDRLFRVAHMAPFTARLVTEVIGCDSAHPKPEQHE RVHYYASQYGYDSDEGSSDSKHRFVRMRLNGGLLPLSSIRGGACKGRSDEMCPLEQFI QSQQKAKERANYREACFGDYNVVNDGRDVDGTVPK CC84DRAFT_1257806 MSELKLPNVIKVDGVWQIEGKPREDFKILQTFHPSNLPGKTIVV GTVEMSPGSATPSHRHGGAAIVAVPIEGTSLNQMNGNEPITSSVGEFWYEAPGCHHQR SECVGDRKAKFFVTMIVDDEVIKDGYGSVFVLDKEVEAGEKPPSK CC84DRAFT_1240906 RIWNEDTPVLTILYQVTTLVYIQRYLCVKTPEVITHDQTRGNGL SQRYMLQTRLSGTPLVQLCPKLNLQQKKSIVHCITKFMLALYNREIPCAGIISPDNTI PISAAQGSIRYRSCALSLRRPRLTKSTPPPQPPRPRAPSSSRSASANANGRRKPGLA CC84DRAFT_1086855 MWKDAEGKIVTKKPNLGSSVDGREHSSSAPQQSQAEDPLQSLSE LTQFESREHGAPISPLSCDPSLCHSTFDDHDSGIASGYPPTNLDVNALSGSESLSPID QQFWSTSLPQPEPEPLAPLVFNDAPFDDIFNPDTASSFNNPFTTMNNYQWLFSIDGFE QPSIQPVMNDPFSPFQFNNSVSRPSHVFDLHLDHLIVDDDNNNNNNNNNNNNNNNNKP RSTAANYGSGPSQRTDSPQQMSPPAAFVTPPLTENTQTSRKPSEQEEATQSNMVNAFS DAFPQQSGSTQTPLDLERPMSLLQPSRCLPIIDELARQQVLDLIDIAQPTAPDGSLVM RDHPLLSLSCLQTYCDLFFTRFNTTYPLIHMSTFDPCNVDTLLLVSVLLLGATYGEKD AHQLAVCIHDVVRPQIFANAGFSAKPDLWVLQTILLVECFGKSRAGQKQHDMSHLFHG LLINLIRRSDCQSIRPPTLEDATDDLEDDWRTWVDAEQKKRLAFLCFMWDTQHAVLFC QSLCMSAFELRSNLPCDQSLWEADSAESWHQLRQKQPSSLLFLSCLKMYLNPSASVSV PKNLNALSRALLLHGLMSIAWDMQRRDQTSLGVVDTNPLGNWQTRLATSYKAWDKDFE AFSSFYLGRLPSATHALAKEFQAFHTAVSSLYHSAHILLHTPFLDLQIYAGARHILGR PVARADYARSQKVVKKWVADNLKEAGKAVWHAAALVEQGISILDTDSSSSDLGGSRLW HLPWAVYLGTLTVWGVWYARPVSPHSSGPHDEDEDEIIWDPQAEMTGLLETILKSNGE RLLEVGYERGIAGGMGKRGTNGLAAVVSRCLGKVRWAVVHDGMMVLRGLVQWRLVGGG GML CC84DRAFT_605890 MRCQRSDVRMLLLTRRFQPSSVQCSFQQHANQLSFDSRRINRRC RRTFVAITTMFRIFCFLLCCNPDSFNRHTRLLGKRADGDVSGTLA CC84DRAFT_1142306 MALPTPPKTAASPPRVASAAEALTPLIQSTYKSPDINCYLNGRK TTISNANPHWTLLDYIRAQSNLKGTKLGCGEGGCGACTVVLQVPDTSDKRNIKHLAVN ACLFPLVGVDGKHVITVEGIGSVEKPHPLQERIAKMHGSQCGFCTPGIVMSLYAMVRN SYDPETKKFTLSERDIEMEGHLDGNLCRCTGYKPILNAAKTFIVEDLQGQLSEEPSIQ SSEDGKAEKEVADLVRNGCGGPSKSSCGRPGGCCRDKPTIPSDSSSNDSISEASTPPT EPADSPGDGHIPAIVAVSDKPETDPAVSGATYAKPLKSKEKESTGKETKTTTSLETEI SRTKQGVPVVQFKEYVPDTELIFPPSLWKYEPQPLCYGNGKKIWFRPTTFDQLLELKD AYPSAKLVGGASEVQVEVRFKNSDFAVSVYVSDIPELRHTKLPLEAELETSRELVISA NTPLTELEAICKQVYAKLGKRAMVLEALRKQLRYFAGRQIRNVASLAGNIATASPISD ANPVLLAAGATLEAVNKSKGVLELPMNKFFVAYRTTTLPPDAALSRIRIPLPAPNVRE VFKAYKQAKRKDDDIAIVTAAFRVRLDKDDNIEELSLVYGGMAPTTKDSPKTQAALLG KRWFHSETLDIALAGLLEDYDLPFTVPGGMADYRKTLTLSLFFRFWHESAAELGLGDV DTEVIDEIHRAISTGARDDVNPYEQRIVGKQIAHLSALKQCTGEAEYIDDMPHFEREL YGGLVLSSKAHAKLLSVDWEPALAMPGVVGYLDKDCLPKDQNIWGSIKKDEPFFADGE VRHHGQVIGMVYAETALEAQAAAKAVKVEYEPLPAILTIDEAIEANSFFPHGKLLKKG LALEDKMEEAFAMCDRTFEGQTRMGGQEHFYLETNAALVIPSGEDGCMEVWSSTQNTM ETQEFVSAVTGVPSNRINARVKRMGGGFGGKESRSVPFACYLAIAAKKEKRPMRLMLN RDEDMLLSGQRHPVQARWKVGVSKEGMLLAMEADVYDNAGFSQDMSGAVMDRCLTHFD NSYECPNVLLRGHVCKTNIHSNTAYRGFGAPQGQYFSETIMYNIAEGLGMDIDEVRQK NLYKVGEHTPFLQLIDEDWHVPMILKQLSRSADYEKRKKATEDFNAKNRWKKRGICLV PTKFGLSFATALHLNQAGAYIKIYHDGSVLLHHGGTEMGQGLYTKMCQIAAQELGTPL DAIFTQDSSTYQIANASPTAASSGSDLNGMAVKNACDQLNERLKPYREKLGKDAALKE IVHAAYLDRVNLAANGFWKMPRIGYQWGNYDINTVKPMYYYFTQGAGCSEVEVDLLTG DSTVLRTDLMMDVGNSINPAIDYGQIEGAFVQGQGLFTMEESLWTRSGELFTRGPGTY KIPGFSDIPQVFNVSMLRTDNDGKPLSWNHLRSVQSSKGIGEPPLFLGCTVFFAMREA IKAARKMNGRSILGGVGKDAWKLDSPATAERLRLAVGDDLARRAEVKRKEGETSFFVA VA CC84DRAFT_1257809 MPKADTPPGFRPLKDTSLFTPFKLGPLNLEHRIVQAPLTRMRST KESDGVTLPNELVKEYYSQRATKGGLQLTEATDIFKYAGNYPGAPGCFTDSQVAAWKE VTDAVHTKGGYIFSQLWHTGRASPPSFRNGEQTVSSGTVPMTGNWLDGVSCEENPPRA LTVDEIHDITKKWGEAAKKAREAGFDGIEIHGANGYLLEQFLHDNVNNRTDEYGGSID GRIRFPLEVIKECCKAIGADRVGIRLSPYNYFQDTKDSKPNEHWEYLCEKIAALPEDE RPAYVHMIEPRFDEVLDEQAKLDALSSYTSNPNEGVEAELSIKPKTNNLSRFREVLAK GNVRFLAAGNFNRDNAVPKLDAGDADLIIFGRHFIANPDLPRRLAEGLELNPYDRTTF YGADPPQKGYTDYPFYGEATASA CC84DRAFT_1162870 MEEQLARHATRPKRCNYLHWKGGKISHMDFHEYAEELGTPFWDF HRANLHLELLNRAKELGATMETNSKVANIEYEYPEEGRTIAVAVLENGSRRKADLIVG ADGINSRCREILLGRPDPPVLTGDLAYRLLLNTEDMMKDPELRTFIENPQVNYWMGPD AHAVNYVLRGGKLFNMVLLVPDDMPAGANTVAGNVEEMCALYKDWDPRIPKLLALCDS VFKWRLCIRPNLNPTWSHESGAFTILGDAAHATLPYLASGAGMSLEDGHVLGLCLGRL SGKSDVEKKKALKVYERCRRERTEKVVARGNLQQHLYHVHDGPEQQERDRLLKEFSVF NGRGKPVSEQELQEKGLEDNSDPFPWRWHGVGKWLLPYQCELDVEQRWAEVEGEAASM GSRRRASTEVRAQL CC84DRAFT_1142316 MGKKRVLVCYGVDIDAVAGWLGSYGGEDSTSDISRGLWAGTEGT RRLLKLFDKYKIKATWFIPGHTLDTFPEDCAAVRDAGHEIGLHGYSHENPTDMTFEQQ RDVLDKTYKQLTKFCGKPPRGSVTPWWETSKEGCDLLLSYGIEYDHSMSHEDHKCYWL RTGDTWTKIDYTKKAEEWMKPLVRGEDTGLVEIPGSWYIDDLPPMMFMKKAANSHGWV NPRDVEAIWMDHFDYFYREYDEFVFPMTIHPDVSGRPHVILMHERIIEHINKHEGVEW VTMEQMADDFKARNKVPEGAKMPAPAGEILKKQASGEAFNPGF CC84DRAFT_1195036 MTVSTMPQWLQIVDKKRNSRNEAIRRFIEAQDATSGHLHTAGPS NGTTDDATSQETSFDSIGDLIGAISAGTLTATELCTAYIKRAVAAHNQTNCLTEILFD DALRQANELDSFSKENGRLVGPLHGVPMTLKDQFDVQGYDSTLGYVGRAFKSAEQDCV LVALLRRMGAIIIAKSNLPQSIMWCETENPIFGLTTHPRNTDFTPGGSTGGEGTLLAL QGSVVGWGTDIGGSIRIPSHMLGLYGLKPSSTRLPYQGVSVSTEGQEHVPSVIGPMSR SIDSLIEVTKAVIDSKPWDDDPKCSPLEWRDDMFKDAQTRPLVVAVMRDDGVVKLHPP VARVLDEVAQRLTQAGHELVPWKPGTLHQECIDIMDQYYTADGGEDIKRDVAAGGEPF IPHVEALVNRGKPISVYEYWQLNKQKLAAQKRYLDLWNSTKSTASGKPIDILLCPVMP HSAVPHRKCRWVGYTKVFNFVDYPSVVLPAGEVSKELDGTAVADMAAYEPRNALDEWN WNLFDLDAMDGMPIGVQVVARRLQEEKILGAAKVVDMVLRGAGDDLQGRIPSLKDGLE SRKRPQND CC84DRAFT_1195037 MAPSIWPFMKRSPSSSTSSSSSPPVHPKVVKMAPGLTTDSMPST SSSFEIQSSTGASAANGWATGYETYLSDVLVVGAGPAGLMLANNLVRFGIKTQIVDNR PDRTSTGRADGLQPKTIETLRQMRVAEPLLRKGVKIFDIAFWKSTRDAPMHRTGREIH YPPVVDLLDPYILLVHQGMVEATFIDDLSERGVEVLRNTAFVDFEYTPDRVRPLTVHC KQHVSQSKKNLATRYVVGCDGAHSVVRKCIPGATPIGASSDAVWGVLDGVIDTDFPDI WSKVVVHSDELGSVLMIPRERNMTRLYIELKSSSGAATPPGERQTQEFVQKRAQEIMQ PYRLEWKNVEWFGRYQIGQRVAARFSDDERRVFIAGDASHTHSPKAAQGMNTSMHDAW NLAWKMNFAVRNLARPSLIETYEQERKKIAQDLIDFDYEHANAFSAGDPQALADNFNK NVAFISGYGVNYEPNVLNMRSKGYTRGNLTPGFLLPPAKVTRFIDANPVDIQTDIPAL GQFRIYIFARNLHAAMPFLDVLSKAHQSHSSYVGRVTIAGNASYTMQPPLAAPHDQFV CPERYTPVSGIFTYAIVTDMKRADIEIAHLPRMLQDSRWTFYLDDVPHLDTRKQTVMD KWLDGLDDSEIVIANVRPDGYVGTVRRFADGGFESGMNAVAWMDEYYSQFLRDT CC84DRAFT_1185746 MYFFNSVDRSNLGNAKTDGMDKDLGFTGEQYSLLILLFYIPNGL CDLPLNMLTKKYSGKVMLPSLMLFWGAMALLQCAATSFGGMLPLRLLIGASEAGFFAG VVFYLTLFYTRGELGFRISIFFGSALLAAAFSGLISYGVFQIKHSIPGWKWLFIIEGA MTVIIALLAFFWLPASPASAWFLNEAERQLAQRRTLRDLSSTAHSEFSMKDCFRTWKD RKFIAWCIISFTYPVAFATTSNFLPQIVARLGYSVVKTNLWTVAPNAVGFVVLLVVTY SSDYFRERTFHIIFSLCVSLVGLIILATIDVLANKGVAYFACFMLCAGAYIPSCLVHS WHNNNNLSESSRAATTGLLVGLGNLGGIVSAATFRQEYAPKYVPTLAATAACNVVCIV TTAGLGIWMKMENRSRDREQGIKVRPEEVPTEMLGDGEKSEMWRFFT CC84DRAFT_1085725 MSILVPLYVYPWPGAWDPLISAAKRHPNVDFTVILNPCSGPCVG SLPDQYYLDAIPKLRSYNNIRSLGYVATNYTTKPLDDVLKEIDTYASWPKTSNNTKLK VDGIFFDETPSTYDTFKYNYLSRAGQAVKNGNRFRDKFVVHNPGLITPALLTSPTFSQ QSYVNLSDITVIFEETFDKWLNPSTFDALQAHRIRRSKLAVILHSLPDLSKRVLDFVV EQVQDAADWVFLTDIRVKDEYYHSFSGIFEDLVKSVDGGAEK CC84DRAFT_1085180 MTEGKVSRLVPRNQKVLLACIIATSVIDSVLVGFDSSLMGSFNV MPSYSNYFELTTATKSLNTAISYMGGALMALFAGSIVDWRGRKECVFWSAVITMVGGI IQGAAQNIGMFIAGRFIVGFGLGLAQVSTPTLVAETAPVQWRGFALGLYYAFWGVGTL IASGVCYAGPSICCMIILLFIPESPRWLISRGRHEEALEVLAIANTGGDVASPIVQVQ FKEIEDTLRWERERELSMLKALTQPTSRKRLLITSTFSIMVMLPGTNIVTFYFGDMLS SAGISDPTTQLQINVILTSWTLVVAVTASFFADTLGRRTLCAASLTAGIVTLYALAGL TAKYGTSGYAPGVYGTIAMIFLYNASYAWGVTPLTVLYPPEVLSYDIRAVGMGIYTFT TKLCGLFVTLVVPFGLAAIGWKVYIINASVDILMVLFVLVFWVETRGLTLEEVDKMFD GEKHSDVPDLEAIKEGKMMDIEGVDAASVTQQQVDMIEKRV CC84DRAFT_606079 MPEAANIAVISFDRFVYGNDADRQAVAKEVYDAFSSVGWVYLSD HGVSRVDEVFGLAKSFFDLPLQEKLRWRLTDAEVNQGYTADGDEANGGVDHKECYEHR RFANPLCPSSSELPGFKDTLDDFYGECLTLALNVLKCLAMAMDLGETFFDNITKKADP QLRLIHYPSIERNIIEQEGHARIIPHTDFGLCTLLFQDNVGGLEVDPFHTGDFKPAVP KQGTVLINIADLLQRLTNGRCRSTMHRVVSPTVAGDILPSRYSIPFFIHPDADVLIDP IVKEEGEVKKYEPINAGEWRIWNTKRNYTTLKEGTVTA CC84DRAFT_1240932 MHEVYGPVIRVTPHELHVSEPALYDELYTGTPMKRDQWAWAYNL SLLSGSSWTTIEDSLHRNRRAAVAPSLTLSAIRQFDHVIRAKLELLSQKFERFLTMRS LTKKKNRSDWRCSPTVIHKLLKSDLPAGERTPQRLLAEGISVMMAGATTTAHQNGLSP PG CC84DRAFT_1195043 MSMITATTWVPRGFAAPFPSKYVFDEDEYDRISKLAKLQLDDAK EDLEEAQGEKKRKETNGSNGTAKEPDTDEIDDDLKEYDLEHYDDDDQEGAGDTMAMFG NMKNLVFHENDEEDPYITMEGNAEEEDEEREELQILATDNLVLAGRIEDEVAHLEVYV YEDEADNIYVHHDIMLPAIPLAVEWLDLPVGKTGAGADAKGNFVAVGTMDPDIEIWNL DLVDSMYPDAILGQGSEDADKLKKKKKKKSKKANDEFHVDSVLSLAANRHHRNLLASS SADKTIKLWDLNTTKCAKSYTYHTDKVCSVSWNPTESTVLLSGSYDRTIVAADMRAPD AKAPRWGVESDVEMVRWNPHDSHYFYVSTENGMIHYHDARNAPADPSQSKAVWVLQAH DESISSFDINPVIPGFLATGSTDKQVKLWNIQESGPSMVVSRDLGVGKVFSTTFAPDK EVGFRLSVAGSKGAVQIWDTSTNAAVRAAFASKVPQIKGDGKEKIVGLDEAETDSDSE EGESGDEDDGGEKGYESMEE CC84DRAFT_606558 MSTVQREASGRGRPSSSSSARDGERRRRVKMGHLAPTNWRGWRR AGYATQCCACASASAVHPKSGSPSPGLLRESSLAAGELIPRRRSESGRSTRRGRPIKT KRNGDKPCHLREEAGALLWGASKQPSSQMAMRMGELARLARRPLLLSDTLAGCGRRDS LRSANAARAGLAVGVCTAAKPGTAGPWRPVPCACPRAVLPISAPRRRGRLSLPQQRAP RCRAPAQAAGFSTTPSLLASFARQSRAPHSPSLPFALLRCSCVPYGRLHSLSRPGVRS F CC84DRAFT_606087 MSLARSFTKRMKRPSNDAVPSTPTMSRSQSVKNPGLTIDRAKIS APVALVSTTNMLSYNAPDIVPIKTPSSSSISTHSAEDSDHSSSTRSRASSHASRDTLT DASSVSSSSPTSPTPNHLTGYFAAANKNVRKSASSGNLQQLKEHVDEEPAVPAIPERA LSHSKRAHERLAQKRSLQNISRTRSSREHRASVDMFNASIKEETHPFGAELEQLNEVA EEFNGVVRDAEMAADLAVIRERNLASFCAADYLAEIQPLFASRFGSVAQPAPMAWI CC84DRAFT_606634 MITVDRMFQNIRDIVKTKSVTVATKKDLEGAYKKSERPESKRSV GNAGQSSQPAGDMSSTPMPVSAVINANTAPQQAQTTSVSSRTSDHPWTWSQEHSDYYK PVYGADGRLVKFVWGRATLSQTTHPLSQVSTTSDASQCGQATPAEPQAPAYSPMPQSR LHLPSGQYGLEQTDRYGPTARAQPSPHQQPAQYPWSIIPQSPQTAQHYEAPSGQPNSG GP CC84DRAFT_1257822 MSRSGPFIVAVREDFHAATRASNLELIYTIWANTGLSLENFRQK EYTAFLAYLSQESSYMEQNQRQFATDSVNGAIALVPLLRNNVSAPRHEVLAICQERFP GVDESILTRTIELAARIWLTTRVALVDSIMAVVKTNYRMLEWPAEISLREAVQSQFTF EDPADKMDILFGPDLDPSLTASALVEICGVKLSWTSNLMDHLQLDKRHRVLTVYEHKI CLLNHTKGIDSPYPVDLLHETIDTLNLLFPFGHGPTKDLLRKENKLSLYGLGTCNRER RLKVADYRVWRTQITGLIEVFNEPPRNWWQLLSDRRDLRGWATFWLGPMVLLLTIVSI VTGTVSSVYAVKQYNLARAQACAACAM CC84DRAFT_1257823 MKIVFTAVFALFATVMAAPSVENVGAAESLVEKRCLGAGAETSN FNDCCSGQGYADRGCACTRCL CC84DRAFT_1142344 MKHFSAAALSLALLEVAQAVRFLGRVNPATRELTWAGTGVAFSF SGSSATIGFESVTGANSVLLTVDGKSTVIANVNTSSISTPAGLANGHHTVFLRKRSEA LFGSIFVGNVTTDGSFGKDPRSHRKIEFIGDSITVGYGMDGVLPCTNTAELEDNPNTY AVLAANSLKADYDIVAWSGIGLVRNTAYGSPGGPTMTSRWTKYGAQDADNSYTFPSKE TPDAVVIALGTNDFSVDNNNRPILDVGNFTSATVSFIRTVQTHYPKAQFFLVTSPMLG DGYPAGEAQHTAQTKAFTDAAARLNGTKVHVVDWPTQGSDVGCDYHPNIATNAAEAPI LASAIAEALGW CC84DRAFT_1257825 MDSTKPTRMARFNDLSVDLVYVIFSHLESQGTDLCNLALTCQLF RDIAQRFIVRNASISHSVRGSRTKMFLRTLLDRPDLVVHVHRLELNLLREDIHWPEEQ QAINQITRQLTNLREFCYLSRDYKAWHYSVPLPLKWIREHAHDQIRRVEWQHNMAPHE LRKCMELPSIDFIRVRELQDDSSGRFSSFKLPARKHRTSSLKELRVGSPGTMAYEALI LLLQMPKCLKRFTLESYDTYTSTMEPTALIPLLEAVQDSLEELHVETRRNMLSPFPRT ANFSKFSSVKKMSMPFRYMFQRGAAASEIGAETFLPPQLSDLTISFVSTRSAKLRRFY SDSSAALNGFIEDLNGREGVSSGLPQLTHITLQEHDSHLSFHPDFDHEVLQTMMESWD LSRLNVEYRH CC84DRAFT_1142349 MSLQSYFLDVIYSFTNCMVCFPGSPNLKINSRNFKILRLLGEGG FSYVYLVQDNANQQLFALKKIRCPFGQESVSQALKEVEAYSLFSPHPNIIHSIDYSVA SDKSDPSAKTVYILLPYYRRGNLQDMINANLVNHTKFPEKRLMQLFLGVCKALKTMHQ YRMSEGPGGARSEGKAKKVRKDAARADAEAAEAMEMRRHRDHDDDDEAEGEGLLESGE ASLAQEGIAPGGERAYAHRDIKPGNVMIDDDGVNPILMDLGSLAPSPTPITSRSLALQ VQDTAAEHSTMPYRAPELFDVKTGSVIDTKVDIWSMGCMLYACLVGKSPFEARSDETG GSLSMCVLGGDWRFPDEGRGAKKPADGEGISENVKEVVRKCLKVEPGERPDVDQLIEM VEGVIAELPEDGSE CC84DRAFT_1215551 MLQSSLFLALAGLASFSTAAPTAHKRQAAGKRGLAFAKNMQDKA TMFTGSGQVTWAYDWEARATDAPGFGVPGVEFVPMLHDGGAMFAGAFPADCQGALDAG AKHILSINEPDICGEGTGGTCMSVTDTVNAHMSLIQPFADAHPDVTIVSPAYSNAGVE TMKEFLGACSGCRIDHIATHWYGPADVEAFKAHVINVHNWTQKPIWVTEFQAQSGDQE AFMRDAIAWLDSQDFVYRYAYFSVEVSMTNGMSLNNLGSIFSS CC84DRAFT_1215552 MADVEVTTEGHSLALRPDRNQAEASSWRSSVPARSDAGHSSTSR DSRPAHLSADLHREDPWQPIVLSLDGGGIRGLSSLYILQHLMQKVMIEEERQERESVP NELDALAASSSNQSHSAARERSASDQHQDLRPCHYFDFMIGTSTGGLIAVLLGRLRLD IDTCIRIYEQIGTYIFGSRRWWGLLTKYDHRRMKRAIKKVLQVYCEEHAQNRQCRGDD LLRQYDFSHHDGPYKNHSCKVALMSIREGDRRHHTQDKQYLFRSYDHVKAPPEQYNRS NDLELNPGTVQDNATTIWEACRATSAAPLYFNKMTIRGVRYMDGGVGANNPAGYALNE AQQMTSRGGKADSNRKPAALISVGTGHKDPQSRFRNIFDIITWARKRITDTEEAHINT EGRCKELNVPYCRFDVQEGLSKMKLDECRQKKAKKVKKDEKGKMAVNGDTAVKANGDT TGTASPKAGHATAGKPLFNTWQPDRYEYVTYKRIKEDTIKYCQNKGGSHDSPVDVDAE LTKAAQLLVYYRRRREELTPEAWTRFRDHPYTRITSVQRNANSEAAVATEATM CC84DRAFT_1116133 MMTSYWAPVVPVLEDDLAHQLLVDGPPAIVALLIPGMSMYMSLY ALAGGWKWTSRALVLPTLLLFWASIEIAPVRCLALRGVFDFGIAIGLMKLLELHVLAW KNQLPKYTSGTPPGPSIVALLLLTELRYESFSPNPIRLAPLPPYPFPRSPEKRRLFYS EPAQLIIHIGMFLVMQSLPQYAPVKAFGVLLSIWIIFTTCELVLRYKTSPPLFAPIYL ADSLATFWTEIWHNAFASPCRTLAYNPTMWVLAKVGFPRHIARSLAVIASFGLMAAFH AEIMSPLLPPEGKKRIGLFFVLNGIFTVTEVAVWGKRRDWRRALMAWIIELSLASWAV QTAQVADGLLNADWRGLCRPKIQ CC84DRAFT_1085231 MRTAAVVGAILLAPAAFAAPQTLTTSEEYLDYSVLDTAPASSNV LQADVLASAAAINQQIYQSINNPTQWRKCNRNNAIYRREWAAFSTSEKKDYITAVQCM SKLPSKTPKEKCPGCQNRYDDFVATHINQTFSIHNTGNFLAWHRYFTWAYEQTLRKEC NYKGYQPYYNWPRWARNPAGSPALDGSATSMGGNGLGGDRCTNQTFYGIPTQAQPLIE IPHGTGGGCVDSGPFKDWKVNLGPVFTDVTCTPANPETNFTNGLIGLGKNTRCLRRDI SSWTSSQWTNDQQVTKLLKSKDMKTFWYDMQGGENPFSNNFMGVHTAGHFTTGGDPGS DFVASPGDPWFFFHHGQIDRVWWTWQNLDPKNRINAIYGTVFLADPTAANATLNDSMN LGYAYPGTVTIKDAMSTLAGPFCYAYI CC84DRAFT_607306 MSWTAPSGPPPSPEYLAENHGPTLLAIDCTLFGIAALTMILRIY VRVFMLKMFGIDDWLMLIAMALSITTTGLFIKVVTLGLGRHFDLSGLAFPLANMPAFF KYVYVYAILIIFAYSFIKLSIGFFLLRLADRTRWRTFLIATLVFLVAFTIGSTMAIIF QCIPVRAAYDLSLKPPLGNAKCYPISIFKNIGVFNSSVNIATDLLFALLPIPIVWKLQ VNVQTKLGLSFCMALGLLATATAIYKTPMQYHFFDEMDFTGNGSWYYIWQQVEMNVGI TAANLPTLKPLFARFFTSLRTTLGSYGSRAGTSALATPYKSTGYMKHDEGDGEGQSFA MSSLSKKSTGDKDWGKRAGDSDESILREHGGAFDIRRERELARRESIRNGGIMRTTDV VITRGEGL CC84DRAFT_1174477 MREIDAHFDAYEHLKHLPRDGEALHMLRKAASMVKPMMRKRGWK VGTLAEFLPDEPQLLGLNINRTERILIRLRYHHDSRQFLPMEQITDTLLHELSHIIWG PHDHNFHNLWNELRDEHQSLVSKGYTGDGFLSQGQKLGGKRIPLDEMRRQARISAEKR KATTNQNAGGRRLGGGLNSRGVDMRKVIADAATRRTSITEGCASGSSEAGRLRDQQAQ TGFRTKADENDANDLAIAQALQELMEEEEMIKLEELCAPNGGGGLAWDPVNGLQFDTD PPSSRTPTPKPIPSRSVSSTIAGRPLSRLVTENAARRPTSLPSSRRPSSRPISQEEEA IRNRPLPPSPPEPSPASLAVPTDPDKWACPQCTLHNPLDFLACGACGLEQPPQPIPQN QRYGSSHHPASLPKPPPAVGLRGAGATPFEPAKGRIGWNCLNCGTFMEHQWWTCSLCG KMKDES CC84DRAFT_1185759 MTADDQHFLDVLSEYSQDIRKFTGNIFDASDRHFQSVAGYIKRS VPEGWLPEYARPPPPPPPPRAVSGAYVDRLQDWVSRNRALTAALVAFLATGGVLLWRE RNKSRRKRRARRASNGARREVIVLAGPPNSPIIKSLSLDLERRGFIIYIVCSDLEEEQ IVQGESRADVRPLHLDVADVLGTQEAMERFKDLLLKPHVAFSGASPHNLLFRGLVLVP DLIYPSGPIETIGPELYSDALNAKVLNTIAVTQAFLPTICEFKARVLMCTPSIVASLR PPFHSVETAVVSALEGFTASLRGELGTLGIDVCQFKLGTFDCSRVGSKHHLQTTAGPN TIVWPATTRKLYATNFVNQSRAAQDRGLLTANSTGSSLRELHDAVFDALSQRRPRRVW RVGRGSITYDVVGNWVPAGLVGWMMGVKRVSLDEATAEPQLEDAAQSWEKVEKAV CC84DRAFT_607405 MVNRLDRPGGLEFRSLSSDPPSNASMSSLSLHDLPSPRTGEVPP ALSPLDALAMQGRLLAKRFEQEEKAGRRISRLAPLTIQNEFGNRSGYFSSLSNSTVTS PNEELQPPPRSPGQQPQNSPRAQTSTDKHKSLYPMFGGDDDDDDSLREPPMPYRNVLS PIGEQAVPPQPRGYFDIPRSMSPEPAIGLQEATPVSPNVPRSNAHALQQAMKAQQNLD APPHRQQSNSSLRPPRSPGSQRARSPKMSPTARSVQNDSADEVEDMSLGGSYDSLHRN LSPSSSLSRSHSPLTPFSNQSIPRSPSASSERSTASHQLPRPAFANFSRPRSSTSRQP SFDARPSMDRAPSRQASDESAYMRPSLDNHPVRQDSTESSIFPPYANDVVHTPVSMTS EDWRRSTDYSNQAATYTYSKFDLPRGRKLDRKSIGIEDFLNSQIGWDQPKQSTAGARP PSPVSPPRSASRQRPSIETRGISTERGAPSLTSSNSTIRPGTASDQITAQEHCDKGVE LHEAGELMKSTYHFRLAARAGLAEAMFWYGLACRHGWGMRENQAEAFQWLRKAVDSGQ LEIAEDEDQTKHGQRTDLIKKKQHKAQYGVAIYELGKCYMNGWGAKQDKSLAVRCYEI AGNWGDADALVEAGYCYAEGVGCRKDMKKAAKFYRAAESKGVSMVGNSWIYKDKYLDE STSTSDTRSIRSGRSEKKEPGSAKKREKSRTRTIFGRKKSFAT CC84DRAFT_1195057 MSFHVKRSETARQKELTVHKGARTTTWLIPEARRSVREIQLFHK PGAYKNLPDSHNPLIPPPHWHWYQEEYFTVLQGRFIFTLEGKQIPVTPNDPQPVTIPP LARHTFKADPTCDEPCKIQIELEVSPLVDEKMAEEELGGNERFFRNLYCYLDDCESQN VTPSLFQLLLFLHSAEVSLAFPGPGWLARPLSYAFGLVLGKWVGEYVLGYRISYPEYY DPSMMSKKSK CC84DRAFT_1116150 MADKSDQYARQALNRLDILSSHLSTVKAPAGRLTKLSRSLSGKK AIVTGAASGMGKETAKVLADEGVKVAVLDLDNSKVQAVVEEINAVHPGRAKGWVCDVA DRDRIKHVCKEVIDAFGGLDILINNAGVSLGGGAFDNDDSFESRWDKTLDINISALAI FIRACVPALLKSDAARIVNIASTEHFVTGPANAAYSATKSAVTGLTRAFAVELGKYGN ITVNTICPGPIITGMTARISPEQKEAYARRRVPLRRYADAEEVAQMTVSVCLPASSYL HGTTIPVDGGMTIRHT CC84DRAFT_1240962 MANEKKETKPEKSTEANESKPDKSSGNGYSKEEVQKESSKAAKQ AKEAHNKATELLQAAAAAGDPDERQKLMEEALEQQIQSKSFGKTARYLRSGTFQGMAV GAGLGIAPGASLGAITGTLVGGVSSTALGGIGAGLGAATGWMHGPFWDLGKGGGNIIK KITGNLPGWKATESQKKQLEKMLGQVNEQDTPGMDELESWESEGPSEDQKEVMENVKS KLPSLPSQGKSEETSNKGGNQEEKAQKDEQEKEQSKTGDSAKTEKSQSQGEEKENDDD EKNSETAQGAEGRKKPRKLQPKSEETSAPSDSSASAKKQPRKLEKRSG CC84DRAFT_1162893 MALVAHNTRPLASQGLLHQDRTRYPRYYAEQGQTYDAQDPPPFI YTGPRLQSACGSRKAKKVLGLVAQFERRSIEEEERGGPNKAQRTLGLVTDLPRDRRSS RKSGSGEYQGTFEQKLAQAEKEIRRLSRMHNLAVDVNDEEGAAADDEQSDHEEEHEYH LGAEDDDEEASPADPEKTPYLELPIAQSPQQLSFPSNPIELDAGPDHELLLPPRRPRA RPHSYTSPRFSERPKNKIASSRSRCLSSPPNFSRPLSSFTPKPVPGEDMERDPLSIRF NDIEDDPRSPMSPIGGTFVSNEIKAALEKLEMGISKSRTASPMPPSSPPPAKRASKPR WSSLPVSLMKLAHKRKSSKNEDDGLGLHIHLERKQSKEVVTLTEENLQRWEDEVGYVP KMYRLGYDLLKSPVELDMEVERCTPVPPVSKTPSPRPDALALARAQGQMLTPPMSLSP PVSPPLQHVHQPSSNGSTIGALASPSLLTPTYTPTLSQASFAPSHNPSTAMRSSTTSV LLCRFPEPPACYAPAPTSCTSHARPQPSLAQQKEALSLTPPPSQLLTCVTCGEVEHSS AFPAQPLSSQCLHAGRVCKECVQCFVERAVEMREGVRCPECGVRLGSEEVMRVVSVEV LRGIGRRYSGRLSEEGALSG CC84DRAFT_1174484 MRTRKPRRPSAGSSAVLWRDAILGCLAIDCYACHARGDVARVGV VPRVRSTCPGVDYTTTCALRQGSLVRTRTGRLRPRLSASAVRFSTVRGAQFACAATAQ VNAPANSADERSWQALTSLSRSRHAGDAAIGKTYTFTTPNPARLVTIPQSLIAISDSE AWSESCFTISSGPLLSTPAYSQPAPAMQT CC84DRAFT_1086122 MAGPRAGNKAAANGTGGGSSKQSLTEAFDKDLYDGSNGVDKYAG YNMSIAANEDEDMEDAGEINGRRLVGQYTASADMLNEYAHGEDDMLESREKQAQISSR ETDYQRRRFDRALSPDGAEGGMTYKERMQERDLEREEARIQRLIEDREKNGNEVLDHV PTLKDDAPAVDGNKTPPMEKKRKRRRWDDGGAEEPAKIEEAAVEPTLKKRGRWSPDPQ EVKPEEPAAAEPVRSRWDTTAAIASASATPAPATNGSKVAAPTSFAFGTDISARNAPL SDEQLDMMLPSEGYKILDPPPGYEPVRRPVGMPALPTGYSGYLVPEADSGMSMMGKQL PTEIPGVGDLQFFKNEDMKYFGKLTDGADENEMTVEELKERKIMRLLLKVKNGTPPMR KTALRQLTDNARQFGPAALFNQILPLLMERTLEDQERHLLVKVIDRVLYKLDDLVRPY VHKILVVIEPLLIDQDYYARVEGREIISNLAKAAGLAHMISTMRPDLDHQDEYVRNTT ARAFAVVASALGIPALLPFLRAVCRSKKSWHARHTGVKIVQQIPILMGCAILPHLKGL VECIGDNLNDEQPKVRMVTALALAALAEAAAPYGIESFDDILNPLWTGARRQRGKALA SFLKAVGYVIPLMDEEYSNYYTSQIMEIVIREFQSPDEEMKKVVLKVVSQCAGTAGVT PVYLKDNVLPEFFKHFWVRRMALDKRNYRQVVDTTVDLAQKAGVAEIVGRIVNNMKDE NEAYRKMTVETIDKVISTLGAHDVDQRLEEQLIDGILVAFQDQTVEDPIVIDGFATVV NALGERTKTYLPQIVAVVIHRLNNKAAPVRQQAADLISRITFVMQKCDEDPQLVKLAS ILYEYLGEEYPEVLGSILGALRAIVTVVGLAAMQPPIKDLLPRLTPILRNRHEKVQEN TIDLVGRIADRGADYVNPREWMRICFELLDMLKAHKKGIRRAANNTFGYIAKAIGPQD VLATLLGNLRVQERQSRVCTAVAIGIVAETCAPFTVLPALMNEYRVPELNVQNGVLKS LSFMFEYIGEMAKDYVYAITPLLEDALIDRDQVHRQTAASVVKHVALGCVGLDCEDAM VHLLNLLWPNLFETSPHVIDRIVEAIEGIRNAIGTPLVMNYVWAGLFHPARKVRQPYW RIYNDAYVQSADAMVPAYPQFEEETLKRHELEIFI CC84DRAFT_1257841 MAAADVVPLPNGSEGTRTRWKYLDRILDRPGPFTDPDSFAPGNH ETLEIAKVLVIGAGGLGCEILKNLALSGFKDIHVIDMDTIDVSNLNRQFLFRAADVGK FKAEVAAAFVEKRVKGVKITPYCGKIQDKDEAYYKQFALIVCGLDSIEARRWINSMLV GMVDGNDPDSMKPLIDGGTEGFKGQAKVIFPTMTSCIECQLDMHAPRAAVPLCTLATI PRQPQHCIEWAHIIAWEEERKEITLDTDDPEHITWLFQKALKRAEEFNIPGVTYSMTQ GVVKNIIPAIASTNAIVAASCCNEAFKILTNANPFLGFPGTDGYMMYTGDESVYTYTF EYEKKEDCPVCGEDALARPLQIDPEATLQEFVDSLAERPEAQLKTPSIRTTEKSLYIQ SAADYERMTRPNLEKKMKDLTAEGEEMLISDKAFPMMFKFSVVWKK CC84DRAFT_1116162 MDDFLKPVSTTKLKRTQNAKPLLQEIGSSEKRKSSVVIESPEKA LILLKDQPDFESVESVLGYLVAETERADGFHLILPEPVAANIVFQFVNTTLLDYWHIL KERKIQLHQLVRCLRNPCGIGNVITRLRSLTADCHQNKAVGETRDSASHIVDLLDVLE NLLQSEQCLTQVWTDINTHGKNASQKKMMWKEFVTQVASGLVISLAAEAEDVLKEKEV PRTASWLADGSGYATWLGRNVANLSATEPNEESIFMVAEVYSKALFLGYTDQIVRSML LVVIARDSVTAYASVFQKMKAFEQRKYLNANISVLAKHYLNKVAENTEASPSESSPLL AGVTALIGKLVGDSDILKEHLITSLIKPTIPALDDSLATRRCVIAVIARDEDQLHTLL ENSLKTFGDSYYIKHTSIMQQEALSQTIAITCGYVQRSQPMFLTMMAKSSYHTSGMSN RIGSSSSRARFLGIAVGMAISKMVDKPETQLKFDLEGDEAAEAQWYQRLTRVDDKLGK ISDLIVQEKKPSKTPVRKSASKLVANNTTKPSITEIQGPRIVEVLSDDEDDDDLVPYS KPDSDPEDETDDPTEINRNKATAPVYIRDLIVGLRDTENYDRHALALSTAASLIRRKA NFGSEVLDHIEELATILAGLNDSFELEKFAEQKQQALIAVLLAKPAAMASWFARSFFS GDYSLTQRTAILTTLGLGARELAGLKDDATEALTPPASSFPSKQLPPHLHALYTSNFV PSAPLARLASSMTKQFLSPLAAQAADALTGPSILKVGQSRTFSSRMEVEARRSKPIPN ALSQLVADNFFFPLTGRWWLAARASASASSSIYTSVHLLPAFLHTLALLMHASGPNTL ALPQMTREMWELLLACRGHAVGDKRVLSAVLFALLMLLETNADKERLATEQGRELMET QEWVRGVFEGLPGGKEGQEDERVRVLAAGVVVRCQEVVEKYQRRLVGSMLDY CC84DRAFT_607471 MSAQTPAPTHEPPSLPSSPLPKRTKVIEPSSLNQPSTLPRASDS GTSTPTPLAMSLQPPAPIAPSIAAAAAAEQALQVQLLSDKARAPTKGSAFAAGHDLYS ARDVVIPARGRARVDTDISIAVPAGTYGRVAPRSGLAAKHGIDTMAGVIDADYRGQVG VILANLSETDFEIKVGDRIAQLIVEKIVMPEVVVVDKLEESVRGAGGFGSTGGFGGAA PASAA CC84DRAFT_1204291 MGIMIKKPEGAAGSAWPAIMVGLFVAFGGILFGYDTGTIGGILG MKYWRNQFSTGYVNKTDNELDVTSAQTSEIVSILSAGTFFGALTAAPVADAVGRRLGL VVCCIVFCFGVILQTAATAIPMFVAGRFFAGYGVGMISATIPLYQSETSPKWIRGAIV GCYQLAITIGLLLAAIVDNATKNRNDSGSYRIPIAVQFAWAIVIFIGCIFLPETPRWY IKKGQPEKAARSLSKLRRLDVEHPALLEELAEITANHEYELTLGKATYLDCFKGILGF RLLTGCLLQSLQQLTGVNFIFYYGTKFFINAGFKNSFVISMITSAVNVGSTFPGLYMV EKMGRRNLLLFGAIGMAVCQFIVAITGTVVGTGNPSAQSAMVAFVCIYIFFFACSWGP VAWVVTGEIFPLKVRAKSLSMTTASNWLLNWAIGYATPYMVDAGPGNANLGAKVFFIW GGCCFICIFFVWGMIYETKGLALEQVDELYAKVPHAWQSQGFVPSVSFQDVQRAHADT RHFSLAEVEQEVIRKKSVQYTEGDGRLPEKTLGL CC84DRAFT_1215569 MAPDALIPETRVLAIASHVVHGYVGNKMVTSVMQSLGCDVSAIN TVHYSNHTAYKQVKGTKTSPDQILDLYEGLRQSNLNNFDVLLTGYMPSAHCVQAIGKI GRDIRFNAGTKPGSFFWVLDPVMGDNGKLYIPEDEVPEYKTLLREADLILPNQFEAEL LSETTITDLDSLAAAIQVLHKKYQVPHVIITSLRLTRDNRTVSSRVPSRAASKPTSAT ASGQQTPVESPHIDPARTHPASQSMQDGESERSKPEDPDAGASTSRQPPVEMEEQDEE IKNITIIGSTATSDFKPRLFRIDTPELPLFFSGTGDMFAALTIPRLIEAVHAASTPDA DLTSKPSWRSPDDVPADELPLAKACQKVLASMQSILNKTTATCREKMLAYDTRAEKEG CGQGQEAAEEKAKKRHLALMEASEVTVPRFLRELVYPPEVEKFRPTAVKEGDRVPQSV GEKEPDELNVLHLGVGKDGEGGTQVVDGER CC84DRAFT_607928 MLEARLTQASLFKKVVDAIKDLVQDCNFDCNDSGINLQAMDNSH VALVSMSLKTDAFASFRCDRNIALGINLGSLTKVLRAAGNDDVLSLKAEDAPDVVNIV FESSSQDRISEYDIKLMDIDQEHLGIPETDYAAMVTMPAAEFQRICRDLSALSESVAI EVSKEGVKFTCTGDIGSGSVQLRSNTNVENPKESIEIEMTEPVALTFSLKYLVNFCKA SGLSDKVKLKLSAEVPLLVEYPLSEGTNSFLQFYLAPKIGDEE CC84DRAFT_1087034 MSTFEERELAINPIVVTSVQHNTQVVSNIRNLTASLFGVAAGTL GLESYPGFIFYLVGSFIVSALIFALRTDGKPGDYFHRPLGDLWGGDVFNGLSSFVLTW TLFYGLLRA CC84DRAFT_1185770 MSTVELIATTLDTAPDSWRDHLQSIRNVTAFLEFSDTHPDESRR QWQLPLMNVFQRVAYADADSGGVSDIGNWCLKQEVTLLHIYPEDIDLLTLIGQNWLHR AQKSLAKIHLSERSSTSSGGSQYVELSASEEDRQTKRSNAEAECRLYMSDYVEARGVL LPAVEYLKHAVDTARTQDKITGELLSTVSRGAHQLQTLIAHA CC84DRAFT_1215572 MKRSRLTVMAGQGRASTPSSPTAGSPTHAHAHAPTSFAPRGPST LQSRIRDRLPQPLLVRAGQHGAAARIHSACSRAVNSRLGRADNQRFLEHFRYLLVASQ LLNEPERLRAAAIPQFAAAGPPAEFQVATISVTGAALTGATAFTLVWLIHWSRRQTAG VTWGRFSLVVAVFAAVATACYAYVRRQWLQYLRHQAVQGASTLVTNLQAFEASTSSAL ALIQEVELVSRGYRLSSPLPPISRIEEKGQTRRCHRLRRTLHAAYAATIPIFLDQSGI LKQLVEEDDLERYLDVYDVSNPDLQDAAQGYTESEFEDNESLKALRVLQYRLSTLRRV YLCSLLSLEADGGKPDFARWAAVVDSMTILAAAAGDWTEKFNRIVAEEEQPLPSPLRI QPTPQRERIRSSVRKLSGLSSGIRGLQAKMQILREESNKSLEESEDVGDLGSHLMAQY DSIGTDLKNLIQAWEAGRNALAMNIDRHERRVSQASSGLRSPVPSLGGLTAVDEGSPS DALRALNGELLSPQVSAPASEGSNSDDEIFEAIAIPKTRMSMSREERMYKIQEDRARQ ASAREAREANNNVMKELESVLKHARPGRIGPAGRITSI CC84DRAFT_1240984 MASEYRQDPWEQRQPGIWDGHTSAFPAAYDIPRSGEEEWPADFQ AMNPCCATGVRHDEIVHGLTAPITVPDQDFFSRTDVHHGIHRDQYTSHLRRFDIDRYT FGTESMSERLHKPYESSLIPFVPGIKEEGSFSDGSRHRLDCADTYTSSGHLNDTDSSW SDHSDRGSERVPVSESMETPSHLVANRASSTSTYDNHTHFGSAKSIVAQGGSVVFAQP SDVYTLECACGLKLKGAHAKGNLARHQRSRGCTASAESRRVQCPECPQVFWRSDALLN HRRKKHEVAPCRPSRPRRQNGTFLDRMP CC84DRAFT_608191 MPACATMLQTGGAIRIVDDHPAIRSITASACVLSRHKLGRLSGV PSVVSDVTTVMGIGASNRHRGGASAASGSIANSILPSGSEDAGCAACETRRVRWSPVK TPLFGTNRCGTPTQSTANKGIERFETACRDTPRHVGRRRLTASWYRRPKMGLAVCVRQ MNDAGTTLSRLAQQARQAQQATRRTQHLGASGSETTSCRSSLPSPRVYLLFRCLTPAR TGSREGNGNRRDHLIKSRHLRAQPQQSNGIRQVYQAAGRVPAGPRPLAGFSSVAELTA AHPHTGFHSTPNHIPSCLCTMLHP CC84DRAFT_1162905 MPSATGSSWEKYQKNFADDEVEEKKITPLTDEDIQVLKTYGAAP YGAELKKLEKEIKDKQQTINEKIDTGLAPPHLWDIAADRQRMQEEQPLQVARCTKIIQ DEKDPEKSKYVINVKQIAKFVVNLGERVSPTDIEEGMRVGVDRNKYQILLPLPPKIDP SVTMMTVEDKPDVTYGDVGGCKEQIEKLREVVEMPLLSPERFVNLGIDPPKGALLYGP PGTGKTLCARAVANRTDATFIRVIGSELVQKYVGEGARMVRELFEMARTKKACIIFFD EVDAIGGARFDDGAGGDNEVQRTMLELITQLDGFDSRGNIKVMFATNRPSTLDPALMR PGRIDRKIEFSLPDMEGRANILRIHAKSMSVERDIRWELISRLCPNSTGAELRSVATE AGMFAIRARRKVATEKDFLAAVDKVIKGNLKFNSTATYMQYN CC84DRAFT_1162906 MAAQVAPGGTGGGNTAFRDKEKPRAVRIANIVAARAVSDAIRTS LGPKGMDKMIQTGKGETIITNDGATMLKQMSVMHPSAKMLVDLAHAQDIEAGDGTTSV VVIAGSLLGAAERLLSKGIHPTVISEAFQRAAAHAIEILTDMAIPISLSDRPTLLRVA TTALSSKIVAQEPKLPAMAVDSVLKVIDPKTADNVDLKNIRIIKKSGGTIEDSEMTDG LVLYQPVVKSAGGPTMKEKARIGLIQFQLSPPKPDMENQIVVNDYRQMDKILKEERTY LLNMVKKIQKAKCNVLFIQKSILRDAVNDLSLHFLSKLKILVVKDIEREEIEFICKST GCKPIADIDSFTEDKLGSADLIEEVQASGARYVKVSGVKAAAQTVSIVCRGANSLILE ETERSLHDAHCAIRSLVKKKALLAGGGAPETEIAHRLSIKARELTGTEAICWKAFADA MEVIPTTLAENAGLNSIKVVTELRHRHAQDQRNAGVSIKSGGVKNDIAEENVLQPLLV STSAIELAAETVKMILRIDDIALSR CC84DRAFT_1085846 MKKKAKVVPPCSHAEFESLPEPLRRKYFSSLERLRIAEEHALHE QQGEHKHQYHPFQARPSRSRSTAIHRSILPLGSSRRRLARAESLKHDHYVAQADASFF LSLPPKVQRSAFSREEQTILVGRCEIPPSTTGSPLPPARRTHLHYDEEQRFSDFHFGF NNDGSDDHALARDHDHNHYGAALHRGRSLRRRSSVSSADISPTRGSPYSPAQELDADT EGSLLYLDTMSPVASHPSRASFRRTLSLTNIPLRSSISSAPLAPEPLSARGTAPWHQR AHSQSVSGRRSSHTPQAPVFDPEATHYRDAETRKKLQFLASPQKFDEAVEFGFPSSGD DTMPPRYQLPPISTDARNFSRDVQSFLKDDRMSFLDDHEEEDNKGLESDAESVADFES PVTPSSVGHSFRYHRKQSSNFSSSIDSNGVPLIHPVTGRLNREMTLRMTLTRPDLRAD EEQLYGWQGQKHTKDDPFALEDLPLSDDMNGTKGPFYVKPKPHGNLVSRLFKRSSKKG R CC84DRAFT_608293 MAARFVVAQVCAPALIPPTVSGRGCSGTKHGERPTLLTPLHCAP HDTGVIDAGILIAVLSRTPSSLARPQPDHRLRRRDARLPLAAGLAQEPHPSVPRAAQN IAAHTV CC84DRAFT_1142412 MENLNVAELVERLGSDEESVRKMAVFKLQSAIGDPSFADVFIQE GGLPKLRFLALHSTGNTLAYCLTSLSRLLELDKGWDHVSDDLTRRIVGLVVAQPLVNV NRGAMSVLAAIVGNPSRRNSQPGVTGFQRLKPIIESHKQFLPSLVEKMTSADHALCAN SLQLINALMRDTIANEADTEWPRFIKQLQELGVIKSVYGLMQSSAVQDLAHPLLDFQS LTKILLRRWREEKVDLEKADHRRALRGLHVASNPDQTGTDPKGSKRQNPAKWRRLGFE TESPAFEFTPSGFLGLMDLTDFVYKNEDGFQKLLLEQSAVLLEQRCPIARASLAVTSI LYDHFEVDKSDESESNRYTVLDSRANFERAFKPLLLHWSRLHTSGLNAFIRLWKAAGA QLDDFEKIEELVRILTEQVVGQAPRTKEIKDVEEELAEYDIQRLRELQMELLELTYED AWGHHLRQVRDELSHEALQFVKEQRIRCLLQGAWFPQTMNYSTEAGPVTSKTLNRTVP SLWRFVRLSHNRRYLHFSDFDERLPTEPRLDALQDKIDLSIVSSVVSNVSASAPSTSS SDSTLKDAGQANTSTKITIHGYLPSTSHSRQKSDDSKGTQKESVLLQLHPQSHTLASE WLDGLLMLLNQQPITADTNKLVNLIGGYGLKIRLLNVRFEDVGMEEPILPSREGLDDE YYYDIGGMEAGV CC84DRAFT_1162909 MVYITPGLFGHDHKHTPSVFSSSTHAHVQNHMVDSQIAASLGKR KRRLEDDKDMPSPKHISMSSADVDRYGSSISRTSPTSEGHIRLYGHDPASHEATVPRT FSRSPKLASSERRPVKQMKRLHVKTPSHLMDTEPDLVPSSPPVQRVDSHTKSDLSPCH VCSTAPKRKRDLEGYMDCQRCTERTCYICARECLGCQKAICKECIVEIGQDGEPWCLE CYQHINT CC84DRAFT_1142416 MVAVALLSAILGGPIAASPTNITRRWDKSATANDQIWSKAVCKG GEFVEAFTRNDEEAAKIFKPAANPPTMRNEWQGDLKEDLSKWGWEETDPHAEYFCNFE TFWQYSTPYDALGLNKKPKYLGFPKHSSPDGGDNICYELWHGDKELDDDNEKRFVDQE YKVNDQEYSKTGANHKIAINHVGGVVTAQFRLSPAHAAEKNWGYKPQRTELPALRTSA DLLWGLWYRDNPDVKNIRYFWAQNVQNIDTSRIAASALSIWGKELSGWPGATFDMDSD EGMALLGSPNAISFGYFLVSHKKELGNKKINKAQVFFGNENSRSPMDKRHPDLLFYVE DVPEETGKGTGGQHDDQP CC84DRAFT_1162911 MSAIESPDCAPVTNGLKNRRNSTVLNPRSYLTEDLWKQAPVLTG TTRFEPREDARNILVTGGAGFIACWFVRHLTLTYPDHYNVVSFDKLDYCATLNNTRIL DGRSNFKFEQGDITSPADVKRVLRKHHIDTIFHFAAQSHVDLSFGNSYQFTNTNVYGT HVLLERAREHGISRFVHISTDEVYGDVPIGAADLSETSILAPTNPYSASKAAAEMMVS AYRKSFKLPLITVRSNNVYGPHQFPEKIIPKFIMLLQRRRKLLVHGDGSPTRRYLYAG DIVDALDTIFHKGDIGQIYNIATTDEISNTDICHRLLGLFGLAHETPADLEKSVQYTE DRPFNDQRYATDGSKLAALGWQPKTSFDDGLRRTVEWYRKFGEVWWGDISRVLTSFPV VEGMEIWTKEEHEALPSEDGALLDDDRVADGTV CC84DRAFT_1162912 MSRGNPLFTVPIGTKGKVEVSSPGDKVYVVAFGSPPDNRLVTDL CEAIVLALDILETKYPHGVVITTSLIEKFYSNGLDLEHAMQPGFFANVLYKLWRRILV YPMPTIALINGHGFAGALMTAMMHDYRIMNPTKGYLCLNEVDLGVPLRPAMSSIFRQK CTPTVYRTLVLEGRRFKSVDALKENIIDGLGGLDEVLKFIEELKLTSKPDKGVVGELK REMWRETVDFLENDEREADLRDKASDRLAAEAEEREKRVEAWSAKAKL CC84DRAFT_1195076 MGLFKKKNKEADAAANPYAAPAKNDLYASAPPPAYQGGNDSFRQ EKTPAVTGQGAQFGGRGYQSTGSYGASGGYGGNQYGGGADQGAPRRTGGYGGLGNSDP NLDEDRSALFGGAAQRQPKAPQQQPPQSDGGYGQPGGSSYSQSGVSGGGYGAPGGYGS GPAYEDRQLTAEEQEEEDIMATKNEIKFMKQQDVSSTRNALRLAQQAEETGRDTLARL GAQGERIHNTERNLDLASSQNRIAEEKARELKTLNRSMFAVHVSNPFTSNSRREERDR KIMENHQTERAQRDATRAAAWQSSARQDEYKRGVQGAANTGGKSTLADRAKYQFEADS EDDEMENEIDNNLDQLHGAAKRLNALGRAMGQEVDTQNKHIDRITQKTDKVDDQIAMN RARLDRIR CC84DRAFT_1162914 MGGEQRVIPVSSDYPTLAAGPVGKHIDRIYRDRLGQFTDGGQYY GQGLLPKIVYAKVGANPGPFKLSVYSPPNLARPAFKDAVSHDFKPIVPGHWFGPGWST HWVKIQLAVPKEFLEYEHLEFKWDADCEGMIWTEHGDVVHGLTGGGERTEWILPKAFR DGKEHTFYVEVACNGMFGNGNGGSIQPPDPNRSFRLNVVEIQAINLEARALRYDFWEL GDAAREFPDDSVEKHEAQQVCDRIINAFIAGGGSQESILEGRKIAREYLGDVDSHKVY ETDNEAIVTGVGYCHIDTCWLWPFAETKRKVARSWSNQCDLIDRYPELRFVCSQAQQY KWLEQLYPSTFDRVKKKVKEGSFQPIGGSWVEHDTNLPGGESLIRQFLYGQRYFESRF GQRCKTFWLPDTFGYSTQLPQICRISGMTRFLTQKLSWNNINNFPHTTFNWVALDNSQ VLCHMPPCETYTAEAHFGDVKRSVTQHKSLDRDNTSLLVFGKGDGGGGPTWEMLERLR RCRGMSDHKGGRLPRVKLGDSADDWFDKLEKKVEKGQELVTWYGELYFELHRGTYTTQ ANNKRNNRKSEIMLRDIEYLATLATVKNSFGKKSSYKYPKKDLDDMWEGVLLCQFHDC LPGSSIEMCYRDSDEIYAEVFSKGERLLSDALSELGFDQDVKHDSDLFALNTLPWART ELVTLPPVAGLPTYGLAHGDGMSALEVRPLASSYSAATSSVMEIRPNVFELRNSQFVV EVSNGAITSLYDIKADRETIPKGAKAAQLVLFDDKPLYWQAWDVEVYHLDSRQELPPS KVVISEDGPHRVSLNVETKISDKSWVKTTISLPAAIGDAPTSVEIDAEIEWQETMKFL KVEFPVDIVNTEASYETQFGIVRRPTHYNTTWDMAKFEVCVHKWADLSEATYGVSILN DSKFGFATAGNVMRLSLLRAPKAPDAHADMGRHRTRYAIFPHAGPLSEVTVRKAFEFN NPLRIVGRQASSDHSLLSSFQVHGAPNLVLDTIKRGEDDEDVSRGELPVKKGQSVIVR IYDALGGKSKAVLTWGSLHVKKVVKVNALEDDIEGEKDIVMTEVAGKKGAKIEIRAFE VATFKLVL CC84DRAFT_1195078 MSRDERTPLLQRWSDDEDTRPTLKLLDHPDEDPRAWPRWRKLAN VGVIATIHTVVSPLASSMFTPGISEIPEDLHTSPKAVIATTTDFVILLGIGPLILAPL SETFDLRNIYLICFAIFALLQIPTALAPNIAFLIVVRSVSGFFGSVGIANGGGTISDM FIPIERAGVFGWYLLGPLLGPTLGPLFGGFIVQRLGWRWIFWVMTIVCSVNTLAGYFF LRETYAPVILTHMKHRFEGSNRADDATNYQFEGEDLRPLRQKLAHSLASPAVYQALIF GTTYSIYTNMQDIYSGIYGFSTEKVGLLYLGPGLGFLFAVWFLVPRIDDVYKRLTAKH KDKGQPEFRLPLANIGALFIPVSLFWFAWSVERKVHWCASIVPTFFYGIGQVMILNTT QNYYIDSFEAYAASAIAAGTVCRSIVGGLIPLVAPSLFEKVGYGWGISVFGFVSLALA PAPVLFYIFGERVRGSFALKL CC84DRAFT_1086368 MHIPPSQVKRVAFSPDGKQLASASDDGTIRLWHIRFGELRRILK GHSGQVCDVAFSSDGKQLASASSDKTVRLWHVGSRGH CC84DRAFT_1241026 MASFLSCPTELTETILKQVSFRDLATVSLVNKKLHKFATSHLYS QINFNIYRDNPRPIIHLTRTIFNKPELAKLIKSVRLRDGEESIQRMWEPGDWYGQYKV PLASPPHPAADDGLPEFVSFITESGLSYADIWIDKLQVGDLNAFVALLISKLPNLARF RVGYAVVLPFLGTRTSAKTKPRIVYNDAFLGKLFQSAVFDTSNHGLSRFKYLEEMFYP GPLESDPGPNPDFCNPQGLFAFLNLASMRSISGWCFNPKTLPFTWPSGLPDLANLTSL SLKYVHIDFLAQVLERTWNLKTLSWEWFYIPDYGDQFNTDTLDLDKFVEALIQVQETL EDLTIKFTNCMGTWDPDVQRINVLGSLNGLQRFTSIKRFWAPFQLLLPDWESDANWAR RLEDSLPRNVEVVTVTDSVASADGYPYDEPDECAFLGRWLHETAATRTPHLSEVIVYM SDWSGFLKSYKSFDMVHQVFEGTNVKYRIIDEDKEVRLWEVV CC84DRAFT_1162917 MATATKVRDHVDHAQDKTKSKTRAAIDFAHQAERLAYLAPAQED DATGSTQAVEAKFITAQDPVIVTADGGRLPAVPIDEAKKLNRLRDLIDDRDPSESAPV AGEAREAKDGTIHGARPADASPSQAGDEGQTDAPLQSQTPPSRTNPLFPPLPMYGPPS VLRKLQVWCFRGTSAVLSFCFLLVIILGALFTSMPDVAKRQWMRLTFQDPNKNRPFYH EEYKRKRARRVAEKAWEKESHSQTKANAHGADEFTPLEGGPDKIPCDVRYYARRVGLD CEIFEVQTEDGFIIELWHIYNPREYKRSDPLQRTPNSPDVFNNATSTDGVSGSQYEPG DKKYPVLLIHGLLQSAGAYCTNDDDSLAFFLAKSGYDVWLGNNRCGFKPRHNMLSYSD PRMWAWNIRQMGVMDLPALISRVLAETGFSKLGLIAHSQGTTQTLVALAKEQRPEIGD KISVFCALAPAAYAGPLIGKMYFKFMRVISPGMFRAIFGIHAFIPFMMTMHSLLPPRF YGAMGYRVFSFLFNWTDDRWERDLRDRMFQFAPVYVSAESMRWWLGRECFAKQKCILA TREEKIIEDREDAQEDEQRERSDESSDDEDDELGAGADAIDVHNHDSNRAKYAWYGPR TPPFAFWVCGNDALVDGRRLLRRFERGREPYVNLVHSKVIEGYEHLDVIWAMDAIEKV GKEVREVIWKTADEEARKMCRTPRGCAGIKQDDCYARVGDQETESRRMDSTAGEWSAK GREQVSGGGGEGDRNLEEEIREGDERV CC84DRAFT_1257860 MEVQNGTVRPRKRRRRRRADRPAIAARLLLDDRIKGEVGILSAD LFDSLFPWAPGTDADHYVAITPWLPSSLVASQSTPWTLLPVRRPSSETTAAARPHASL QVPSSAIALQSFSQALHSIAPNKTPRRDTPIEVRIHDVVPVGLDTVYVNLDTEALTKL DEVHAKFGGGFGGTRPNGAAKGPKDRFLKANGIAGKHASGIDYAAQENAWRDAVRGAL QAPALVHTGDLLPLPLPAHPITHVPPPPARITACEPVAQGLLLPSTRIVVLQSHRASK PVPVFAPPRPAPVENSFDDSEDTSNETFYSAAEDRSPSQNNSPPGEESTDNFDSELSG SDVGDLSDDDDDIISLSAPMLPPQSSGVLSVFSSATPRPGNLHTNGIATPGSVFSSFT ATTARGPASRTKVFKANGLLHPIPDELVHPKPGIEDDEEARVFVETNTLVKVGCFSGD WVKIEVAPEPSQHPLFGLRAFDSEHEEDAQWRPVRIYGIPEAMTKKVAPYRVNKSDDF DRRSSFSGFAPASTSLQAYLSPVLLANMDSPSHLRIAPLASPQHQQGHRTAIQKPRLN SSSSPPFAKEVTLLKLSTPLSSERLLQPSLFASLKDHFEQKRRLVKSGDLISVAIDES LGRATFQASANEEDVVSDELLSRSSKDRSNEDPAHANDRKPKAVAWFKIGNITASSNE HRDGEEPDIWGGVVAVDAASTKMEMNGSEQGKTPPPINSAWQYYLGAKRAPAGANQKI MTIDDIPKTYISSLRRRLRELISAATSPRAIHMGLPPIVVLLTSTQRGIGKATVAAKA CEDLGLHTFSIDAFDILSEGGAGGGDVKTEGFLKARAERALTCGAEYTALLVKHIDAL NADRMVTALKEILADSRVLIATTTEIDKVPEGIRGLFTHEIEMSAPDEGEREGILRGI VDDAGIRLSPDVDLSNVAVKTAALVAGDLVDVVDRALVAKRNRIEALATSASENQSSG ATVTSRDIELAGGHFSNSLTKADLDGAVDAARKNFADAIGAPKIPNVSWSDVGGLSHV KDAVMETIQLPLARPELFAKGMKKRSGILFYGPPGTGKTLLAKAIATEFSLNFFSVKG PELLNMYIGESEANVRRVFQRARDARPCVVFFDELDSVAPKRGNQGDSGGVMDRIVSQ LLAELDGMSDGGEGVFVIGATNRPDLLDQALLRPGRFDKMLYLGVSDTHEKQQTILEA LSRKFTLHPDLSLARVASTLPFTYTGADMYALCSDAMLKAITRQARAVDEKVTAISNS RGTPITTAYFFDHLATEEDTAVMVMEDDFIEAHRELVPSVSADELKHYERVRKTFEGT GKKDESSRPSQNGTAPSPTSSKGKGKAAAPPDDETLIVHTENININGSASGVLGKGKG KGKVPAVSHASKNSLDIAEGGFGSAADGDEELYS CC84DRAFT_1204305 MNDCSRTVLHVPVETHVCSEQSRAASSPFPRLSVLANDMLSLEH TPTHLVHVVQRNAETLLLQLPPEIRNKIFRDALAGLKVEVRAFMAAPRLYRVGPSNDY KSPSANLSLLQVCRQIYNETATLVCSGDTLFTAVTFSDLKMLHNKLNMAQRERLASLR LSTPCMIQIDALKVQLIDMFRGLRRVELCYWEGMVDLAERVKHEQQRSGLEILIAYR CC84DRAFT_1215587 MHIYDVLIIGAGPCGLAVAARLREHTPSATFTDDEHQRYHWIRK HGHKMNIKNYRTNTDSLELPPSYVQSACGHQPDKKLDMLVLDADGPSWMSKWNRLFKT FGIEYLRSPMFFHIDPADRDALLGYTYEHNREKELQPLPGCAGKEVSKHRKKKKMSRG RISQSGPDVDERDRKDYFTPSSTLFRAHCDEVSSRYSLGRNLIQHEKVVDVQFRNASA WEDGAHDSFISDDELNDDQQLFRVTTDKCVRYARIVVLAVGPGNAPVIPPITGITPNI PHKGYSHAMQIKQYPPAHVAARVAQRLSTNVLIVGGGLTSIQLADLAIQKGVNKVWLL MRGNVKVKYFDVELQWVGKFRNFNQAAFWSADTDEERFRMIAEARNGGSITPRYRKVL DAHVASGKISLHTHTTLQSLAWNPNLLQWTSTTTAPTLSLPPIDHVVFATGIQSDIEH LPVLDTIRKEYPIEYVGGLPCLNDDLMWADDVPLFVTGRLAGLRLGPGAPNLVGARVG AERIAWNIQDFIGKLYQQNSIQGSSSSSEGSGDEKVTEYAAGRRNRFDSLFGIEVN CC84DRAFT_1241036 MAVTPAATPPHGLESDFVHPPSEAQTVYIVMSVCLGLVTLLVAI RIYTRARITKSLWWDDWMSLLAWVFFIALLRVTFGAVENGGGVDIWNLPKSKYMHFIK YWNLIMIMARVDITLAKVAILLLYLRIFVPHQTGSRQMWFWIWFMVVFNVVYCLVLIL LIQLQCVGHKTPQANGSCLDQHLLLITASVINVITEVAILVVAVVAVWGLRMPIGKKV ACVAVLSFGSA CC84DRAFT_1086370 MVAGARTKPAPLFWVVAVLFQFMSLAAVAQNTTHLNITAIGAAG GRSTIECWQITRPFDTSTDPGTAGTKAQQLGDTTNLTLTILPPKFDGGLHNAPAVQYV AFTSGLAVVTLPDSDEKATIRGGKYGLIIAADIAAVSKKGHITRYPSGSTTTALQIRM KDNIPPAHSILHKGACRQDELVGL CC84DRAFT_608462 MGVAHNSKIQYTSAVRENCCRKFGLLVRVLVHTSGIMLEWSSPS ARYFYGTLAWHIPKPARKVGDRRSVRQEIPMPTYEHESGRQILLRDDVGYMCTQAELT RVRVLVSTMLPYPAHNLDASFRPKSRRRMTTAILQQRPDSQWGDCRACSDRHGHLV CC84DRAFT_1174507 MRILRSIIAATAVADRAPKLFIHTRERRVPTTPTGLKASPTRSI PTDDSAGTTRGFSSAIGKNYGTGWQQWRDYYVASPDTWDPKGRGGVVTTQGFNGGVLR NQTVANNYPNAGYFVVKEIEGRRWIWELREHGTAPTAQTLPEMGQKWPCDPVYEVCT CC84DRAFT_1085546 MRKEYTPSRQEKLRSFTVMCLIFNRSIGSGIFVTPAKILRATQS VGINIIFWAVGGFITICGILVWLEFGLSIPREHVDGKDRAVPRSGGEKNYLEWVLRKP KFLATCMYGIAFVILGNLSGNAVAFGRYVLLAAGHEDPGKGPVIGIAIGALTVVALLH AISRRGGIVVNNCFAVLKIGILLTIIILGFTVQGGKNFNSEGARSHGSTFKPGDTFSD PSRALASYTTTFLYVLYTYSGFEQPFYVLSEVHRPRKYFARAVVSALAILTLLFVLVN IAYMCVIPLDFILDPKNASTDITSMFFELVFGNDTAKRIMQALVAFSILGNLIVMTFT ATRVKQEIAKEGILPKSLWLATSRTTPTAKLLNRITKNEVNGGGATDGDEHLEQSPMA ALGLHWVSSVFLLAVTSALKVDDSYNFLVSLYAYVLISLMGFCTSLGLLYSKYIRKDF LSQQPPLGGPAAAMIYW CC84DRAFT_1215591 MSFGFSFADIIKAGQIAKDIKEIWFTRLNRADILYSQFGRDVAG LEGLLETLGKAFAEYARVREIQLSIVDYHSWELKTLKEKHEQAEIVGGFLETVHECQD LLEENGKYLTKKANAWDNAKWHLFGGIERADKLRGRIQLHCTKISVFMQTLSVSVQAA TASAIKDIQLQIERLPLLVLREILASLSGGPRKDRLHPVMPALHDQYIVALEMSKPDT YVDPTRFPLREGLDALAVSLEQSTIKFKGNGLGIWHPTVQQLNNLIKARWIFDRMVES ATLREAGPESLWRWCLESMQEQIKVEYAKYEQEIVAEEANIASLDLESFRIWIPQEET GAISVTEADESQSEEKIFEVSLSDHGQQQKELIVFRRPRNELRLVTVTSRLNGGNTHT PNEDSKVVNMHQVQVIPRYALPGRPRVPYDKIIELCFPGASSGYTYQFKVDDDLHKFQ QALLGYKVVLDK CC84DRAFT_608525 MEMHFPSCDCGSQKEVKQAECRRTVLECNPPKREKFTITQLSAE DSTNVSSWNIALFGQPRHPELDHVETFKGVKWLSLDGQTPEERTELQACLRIISQIRD RQQRDYRAQEDLISRRADRPKERQVRDLPVRTSRASSILSSPSLASESSKFGYV CC84DRAFT_1162925 MHASLILLVASLATSAVAAPVPVVAPFESRELLMVGEVDVLKRE ILERLEAIAKRGAPQGYEGNVEVYDERDGGRPPFASSGNDKLAGDQNYELSNDNRSDY SLEVGPSHNKRDDGRPVFAPKGNDKRDGVRPVFAPKRNDKRDGGRPVFAPSGNDKRDG GHPVFAPKGNDKRDSGEDFVSSDASDE CC84DRAFT_1162926 MEYSYITTDDGCKIAFQSSQPINYLPLERNYGTLTLLIHGFSGS SLYFQRNYSQLSQQAWVIGVDLRGHGNSGRPKGGYHVARLAADLKALILHVRNAVPDV KIVPVGCSIGAAILWTYVELFSDDDFAGMVFVDQAPLQDRSPFGSWDESKAHRGCFDE KSMLGVQKAWIQNAESAFKGLVADCLGYRYDPLPGDDASEERKSEDERFFTSQSRRCD PVWLARLLADHTRYDHREACEQIEVPVLVMAGRRTGCFPLDGMKETVRRVEEGRRARG GGYQPGWSVFEGGHWLFWEEPERFNEEINQFVDTVSIATAEQRI CC84DRAFT_1086636 MDHAPREPFDVLSYCWRSTELNYTTTVSRLESHKQQLPWDQFQK TLQDAIEFTQNLGIPYLWFDALCIVQRSEQDGDAGPVVDKADWAEEAVKMAGLYRHAC VTIAALSPRSLNEEFLELITETSPPSSLERHDLFETTQNTPHASRGWTFLELLLSTRI LYF CC84DRAFT_1257868 MKFELLPVIYTDVPALARISAEAFARDPHAQVKQLGRKPIDMFS LTSPVITQSLNRESIVYMKAVDNEENELPGFCCWGYRVDTQLVPRADPGMLPSETANE EDGEEGSTSENDSIDPLNTLEAEDMKRWMDIFMPDETTQCLYIQMLCVAPHAHGKGLG SALVLWRAAVADRLGLFTWVQASEKAYRVLSKHSFGVVGDWTWTWKNGHRTTHDARMN WG CC84DRAFT_1174514 MPAFIDFIAVFAHPPRQKEIGFSGFFDQTTLSYRPTTSNAAQQS STGALPTANTAQPLTQAVKVPRGPTITALGRSGRQYQMCYNLKRVARIDDKSSNKFTD QDWSIQQSQFHHQFDVEQGTTLWMNAKGRLKDYRDKVLELTGSAKGIPEELTFDTTEN CFRSSLIVHLMNCHWATGDWRGYLNHLEIAISSLTDEVPVGIWLNKNFTPKVLQDVQQ FEEKASSAVMVLESNMEVMTEMRKFYEKLITNDEFPMKDPCAEDVLAFVQQLNNMVHA FSGQRRRAILLVDLTGNRKNLLLQKLQSLATKKMEDLTTMSYREAIIMKVIAGGTFIF LPATFVSTFFSTDVVKYQGSSSGKGDFSWEAMRIWLAVTVPLTVATFVIGFLVFYLAD ERRKRRLPSSYDEKEKDA CC84DRAFT_1087168 MIKYLGSFGHKELRKAQKQNGEDTEKIVILKHILLEYGRFDLMK IFMYRLPPVMPDEMQDFWTRLIEIADGLKGIHKIKTRNGEYAGWHNDIKPGNIIVVDN EYKLADPGFAKFQKRLESDRDSIPEIIQDGLTQSYAAPECFARNRNARAKVPQSVDIW SVGCVLSMAVTWIVLGSQGVYQFKMLRQKAVDRILEFAPKDPQQRTIDPGDFFHNGIE ILPEVKQWHKYLRSVARNTDHITKNMLDFIEQFLLIPTGGNTERIPAEGLYTGLQEVL RKSRAEDTMLHMDDLFDMLRDIDQEAPSTPFEVQAQQQTNLNAMSQRPRVKPKIDNLE VPDFLKTASRYESLTKIAGARSPKITPTVPALAHPTEVYSTRPPKGPQAATFLLETLV MKAKPFDKDGMDLFFTLSSTSIKGSKDESKFRSKMQATRPRDGSNMITDMVRPIETVF STYFKELEKSKRKGTKPKEELTLIILTDGIWAGMETSDEVYKYILKLLKDLEKRKILG YKKRPVSIEFVQFSDNADATERLRALDDDIEYNGFVYVTRGTSIAFLTFQQRHHRPRK IHSQRRRAQNAPWQLRPAHGPPGQQHQPRVPTSAIRYCEHDRIRIQWHE CC84DRAFT_1142451 MTVRSDAEELFEQVVETSKIILGADHPSTLSSISNLASQGRWDD AEKLLKQVLETRMMKLGADHPDTLSSMANLASTYRNQGRWDEAEKLEVQVLEMRKMKL GADHPDTLSSMANLMSTYSNKGQQDKAEVLRVQLIEYRKGLGNEDTIEDSNSISDISD EPSLFSAHSSVDSRSSAGLFGGVHQTVTQYLASALSQDPFLRPLYTRALQEIGVQRFS THHERLLKKFLADLRSEKRDHIHLQAVRFLQNQSRREEVTAQICRFNRPNSSLEDQQR MQQILDQEEDRNYRLDHYLEEKNELAQEMRNEHGDIEDVDDDGNKEDDENEEQEEQEA EEEGEEEQEEQEEEEQEEEGKVQIEKISNIESVVATLTKGPSFQTFKSSLQSLVNPPT TIREALRSQNIKVLQQLLKKRFDVVAQGEYSWIRELNENGYTRDELAELLFEQFNDAP WIYFEPGIYNLSAVRTGVHLPGCVHQFHSSDQSSLLQSATTQVIPSPSGSWRDVIEIV EELCGVAGITPTTRDREKWDGSIAFEEGNSVATVTCAQPIEVSDSERSTIVSRLINSL RRFCLAAGHAQAAGLCCDSFTILWLPTQYTRQQLPPDSSVEVCRIEFELPLQLLSRLE DLSAIHNMSPVDVLRVQQTASQILRPFVQGITEVSFDDSINADLQICSLATQVVCLGF LSYTQAHAGTIRPFFLDTPLKKIRLLGSLASTDGKNWIEASLYNLTCMGGMIQSQVLA FNLMQARIPSLPSTEETMYNLSINAYDLLDTWGPGNFLIQRTSMMPFAIQIGNGVVYP SGLNGNNKFHWSRNISIGRLPQIALDPLDKMVIGTSVVVNRNCGIDAKHCWSISSEFL EALGTCKSYWEHDEKQFGMQAGNQVMFQAIAASHKIPGRTLKQHRLQQDDETLVPFLD NVWAVQVSFCTGVSRRVSLREMIADLLPVFAMASTPSHDGGHLWEELLKADIINAFRD NTFRDLLMKLSSQLHQHVLRMIRKIFDVLQHTGLDREGKILVVAWPDERDVYRGFKIA CEKENSWAGVLADSEDCATFAYITTRCFETKKIKCSGPSPTWRSTIHILETAVVLHRR DASSLTSALQHNVTYFFQKPDRLFYVKAQRPDTADVANLITSRMTSPPDIQRRLLVKL MKQRKQRARLRERIASDDLAEAVAILQ CC84DRAFT_1142452 MKSALSFLALQVLLNQANGTPLLARDRDYDSDHGCRVKYVVVLS IDGLHNSDVDKWLALGPSNISTLLETGYRYTDAYTTGPSDSFPGTLSAWTGANPKTTG VWYDDTYDRTYFAPIVYDESIDYNSTQLFSGGINPANLPQTLINGKCTAVYPHARTRV NTAFEVVVRKGLKTAYTDKHPAYDLVRGPSGKGLSTGYFPEIAATDGKVPGTIAYDQL HVNALLDWFDGKDVANAEGSLGGKLPTLSGGNFQAVSVAQKTHGYVNGTLAFTDALKQ AMTFVDASLGALVEKLKAKDIYDQTLIVIASKHGQAPIDPAKFKDINPKNVTAATGVK VAFQTSDDIALIFLANQKDLHVAVAGLTARKADLKISDIIYGQRLIDLHFGDPTKDPA VPDIIIVPQAGIIYTTSKSKIAEHGGLSDDDRHIAVFMSNPHLKKMAFGQRVYATQVA PTILGALGLNANELDGVRAERTEALPGFHNGRN CC84DRAFT_1185793 MGQKPLSDEQYRSAFDVLVQGSKMSYRDFIIPQLSQLLRPLVDA QSSISVLEVGPGPSSIFGHLPDRLRRKIGRYAAFQPNSLFANETYDIVLFCHSMYGMK SKRRVMEHALRMVKNRPQKGLVVVFHRSGSLHFEDPVCHKMAFFGNGVVRVVDDNQDT DVGNPIPADWRQVCRTLGRRGEAYSNHLLFSAPEAMVVLTHDATSLPELTTQVPLADS GTTIKNWMARS CC84DRAFT_1088227 MNVPVIVAAHLGFGTDIWGMQQEDITLSLKWLYVAYFMYMIAEA LCQLSILAFYLRIMIDPKSRLAVWTLLVLVVCFGVANAFSMVFQCTPIHFFWNGWRGE TSGQCIDVRLFGFVRGGIEIFLDLAILTLPLPMLAGLQMSRKKKLQIMSMFCVGFIIT IVSCLRLYAFVQFANTQNPTYDNTSGLYWCATESNLFTIVACMPAIRSIFHKGFNKVR GVSTEASGNNYGSSGGLGRGSYLRQGSGRDQKSGSLPFGVISKSVDVDVYRTQRSDSD IELVDGRPPT CC84DRAFT_1142454 MGVSSVTALLFLSSIVAAYLLGRLLRPQDDRLPLPPGPKGLPFL GNINDLPKPGMLECHHWLKHKELYGPISSVTVLGQTFVIINDAQIALELLRDRSAMHS GRPHMIFSSDMIGWKNTLAFSGYTDTFRLHRKNIASVAGSTVSLSIFDRVQEEEAVHF LLNLLSSPENLFAHIEKEAGAVILKITYGYTAEAHGKDPLVDMAVKTMHEFAEATVPG KWPVDIMPFLQYAPEWLPIAGFKRTARQMAAQLHQTTEQPYQFVKEQMRQNKAKTSFL SQAIENIKSDSDTDMERIHKWSASSMYLGGADTTVSSLMTFFLAIARFPDVQTKAQEE LDRVIGDRLPVSADRNQLPYIRALVSETHRWHPVAPMALPHASTKDDVINGYRIPKGS LLLPNNWWFTHDPSVYESPMDFNPERYLKAEPEPDPREWIFGYGRRVCPGRYVADNAL FLTIAQTLAVFRIEKLVDENGKVVEPEMKFEAGVVSHPVPYRVSIKPRSEKHGFMIRE AEKQYPWQESDAKTLESVKW CC84DRAFT_1241114 MRLLHFNSNGDPSLTSNLVKNVPPYAILSHTWGSDEEEVKLQEL SKGIGKDKPGYAKIVNCGKQAALDGLVHWWVDTCCIDKTSDSELSEAINSMYKWYHYA EVCYVFLSDTGSYETVDRSRWFTRGWTLQELLAPKFMVFYTQDWERIDTRGNLSPTIQ RVTGIPPRILARDFSRECSIAQVMSWAAKRETTREEDMAYCLLSLLGINMPLLYGEGA RAFERLQLEFMRTSTDHSLFSWKSPNDERGPRERGPLAVNPVEFVACQQVRLLERIHS RDFLMTNRGLRMRLPLIEVQDGALAAVLDCMGDDDRRLAIFLKEVHPKVYHRIRCSEV LTIDDTVSIPAPKSIYLGSAAPQTYRYLFDVDFSGVMGRGFNLEKHHNPDGFYRWTLE ERRHGRFKLELVDSGAYGGALFGNTMTGEHFVAILGVHNWKVWLDVTEIEPHESFEDV VEEYYHFRERHDPEKENCRCGKPWDGFKILQRTLAKGSVGKVVLSVNRHNDDNDDNNS DSDSDDDGDINGENTINKDDDDVGSSSQTLFFGQFNVKIVVE CC84DRAFT_1068721 QAAAEGGHEQVVKLLLDKGADVNAKGRFYGNALQAASSEGHEQT VKLLLGNNAKVNAPGGFYGNALQAAAEGGHEQVVKVLL CC84DRAFT_1162931 MPWVFLDSLVHGAEMIINYAGVIGEAIQAVTNVAQQHKTPEAFG IFDDLGDAFNAATKVISIASQILPPATKPTVADDTSETRIETRAGLFVAPTILTSNGH LGRPMYDALSKNLANMGVPASYVGKDGRTHDSADDIGVAAFANAPFSSAASPSNMPYQ TTGFEINNIDDTLNVRGNHAYYAVPLGQDAPSTMWHSATTIAATTTQGFRDNYKRQSG TQTVYNKSLEVAKQGGSATRIVNLNVTWCSQSYAQQIYPTFSTLWKKKMGDTIIYKHP FGTTWQLKIQAAVGASPAQVRAAVEVIAQQSIQQRASSTKAAIQQDPKISPPPAVVVT NSALTYV CC84DRAFT_1174523 MATEFETKLNELQEAGTLPGGVYIAADASGRFTYTHQFGVSTAS PTVNRKPAFTPDTRLVVASCTKLSTAVAVMKVVEAGMVTLDEDLRKTILPELGELQII ESIDQNGVPVLKPNDHPITLRHLLTHTSGLGYDLVDPPLMAWRAHTGTLPWTGPTIPA RMSVPLQFAPGTGWRYGVGADWAGLVVERASGQELDHFLQENVWKKLGVTKATFWRHR IQKEQAAAGHEVEGEWAEEAMLIPPQAAGGAGGGLDMGVATKSDALPVAVPLQGFDML GGLEKPSGGGGLSCTAAEYLALLKAVLTKDPSVLGETSWDELLMPQVGEGRPVGIEAW NSLNRTLKEDDQWDVNCGMNLPRSMNKSLSLAGLVSEDVFELGNGAKISKGTVLWGGM TGMTWFVDRENGLCGLAAPQVFTFGQRPLHDLNALWLQQIFKWHRDHKDQAVSALSRQ A CC84DRAFT_1257877 MSDSSSAGTSGPMPQLPPHTEWYQHSRAVWPVALAIVFEAFAVT AVALRIWSLRLSRKQKLADHDWAILVALLFSTGLVVLLILATVMGGLGQHAALLSDPA VQLVHFGKIYVANSPVWGAAISAVKISILLLYIKLFAINRTFRIVCWIIIAIQFAWFV GVTLSGLLYCRPLAFAWNPTIPGGKCGNAMQAYLSAHIINLILDIAVALAPIPVLAKL QLKKEKKIEITAIFALGIIICAITIARIVLIKDLVPLDTTYTSSHIFFFTILEPLLGI ILACLPVLRPAMTQITSVFTGTKKSMLNNSDYTSDSKPRTIGSTGKYSNIARGVDRSD SLTRPINDAGSFNMDALEQPNKAHKGKAIHVTSTWDVERR CC84DRAFT_1162933 MGLSEQDVEFKTLDGTVLRGTLYPTSNRGPAIVMSPGFNCVKEM LGLPDAAARFQSAGYTVLLYDPRTTGLSGGEPRNDIDPIAQVGDYSDAISYISTLSSV NPSEVFIWGMSFSAAVGLCVAALDPRAKGIIAVCPLTDFTYTEEKLPMVLKKCAQDRA SQVAGNDPFYLPMLDAQGRNPAGFGVGVDSEQYSKIVEAGKEIAPRHVNRTTVQTYYR MVLWQPFGLWRMIKNLPVLFVVPELDRLSPAERQLGHWDSLVCPKRKVLVPGVGHMEI MEGDHVDEIMTDMEKFLRDVLEGSVP CC84DRAFT_1204323 MAVVALCRITTCKEYVQREGYGLAVAYGVTERHEAPDGPRRENC TVLSEVANMLAKQILSNLHLSEALYSVVLVLLAGTCVLYTAVLAIYRLYFSPLAPFPG PKLAAVTAWYETYFELVKNGGGHFTFEIMRMHEKYGPIVRISPKELHIADSEFYDTIY TSTQGFDKSAHVQDRFGAPEAAFSTPDHEVHKRRRAAISPFFAKRRIHEQAPMIQGHV NKIVRRLHHEYAGKGKILNLNDLYSSYVSDVIMSYAFNRSYGFLDEPDFVSSFTSSIQ GLKDFVHYAQQLPWLPKIIDRLPDRLVGYIQPSMKAILHFQNSMRNQVKEVRENLHSD KAEAQEGTIFFSIIRSELPPEDLTIPRLKDEAMSVVGAGIETTKMALAVLCFHIINTP SVLERLQNELQDAIPDPSNPPPLSVLEKLPYLYACLQEGIRLSYGAIARSQRISKHNP IKYNDWVIPPGVMVSLDTYHMHHDEAVFPQSHEYRPERWLGNPRGPDGEKALTKYLTA FGRGTRMCVGFNLAYAEMTLVLAALFRSFTFELFETDKGDVEIFRDMIGLEVKPGSKG VRVKVSGKGEVQP CC84DRAFT_1195088 MAVHDSSLGVFRHEPLAVVGFACRLPGKSNNPTALWKFLERGGI ADIEPPLSRFNLKGHEDGSKRPKTMRSPGGMFIEDIHPTDLDAQFFGLSKAEAIAMDP QQRQLLEVVYEGLENSGITLEMLKGTSAGCFVGSFACDYGDIQSRDPEDRAPNTTVGI GRAMLSNRLSHFLDIKGPSMTIDTACSGSLQSLDVASRYLQTREISTAIVAGANLYLS PEHCIDLSGLSGAASLTGRCHTFDAKADGYVKAEAVNVLIVKRLADALLSKDPIRAII RGTASNSDGWTAGIASPSSKAQAEVTRQAYVNAGITEYNATSYLECHGTGTKTGDPVE VKGVASIFCADGTRKDPLYIGSIKSNIGHSEPASGISGVIKTILSMEKGVVPGNPTFE TPNPDIDFEKLRVSVSRKSRPWPKVPFKRASVNSFGFGGSNAHVILDEAKTGAAGYQM RFKSSFGQVDDFDFFAEEKLEPPQVLVFSANDVASLQSYADSLNKHLLNPAVSVELTD LAHTLSERRTKHFNRAYLIARNAQIDVHSLKLGKPRSSQPRIGLIFTGQGAQWPQMGK SFLETIPACRAVVERLDRVLQTLKNPPTWTLHDVRDILRAEELPIAIACVNSPSSVTL SGQVVALTALEQRFKEQGKFARLLQVDVAYHSKYVADIAARYYELMRQECDFPLADAT YCKMFSSVTGSENNQACNAEYWRRNMESPVLFSQAVSAALTEDAAADFIIEVGPSGAL AGPFKQIKASLGSGAAHVSYHAASRRDVAAVDAIFEIAGELFLAGAQVDLARVNQYLP NQQPPSTLIDLPNYSWNHSVRLWYESEASKDWRFRRYPSHDLLGSKVLGTSWHAPSWK KVLKIDEVSWLKDHCIGGQVLFPAAGYVAMAIEAQFQTSQSRGFIEEGRSVHEASYRL RNVAFKKAMVIEGSESRIMLTLSPEDDGEKSWSRFTISSFTDEEWNEHCTGLVALTVG SQQVASQHDVRPLEFPTPAAAWYKAMRDVGYNFGELFQPQISIEAVAGSRQSRAHISF KEPKSSYPQSPYSVHPVSIDGCLQAGAPSLWKGIRSAVGGALVPAMIDDMSINSRGHI VEVGIATTSAVFTGVGSSEEAQNYRSNITVYDHETHLPLVQIKGLRYHKLDAHDDSAD THSFMRVAWKPDIAYMEGEALQRYFRSGDGKPKSVLELLIHRDPAVDVLEVNMSSDME SMWISNKASLEASGACGQYTLVTPDPASLFTLQSEFREVPGVRVVHANITDAAFDFSS LPTNYGLVIVRASNDDSAELQTALVNIQSLLGEDGFLLVHYSASLALDTETSTDSGAS GSHFQVLGPEHEVVEKILQDAGYRSIRNVPQIVPSSDAYISELIAQPSAREALANDNG FTVRQLDVEHLGEIKSGSTVLIVDEAYKSVLCDVDQKQWHAIRTLTERDCRILWITAG AQLQVTNPQGALINGMARVIRAENPSAALTILDVEDVSNACAREAINKLLYDVNNGSV MEAEYVERSGVIHTSRVLLDGPVNVAQREDSQGGEFQTKHLHEHPSCVRMVCERPGIL ESLNFVEVAEGDLPLEDDFVEIDMHAAGLNYKDVATSLGLVPENQYMLGLEGAGVIRR LGAGKKAQKFRIGQRVVLIRRGSFGNKVQCPVEGVHAIPEWMTFEEAATLPVVYLAVI YGLFNLANIQKGSTVLIHSAAGGVGIAAMEVCRYVGAEIFATVGSEEKRVHLMEKYGL SNDRIFSSRNSEFAEEIMRITNDRGVDIVLNSLTGDLLDASWRIIADCGTMVEIGKKD ILARKGLAMDPFNRNASFRAVDMSADSITRPVIAALLSQLFEMLNGRHVRPIEPRTVF PYSKIGDAIRYMRGGTHMGKIIISREAENSTPLVPIRPAKRVVHFRDDRSYLIVGGLK GLCGSLAVYLARNGAKHIAIMARSGYEDERSQAVLLDLHALGASPTLITGDVSKMEDV KKCFQSASPPIGGIIQGAMVLRDKTYEEMRVDEFHAATACKVQGTWNLHTAAQDLNLD LSFFTMLSSISGLVGQKGQANYAAANTFLDALAAYRHGRGLPASSVDLGVIEDVGYIS ERAAVAARLNTAIWKPINEALLHRIVRASLLQQSSRPLHVASAPHMVTGIPHPQPVDA PLVADPRFRGLRQTAAAGTHAAAGDASSEVRSLLALAASPAVDKHALLAAAVGVINGH FMKSLGLGEPMEPAKPLTVYGLDSLAAMEFRNWLRKELQVSVTTLEIVGAKTLNAIGE KMLGVALAALAFVLDGEQLLRTVVRMPLAPGHDVAAAICQGDVAREARPQRRVGAVNR LEQHRVARPDNGLGHLVEDCGAQVARVLGEDHDALGPEALGELHGEEPVGGLADGVVA VAVERGVVELVGRDAVGGGLGVDEHAAAEDDAHGGRGCVVVFGSSLERRREQLGQEKA AHAVDLHVQLEAGARARFGRGGGHAGIVEQDVQARLLGQERERARLDGGQVGVVEVEE DQGAAGHLGRGVGAPDAGHGLVGFGGRARGHVDAGARVVEDARQLVSDAGGGARDEHD AARLRRQVGLGERGAGAGPQLRPEALERVHGGGG CC84DRAFT_1185802 MQPASLLLALAAAAAASPTYGSFPVRGQTCRKLPQDKDWPSPQI WNVLNATVGGRLIATTPLASPCHDPNYDAAKCDALRAGWVYPQTHFDSSTSIVAPYFQ NQSCDPFTSRASTCKVGTYPSYAIKVTKASDAIAGMLFAQLNNVRLVIKNTGHDLLGR STGTGGLSLWTHYLKDITISDYKSSSYTGKAMKMGAGVQAYEAYEAAHKQSLRVVGGT CPTVGLAGGYTQGGGHSMLSTVHGLGADQVLEWEVVTANGSLLTATPDKNSDLYWALS GGGGGTYGLVLSMTVKAHADTKVTGAMLTIIQQGAEDAFWKGITLFHASMTSYTDAGA AFGYYLGQGIMAIQPVTFPGKSKAEVEALMLDLTKRLDAAGTVYSLTVTEFSNYYDFF NNYYGPLPYGVYTSAQVQGGRLVPRTVVATAASNQAFTDALKAIVQDQDFHVVGVGLD VSKTATASNAVHPKWRSTAITIVVAANWDYTQPFATNAANETLITDKYDPLLTAVTGA DSGAYVNEGDFQQPAFQDNFFGANYAKLRSIKKKYDPNSLFYATKLVGSEDWAVQADG RLCKA CC84DRAFT_1204327 MMKVLAAVAALTALTQANLDVVTLNVNGDNYITEASATLVLGKA PNPQGSGDAALWSAIMMENQASFLQGVTQNSAQSYWCTSILPTQWCNFAYTLVGSQAT VGKPAVASPGSKIRTSYKLNSSTKLWDQNVYIDGNLVSTVNTSKGQHGKIFYISLECA SGTCAAVGAHSWENVTIILDKADLKFKHSGSWQYGAIGGEMTTPDNGKTWKLSTLSIP QTIPQ CC84DRAFT_1241138 METVQKKDLVATEPQSIVAVIGVGYVGTHLVEAFARHYNVIAFD LSERRLAEVSKQLTGLPIQFTSNARDISEASHVLISVPTILKDDKSIDTAYLRSAIAT VEKHVRPGSTIVVESSVAVGMTRELVAPLMASKNLKVGMSPERVDPGRTFPAFEDIPK IVSGMDAASLDSISTLYGRVFHKLLPVSSPEVAEMTKLYENCQRMVCAAYANEMADAC ASIGIDAFEVSNAAASKPFGYLPFKPGPGIGGHCIPVNPYYLLSNCSMPLLEHATTMS WQRPADVAHRFVQSLLQEPYSPHQPIAEPSHLRLLVVGVGFKRGQKVMSNSPGAAIIR TLKSEYNCHVEFADPLVGTDLYNDVPKMNTEANWHVEYLSTFDGIIVSVNQEGLNMDV LAELRDVKVHDYTGTLRNNVIIWRKHV CC84DRAFT_608924 MSSHKPASSLASSLPSLPEDAHLRPGSINAESDTASASGNPFES LYHRSTLSFATTLPGTPQNEVDNEKTDSLLWEKKISVVGEAPVVSHQERVKQLGDLNT MVDEKPEFSDPDGLKVRRAQRLMKLRKFAFQCTIFGINGFLLFGSWWFKGYHYVFLPF ITYGVALNFTVVITLLMWLAFRWFRPEKYDPAPETPESLMLIIPCYNENEEELRKSLD SLVEQKGIEQHKQCVFIICDGRARGPGMDQTTGECLLDTILTEKTSRIHMNNAYMSWD KEPMDAIIQRGTYRGLPYMCIVKMTNKGKRDGLILLRSFAYKFNQREHRPTTVISNAM FGEMCSFVLDDCEIDKFDQIVGMDADTVFDPWCIYYLIIESRHPKCYGVCGVVWVDFK DGPWNPWRLMQNASYTISQGLPRLHQSIVTHKVSCLPGCCQILKVCEENNGDHILRTL FGYCPSPTDGMLKHLRGAYSEDRNHVCHVLTASPHVQTRQSIRSVAWTDVPTSLSVFM SQRKRWSMGATVNDFRLITARGTQWFERLRAFSNVQTWFCNIFIMGSIAGLIHAAQHV PWYVTVGFLGGVIVPYMYMLTLVFWMPKTRQAKCQFLVGVVIYFFSGPFLTIFVLFYT MWHLDAFSWGKTRQVVSEDTDTTNGESVTEKSSRPDTLNDEEATIGIGRAA CC84DRAFT_1075024 ASPVPFGLQIYQCTKPGVIAPGFDDGPWIYSEDILDRMQATGFI ATWFINGANKGNIYDYNSTLRRMVNMGHQIGSHTWSHKDLATLTVDGIKQQMILLEEA MVNILGYFPYYMRPPFLSVNAQALSVLKELQYHVIIGDLNTKDWEYQTQAGIEIAKKL FVDGLDMGYTIVESHEQEVWSQGVLIDYMIKIVKDRGLKTVTVAECLQDTQVYRTFRD PNASAKATATPLGPGSTTPDGTCGTQGSGKDKGYICKAGACCSKYGNCGTTFDYCAAP VCQIAFGRCDPAPGRGSVTPDGTCGDFSKGNNNGYVCKNGACCSKYGNCGTTNDYCAA SSGCQPAFGSC CC84DRAFT_1257886 MGRIKSPRKAVIIGAGVGGVATAARLAAAGWKVTVIEKNDFTGG RCSLIREDGYRFDQGPSLLLLPHLFHETFHDLGTSMKEEGVHLVKCEPNYNIHFHDGT AFKMSTDLATMKEEIERFEGKDGFERYMSFVQESHRHYELSVTHVLRKNFFSIFSMMR PSFLRHLLALHPFESIYGRASKYFWTERLRRVFTFASMYMGMSPFDAPGTYSLLQYTE LAEGIWYPIGGFHKVVDALVKLGERRGVKYQLNTLVSHIQVQDCSKGPTATGVVLANG EVLEADRVICNADLIYSYNNLLPPTSYATSLSKREASCSSISFYWALDKQFLELSAHN IFLAEDYKESFDSIFKKHLIPEQPSFYVNVPSRVDPTAAPKGCDAVVVLVPVGHLMES SYGSHKGMAGTSGEKQDWDAMVTIARETILKTIESRLKISLSDHIVYESVNTPPSWKE TFNLDRGAILGLSHSFFNVLCFRPKTSHPKIRHLNFVGASTHPGTGVPIVLAGAKIVS EQILNESHGSKVPWVENGAAIAKNCDSPLDQAQWMPVLNWLHWVAILVIAFLASVLGP FSGGWNWKEAKFGGDW CC84DRAFT_1257887 MSITISVITDEADFAEISPMVFDAWQQPYNPQLKHFRPVLPTRA EAITWSKERSTKRLREQDSKLFMLKAVDCETDLIVGFAQWYVNDKPDPYGERTVATWH PEGSEEREFAERFINGLWDFIGKRVTRPHMDLHSITVHTEHRSRGVGRLLIRWGLDKA DELGIETAISSLITARGAYEKCGLGCIEMIPADPSLNVPYPSEKWKELASDNLNGWLM WRPVGHDYVAGVDKAPWV CC84DRAFT_1185806 MSLWRRLDTWIKLDQSEVQSVHGRWSNVDLDPVPPEKQTWRSHH FVTYWLCDAVAPGNLRLGSSIMTLGFSWRETIGIIFLGHFLVSLAITANAIIGAKYHI PYTIQSRTSFGFFASFVAVFIRMLVGFFWYGINTYNGALCVNAVILAIWPSFRNVPNG LPESANITTQMMTSYLVYFLITLPFHYIHPRYLKWFFDIKTIVCLPGVFALLGWACQQ SNGGLHTTLFLQGTSLRGSEYSWAFLGALNSMLGNYGTMAVNINDFARYARSTRMVYA QILAVPLSFMLMSFMGIIIAGAASDLYGASIWDPLTIMSYWSGSSGARAAAAFVSLSF VLAQLGANISANCISASNDLNAMFPSYVNLRRGSYIIAFVGAWALTPWNILASASALL NFMDGYIIWLAPITGVLLADYYVVHRQTYDVDDMYIPEGKYRYNKWGTNWRAVAAWLV GSVPLIPGFALRMNNSLPISKGADHLYSLGYLYGFFSSFVIFSALGLYFPALPTFSHE DKMDEYSTGEKAV CC84DRAFT_1053897 RLSQRQPLACVACTKSKVRCDKHIPCARCFRRNTPCERETVELS RRRRRKSAFGSRATDEEWSLLGKCSIPAEVPDGMPFLPELRANSVTSSLRENPNPSHR APSLSAAKVPQDVASAQVKFEDLATCIEGLAWGRHQCHKYPHRNCLRFGTQHDTSMRG PLAEDLLEKLPDVATARRMIEFHVRLLSWYHNVLHIPTFLAQCETFWRSAYIEDGQWL AMYCAVLSASAWSIHNSPGCQDCFDVLHTCPSPTELFSVVGNILNVEDFMSRHTIFSL QAICISGMVANVLGKSDLLITWLNASIRIAQCLGLHRIGDETSGDTWSEAVEKEIGRR VWLKLVELDYHSIPYTGTYSISLKHCSTKPPGNCNDDFQPQNEAILTASTYTLTQAKM AVLIPALLDGPSAGDDVASRYEHVIAIDRQMRQLVAGIPTPLLRQSSSVASNPEWLTL ARRTLAIAAADKIIMIHRAFLLKSFQSPAYLFTRQTCVSAARTILREHDEISKAGPEC PPIWIHSAFCVAAIVVICLELLYCHSTMSTERKDNYVQLIRGARQRLNLSNNDTMAHK GVHLVDAMLNEE CC84DRAFT_1195093 MPHPTAPLQIRPLPAELRKSTRLGAEVVLPESMTLFDPKLLSET DQQIFRTGFFDNGVLVIRDQTGIQPHVLYDIADLLDPDHLPYHSGGQKQVTDSRNILS QNNCSRIPRAPQVTVIGSGHVENYEGIGELDLQHLDQSSFHEYPLSEQEIADGLTRPY RWHMDAALYENLPGIVTSLHAIEVPKVPKQRLQFPQGEIMDVAAGATLFFSGARNFDA LSPEEQEFALNTTVHYAPRAYEMIRNCKASSDGLTIENVGRETLIPDLPPFDPEKVHS FPMVWTNPSNGLPHLQIAGCCVYSLTTIDPSTGNKTVVSELAEVRRICHELQEKVYRP ENVYAHSWQKGDLVIFHNRGVMHSISGQLAHFKERRLLWQCNMASSTPPKAYRQGSQ CC84DRAFT_1257889 MKSPSLLVLSVAAISANAHYFFDRLIINGTLTEPWEYIRKISAR PRTDDAFITDYDYIQPLIDPASGDLRCGRNASVAWNDVKTAVVRAGDTVGFAVNTTVG LPIAGAPINPWDRYPNLYHPGPLTAWLSRSPPSSSSLSTYPGDLPWTKILAVTTRTSQ SVPPTDTYTWKHQWGAYQATNWTFSIPASTPPGEYLLRIEQIYPVPVDELQGAQFYVN CAHVRVLGSGAEAKVPEKVYEVDIPGVYHWGQSEIYRWVDVGNESFDIRDWVAPKPDV WLG CC84DRAFT_1162940 MGTAKKEKNRKEREGKTGDGMGNVKVKGENFYRTGKKVKVLKRL TDGKAQRNAKGDITKAASYQSREAPVARVEPNRKWFNNTRVISQDALDSFRSAVHAQA SDPTSYLLKQNKLPMSLINDVKSVNGVKVHAAKIAVESQPFSDTFGAKAQRKRPKLDF DSFEGLAGRTGDMHDTYLDRLEQAKLLSGTSGQEEENTGELTTSREAIFGKGTSKRIW NELYKVIDSSDVILHVIDARDPVGTRCRSVEKYLREEAPHKHLVFVLNKVDLVPSKVA AAWVRHLSKEFPTLAFHASINNSFGKGSLISLLRQFSQLHSDRKQISVGLIGYPNVGK SSIVNTLRNKPVCTVAPIAGETKVWQYITLMKRIYLIDCPGIVPPNQQDTDEELLLRG SVRVENVEWPAQYVEAVLRRVQPKHLQKTYEVTGYTDSTSFLELLCRRMGRLLKGGEP DLDAVARIVLNDWIRGKIPWFTPPPMLEGESGGAVKGIDGREGKLGEMRKRKRGEESE LAESVAATTDAADKDEGEDDDEFSGFSDDDDEAAAEEAADDDADDAQTLVAEGDASDE DIEEEVAAISAALSKAKKRQRKE CC84DRAFT_1116266 MPPRIPVRFPWAAREAPFINASLATRAFASTAPTLALGPESPNY IEVPKPAQPTFDIPPPVKGHLPVPRDVFKTRSPLSKASDDFIAQTTQDPKKVAQPGPW SKDAEYRLYKQRLADARKRALREGVKELHERKVTTDQAYTAQIEQSNAERRRLATAPP RPVDVLTQTSVSKGIRDFLGDRLPRTPRKVFVAQRKNAYQKRLERQEQVRRSRLHDLY TNAREFIVSEEQLDEAIEKAFGTDEQPVRWHVGGQTSPTGTGASPWEAGVPEGVMERL QKLKGGEGVGLAKERVKKVAEALTGGKM CC84DRAFT_1174542 MRVGNNASPLSRSIGGHNPGHLQQVTDFTDLNNPQANKEFGFEK TNRVKGNSSLERLAVLNAIGQRDGIVKKIVCFGLGSMRHPAYNDDDGMFLTLRANESN IDVRFVVSAGGLLEIDENTFVFAPGRPTFASKQLVADLTHEHGGPVAIFFEEGFQWSS AGPW CC84DRAFT_1116270 MQERGGQVAAVAILFLILTWVTVGLRCYVRISIVKAFGLDDKLM VLTLGFFTAYLGCQLGGAVHGTGARRVNLTDEQAQTALHYWYFCEIFYTIATCLLKLA VGFFLLRITVQPWHVWIIRMIMAVAAFLGVGYTSLVIFQCKPISYWWDLDPTHEGKCL DASLVMIFTFVVSALNSFADWTFGILPVLIVKDLQMKKRLKVIVSGVIGLAAIGSTAT IIRLPYTSTLKPYKGDFLYRTTDFAIWTTVEVGIGITAGCIACLKPLVKSSFLTTWSG QKSTGNPWSKGTASKLGGTAGRQTPIGGLEMKPVVVGKNTTTTTVTAGRSSSDSDEKD LVNNGMSVGSWDNGISKSVTTTVVEERMDDRPGRASRRPKPSEVTIERRRNGSPGGDS ASTLHDDERRGPVRAYGAF CC84DRAFT_1241154 MATLLSALLASSALVSAQDFSGGARSEDAFSYVQPLDTVILDEY NSSPAVYPSPNATGAGGWEDALQRAKEFVAQLTVDEKSYMVSGQPGPCVGNIAPIPRL DFPGLCLHDGPLAIRVADYASVFSAGVSAGASWDKELMYERGYAMGEEFRAKGAHVAL QPVAGPLGRSGYAGRNWEGSAADPYLAGVFMKETILGTQDAGVQACAKHYIGNEQETQ RNPVFDPNGTTTDTISAAISSNIDDRTMHEIYLWPFADAAHAKVASFMCSYTRINGSY GCQNSKALNGLLKEELGFQGYVMSDWGATHAGVASIEAGQDMDMPGGLGAYGTAFAAD IPGRMPSFFGGNITLAVNNGSLAESRVDDMIVRIMTPFYALGQDKDFPTVDPSSAELN TFSPISTWKIDWNLTGPSSRDVRGDHAKLIRKHGAAGTVLLKNVDGALPLKAPKNIAV FGNDAAEPTKGQLNQQNFEYGTLVAGGGSGTGQLTYVVTPLRAIQDRAKKDNAKIVQY WLNNTLIANTDIDTLLIPDRPEVCIVMLKTWAEEAADRASLHVDWNGDAVVESVASKC NNTIVVTHSSGINVLPWADHENVTAIVAAHFPGEESGNSLVDILYGDVNPSGHLPYTI ALNGTDYNAPPTTAINTTGYYDWQSWFDEKLEIDYRYFDAHNISVRYEFGFGLSYTTF GYASLAAEPLSSNISALPTPQPVQPGGNPDLFAPVYNVSVAVSNTGKVAGHAVPQLYL GLPSSAPAGTPPKQLRGFEKVWLQPNETKTVSFQLMRRDVSYWDIVQQEWVIPSGEFS VMVGESSRIIKAQKGVNVLGSY CC84DRAFT_1241156 MEAKISRKSAVASSPEVEGAARAQIQQLLYQPFSSTLAPDSIMN VVEESTVGFVIGEVELGHVKEAVELPKQWGNSFLHKLRYAWASSYRKTFALIFTVNLA VFVALVATAENGRPKHRDIGTAASANLVAAILFRQENFLNILYEVFARAPHSWPLCIR KRLAKVFHYGGVHSSCGVAAVIWYILYTVEATILLIEDKNAHSDILANMVTSWVLVTM FIVVLVGAHPSFRRRYHDYFEAFHRFAGWTALVNFWVHNVFSAAITARETGNTTGMAL LETPSFWTILISTGCTLLSWSRLRLRKVYPEKLSNHATRLHFRYAGMPCFYGVKLSDR PLLEWHAFATIPDVDEATGTTTGFSVVVSNAGDWTNKQIMDSGERKLWIRGNPLHGLL YTSRLFKKIVLVATGSGIGPCLSLMHANITPTRIYWSTRDPQKNYGDAVVHAVRKADP RAVIWNTSTQGRGIMIQSVYELVKESDAEAVFIISNPKVTDLVVFGMQSRGVPAYGAI FDS CC84DRAFT_1204337 MAPKRTYNRHNMSIVKPDTDALIPSDPDNSCYEANKRRKPTPPS PANTMHHSDVMSSGGRPKSVNFKNAPSKPNHSNTKSFSGPTSLSNGEMDPFESVIKLA QETKAPQKQRSMSLYRGGHKAINSISAQLKSRRREGKKGDVAHILISDDSSSEGGIQL SESIELSPDDMDTTRMDSSSAMKATMRGGSESAAEASARIFGGREYTAPQTAPPRRTF CGARIKGNSVQAQAWSANQSSSPLLRLPASVRRSVFEYALGGNSIEFGFVTFLVDKTA DGTKKYTPYFQYTSNVYPARPCEVQRNPFHGTPIRLHSNPDTTGMTLLNGVCRQLYLE TYKLPYALNDFYFNSGNALFNFIVNDDRLQPQQLKSIKSIVVLHKLPVPSVLEKLPNL QQVRLMAPNLAIDIGFYKVVRDGKMPELVKFIPTSKNATGKLNRPGMRGGGGDDKKSD PKKAYLGAYGGKYGGSRSERGYGRDDTSWGYDSGKYKNKWSWGHP CC84DRAFT_1162943 MAQNFELPMQSPRQLPTQQPATMPPAAIDDYSRPVTPDNTAGPN GAATPRTPKGMGLSLTEYTANPSPPSEDQKSKAQSAIPEAFLLPNGYPDYLRLILTSR VYEVVTETPLTHATNLSNRLECSVLLKREDLQPVFSFKLRGAYNKMAHLDPKARWKGV VACSAGNHAQGVAYSARHLRIPATIVMPSGTPDIKHKNVSRLGGSVILHGADFDAAKA EAARLEKLHGLISIPPFDDPYVIAGQGTIGMELLRQTNLQDLEAVFCCVGGGGLIAGI GIYIKRIAPHVKIIGVETYDANAMVESLRKGSRVVLNEVGLFADGAAVKTVGEETFRI CQEVVDEVVQVTTDETCAAIKDMFEDTRSIVEPAGALALAGLKKWVKRNPSPNANRGL VAIASGANMNFDRLRFVAERAALGENKEALISVTIPEQPGAFAKLVSIVHPLGVTAFN YRYSSAQAANILIGVEMKAHTRSTDLPDLLARLAAEGMTAIDLSQDELAKSHIRYLVG GRSDAADEHMFMFEFPERGGALYKFLNTMQPGMNISLFHYRNYGGDVARILAGIQCKK EEYAELEKFLRDIGYPYKDVTQSESYKQFLRS CC84DRAFT_1257895 MPDELSALLAPLLAPTLQRLLAPIKLSPLSRLPTSRLLTTALPN ISSIELVSSSAFETDYAPLYTSLFHGGERERPNLIVERLRQDAQGKRAGSHRKVLGAA QFSVLLLDATEDAEDVVAVPYLQYIYVRSENRRQDLSELLHTLVLAVSLASSPNPTKA KVPFTLFETEPPTHGDDTAARKTALERTSIHARSGSKALMLRKPPVSSDGLPVYVSAH VQPGLEPDDPPLTLIWVIRPNPVHQGEALDVNKLGPVVLAAVYRSFRDEAFPEANIAL AEEIAEKRRVMSEYCVMGLAEVTRGMYVGID CC84DRAFT_1162946 MAPDGSATTGATQTLTSLARTRHSTRAYKPDPVPRPLLEECFEI AQHVSSKSNLQPWRVTVVEGAALQRLEKSLLAAVEANEAPQIAPIPVKYSKYRSAMGR SIYGPDGYNIPRDAREQLETARQRNYTFFDAPIGLIVAMDSALAKVDVLSVGLYLQML LLLLQERGVSNCIEVSVAGYPRQIREELGIPEDMDILCGVAVGYADQTKPGARIPGER DDWWSNVNFVGN CC84DRAFT_609086 MRTTSLLLPLAFAFSATALNLNFGPDTVCGDNSVDCNNGFCCSS GNKCDTSGTITTCGTDNTPALPYGVGNLASAVESQAKSAASGLASAINDIPLSSLAGE LGSFATAFPTSLAQAFSSMLADGNVPTDAAGLSSFMEMVPSAARPAASSAFNEFNSIL GGELASKTGSTGSPQNTEGAAVHGASTFGSTVVAVGMIWGAAAVGGAMLVL CC84DRAFT_1257898 MVGRQNSSKYRLHGFGTAGASFRLKPTVSESLLLTDTLRGEAIK RVLATVAQRVSTIVQAHEPTDFVPVDLNSGFADPDPRYENWTPPADQVPVAGNGKDSR FIEENMWATKPMRPKRATLGAYATDWEDRSDVTKPKPKLGGTGTWGDFGVKEAAASPP WDDRSVDNGYVEDSPVPRTMEGMNQVLQQRVRAARAKSTAAYANRSPSAVAKDVSPLA ATQDVQAASCGTNTAPNDTVSRSSYHKLIPPHKRKLMAGQTIPAVSPSPEPAKLSQAQ NPDLAAQTSPMKAAATIEDAEDALVLFQKRLEDQQKQKEKEKTTVSGNLVAANVAQAD QNKNDREMVIINGFHRSVNAALSVKTVQARPPPGNRFVVATNRDGRSASSTTLVNDVE TDDEALARKLQATEFGMQDISNAVNMIEQEFNQYKLQHKTTLSKQDFKGEDLYKKLHK VQEIIWKQDMMKLHQFAESKDSLYQMDEVEFQRYYKKVVSAHLAQRDARRGLVEHVVK MQSDRDANNSYTVDELLAMEAGILRAVFNKIYSAHRQDASALNMSLDSECRFFLTEDD LDDIEEAGEQEFDSDGFSLSSDEDDDDDVIFFKGHRRG CC84DRAFT_1185814 MSHTRLESGASTVPTTPETESKPQGPFDHIEHELNEINGYEYTA YNFSIGKKWWVLTVVALCQTSMNFNAAIYSSAVKPLNEHYGITRARDGMAGFLITYAF GCELWAPWSEEFGRWIIMQLSLGLINIWQPLAACSTSWNMVLASRVLGGFSTAGGSVT LGMVADMFDPEEQQYAVLWASFWSCLGSVIGGICGGPVEQYLSWRWNFYVQLTFGVIV QLVHFFTVPETRSTVMLDRKAKNMRKQSHVNVYGPNGGKSLRERLSWKEIKKTMWRPY HMLLFEPIVLFLSLLSGFSDALIFSFLESYGIVFGQWNFDAVQFSLAMFALLIGYCVA YFSFFPVIRHHNRMRKNGDPTLTPESRLWWLLFLAPLLPIGLFGSAFVVTGPPLPWIA PLIFAVLIGTANMAIYYATIDYMVAAYGGKYAASATGGNGFSRDLLAGLCAFYTGPMY KSLGTQNSTFLLFGVSFLVCIPVYIFYWKGPQVRGRSKWAEKVKVEQEKMARVRDEIK ARRQQLA CC84DRAFT_609188 MGAAPMVDPANVVQALVNTLLDVFDATRDLYQTLKSKQRRDYEH NLRSRGYRRSLDHVDDGPDGEEEIIMDKAAVLRTFDVGLDEVGTQFAVGDVISQAGLQ SQIIVLQSAIITTFLYGPTSPEPISHHLKTLLAASREAGTTAVDILSAQQQRQLAVLS PTPRAGRSRAGSMKAPPYPMTATSSVSTALVRSRQEPPDEYSRTVHPAKTTILSRPPV PRTDTESTAFSGPTSYGTETSLHSNFCLYALDLQRHASQPLASTISSDPSPYCPYCKR SLHLSPGRSWEIFKDDGARERCFHVQNRFVVKCHREGVDGGYSCVVCATSASHETVCG DVNALVKHVWQDHTIGELKAEQDIVELVEKTPERRRDSVMAPPNHSRRSFSLGPSRSG RRRVEREVETLEIRAPRRERDG CC84DRAFT_1162951 MAPSLVLSPAASTIADMSTTKASHVTVEAALSAAKTRFVERNTT SLALHNEAIKSLPGGNTRSLLHTAPFPVFLKKGEGYRVTSEDGHTYTDFVGEMTAALY GHSHPVLASALISTIQDIGLNLGGTTSLEARHAALLCARFRLSRVRFTNSGTEATLHA LAGARAFTGRRKVVVFGGGYHGGCFSFPEDAPAENCVDKEDWVVATFNDPADAKAKIE GSGDVAAVLVEGMQGRGPCIVGSHAFLHQVQASARAVGAVFILDEVQTSRLSGGGLAE LEGLEPDITTLGKWVGGGVAFGAFGGREDIMRVYDPRDGNALSHSGTFNNNTLAMVAG YTGLSQIYTPAVAQDFNTMGDKLRSALQELSQGTKMTVTGLGTIMGIHFLEDGRKDLK SYKDRNEDVELRELFWFEMMEEGFWITQRGSIALVLETPWQELERFVRCVGAFLERYG ALVRI CC84DRAFT_1162953 MAADARGTKIHVTSHNIAFLVFGRRIYSEYLDFQKSIALSHDSC VYRSEKDWIAARDTVQLVPLGHLPHIRAYKAFMYWLHETGKLYRVHESSATSLTIAKR CGHALHPAVASAEIESTACPMCTIRHATTALSMAWSTWESLGAPDRRPPFDEGTPSAE LYYMVKYIWRFEKKRWLSLVRHHEELEKSVAAWEEKETRNAHKSSMYTIDELREAKSV GEALKFARANDPHHAEDAELTFVPHPPLNRRTPFRPYRTESTAQENFLGPLDEQSVTS QLFRTSEPPSPPQSPPHSKLAHTQSASSRRDSSSSYPSLVLSPLRSPIQVKKAVKFAV DVVEHEARNGFAFKRTSVSYSPGRHASPSKVGWADTSFSTQRNFRYDDESTDLELELQ RLEAFFRRSREDTDSTLDDDVVGDNESDTDSENGSDTDTDPDVEEDDFEDNLAEAIMQ SFNVDMDSADGIGDMTEAKLEAGRSNSVAPSGLNVQMLDSLRGLSDAELKSLLSTSGL SHIPRTAGQVPI CC84DRAFT_1142510 MSASAVQEKRQLGDSSIQPHSNASSEGWHDEEKGQDDTRQNSVA LDSHDAHDLETIETRSLAGSQLPPPPDGGLHAWLKVFGGFLVYINIWGFTLAYGTFQS YYTFTLLPDQSPSSISWIGTVQGWLLIVVGVLSGPLFDLGYFRSMLYIGNFLIVFGIM MLSLCKTYWQVFLAQGICMGLGAGLLYIPSLALVGIWFEKKRAVALGIVMSGIAVGGV IYIIMFDKLIHRAGFPWTIRAIGFVALACALLSFPALLSGSSILARPRKRRALFDASA VKDKLFLLFTACTFCNFLGYIVPYFYIPTFAQEKLGSSSSVSLYMLIGALAGSFFGRI GSGVMAHYVGSIVTWGLCALSSGILALCWISIETEASFIAFSVFWGFLSAALVTLPSA AFANICPDMSRLGTRLGMSWSVSSIATLIGSPIAGALLKTKANGETNFLGPQLWSGIC LLVGSACLVVLWAVTKKTMNTGWRI CC84DRAFT_1241191 MLRFSILAPLLASALLLAQVTGQTTFKNPVIYEDFPDNDITVGP DNAFYFSASNFHYSPGAPILKSFDLVNWQLIGHSIPRLDFGGGYDLPASGERAYRGGT WASSLRYRKSNKTWYWIGCTNFWNTWVYTAPSVTGPWTRAANIGPGGTCYYDNGLLFD DDDTPYVVYTKDATVNVAQLAADGLSQVKSVNVVNASMVGADSIEGNRLYKINGTYYI LNDKPGSTTYIWKSKSPWGPYTSKILVDSIKSPLNGGGSPHQGSLVQTPKGDWYYMSF TWAFPSGRLPVLAPIKWGSDGFPVLVNGANGGWGDSYPMPLNVHAMPNWTTTYTFQGT SLPPTFEWNHNPDTTKYTVNNSLTLSTASITTDIYSARNTLTHRVHGEYPVGTVQIDF TNMADGDRVALAAFRDRSSYIGIHRDGSTYTLTTKFNMTLDEWGGSTLDNGTVVATTK LPTSSSKKIWLRTNMDVRPDGSNNATFSYSTDGKSFVLLGGTYKLYTGWAFFLGYRYG ILNWATKALGGSVKVLSFTVA CC84DRAFT_1195102 MSANYDSDDALPNSPGLAPFRPRVTPSPSPPPIVSCYPTHQNVS HSSSRRRKKSNRRKTIPSQGDSVLISIMDPNQPDIARQAGERALNSDSGSENEDEEME TRSPTEVASVERANQSKTDGASLDLSRVAQRALNVDSDHTFAKPYAPIHRDSVVEPEH YDPLRKTSIASHATDTSGNGSLLSVSDAFARTRTYSVVSVNSSSSRGDERNGSIANGR TDSGSSGKTADLHELAIPSRSGSPSQKLPALQTPQSPPQEGVAHSPNTQKQSLPGFRH LSDLAETAIQEQETRDRNNSIAHRQSISSTGASPTSVGRQLSITSLSPNSNYGPHSAT SPAGDPQSHSHPSFQTRDPFLRSGQHLTLFASSTRRPSQASDSGPYSASTLHSATTND SYQSSEGVSPGAQATPIEIRGGRNLSIDGALANRTLPPPPGVGAGMPGGGIGIAHAGS VNGGFKCDYPGCAAAPFQTQYLLNSHANVHSQSRPHYCPVPGCPRAEGGKGFKRKNEM IRHGLVHASPGYVCPFCPDREHKYPRPDNLQRHVRVHHVDKDKDDPQLREVLAQRPEG GSRGRRRRVSDV CC84DRAFT_609281 MSGRAIGGAYVNATVGFHGSGSTNERMGISGGGGVLDEDENKTG AVFRSVAEGGVRAGLRRRERVSLAARVEEGKWRCRRCACSRRTGTAARRAARRGGGAV RGGGSSISGIPSKDQRRQCSLTGLFRASQHSASCLIRVCSTSPTRTPRGLALVWGRGG PSPMGRVWTLERLDPPSRPATDFLP CC84DRAFT_1162956 MATVTEQVGEVLLGTTDAPQLSAIMRHTFFKHAKKDEKDEYVLE EKDFIDAVAPESEDYHKIKRYQYGILFYVADRDQKGKVTLQDWAVFQNLLAKPDAEYE VIFRFFDRDRSGYIDFDEFYETWKAHKGEDSLPFNWSGDWATLYIGSKNKRHIMTYPQ FAQMLRGLQGERVRQAFVHFDRNKDGFIEPEEFQRIIRETAAHKLSDYLLDNLPTLCN IATGSKISYANVRAFQNIIQQMDMVELIIRNATIKSADGKITRTDFLNEASRISRFNL YTPMEADILFHFAGLDEPSGRLGLRDFARVLDPSWHTAYTLGADAISDAGQKAFAGSK SFLHDVLESVHHFALGSLAGAFGAFMVYPIDLVKTRMQNQRSSGVGQVLYKNSLDCAK KVIRNEGFKGLYSGVLPQLVGVAPEKAIKLTVNDLVRGKFTEKSTGNIWWGWELIAGG SAGACQVVFTNPLEIVKIRLQVQGELAKSADVPRRSAMWIVRNLGLVGLYKGASACLL RDVPFSAIYFPAYSHLKRDFFGESPQKSLGVLQMLTAGAIAGMPAAYLTTPCDVIKTR LQVEARKGEATYTGLRHAASTIYQQEGFKAFFKGGPARIMRSSPQFGFTLAGYELLQR TFPLPGSQTSEGPSGHVEPGVGLAEAKAPLPYLRSRNALKVILDLDENFGRPRLPQGS KFTGIPGFGGKTQES CC84DRAFT_1162957 MASIAAACRLSARAASQQLRSHGSRRAFHKGQASLAAQNFNMPA LSPTMTEGNIAKWKIKEGESFVAGDVLLEIETDKAQMDVEAQDDGVLAKILIGDGSKA VQVGARIAVTAEPGDDVSSLELPAEDSKPSKPEASKEEARSASAPAPKKDASAPAPKS GSLGTSKASVGKAQKQTYPLYPSVQHLLKENGLPNEEADKIPASGPNGRLLKGDVLAY LGKISESAGSEVAARLKSLSHLDLSNIKVMAQSPKAAPEKAAAAPEPEVIEEVDVEIA LPISLKAVTEVQQRVQKSIGVFLPLSTFIARAAELANEDLPRSQVAKPSADDLFNAVL GLDKAAAKYSRGNFIPEVTALPPTTLSPRAPAKKPDVLDFLAGKKAAAPKAAVGGAAQ VVGPLNVFSVSVPKGDERRGRVFLERVKSVLEAEPGRLVV CC84DRAFT_1204350 MAACARGVTSFLHPQTFRLPSTVRATLLNTRLQATRAHHPTRNF SASIIHRYAAPPRTSTWAYMQHDPVLRSVHQTREPVLLYKEPPRQKYLARVYSWATIT TGIGLYNFYWVSALPPGLSFFVAPTYVVIGIAFSAIGIHLYQRPVRRLATLELVPGYR GGRLQLRLTGKKEPWSKDEVIDTDIFNATISEKTRPMLEEMVEAERARRQNITEGLEG YNIFAKTWEIMARWVEQKWTSFFLRFKFAVLQFGIIHIEVDGVKWKIDCEGYLLEHGA AVDRILPVD CC84DRAFT_1257910 MSSRTKRKLEHFDPNASDPEDEDWDASARPSPQRRKKRHTSGAP RKKGSKRQRRGYTGSDVDDDDAIVSDDSFTERSSSEEVEINPNTGRSVRRATKKQIKY EESEEDEIQDTPSESDSPAPRRRNKAHQSIEHPSLVVKLQIGEHAARELDSKMSSGRS LRTRHGSKSINNARGETPNPLGTRRSSRLSHDVEEPIVALSDSGKHVNIVRQGTRSPE PIPTTRATRGAKAPRASAIMEASQEDSMVHRDGSEGPLDDLLVENTETQVQASHQGSP EQDAQADKDDAEGEDDDDDMQVGVIQESQHDPLAAEDSEEDGPVTRGGARNLRSATKP KRGADESSDFEPAPEEEKEHEEMSEDEQPQGRRSTSEDSASGSGRRSGRLRKRRTRSR RQSNSSDEGSPDPDEIAEEIHELGGNKRRRLNRNLPDSDLAYDKPQRRNRARNQVDYR IVRPELNAAFDDEEGGAPAADKTRSRGGGGGAKYRSLFSNQGPFGGGLEAGAMGGADS DSSDEEVQKMPRPSLGGAIGMTPTTAAPPGFGFPQTHNADPQQGGAGGGPANLGKVKD KKALADADPLGVDPNVTFDEVGGLGDHINKLKEMVQLPLLYPEIFKEKNMTPPRGVLF HGPPGTGKTLLARALSSTLSGSGQKVSFYMRKGADALSKWVGEAERQLRLLFEEARKN QPSIIFFDEIDGLAPVRSSKQEQIHASIVATLLALMDGMDGRGQVIVIGATNRPDSVD PALRRPGRFDREFYFPLPDAAGRRAIIDIHTKGWKPELKPEIKDQLAELTKGYGGADL RALCTEAALNAVQGTYPQIYTSEKKLLIDPKSIKVLAKDFMISVNKIVPSSQRTATSK AAPLPKSVEPLLRKPLKELQERLDQLIPRRKKLTALEEAQYDDRDEEKGFEKEMLMRS FTNNRMFRPRLLIKGLEGMGQRYLSAALLSKIEGLHVQSFDLPTIYEDSTRPPEQAII QLFNEAKRHKPSVIYIPAVDVWYESLPEAALRMFHMMLRDIQANEPVLLLGVLEQENE NDRLNPRMMKDLFPFTSRNVYDLKRPEKDARREFFTEVSDYIRMSPTDFPDPDNRKKR ILPELPHAPVVEPVLDPREIAAREKAQRKQDRMTLNKLKISIQPIMDQLKKSYRSFFA PVLDESWYSYLLTETEPNHISTDLPPDQQQQQEQTRLWAFSKDKKGVDVLLHIESGNK YYNLDLKNIEQRLSNGYYKRPRDFLFDIRTLAKDSSTFEKAERASGINANQNQQRTVK ANEMVTNVEVDIEGIIQSNPALAAECEAVYLREVEREKKAKEKRDRDLAAGKEVALIP PVPPQQSATTAEASGPIVLGEPLPPFNAAPPVTPMRPYLPAAPLTNGTSGEQNGSTVP SRTDEDTHMTDSQDLDAHTQHHEFQTPGGMGTQTQKSQMSARTFIAPHSNPHDYHNSA STTTSGKKTSDRSSGARFSTQFNTQSTNGTGPAGAPDFSEMAPQPGGSQLPDTQEFPS EDASSQAPPSQASSHSHHDMPAPAVPRGPSAITAILNDRDEEPHPQLLLDEQQLANLH DLLVASSSGCSLEQLEQINASLMETIWEDRREWNRNKVVHHVGEAFNTIIRDIEEMQK LQRQSQEEAEEEYRRESGRGVGGGSGGMYYTGLTQVGTQEPTQYWDSARGRGSAAQGG AGGAQTQASQYS CC84DRAFT_1089086 MEYAFSPETWAVIKFGYEALSYVWGTAQNPSRAYVSCSNASSQG VISIGQNLDVALRHLRYETEPRRLWIDALCIDQTNLNERSSQVSIMDKVYSIATRTVA WLGPNRNS CC84DRAFT_1162960 MFASSLLFCTKLPTHNPATATSAPYRAHHQLATSCARLCLRQWS LVTMSQHSAATPRQPRAAASRMSKKLSY CC84DRAFT_1142524 MPRAILEPLDADSATLDAIPSHIIPWLRAVVVLSFLSFFSAVTL LSLLTYRLIRWQRKAKRTNQFVILIFNLLIADIQQSLAFLLNVEWLRVGSVVVGTPIC YTQGWLVSTGDLSSGVWCFAIGLHTFASVVFDYRLSKRAFFTCIFGLWAFIYGISLVG VGMYGKSLYVRSGVWCWIHHDLQDLRLWLHYFWIFVFEFGNVFIYAIIYTILIIRIRT GAYTDEQARRVKEISLLMVVYPIVYVICTIPLASARMSAMGKHPPSYARLCLAACMIT SNGWLDVLLYTLTRRIMIFSDEPPSDDNGIDNFVAFWTEKPRRFGGTWAISGGSTEHP AGPHRRNRTFGSLSLSLDNDSENDLVGAGSRDIKLITTTQVYSEPAAPEDYEEIEEMA RQMRPRTPVRRWSEESGRVKEIELAHIPSTKSLRTLRSLQSKRSQLSEQSKDDSMK CC84DRAFT_1162962 MSLLNSVLGSISPSRFRDSFEDPRAPDHELPLYRSPSSSRSPSQ APRIPPSITATVLWSVPSTHFKPAARVPDDLLALQRRARHLEQQLQDLLDAQADGLMS GLAGKDSNIPDDLVSNGSTTPTVSSVRSSSRSGDSDETSHSLPRKKKVGLTAARKGIY KRIQQLASVKAEELNFLDQDLHDLDGIIGQTDTWSKKRARLEQKIRDIEQGQSSAART QALHTEASNLEREIRQKENELAALKARHRSVLSELESGENAVEAKLSSYKASLAILDK NVASFLARPPDTSHVSLSPSSYLSLPPRRRTLDMAHEYWTEEHTRLFDKCEEVDIERA ALDEGAILWNDVVKRITSFETALEDMMQPSSRAPAPSKMIAKMDATIKYLEEKVDFVK SRDWNLLFLAIGAELEAFKQGKDMLENAFDVKGRKGKERAVESLVDTDADADGTFTSR ADGEDEISRSAIRIPKSPPRPAASPPKPQPSFFDTDNEDPDPELMISQQDTDTD CC84DRAFT_1116309 MADAPAPARGGFGSRGGDRGGDRGRGRGRGRGRRGGKTEEKEWQ PVTKLGRLVKAGKIKSMEEIYLHSLAIKEYQIVDFFLPKLKDEVMKIKPVQKQTRAGQ RTRFKAIVVIGDSEGHIGLGIKTSKEVATAIRAAIIIAKLSVVPIRRGYWGTNLGEPH SLPTKESGKCGSVTVRLIPAPRGTGLVASPAVKRLLQLAGVQDIYTASSGSTKTLENT LKATFVAVANSYGFLTPNLWKETKLPRGPLEEYSDVLREGKRY CC84DRAFT_1204355 MLHRLAAATPVQDGVAVEQQDTEGHTAGLQFHRIGALPLLVFGY LGPIIRRKCPEGFVLTEWTRQRYGAVAGLFLSVCTLITIFLYMVSELSAMQQIVTALT GLDGLPAIIVECAVTTIYTSLGGFKVSFITDNIQGAMVVGLIILGVITVGVETHIQPA LIRESGFLNSSLLGWQLLYILPVAILTNDFFLSGFWMRTFAARTDKDLWIGTSLATVA VLIILTLVGVSGLLAAWSGAWPLGDAEDGYLAFFLLLGQLPAWVVGIILVMTVSLSTA AFDSFQSAIISTGSNDLFRNRLNIWVIRLLVVILIVPVVVVALKSPDILQIFLISDLV SAAVVPVLVIGLSERCYWWRGFDFVVGSLGGIFTVFLFGLVYYDGDAGRASKLLILEG GLYSGDWSAFGAFVAAPFGGLLWGFGACGLRLATLWVFAKVRGHRFDALDRPIPRVLH TEAEDEREAERVLERPSDKALYGKFF CC84DRAFT_1162966 MQSLPESRQQSFEELYGPPENFLEIEVRNPMTHGVGRGMYTTYE IVMRTNIPAFKLKSSTVRRRYSEFEAFRDILERESARVTIPPLPGKVLTNRFSDDVIE HRREGLQRFLQIVVGHPLLQTGSKVLAAFVQDPNWDRHAW CC84DRAFT_1162968 MSGAMEKIKAKVENVLHKDKTHDDPTGPHSSHSANKADPRVDSD HIGSTGNHGTAAHGTHGTTGLTGSNTHSSGLTGNTTHGSSGLTGNTYGSDPTGPHDSH LANKADPRVDSDRYGAAGNTTGAHSSGLTGTGHSGSGLTGGNTHSSGLTGSNTHSSGL TGSNTHSSGLTGNTHSSGLTGNTHSSGLTGNTHSSGLTGSNTHSHGTTGSGLTGSNYG SDPTGPHDSKMANKLDPRVDSTVPGPHGNSGGARHGAYGSDPTGPHDSHLGNKADPRV DSSTTGGYGNTHSTGGYGAGSNTHSSGLTGSNTHSSGLTGNNHSSGLTGSNTHSSGLT GSGHGVGGLSSGPGPAENTAGPHKSDMLNKVDPRVDSDLDGSKTYMGNKTSGI CC84DRAFT_1185829 MSEKLKEVVKEEIEQAKLLAVDAARSAAYLYPLKGIAFFFTHRA LWRPLANKLIPTISLGLGVTVFMFAVTYVPQAAVLAVFNGPLAIVSTILLVLSEASTV FNVLSKNFLIDDALIDTFDGTLLARDQQALVSRERQMKGGSDYMARLGKITKKPFERF TPKAIIRYFLYLPLNLIPVVGTVLFVILQGRKFGPTAHARYFQLKQMSKHQKEQFIES RKAAYTSFGIPAVLLELVPVAGIFFSFTNTVGAALWAADIEQKKSPGETTASGLRSQA EAASRKEL CC84DRAFT_1089656 SFTYDHRTLRIGLPVRSALHKQCTGGSVVRWVTTGESPLLYVFA NVSHHFLCCSSSPGAAARFGKGRVGFHVVALAARMCACSFSLFVPPLWFILSQSTIHS GLGRATFDVRIDGPETRLGITCIVRKSCRAQGQPRRSPRAHGGFHGYLYRPSEVCCTY GSGLAKRLTVPVLRLVTESCGLYDSGCNWLARMTLATSIAPPGRQLNWEM CC84DRAFT_1116324 MDKKHEDVGGVAQIDKTSVFQEARVFSQSPISPRKCRVILTKLA LLLFTGESWGRQEATNLFFGISKLFSNKDAALRQMVYLVLKELAGNADDVIMVTASIM KDTSVGSDVVYRPNAIRALCRVIDASTVQAIERLVKTCIVDKNPSVSSAALVSSYHLL PVAKDVVRRWQSEAQEAASGPKSGGFLGGFGGSSHNTLQASTNYMTQYHAIGLLYQMR SGDRMSLVKMVQQYSAAGVVKSPAATVLLVRLAAKLAEEDPNLRKPMVQLLDGWLRHK SEMVNFEAAKAICDLRGVTDAELVQAVHVLQLFLTSPRAVTKFAALRILSTMASFKPD AVRSCNQDIESLITNSNRSIATFAITTLLKTGNESSVDRLMKQITGFMAEITDEFKVT IVEAVRTLALKFKSKQAGFLAFLSGILRDEGGYEFKRSVVEAIMDLIRFVPEAKEDAL ATLCEFIEDCEFTKLAVRILFVLGREGPSTPHPTKYIRYIYNRVVLENAIVRAAATSA LAKFGVGQKDPEIKKSVHVLLSRCLDDVDDEVRDRAALNLRLMDQDDDLAISFVRNDS MYSLPTLEHQLTMYVSSDSKDTFTDAFDITKIPTVSREQADAADLSKKTEGSTPILKA PSAAKAPPKTGADAAASATAATQKYAEELQKIPELAAHGGLLKSSAVVELTESETEYV VTAVKHIFKDHVVLQYDVKNTLPDTVLLDVEMVVAAEDDDSGLEEDFIIPAPKLPTNE PGTIYVSFKRLETESQFIATSFTNTLKFVSKEIDPSTGEPEEGDGYPDEYQVEDLDLN GADYVVPAYAGSFDNVWEQSNSDSATETLQLSNMKSIADATEQLIKALSLQPLEGTDV PLQQSTHTLKLYGKTITGGKVAAMVRMAFSAKTGVTMKIEARSEEEGVAALVVGSVA CC84DRAFT_609794 MAASVPRSASLLSIPRASRSIRPASRGARAFATTSDPPSQSSKP ARRPTRFADKLNTGPSFNDFVNPNAPLPPSEAYEIKTATVGPSGRKKTITRLPEWLKT PIPVNDNYKRLKNDLRGLNLHTVCEEAKCPNISDCWGGSDKSAATATIMLMGDTCTRG CRFCSVKTSKAPAPLDVHEPENTAEALRRWGLGYVVLTAVDRDDLADGGARHWAESVM KIKQKSPQMLVETLTGDFAGDLDMVRLVVRSGMDVFAHNIETVEELTPFVRDRKAKFR QSLDVLRTAKEVRPDLITKTSIMLGLGETDAQVEHALTELRKNGVDVVTFGQYMRPTK RHMAVTEYITPDKFEMWRQRALDHGFLYCASGPLVRSSYKAGEAFIENVLKKRAAKRN EMVGAQAVEDSSKSV CC84DRAFT_609825 MHSPNRGLLSLLFLVSSFYDYASAQVDLGTAAPYGVIAATAITN TGATVVDGLLGIFPNDETSITGFPPGLSGGINAANAAANIARNDAATAYGVAASLAST GSTGPDLGGQVLTAGVYTAASSVGLTGTLVLNGQNDPNAVFVFQIGSTLTTATAASVI LINGAQACNVFWQVGSSATLGTATVFAGNILALTSITVNAGVTVDGGLYALNGAVTLI NDRITAQTNCVVVPPSSSTVSPSSPVVSSSDAATSGTGIVTDAPTDAPTDTLIPSPSI SPLPSLPPSLTPSLSPSPNLSPSPPPISSSSTFPVISPPPSLAPSLTSSPTITLASSS TTTLTVSSTPDISTTTLATSTTLSTPSLKTPSLTTTSFTLSQTSTQTGTTFSTSTSTY KYPYPKPYPWYPPQPKPEPWISELVAPWGGKPAPKYEQPAYEKPGHGGGHGGGGQGAG NAGGQGGGHAGGGGWGGGGHGGGQGGGHGGGGGHAGGRGGGGHGE CC84DRAFT_1162974 MPKVLNPILPGFNADPSILRVGSDYYIATSTFEWFPGVQIHHSK DLANWTLVTRPLSRKSQLDMRGNPDSCGVWAPCLTHDGSKFYLVYTDVKRKDGSFKDT HNYIVTAEKIEGPWSDPFYVNSSGFDPSLFHDEDGKKWFMNMLQDHRRRPRSFAGIRL QEWSEKEGKLIGPWKTIFLGTELDLVEGPHLYKRNGWYYLLTAEGGTGYEHAATLARS KDIWGPYEVHPKNPILSSTSAPLAPLQRAGHADIVDSEDGKTYLVHLTGRPITQNRRC VLGRECAIQEAYWADDDWLYVKNGPVPSLSVELPAARDDTAYWAEQHYTFSATDGLHK DFQWLRTPEPERIFAVQDGALELTGREAIGSWFEQALVARRQTHFSYDAETVVDFAPL DERQFAGLTAYYCRFNFFYLTVTAHADGKRELLILTSEASWPEGRLKFPIAEPVSIPQ EGKVRLALSIRGKDLQFSYSLDGAKELTKIGPVFDASILSDECGGHQAHGSFTGAFVG VAASDLDGLETKARFDSFTYRPVKHESDRYEVRGW CC84DRAFT_609849 MGCALWVEGWRGVLGAGGVRIGWHSGAGLYMAFADQRSKAYAQA VGVRPSLGWHSVPCSGFCVALH CC84DRAFT_1162975 MSESKSSASPMATLTTFLALYLTTLFSLDTWTAARNSPYRAPSA TSFYRPAGAQSEYQAGMHGQGRRGPAGRGSGGGRQGRLPATLDTRAPLRAGSTAQCGA CAM CC84DRAFT_1162976 MGRISLDDGGMGNESARAKKAKQEVKKEPVEYVNGKGWVDEDGN VVEAETGKVKNRRALELVDNVMEEHEAREVEAARAEREARENGTWEEKPEMKGKKRKH AVVVHQETKSQPGVYDVPDGGDDRPSAKKSKRHSHGVQEQVDAQIEAEQSPAKSRRNE STPSKPASSNHHHPAAQPASSLRFQSAQGELHTEKPSATFKPRTARPWTVTMALPGSI LNNVARHDTKTLLAGRIARAAAVWCVDEIVVYDDDPTTIPEKVSGYYRSKKKTKAEIM DSISEADIPYQNPDRFLVGLLEYADCPPHIRSSLFPMCEPYKHVGLLPPLDTPSHTKP AEWIRFREGVALPSQRRANSTDEWTHINCGLPFPVKVPYAVPQGMRLTVEFKDAQPPS WPHLSEYECESLAVDAVAPETPREKEGYYWGYKVRYAPSFSAIFSESEYPDGYTLAIG TSERGVPLSAVLPEAIAPWNRPASNEKKLPDSCEHLLVVFGGVAGLEPVVANDPVLGE LGKESAHEAFDYWVNLVQGQGSRTIRTEEAIEIGLAGLKAYVDYQYETHA CC84DRAFT_1215668 MMDFGFGIIVKAYAVMLCGSASRVWSLAMEVFWKAICPFLMVAL LVVPVYLVDIRLAMSLMATSDQSDDSWNPYAMTEKPNTTQGIVIAVIILPYIFRVAWI IPLSFCLWSHYWVAFELGNPVRRSHSST CC84DRAFT_1162977 MVQIFAAQVFFICLRECLETSIIVSVLLSFLKQTLTSENDKPVY RKLVRQIWLGVLLGVAICIVFGAAIIGTFYHLQSDIFSQYEYIWEGVFSLIASAIITV MGAALLRISKMRDKWRVKIAAALDANSAGESSPRGLRFLGEKYAMFLLPFVTVLREGL EGVLFIIGAGLGLPATAFPLAVACGLGVGALIGYLLYRGGNAGSLQMFLVASTCFLYL IAAGLLSKSVWFFENYAWNVAIGGDAAETGAGPGSYDIRQSVWHVNCCSPQLDGGGWW GVFNSIFGWQNSATYGSVISYNLYCIGVGVAFLRMYISEKKAVKAA CC84DRAFT_1116341 MLNVLWLLLGFLHSAACTKVTHREFNVTWLNRNPDGLHERPVMG INGQWPIPALQVTKGERVVIKVSNHLGNETTSLHWHGLYMNGTAYMDGPPGVAQCEIP PGDSFVYDFKIDQPGTYWFHSHTRGQYPDGLRAPLIVHDPENPYKEDFDEEVLLSFSD WYHDLMRPLLASFISVTNPTGAEPVPKSALINDGQNVTVAVQPGKTYLIRMVNMAAFA SMYVWFQGCSMRVVEVDGIYTEAAEAEMLYLTAGQRYSVILTVESDGVTNIPFVASMD KELFDEIPDDLNANATGWLLLDSSKELPAPIKMDVFEALDDMSLRPLDSLAALREVDR TITLDMKMDNLGDGANYAFFNNSTYVEPNVPTLYTVMSSGEYSSNPIVYGSHTNSFVL HGNETVEIILNNNDDGKHPFHLHGHAFQVIARSEEDAGSYSDDADAASAPAVPMRRDT VLVEPNGYAVLRFRSDNPGVWLFHCHLEWHVASGLIATFIEAPIELQKTAVIPPDHYN MCTRNKPPVPTSGNAAGNVDDLLDLNGEPAPPPPLPAGFTIKGIIALVISILNGLIMV AMIAWYGMLQSPATEAAAGDASDSPEGENQPLLAGPGSRQ CC84DRAFT_1047752 GLGVAITPKPYDDFEQSPYAGLPSSSIDAAWHYLLEPTTIRVTP GELNRSNQTSVPLPGGGNLDHCLDILRSAALCHGDTTLTTFGWTNKSKPQLNTRPINH KCVDWKQLVVSVEDRVVQREEMEAMVNPNLQ CC84DRAFT_1088765 FRYNRSFSYPPSNHTNHAWREIFPEEGGFFVHPVIAPTRSTFSV FHQLHCLNALRGGYWASHHAAVQGHKLVDEDLPVDIQESHIRHCIDLVRQSLMCQADT TLEVVDEKINGVHGFRTMHQCRDWEQLKTWTSEQQRKQHVEQIV CC84DRAFT_609901 MMHSSYSVASVVCCAIFTFLAVVAVLLRQYAQSLKKQPMTADAW FLLAGLIIIVAHGGLIIYGAVDGGLGWPIQDLKGSKVFTFRKVIWASQVLWVVSITLV RLGLLFFYKRVFPTPKFKIADNILIAFTTCWGLTSAIGTLAAYRVYQFEQPINYPVWL LVNSILNFAQDVATLCLPLPVIKNLHISRTRKFLVAGIFGLGFFSIIASIVRTVYFRR LMDLTPLQHTFSSTTVYCTIWSIIEPCNSLIAACLPALAPLLRKEHRGLPTVIRSMFS MVSLRSGSNRSGGSRRLAGESATDLTQGAETTTRKPNKLHTVESQVCSDEYEMGRPQ CC84DRAFT_1241238 MASRARQLVEILSQSISDIEDFLKTQDAPDLSIEQDVPLSFHAN PKFASTKDAALLACKELSTLLGGSFAAITNQTTSEFANTQAICRFKIPSSFPPDRDHA TYDELAANSGLPEPEVRRLVRSTLPSYIFLEKETGIVTRTAASKLLAYNPLMVQWVEM TATEIMPATLKIADAMEKWPQSGEPHQTGYNLANNTEDSAFTHMAKFPGRPEQFAQAM SLFSMGPGYSPKWLLENYPWDSLETGTVVDVGGSKGEYGIAIARKYPQIKVVIQDLPD VIEKAQSSAPADLGDRVEFMAHDFLTDQPIKDADVYLMRWILHDWSDAYSIRILRALI PALRKGARIVLHEYIVPEPGKTLTLQDRTLRIFDTAVRALTNGKEREANDWKALFAEA DSRFQILSIEKPPVSSLGIIVAQWEG CC84DRAFT_1241254 MSKPQPKAESLAATISQNTAEISQYLAAQGLPELSFAPGALPVL DLPPHLQKARAELLDATAELHDLVTGPLSYLVGLVSPTHNILASIKFINQYQVASKIQ ADEEVSYEELAQRCNVQVDAIRRLLRLAIAFHIFRETSNGSVSHSAVSRCMLEMPLVN DWIGHFCDEVWPASPHTVDALMRWPNSEEPNETAFSLSQSSNPTLFGFLQGTPAKAER FTNAMKFLQAAPETGVSHLLKDLQWDTEPVPKRLVDIGGADGAITTAILRHFPTMTAV VQDLPNVIESNKVPHDLEGRLKLMSHDMFSPQPLLDADVYLLRSVLHDWSDKYCIRIL RSIIPALKSGSKVILNEVCMPAPKVLSASQERLLRGYDLTMKQLFNSKERDAKEWESL FAAADTRFHIRRITCSPGSVLSVIEAVWTEGSTVTFEESL CC84DRAFT_1087918 MWGDAPRRYAELHRKYGPIVQTGPYHVSISESRWIPVVYDSKHQ FRKGKFYDVFRPLYKGKPLDTVFTTSDPALNKHLKHTLLVNLLNKPQLFSKEIDYSVD VFIEQTKAAKGDSIDFSSWTFYWAFDMTYALVFGDHFGYMASRKDFNGMVSSFKTIVR SAAVLGQVPQWCPATLANKTFMGFCRSFRSFPDPTIQLLEEIERKIHNHDTQSHSCGN SLLCRVLSDGKHKLEENAYDEAVNVLFEAFLAAAGEVAVSLTTIIYCLLKDQRVYTKL AKIVRSNTDADHTYVSVRLITRIRNAVIKEALRVHTSNSPPIERVVPAGGLNVDGYFV KEGTVLGIPQYLAHRDQAVFGADAEHFRPERWLEADAATVKSMDQNFMTFGKGCRGCV GRELAMMEMRAFVFKVLGELDVEWASSTRPTTANYWMMEYFNFHVRFTDASSMRGRS CC84DRAFT_1116347 MSGSRKPRPVSFTNEGGRIGAQIGQNNVNGDFIVQYDKDPLKQL PYAVEAPFNSYTKRHEPTCLPDTRVDLLHRIHSWVDGQDERTIFWLNGFAGTGKSTVA RTVAEKQSQRGCLGASFFFSRGGGDVGHAGKFVASLACQLASNIPTLHQHICDAIRGY SDIASRSLREQWHQLVLRPLSKLDSPSCEKCYVLVVDALDECADEADIRIILHLLSEV RSLQRVRLRVFLTSRPEIPIRHGFCQIPDEHQYFILQNVSPSIIDHDITVFLKHNLKA IRQERSLAADWPGEDVVRKLVKIACGLFIWAATACRFIREGKRFAATRLNTILKRSGD TLTEPEKHLDEIYTTVLSQSVSSEYSDEEKEESFNMLRQILGSLVVLISPLSAHSLHK LLHVAKDDINQTLEDLHSVVDIPKDHMQPLRLHHPSFRDYLLSRDRCKDLSFWVDEMQ AHRILVDSCLRLLSTYLKQDICELNAPGMLAAKVKSSRIEQFISPELQYACRYWVEHL QKSRAHLRDEDEIHVFLKEHLLHWLEVLGWMGKVSQGIHAVMVLESTAAKHECPRLSQ YAQDARRFVLSSRQTIEQAPLQIYSSALVFAPTMSIIREQFRDCIPRWVQRLPRVQNN WSAVMQTLEGHSDSVSVIAFSRDGKLLASASCETVRVWDTATGALMKTFQCNSVHIRV IAFSPDGKLLASAQEDMAVKLWNTDTGAVLQTLKDHSSYVDAIAFSPDSKLLASASDD KTVKLWDTWSGALLQTLEGHSGSVMAVTFSLDGKLLASVDGETIKLWNTGTETVLQRH YNWGLVHTMAFSADGKLLIGKLDRKEVVLWDTSTGVLLQRLIGHSNSVSDVVVSPDGA LLASASNDRTIKLWDAGTGAVLQTLEGHSRLVNAVAFSPDGRLLASASDDKTVKLWDI GMRAVWQTFEGHSHAVNTLAFSPDGKLLASASYDQTVKLWDVGTGVVLQTLADHSGSF TQSNIELRFALCVHIVVFSPNGRLLAIASNDSIIRLRDASSGVVLKTLEGHTGHIDTV AFSPNGKLLVSVSWKQTVLWDVATGAALQTREGYSGSFEAVAFSPDGKLLALPSIENT VKMWDVGTGALLRTLKGHSDSVRAIAFSPDGRLLASASWDRTIMLWGSAFGVVLQMFE VDAIIESLSFSYDGRFLETEVGLLPTLPASLAVGGIISLRNLPRGIFVKEQWVTRGTE SLLWLPPEYRPSCTAFHRNVVALGLPAGRVVFMEFAR CC84DRAFT_1162983 MEPVGLTVGVVSLASLFNNAVDCFEYVQLGRNIGKDFQTSMLKL DNARLRLSRWGKAVSLSGDIAEVQSLESTALSAEDVPVAESLLGKILDLFADAEEVSV KFKSRAVATDSSLIVHDAQTDLDLVHRTLHEKMRDLSIRRQNKTPLRHKVKWALYEKK HFQRLIEDIIELVNALVETFPAVRQAQRDLCSVEASEIGTNGSLSALESVIAGQDEDL QAAISAILKSNGVTNTWNNRNSKIGEQVGTKNVYGNQNITV CC84DRAFT_1162985 MAPSHIKDDWMSIEPEQGIPQLRELDERAESQFKHQRLEKGKYF RLLRLHSNPVQGDLIHCRLQHFELESAPSYRAVSYVWGNPGPNQVIYIGDPPYQEMEV GENLYTLLLCVRAESDCWLWVDALCIDQSSKSERNHQIPLMGLIYSNANTVLGWLGRG NHNIEQAFEFISRAAQYGVSNYCQSQHDSDEPVQFSNPFIITDMPGWQHDWRCFVHFA KLEYWTRRWIIQELVLAKSVVLQAGWQKLSMDDVEKFFKDLKENPYKNLARGRKWEKL MQELLPESHTSKQQLECSSCSYSTDKFPRLPLACLARHRLENNFKNEFTPKLRELMHR YDEFKCKEPSDTVYALYNLLGEHRQFLEVDYTQTPASRCISVLEFLRDHEGMTGPQTI GYANFLYNRLCRGVEQQSMHSAELKKLGSESSFTTILFDLGPAKKKKDTRRILRLRER TPPLRAMPKRLIQRNHSRWTAQPCADQPKEDAIPGADIVNPDDLVCFSVNCYGLISGL STCLIKDGDRIWHPKTMNMAFVMRPKPSLDGPLFEIAGRAAIYPGTDCTGSRSELDIE EENAASFWSESRQEIEPRVQEVLLKPTELLDLAFWADSTGPERNPSNG CC84DRAFT_1204369 MRSQLSLLAITAATVSAIPQSQISKRDNINDENGNIKITFSDET VKIGTVKIDEIIAGLSKACSTQGQCDTSTIDFQGQLIAAGRGSSVDDITVHVEPSGAY PTWIHNGLIDGLAAAVKAVAECEDITNTPTCPNPAVYCPAEPITVNECTVPQYWGINY QDKDAANAAPPFIGSDLTIEVDNGGFCGKLLTSMSAVAGAVHGVAGGIFTLLSLACKD CC84DRAFT_1215681 MARKTATSRKAATAATGSGSSRRPISTRHRNSLAPAVPANPGPP ANRAGLRSRKKLGTIAVGQPVTKGNRVEKEAKTKEPKPQPVKKECIICITTRQVSNCA GRGFKAIEGACDHFQNTCNVCIGKMIKEKIVKRDLDEAVLVCAFPDCEHVLDYNAIMT MIFKAMRESWDNALLKHHFSTSDNYVACLYAKCGQYFSIDDCDNKSSIVKSKRGRGRT VTCPYCEEDMCLDCMRPSHGTNSCDEAKLAEENQSLELIKGISKPCPKCGANIQKNGG CNHMKCRHCKHDFCFSCLVGFVPNMQHAEGCSERHPNIMQDPRNWLPDNVDPGNWMDA MMGGPPALQEPEVQNLPPAIRHHINPPPNQVGAPQQPVPNGPFGFGLLQMMRHFAHNN PQVNGNANRGQDQDGN CC84DRAFT_1174586 MVSAHPKSENLDDLTKAQVTQHGVQSKGVEIGTTASLESDEVTE VSGNLQDQKVVAIANRLDFIDIPYKERHWKVTHYGEAIIPSPIAVLPKPLALSYRNFY KYYEEHEFMKQEKLLVSYPRVIVGCTLVSALLRHYFPAQEYAIREVFPGTITGAEFAP QPVVDGVPVEGTRHWIVQSNSDSAIVVLVVIVTSQEFPNIPARTHPTQLAVAASFLDS RHRLAVQGDRMSRGVVVLLCSAGTPIKPMFEFYSFDSDHQEKGLMAPIALQMQDHRSP ATNSISLNPEHADQIDRIFKAIVRASYVQEAWHQFLSTSLTPMASLPLLQLPPTSTPV VPTSALPSKRKLRHDPKPRPAHKSKRSKMIEKLSSLSQTPTPIASANLSLIPAPTAPS SPTITPVIAGALGDSTHHSIDVRNDKTRKMEHHEISEVYVRTIKQNKAGNLIEENGKM ISNGKSKAIRMVAKSMEHTFRPPNHS CC84DRAFT_1215683 MASDSACIERIKANAVRDKINLSVLPFLEDALVDISALATNSKS QLPTFTKATRIDTHTHPVPSWFRSLQPLSAGRETPSWDPVSHLQFMDQHSIARSIICV STPQANAFPDDKAKTVALARLLNEFSAELVRLYPDRFSWMAVTPLPYVQDAVSEVRYA LEELGAVGVGVLTNHGGVYPGEESFDPLWEYLQKRAGEGDGREIVFIHPHDPVIRLED GRLVKSKPSPLRSGLGEFYFETARAISSITANRTIIKFPNLHWRVSHGAGAFPDISDR FLLGFPKDAEEARKIYATRFWYDSAGPVYPRQIKGLLAHDVPISQMVFGTDYPYGIGF WDVNANINGLAKAEFLLAKERNDVFSENTKSLWKGKISFT CC84DRAFT_1142575 MAIGIVCIGAVYIDTILSVPKFPNEDEKLRATSHTRRRGGNCTN TLEVLSQLVEQSEQTFEEARAHLYLLSVLPARQSTDVQFISQSIPDTNIDSGCIFREG FENAASSYIIRSAANNSRTIVSHNSLPEMTTQEFIDGASAIRGQSKVEQHWFHFEGRI PVVTEASVRWLSGNFPTAKISVECEKPERDYMVHVSREADVAFFSRIWAEANSYNDPR TFLGDQIEYMKPGAILVCTWGAAGATCVYNKTGRREDSEWANTTAWKPTTESVEVVDT IGAGDTFIAGFLYALNCQGQWTLQRKLEFANELAGRKVLQSGFGGLAERMSLS CC84DRAFT_1204373 MDLLSVTASIITVLQLSAKVLAYLNDVKDASKDRAKCAVEASNV HSLLTNLRFRLEEARADAPWFTAVRALGIENGPLDQFKQALEALQTKITDGGRLKKAG EALVWKFKKEEIASILDRIERLKTLVEVVFQMDHFKLSQAIKDDTNFVRTHVLAIESG VDNIQHEQADAKHSKLLEWVSPTDYPAQQTDIIRRRQQGTGQWFLDAPEFATWLSMPK GTLFCPGMPGAGKTMVAAIAIEYLLKSVQSSSVGVAYVYCNYKAQEEQDASSMLAAIV KQLVQGRPSIAEPVARLHKQHADQGTKPCLEETFGALRDVKYSTVYVVIDALDECRDG DGTRSRLLARLKDLQVGQDVRIMATARFIPEIEAEFQTAMKLEIQASDEDVRRYVAGQ THRLPRCIQRDPALQATIQDKLVEAVDGMFLLARLHTESLLDKRTTKEVKSTLDRFSK GSAALNDAYDEALQRIDGQLDGDKELAKKVLSWVTYARRPLTTAELCCALAVERDGTE LDPENVPDIEDLLSVCAGLIVVDQESAIVRIVHYTTQEYFERIRDTWCPNAPLHIASA CLTYLSFDRFKTGSCSSDEEFEERLRESKFLDYAAKHWGEHVAEVQSDACTLTCSFLS NSCLVSSATQAFLVPTYKHRHYSQEYPQDSTGAHLAVRFGLSLILEAMLLPEGHERKL ELAKKDSQDQTLLYIAAANGHGLTAELLIDKGAEVNAQGGYYGNALQAALVGTHESTV RILVERGAHVGLDVQLKGAMHHVLNNASCTPSLVRLLQQYGAPLDTTDVNNMSPLHYC VKFGHKTMARQLIDAGVPIDLRVHRQSWPSKVSESSSSKEKLSLPASGSVVTGLTPLH FAALTGNLTMTEFLLEHGADPNALSDYGETPLHLTLRTKLCGTKYQDDWTDLYLRVES LWDLLEFEEDNVDAVLAEISVTREGVFNALLSDPRTSLAVTDHKGESPLHCIRYGKPE SATLIRKLVFRGADQFNSNLSQQSPLHFASKSGDNASVKALLLLGAKVASTDEHGLNA LHYAAQSGNHETIITILETDEARAVDLIASKDKYGQNVLHHMLSTHSIKRVETVRWLR DQGAHISELDDSGISPLARFIKSSTLRIDIEICRSLLEIKENASFIDCDGRRLGHLCA RTADFGVRILNLLFEHGVDLVKRDRDGRTVLHHAAICGSLTEQSLEFLTKIVGIQADE EDTCGRTALQYATELAAKDRSRRIWDFKRWDRTRDILQKFQTNCMDGSCPSYA CC84DRAFT_1048778 IGPEGTVPVVRQYGRLPDVKAPPQTINSSFAQQIHIGKRAIGDH WYASSAQNVVNHGGSATYSLYKAYTESNADFSLLQSAVIKYNVKNPLYNDPNRVAMQT VEAGWINYPNQVSAPHLFVYYTTNGYTTSANNQGGWNRDVTGWVQVDSSIYPGVSFTP LSTRGGAQYDIKIQWLLYKGNWWLFVLDRWIGYYPASLFGANTDASKSLQVGADAINY YGEIYDSHQSLTKTDMGSGTFPDKGYGQSAYIRNMVYTDSNGQDQKYDGSKGIVVSDT NRYRMSADWSGSGSWGSYMYLGGPGAGGQIG CC84DRAFT_1089911 MKLDPAVVELLRLDAEQTTVSSAGGGGCSSASTFKITSKLSDGT EKRFFMKTGKGGNAEVMFAGEHASLRAIHDTVPSLCPQSYGHGRFSSQSSTSFLVTDF LNLTSRSAPTSSAPSLAAKLAKLHTTPAPVPDGYDKPMFGFPVTTCCGDTPQDNSFKD SWADFFANNRLRFILGRVEKSNSVDKELHTLVEMIASRVVPRLLGDEHLNNGQGVKPV VVHGDLWSGNAGVGTIGSDTGAQDIVYDSSACYAHNEFELGIMKMFGGFGGSFLKEYH DLCPKTEPVAEYEDRVKLYELYHHLNHYAMFGGSYRSGAVNIMRSLVRKYGE CC84DRAFT_610198 MHLYAVRATNLGLVLLPRANVETQVLYRTKQRLKQTYRVFHCLA QCPVTFFPHAHEVVAVLRSSFQARATMAQPLAIFGHFAHLICACISHAHIVLGAPRSK ETLNLHDVVVNRALPPARSLSHYGLQQSLLMSL CC84DRAFT_1116369 MPPLDIEHATQGAKEQDFSNDNSTAKEIHHDEPLNPTPSNAPSS IAPPPAPNGGLKAWSQPLAAHLVIINCWGYISSFGFFQSYYTNAFQVEPSAISWIGSV QILLIYFVGTFSGRALDAGYFRVVVGVGFALQVVGVFATSGATAYWQLFLAQGICKGL GDGLVFCPTVALVATYFTTKRSLAIGVMACGGATGGIIFPLIAQQLLLRVGFGWTVRT MGFVILFDALVFLSIARPRLPPRKVGPIVEWSAFKDPAFSLFCCGMFLVLWAVFFAYF YISSFGRDILHVDPSTSLNLLLVLNAIGVPARILCNLIADKLGPVNTLIPAVLAAGIL IFGWIGIHALPSLYVFCVIYGFFGGGIQSLFPAACASLTTDLKKMGVRTGMCFSFVSV ACLTGPPIAGALIQRDDGGYVYAQIFGGVALIGGTLTLMAAKFVRSRHVMARI CC84DRAFT_1257937 MKLHAPPDRTEDIKKWQIQTYDGAEANVSRAIISTLYDVLINSL KALLQHAKQEEPESPNLGFLERNLTALFFWGRDHGVSQGELDAALQFSHRLRDTVLTL LVSLGDLLSQGLIHLVSAPEQRQAILKTSDVVSLTEDARLLLEEPPDTVEYRGVDLKQ LCISLQNITQGLTVLSSSLEAIAEDEIDDEEVRAWVQLKDRAAHEHFVDLISSRFPSA NQELVQALGQSNWDRYNYVQRLRNSAANEPDQARTDKARSEFHDSGLGSSAPPQSVMG SESCPAQADYAAPIVSSRAESSHKRLPPLPEVARSGTPFECDICSRWVNITRTKDWKR HIFDDICAYTCIFSDCSSARTLFKNRETMTQHLESHHSITSASVPLTCPLCLENVPGG SDLVSLHFSRHMEEIALSVLPHGAESEDGTVSADEDIEEQALHNTPRPDLTDPLIDSA EEVSSTIGYEDSKDKALSASIWGMDIQTSRAELLIRYREGHKRDISGWRKDVTPEERT NTALQLFTAYRILTPDTQDGDILLKSMKIETASFTNSQNKEQYMIAIKQELLAASGNR QSSFSGATSEPDLAPLHRTPLPTTAPETCKHTWNVIKSDTEILVWHCSSCHAGPHLYI YECDGCKAHLCLSCKSKEHISELPKGMQLVRPGLDSNNNSGIVSDVATKGNLRSNVAP GLNLKDVGYTATEPKMESSKVKCICGSSDDDGNTVLCEICDKWQHIKCYYESAANVPD VHECADCKPRPVDALRTAETQPQHRQTKDAEVLNSLETKKIKKGKMSCTTCRRRKQQC GREKPECARCIRTGLKCEGYRVIKQAHPEDESRKLLHEGENVGSSASKGDLRERSSAP TPEAISLIGVGLELGKPTVTNLETGQQPPIMSESESQEWEWLTMSL CC84DRAFT_1204378 MSGIEVAGLVFGIVPVVVEILKSYSVAKDRLKSVAHHAQVVYDV QLRYRVAATNFGNDCQLLLRTIIEDPRELSQMIDDPKHCAWEDPALDERLRQFLGRDC EVFERVVVRIRDVLRDTGARLARLSQDLSAVQNDSRLTLKRLYTAFDISSKENAYRRS LDDLDQWNTKLGRLRAQRCKLQKKRSCHLDGLIRKSVPKRYMDIHTASQKLHDSLRDS WSCTNISHVGHQAKLSLDAEAEQGTARLDMVITCRRKETLEGLERHFTIPAESPIWLQ VRSITTNEPASRNAPKSPSTLTNLSGSLQKPSIISTAVNPISGSSSVVQKVKKTLKRV RFDGSTTHNSPPNSPPHKTTVSSQSKQNSTITFAMMNLRTTASVCCHLSKACQGPPCK DISLGYLEFTEAPQSFRFIFYDAGRNTEANTSKTFPGKMSYPIRTELAKLQTLHQLTL AHKLATAVLQYHTTSWLSQDWSLEDISYFADTAPGTIAKPCATEDKLIKDLQTLHLSA QFPSESALSQLRPGSDPDELSYMYGIRNLTLARLGVALLEIASKEDLASSDLDQSMTV PHRIISARKMLREEPPSSSLSMLGKRYIKMARKCIDCDFSSGEDLKNEALRSAVYTEV ICALEDMITDWKKFMA CC84DRAFT_1215690 MTTSPPTAKVPDSQSYMDFVRQNYYYLRTVSPSLALDLLEELAA SMWLARRINEPMSHRDSTKIILVSFQPERRYFHLWSDSSLRDPRDELRSIYNHLKAMK RFIREVPPSSEGLLILLDLGKNQETFFDRPGRSFGRDEQLLQTATMIGVAEALRYLRF YTRLLKGEMEDWNNKVEGLNCVVDALQRLSHVRGEIHLSEPDIQGAYLLFTNEATLLE ARRSIQMAEKSIEMSEKSIKESERVRILTIIAMIFLPVSLASSIFGMNVKNISSDTTP FYAFFVTAIALFIGALIIWAGSSITVRYNKVHYAKVKALDDSKNNGKWWSDTRNALLI HLPWHLRLRAAMTSWWLQEDAMRTLFSIEGRFHR CC84DRAFT_1241301 MIPSNEELFASFPADVPTAPLVTISLKKIVSDDVHERERLFEAS KSLGFFYLDLRECTDGESLLKGSDKMFDLNEKFYHLPIDEKRKYDFAAKGMYFGYKGI GAEVIDGKGTKDKNEIYNISKDDILSISDPLPAPELINSNRPNLSSYIHANNAVLTTL FTSLATSLQLPDSTFTSLHRIGSPSGCHIRFIRTPPQPANERSLALGEHTDFGSLTIL FNRIGGLQVRLPDTSSWVYVRPMPGCAIINLGDAMVKFSAGILRSNMHRVVAPPGAQE EMVRYSLVYFSRPEYDVKMKRVDGGLVGQVATDAETEGESTRDWLKRRHQGRKVQFFK GAESWEGAMGTEAKPQIAV CC84DRAFT_1257939 MASLEKAAVAEEIENVARGVQQVDDKTANHLREELQSSKQGGYI PSTPEEKKLSRALNRKFDFFILPFCVLIYMFNGLDRSNLGNAQTDGFTTDLHMRPSAI NTATSLFFCTFVPLQPLSVILGKKVGQSTYLGIIGLGWGILTLSHTWVKNEAQLIAVR LLIGIFESGFYPTCVSYLSLFYPRFDLAFRIAIFYGAYAVAGAFGGLIAYGCFQIDGH LHGWQYLFIVEGAATIAIALATPFWLAKAPGQSWFLSASEKEFAERRMVLDSAANLDS RFKLSRRDIKEAIADWKLWAILPFNVLASIAPQGFTIFMPIVIKGLGYSGPTANLMTV PPYVVGAVLLLLFAHSSDHFRERTLHILVGITLVLVGLIMAFSLPLTNPTARYGGIIV LLSGTFIAAPITVAWLSGNTPEPGKRAIVLGINGWGNLGGIIGSELFLAKYGPNYIWP LKVTTGLIAVAFVGYACYYFELKAWNKYKARKIAGMSAEEIEAENTNDVRYADKKWTF VYGV CC84DRAFT_1162994 MLRSLAVSLSVATAAVAAYSFNPLEHLAGIAPYFEPEDPPLDPK PPQGCNVTRATYLVRHAAIYANDFDYEEYIEPFTDKLGNASVDWSKAGPLSFLGTWKT PISDEELEDLTKIGELESYKLGVDVSLRYPSLKRPNKVWTSTAERTELSASSFINGLS RSNETERVSVPESEAEGADSLTPYKGCPKYSSSYGSNQSSEYKEKYTKPIIERFKNLA PGLNFTADDIVGMQELCGYETVIHGSSQFCNLELFTANDWLDFEYMNDIQYFYNAGYA NPISGVLGFPWVNASASLLLQDKADQDIYVSFTHRELPPTVIVALGLFNNSMYSGTNN PNATMPLKTQNYNRVWKSSAILPFLTNIAVEKMACDSYGYDAGDYFRVLVNQSPQQLP SCNDGPGESCSKSAFQSFISSRGEEFGSFTEKCQPEYNNSTDVLTIYDA CC84DRAFT_1162995 MASLAIALRLPLLLFRKPSKGRKPSKASTEDDAPQRLLTYNELP DWYRIEASPFITASYRPPSHSVAKSIQSLAHLHNETANIYTHLIPALVLAFSLPLLQL NISDAYADAPWLDRFMLTLTPIAALFTFSASANFHTLCNHSDAVSLSCLLLDFTGILT LILASFISGIYVGFYNHPFEQRLYWTMITTLTATSALLVLHPSLQGMQYRPHRTTAFV LTVLSGLGPTFHGMYVHGVSRGWHECGVKWWAAEGCWYALGVVFFVSRWPERWAWRNE RTRGMFDIWGGSHGIFHVCVVVGAACHCWGVWEAWRCAV CC84DRAFT_610313 MPAPHGGASRPLCITISSLCGQSSQARLESALHIQAHAVAVCLA ACGRHETIESLSQYRCSSRDRCLKRHV CC84DRAFT_1215695 MIQSFNIDNSGTAKLHLIGPGKGATDLIDIVFVHQIGGDPKSSW QSPDSPSFSWAVHTSKAIPEARVWSFGYPMGNKIILENLAQDLAQQLCSLRIKDREAG VISSTDIPKIVFVAAGIGGVITLLWCKIIRDASKMSIWEGCVGIVFLGCPHGKNQDAY RPWARAHGMLIDRFKDIAPENRGQCLDVDNERIVDDMEDINNEYLEIFPEVPPSLSVI NEDAGLDPLAPQRDNGIFPVVREGTDNFKITRHQVRWYAFADPEDSVLQLILEKIRQW ISSPMKPRESGLRLLSLDGGGIRGLCSLMILDRIMEEVQRVENSDTDGASIRTCLPCD YFELAGGTSTGGLIAIMLFRLRMDTKTAIHEYKTLAPKIFRGSVSVLMKYAFNGFIFD GKTLENEVKEMVKGRISRGEAQALSGDIRDAPLRPTIESLRHLEQGKMFVSAVLRPNN EPCRLRNYWPRDTRPRFGGCTIWEAARATSAAPFYFPPAFISDMEFWDGGVQNNNPID EVDAERRDLWPLRKISCIISIGTGKSSKVKSTGLLALRTFKALLDNLTNTEIRHQAFI EKAKSERIDYFRFNPTTGNEIIGLADYQLMGKLESFTEQYLETPETQQELKKCAELLV KRTYH CC84DRAFT_1174601 MPSHWTAIRRLAYADDAATVRLSDTGASRLLDHLLCSMVQNAEV YFHLCNTPAWIRARLHAWVRPFERLRFVKSKIISRINYWLAGTLLGISIRYGEYEPIW ARNVDMEERLPRISVACAKSAPPRSFRDEHHTT CC84DRAFT_1215696 MPSTMDPTGETQPASRSRRAEQSERQNSNSSSQSTPPSYGYHNT FHTHAAPVLAAPPSYQNANSTKTLTWAYTKHLEEANELWNNTSPPPYDCTVFQSGVMG MKQELTAIFQVSRSREWHDVYVVLEGTQLKLHRIKSPSLLSKNKSPTPGRLIRSFTLQ HSEIGVASDFKKTALTPKSPFAHLVPASARHKLYETDPHLFEPVREHVVRLRLETEQF LLCASSQEEMLDWTEKLCAAIDISQPLEDRSEPRYRSLPRRSRRQRVLDGSRLGENLD NLSSLEAGRRIIAEQEEIIRQLYPHLAAEPGAPQLPELDLPSAPTDADREEFDADDVR FPSQRPRSASLSRIVSNEGDSAPEDRPTTRDMYPDPKDAPVVRPSQSQALRYRRRCAP ALLACSPRVSDVVMCGGERVRINTKENVLVMYTSHPPRYDAHNFPKAKRAPKVPKSVP APILVERPSSPLRGVSDTSVESFGYDLSSTSSDSAAEETRVGAPSEPPSPTALTQAKA DATRQLVSMGKHRRSGDEVREHSMSAMALGVAGLMV CC84DRAFT_610478 MLCWQPSWCSTLTAMLTRGCAHANLPAVAVSASADSGPDTERQR STQAIPGHDYDEKTRDVLPSPPRRRRRRAHSPGLRCSGHAAAPFPRAVPPHRHGSFGR PLHLAPKLLRLKPRFGRRMSRQPSLPKIAVAGFWSAALAIRNPGSISDDLASAVNSPP RTHHHDPPLGCCLPRSIVS CC84DRAFT_610492 MCGSTTCVWGFCCEQPGKACAGLSQCCQNGGCCDLYSEECCGDV CCTKPSTCCGAVCCQSGYVCEGDSRCVASATSQAVTTVRETVVASGEALPMHPPWQGF VGIMSLAIMVLGY CC84DRAFT_610491 MTVSARSWIISFSMIAMRALAAVLLTFSTISQASLSEHGTPTAT AECWTPRKPYAGSVAFPQVPLISLSSGSLGGTLFERQNSETVWKGRIFSVLTFHSGAQ CSSTTGAEYSCPGNATCVSVMFNVWECG CC84DRAFT_1215697 MASEPNTNQHELKPSASVEHIDELQKPHHDPAQLDRFGAATVKS PEEIALVKKLDLRMMPILWLMYFLNFLDRNAIVNGKLNNMHKDLHLVGTQYNTCVSIF FVGYLVGQVPSNMLLTRVKPSHYMAGWMMAWAIVSTLMVLVKGYTGMLVCRLVLGMTE APFYPGALYVISSFYTRKETATRLSVFYTGNLLASSFSGLIAAGIFARLDGKHGLEGW RWLFLIQGVVTVFVAAASFFLLPNAPLQTRWLTQPERELAHSRIVLDTTELKGKVDVW KGLREACSDPRTWLFALMQNMHLSANGFKNFLPTVVQTLGFNTTITLVLTCPPYLISA FVSVAVSWSSGRFNERTWHVTLSKAVAIVGFIVGTATLNVGARYFAMVLFVGATYGVN NIVLAWTAGVLGQTHEKKAVALAIVNTLGNLSFVYTPYLWPDSDAPRFSKAMYASVGF SGGVVLCAWVMRLVLQRDNRKIRASDDEAINFYVY CC84DRAFT_1204387 MKTAEDKPLIAGGSNDDIFTIDELIKRRASELQKSPLLCYPREG LTDHEEHSAEAINKYVDAAVAALQQRGLKPAEADLEQAPSIGILAHSSLAFIITLLGL NRLGYAALLLSPRLASPALHALLQAAECNTILTTSHFHDVLSEVQALQDLTLIETLKH EDYYGVEAPVFHRKHDPHRENPKRAVIIHSSGSTGLPKPIYLTHRSCIAAFATNLDRK ALMTQPLFHSFGFYETFRSIYSGKPMYYVNYNYPLTKQNLLATLGHVKPDLLFCVPYV LKLLADSDDGIRCLADIDLIMYGGSACPDDLGDKLVRHGVNVCANYGATETGRVMTSV RPKGDDAWNYLRILPQVRQYLLMDEIASGIFECVALDGLKSKSTINSDDPPNSFRTRD LFMQHPSNPEFWKYVSRLDDRLTLVNGEKVLPIPIEGRIRQEGLVQEAIVFGDGKIVP GILIIKADAAADMSDDEYLQHIWPSVEDANSRAESFSRIPQDLVVILPAGTKYASTDK STFIRAQVYEQFKQQIADAYEKFENNTGGSIALPLPELEAHLLHRFREHLDVNLDSAE TDFFAFGIDSLQCLKMWSLIKKEIDLGGNQSQVGQNVLYETGNIASLARYLDGLRRGT QEDAQNQEQVMTDLIAKYSSFETFTWPTPSNHVVLLTGVTGGLGAHLLSQLLAHPHIS EIWCPIRAPTDLAALERTLKSLSSRGLSPSQSQIQKIVALPSDLSKADFGLGATRLAE LRTKLTLVIHSAWAVNFNISVQSFENEHIAAVRNFVNLCQSTTHGTPATFYYCSSVSS TGGTPRPGVVHEGPVPDIAHVQKTGYARSKYVAESIILNAAQRGAHARVLRIGQLVGD TAVGAWNTTEGVPLMIQTAQTLGALPTLDEEMSWLPVDYAARIILELSNVTDASSSSL HVEGNPRADLVYHVLNPTRFHWTRDMLPALKAAGLNFETMPVDQWMERLRTSDPDPEK NPPIKLLGWFESKYGRGASKGNKGALVYETEQTGKRSASVGQIPSVVDGEFVRRMVGW LQKKWAA CC84DRAFT_1241313 MTSRFPTPAELASFPKPNYVNPITRQPLIIGVTTAMSVMVIALL ACRIYSRTVLVYAVGWDDWIMLSAGVIAVANNILVTISTSKKYQMGYHIWDIRLEQLL GTLEAGKFGMAIQLLFIVTIGLTKVSILMTYLRIFPTKVNKRFCYTMLTYTIAFSIAC FFLVLFQCTPVRVYWETYKFLLTVKQHCKNVKVIYFFWSAQNTLSDFFIFLWPVKDIA SVRISRRQRITLISMFSCGLIVCVAGSARIYYTHLYLYSYDVLWWGATVFAVMSIETC LGIVCGCLPGCKPLMSRLFPQVFGTPSNRSNSGPARYPRQVKEILSSNDSRTLQGTEA SFQLQSLNSGGKGMVIPPDAKRFEWSGREEREVEVTIPRRPGQAMFRSEKQNSAWRTP CDREFHGNGNDSNGSQEFIILQRQSRTSMQSIYGSGAGQEKRVDY CC84DRAFT_1087739 METVTYIRSVAVIGAGPAGTAAVKYLKAENCFDRIAAFEQRDEV GGVWNFTGNVSQQYQSDLTIPRTKPAESVERPVSVRTPSGLMTHLFPSPIYDALETNI PHTLMGFTDKPFPKDCPLFPPFGTVKQYLEEYADDIRTHIRLASQVEQVRISTSDDAH KPQWNLSYTDLSSGRKCEECFDAVVCASGHYSDPYIPNIPGISEFDAAHPGVISHSKY YRNPRPYSDKKVIIVGNSASGIDISRQICAFTAHVIVSEKEKSTTLHSDSGSIMYRPE IAEFIAENRTLRFVNGEEEIEVDHIIFCTGYQYSFPFLRDLHQPVATTGERTSHVYQH IFYYPRPTLAFLTLPQRIVPFPIAEAQAAYIARVFSGRLTLPTFAEMKAWERGVLQTK DSKAFHNLGYPEDVDYINTLYHISMSARVCETRGAGKTPPFWDEEKRWTRQQFPMIKQ AALKLGENRCDVRCMRDLGFDFEAWKREEHLKQKVGP CC84DRAFT_1142606 MSESKSPRKTDTTVDERAVGLSPAKLPLSKRILGIVWDSLDKTP EERAFIAKIDFWILTYVCIAYFVKYLDQTNISNAYVSGMKEDLHLTGNDLNYLTTYWT IGYILGQIPSQLILLKIRPSIWLPTLELIWGFLVMGMAGAKNVRSLYALRFFIGLLEA SAYPGIMTLLGNWYTPQELGKRACIFQASSSAAQMFSGYLQAGLLRMDGKHGIAAWQW LFIFDGIIGVPIALYGFFAIPDQPTSSKARWLPPAQKQMAIDRMEACRRRPVKRLTRR KLRDIFTSWPLYFFCAIFIAHVLGIRIYSYFNVWLKSTKKWSTSDINLIPTAGYGAQI FFTLSYAWTSDAIGMRWPVIIAACVPALIGCIVLSVWPEHNIPAMMAGWILTFLETGA GALIITWINEICSESAEHRIVIIGVVEAIAFTFQAWVPLFIYDTGKAPHFPIGYQMAA MFFAIEVVLTLCVWQIHKRYPVKSEKEDEAE CC84DRAFT_1241327 MVTESEARDLYASTLHFDGLNICNFSREIFEAWRAGGIDGVSCT CGLWEGFRASIANVVQWKKWFEDHSDLIMQVHTVADIRKAKELGKTAVLLSWQNTAGI EDQLDYLRVFRDLGVRKMQLTYNTQNYSGAGYTEINDSGLTGFGREVVSEMAKLGIVC DLSHVGPKTTRDVIEFAPEGKPPCFSHVLPGGMKEHNRNKSDELIKLLGSKGGFVGLS QFGPHMKKGNDSTIDDYVEALEYVIGLIGEDLVGVGSDSSEGHSRPSEFMAWCNKDKG YARQLTPWGSQKVVKPLGKLEDRAELGLAMARRGWTEERMKKVLGENWLRYLAQILGS CC84DRAFT_1185856 MGCAVAAQNMSLCDKYTTALLMDNNATNQYTLLTLLVNTVVIGN YTQPNKNAVPGILAKGTYEGEEVNLLPYFDGTLKSSNRGGSSGVAINFLDGGGAAPLM ENKPANNETSNQYFLLTHLYQFFGTLLACSGSGSMGFPAYAGHDMASAHAFMNLDPTE LGYFIQQVGLAATSFGVTEDDVTAVATALNKLFGYRCSPPTTVIPEQGATLNSICQND KCPLDPMATCAAYPNNGTAMEPQPASMSNGTMPSSTGMSPSATSTMGGPAFTGAAVSE RAGLAAMVGGLALAMAL CC84DRAFT_1142610 MASPPTETEKKLDILHNDPSRDPENADDAASLSIGKGDILSQEH LDPVLNAKMHLINDAIDEIGFTTYQWKLFVLNGFGYAVDSLILLIQSIIAGQAALEFH PGWGNGLTVAAYVGMLAGASFWGISADIIGRKFAFNVSLMICSIFAIVAGSSPNWIVL GLFVCLSSFGGGGNLVLDTAVFLEYLPSRKQWMLTLMACWWGVGQLIAGFFAWAFIPN FSCEDPSVNPDAEPCTYANNKGWRYVWFASGALVFIMSILRITVMRLRETPKFLIGEG RDAEAVETLLFIANKYNRPCSLTLDKMTACGETTLRKGSKWGAGEIGIHLKGLFSTRR IGTSTSLIWLSWILIGLAYPLYNVFLPQYLNSRGAQFGQKSAYITWRNYTLVNFSGIW GPVLAGWMCSRPWLGRKYTMVVGALVTCVFFFAYTQVRSETQNTAFTCVVNFCLNIYY GTLYAYTPEVLPSAHRGTGNGLAVSGNRVMGILSAVIATYADTGTAVPIYICAALYVV MAGIAVLFPFEPYGRRSS CC84DRAFT_1116395 MKAAPLLVSWHNDNTPIYSAHFEPHAKGRLATAGGDNNVRLWKV DANGDERKVSYLATLAKHSQAVNVVRWSPKGEILASASDDGVVILWVPSENPPMKQLG DDGPEDKEAWRVKHMCRSMGSEIYDLAWSPDGAFFITGSMDNVARIYNAHTGAVIRQI AEHSHYVQGVAWDPLNEFVATQSSDRSVHIYSLKNKNGEFTLDPHSKVTKMDLPARRI SSNSPAPPDFGGRASFVAHGSALAVSPAPSAPGTPQSLALPMNPPPTSHSRRSSFGSS PSMRRSASPAPSMPLPAVMPNSPSIGSLATGNHPALGGVRNAPIYANETLTSFFRRLT FAPDGSLLFTPAGQYKTVLPSLTDATKTTEDISNTVYIYTRGGLNKPPVAYLPGHKKP SVAVRCSPIYYTLRQTHPPTKQITIDTSSADDEIPALPEPAMPSKAPTSNASMDPPPL TSAPSPSPSVAAASPRPAEGDVGASGVPPPGPISAFTLPYRMVYAVATQDAVHVYDTQ QQKPLCIVSNLHFATFTDLTWSNDGSTLLMSSSDGFCSSLTFATGELGERYTGPTAKE SRHSHTPSIDTAASRTSNNTTPTQTPTTSNMPASSVATAAPMTRQPSAGFPASPSSFV PARPGSPTRSNSVSSIATASSFAPGAGDMGVMNAPTPSMSAVPSLAAANSGPVGVPMF TPPLTPAHGHGTHSASSSVSGIPGVAGGHRRESESEREDNVSPRKKRDLQAVPEVEEI RETKKRRIAPTPVTLPSVETNDSAVVSTTENTPTTQTSAQQ CC84DRAFT_610618 MPSEDDGSRFTMELELLEAMYPDQIKYDPKSRDLKFTGDGGALL QLRVPDEYPESASLDVLGARDARKNDLREHVRATIKDLGLIDGEEALDAVIASFQSVV DATSAASHAKDNMDETSDTSKISAPDKTVIIWLHHLLALGKRKLALSPTSISGITKPG YPGIMLFSGPTAAVTDHVNTLKAEHWQAFQVRYEEAELWTFEHGKGIREVETMADVVK GLQEENRRDEFLKAVGIK CC84DRAFT_1163003 MSTKPLILLTGATGHIGFRTLVVALRANYAVRVAIRKPDQEAKI RNASSIQPYLSSLSFALVPNMAAPSAFSSAIKGATYVIHVASPIPMKPDVQVLLGEGK TFREVMYDPAVKGTLEVLRAAAGEQGVRRVVITASGNILACTVGVPDCKPTDIRPCPT DEEADAMTVPGVAYKTSKILAVSAAQKFMEEEDRGFDMVITCPGYVQGAHELAGSVEE LRTSTSQATVDIATGQELGMPPLAPPLYNQIWVEDVARAHVEALANANVKADDVLVLA GNGPHSTSWEEVGKMVAEMFGKEVEEGVLKPKMDQKGFSMPFDGSGTEEKLGFQFAPA EVWVKETVAQYLQLRGTETA CC84DRAFT_1163004 MSKATTYSSTNVLHAWRFRLRVLRTWGYRIRRRPIIFHVSFLLF LPTYPVSSYDKSTPYLWSCSGFAHRTAGSVGVRLSIRYWHSVLSVVYACACLR CC84DRAFT_1204392 MLSRPRTTLPSRPIPIRGSSSRSLRSILSGRPTPESYLESYMPT SCTSNHLLRSLLLSKLDGIKYPAAHAASMSSLESDHNTDDEWSEEGDERDCLQRDIHV WKVSCPIVGHGKMHIVSRQFGSVQQVYGCCGKGPLVRRVRRLACRVNVCVYYVITKGD GRKDMIGEEELQGEWEDKEMQCGTNCDEDENGDWEVLKQEEERSEEQRTETSSPPCST KETGLLDPPVDTTPTITTMAHSYRYARGKRIDSLAGEGYWQGGLGEAAWNDFALMVKD GAPLASGVSFAVSRIDVRGSDNFLSEFDKSP CC84DRAFT_1215708 MAAVPNKPALHPLTSDDRGSLIIIIAYSWIFITVLAAGIRFGLA WSNRLHLKKDDGTFALGVVLAVASSVCFHIASNNGLGKKMAKVPLDDLDVYYKATYAG EILGLAAQYWAKASFLQLCERVAPRKQKHYNIVFGMVTFWGVFSILAIALQCGLPDPW VFNPEDCPTKGMMYYPVIIMNIITDLILGTWILPTLWKLLMDQDRRILVVMLFGSRII VACVAAAQLNSVARHILDPDVTYQSFGRVLWALCVTHLSVLQSTIPRTKRFIAALNMR THATVRLTAFELQLPTDPTTLTIPGKGLDTFDSSEATTSRPDEAHTRSRSPTRKRSDS DRRSSSHSPTRSMQSERPLVPLQLTPSAELYFKTEIVAQPDPAAKDAGRTSKKYDNKD WKKYIRRSRNEDDMALSSMFSWKSRTSTRIVQTKEVTQEVELVHQPKESRWNFRRQSE VSKRNSASGVGQVDGQGQGQS CC84DRAFT_610652 MNKDRDLELTARAGEVDDIAAQEAIDDERAKQQNAHNGGTLERY INLVSTVNFSFVLQCSWEAAAVTFQFALSNGGPASIVYGSILAGFGTTLVVVSLAEMA SMDPTVGAQYRWSATFAPKWPRFFGLMQGWITVFAWICSCTSNPALISNIITGLVIFN NPDYVPKQWHTTLLMWAITSLPFFANFYFRKLLNPFEAIGALLHVIFFIVSIITLVVL APRSSPDYVFKTLTHDSGWEQPVVAFGIGLLTVAYPLTGFDGVMHMSDEVKKTRIRVP RSMIFSVVLNGIMQFAFMTTVLFTIGDPAVVAADPLPIIQVYYQATGSKPATNLFVIV ICIIIFVSFFNVFASVSRLIWAFSRDNGLPFSKTFAKVHPTLKMPLNALILLGACLCI LAIINVGSTTAFNAFISLPALGGYISYFIPILFIFIRRLSTKHPTPIPWGPFRLGRVG PFINFAAMVYILFVLCWLPLPSFRPVDRETMNYAGPIAGFVILFAIGDWCVSGRKRFK VPVLRRAEFE CC84DRAFT_1116408 MRLINVVTARLESFTSKVPRYAILSHTWGPDGDEVTFQEMLVEP EHRPPRTTSKRGYEKILKTCEIAKNYHKRSVEEGRIEHVWIDTCCIDKSSSAELSEAI NSMYNYYREADVCFAYLSDMTTDPETFEKSRWFTRGWTLQELIAPKQLDFYDGIWAYR GNRVSFQETITRITHISTFKNVLDLPVAVRMSWASKRETTRSEDLAYCLMGLFDVNMP LLYGEGNKAFVRLQEEIIKQNPDLSIFAWTDPFPSMFSGLLAKSPEWFSESHDLEAAA SINTVYREFYVTNQGIRFQFPLKFHYPSGCLVLPLGHQSQSKGNRVGVFLRQTGNDFC VRASPDALADEDACRRAGEAIIQVSKVLSPKDVHDVRHNGIIFPEAYDRLRSKGLQMG VVAPYGCWDPNTRMVHAGHKGAFECLMIFEMDEPCRAWNKRMGPSWNSFGVIFKYEER RESDRRWLYKVVDTDNATFFEKGPRYILDYFRHHTDFVEGLKDDLTVPGGMYVEGQME MQQLSIRLVPWDHSMGAQISNSRRLGLEFQWRAAREHFEWTASQERSCYC CC84DRAFT_610766 MVTWDSQTAEMVLHPRGIDGIDHRPWAIVMTRRELRLGEEIRHD LADPAIDDEQKKKLREFLAEPENQIYNRNLRMLLQEAWKAELEATNPLDHIYALLNLA SDREELGIEVDYTLSPVELYRKAVRAIYTKGDLALLAYCKWDGVQTDLPSWVPHFTGQ QGWIPIRELCGRGWHGTSVFDASKGKQAVIAFGECNPKILKINGIAVDTLTFLDVRRA DVSVNDVTPANRRHIVHWLRQTFDYLSDIECEAYPNAKARKEAVWKTPILNHFNRGYL GQGDNHAGPEAEKGYNALLRDDMETQEPALVRLADIYFSHMMRASNRTRPFRTSKGYI GLGSTRAEEGDIVVVLLGCEVPFLLRKWGEYHYRLIGEVYVHGIMFGELFAHEPQIDT FHLC CC84DRAFT_610768 MERATDIPPLPDSPTKPPESATMSIVADRPVPSPSDELSPTSRE ARPTDPPTSPAPRPTSSRPEATSEPAEPSRSNPPPPPLPAPSSSAEPSATRSAEPIST QMTSTASPTTSGAPPTITLFEPTPTTFITSLTISYSPSASATSAAPTIPAISTSGLST SARLGIGIGIGAVVGFALLMWLLHWFNGRHQTRQKNKKRIQESLEHHARINALPSQMS QPDSVVLNRRGSAMFGIHPTRFGDVEQESVQHLQRYQPYSRESGYRGW CC84DRAFT_1142628 MSPSQKRRRRGFEYSLLQFNSFRLLELISGHSLYADIHCKLRDY QLDSAPPYEALSYTWGDDSKSACRISLDGLPFHIRPNLRDALRRLRQPRGTRIIWIDA ICIDQNSADEKSVQVPLMGKIYHRAERVIAWLGEETFDSGMALDFIPYLTEVAIFDTE SI CC84DRAFT_1163008 MHLLKINDDGNFSLTTFVGSNVPSYAILSHTWKADDQELTFQDL ISGTGRNKDGYRKIQFCGDQAKKDRLQYFWVDTCCIDKSSSSELSESINSMFQWYQNA EVCYVYLADLPPDSDLSRLQLCRWFTRGWTLQELVAPKVVKFYDYEWNAIGTKVELVD IISSSTSIPSHLLLGHSALSDSSIATRMSWAAQRQTTRLEDLAYCLLGIFEVNMPLIY GEGMRAFRRLQEEIIKRNNDLTIFAWELPQESRAQFVGLFAPSPSAFAASSGIIPFSD DSMNFSVTNRGLFFTGGTFIRVATVPTRGDRVETLYFIYSGLKLHMSVPTESGTFRRD VGIYLRKIGPKLFCREGLLPLAGLKTKIEQIEMYEVAEKYILLDPTPAITNSSLSFRN LAVHLPLHDAFQLREAIPETLWDHTDRVFLRPKRYHWIKHPIVLAMSFSVRLINATVP LVLLCDYREAVPILKVFNPNTYLQESKIIFQQKYREEGITWEDLEIQTPGIHALHDST TMRIGTCLFVVSALLEKRTIQHLSHNVNVWSLFLTIIKVEAR CC84DRAFT_1116420 MAISKSAALAVFWVLSQFVRGQNVTDNLKYVNQLIGSANGGNVF PGATLPYGMAKAVADVDSESNQGGFTTEGGNVTGFSTMHDSGTGGSPSLGTFAFFPYA SCAGGDINGCAFPKKARKIHYKPESVKASPGYFHIELQSGVAAEMTTAHHTSLFRFKF PSTGDSSPLLLLDLTDLSDSRQDNATIQVDETTGRMSGSSRFNPSFGTGTYVAYFCAD FKGASIRENGIFVNSRASANVKDLKINRSINGYPLPGGGFIRFSENPSDGVLARIAVS YISSEQACSNAEAEIPDFDFGATHTAAESAWREKLSPITVSSEGVDSSLVTNFYSGIY RTMVNPQNYTGENPKWDSGEPYFDSFYCLWDSFRSQLPFLTINDPKALTQMVRSLIDT YQHEGWLPDCRMSLCKGYTQGGSNADVVLTDAFIKGLTDGIDWDVGYEAVVKDAEVEP FFWSVEGRGGLDSWKSLGYVPTQDFDYKGFGTITRSVSRTLEYAYNDFCIAQMANKLG KTDDLEKYKESSGNWESLFSKNQTSFFRNGSDTGFVGFFQGRYQNGTWHNQDPLWCST IDNYSGKSCSLQNTGQETFESSLWEYGFYVPGDQAALIDLYGGRDGFVKRLDYLHDQN ITYIGNEPSFLTVFQYHYAGRPALSAKRSHFYIPRYFSPQNDGLPGNDDSGAMGSFVA MSMMGLFPNPGQNVYLITPPYFPSVSIKSPLNGKTATIRNVNFDPTYETIYIQSATLD GEPYTKNWIDHSFFTDGKELVLTLGRNESSWGTQIEDLPPSLSEYSGGNGTTNGTSGK TLGRREITMQMLKARAGLGSVDVVGLGA CC84DRAFT_610784 MARCNASPVSVARVLLPQCAVTWIHRPMSGRVATGSAFTMNALL ESGSLSRTVCKLCRQRKTRCNRHLPKCDSCLKAGVNCQYVKDKSRPGLRAGYVSALEE RLNVLEKEVQLLKAHRCPHTTIVGNRGNLHAGSLPAPILEAQDDLPIYHDPLSPPGPA PTAGPSLLGTMARPMQFDPLRPSVIDEHCAVWFGRYHPWFPILHQPSLLEALQVSANV KETPYLPILKAIIAVTISQDFCSDGLSKERCREISDELRNQVVMEAVNNLSLRPLQAI LIICNLEYGAGRLKEHWNLVALAKRMAIQLGLRDLVAHHCDNFNRVSTLPPRMLPLPD SLVDREEKIRAYWMAEVLDGASTVGAAWNITISQPEDDGLLPCSDAAWAFPEAVISAW PFDDLAMSSTYSLYVMLVTNELYHVHHFLQQSYDLQLADERARRRENCQIIDEGLKDW RARFAATQLQFNTDIDDHYDPNTTLIQCVLDLATISLYQGLIFPPLEGTPGTWNHAIR RCLEACDSMTNLLQDVLDTDLENMSPLIIQCIFVAARFCILHAKIFDIEPSHKIAFFP IKLEVCGRRWPYAQRLLKVLRTAVASYDPSNGTATTIPVQFYDFMYAYLDIDEAIRIW AEGSET CC84DRAFT_1090000 MLAKYPHDVTLPTFTKHDIKAQAPERREARSVAQAWLDNFFSFL SSNNVLMLPALLHADSWWRDFLTLTWDLRTIRSLPNITSFLNDNLSKTQFSSIRLEDE SHPFAPHYETPLEGLEWIVSLFHFETKIGRGKGVLRLVQGDDGTWKAHFLSTALLELK GHEEATGTRRKHGGNNALDGTSGGMKGGLNWQEKRDREKEFSDTEPDVFVVGAGQAGL NVAARLQALNMSVLVVDKNERVGDNWRHRYRTLVTHDPVQYTHMAYMPFPSAWPLFTP KDKLADWFEIYASALELNVWTSSTISSASYSDVDAKWTVIVTRSDGKERTLRPAHVIF CTGHSGVPLIPTFPGQDTFRGTVYHASQHKDALQHAESLAGKNVVVNQGNSGHDIAQN YHLAGAASVTMLQRRGTYVIQASKGLFMLHEGMYEENGPLLEDADVFANSLPNAVQFA LNVALTKRIRAAEKENLDGLSRAGFNVDFGHDGSGIYRKYITRGGGYYIDVGCSALII SGKIQVVQSPNGIECFDENGLVLAPGASSTSSTHLPADVVVLATGYANMRTSVAATFG EAEAARCKDVWDLDEEGEVNAMWRPTGHPGLWFMGGNLALCRVMSRYLALQIKARGVG LV CC84DRAFT_610927 MMPGHTIPPAVPFHPGGRRHGDHHQYYQPPPQAHSPVHHNPYAA YPHPHYAPLASPYAPQPQWYGMNPYAQPQHHQYAMPPRQFQPLASPVVVSSHPHMAHL PPVNRAMGQTPPIVHSHTPPVARIPTPQRTPQPLPSTPSVHSQQALVSSPTPPTTTAT ATPPPTTPSVTSTTTSAAPISMGTYMPFYPPLPWLSVADADFPPRASQKKRRRRAPVP AQEDDLALPSREQVVDVEEAHGTKEIADDADHTSTEGPEESQASTMAPASEATTDTPQ SSHPPSEVESTRPSMLSPGMPAQPARASQGTHARTQTKPAVPLIPIKAAKAPSVTSTT QKSVKSSDKENKSETAVPATPGQETNGTAEETPKASPPPKAAPGSWASLLRTKNNAAA AKAPAVSNGVAPTSGPPAPKSNSLGDVLASYSVDSHKKISFLEPRGLVNTGNLCYMNS ILQVLLFCVPFYDFLDQYAKRVVHSFKSETPLVDAMIMYMRDFKVIDSAESVEKLRMR LKDNELEQYGEPLTPEYVYDVIRRLPRFENMKRGQQEDAEEFLGFLLAGLHDECAHVI KSSRTSNGDASVTSPTTERSGSVDGGWLEVGPKQKASLTQSSGAVDIESPLTKIFGGK IRSEYKRPGEKPSVTLEPYQPLQLDIGSPNVNNITDALKGLTHLETLDGATRGARAST KQMFIETLPPVLILHLKRFHYDVNGPQKIWKKIGYPLELDIPKEVFPQHKRSTFGTRG APRYRLIGVVYHHGKNASGGHYTVDLRRQEGREWIRVDDTVIRRIRAEDVAEGGAEED PKVLAAALEQHKNDGGAATRKNFFEQIGDDAESDRGGWSQVNGSESKKEKKWTGVVNG TATPSSAGKRTPLPKENVRDNKVAYILFYQRIES CC84DRAFT_1257960 MSSNPPAIPTSSKASAPSGQLSPAQTQPGSTASGDGFGQRRSGS SFGAGAATRASPTPRSTQQGRKQHKASKRFARVDDDAITESLNMRPFNSRKGQTNITH LMNFSLPPRPQNHHGHGHGRSYRRNPTWGLGSGYHATDKARYVHANYRFVVDPRGDYR AQSVDADIHLDWNNVLQILASSQSQDASCPICLGTPVAPRMARCGHIFCLPCLIRYMH AEDDGKGVHEKRARSKKCPLCWDSIYISETRPVRWYMGQEGLPPQEGGDVVLRLVMRP AGSTLAMPRDGADALGKEADVPWYHAAEVMDYARVMRGGEDYMLEQFDSEVHQLKQQE KEDELMFGEDNIEWVRKAIRSIQESKEKIKGVGNPPEQPPKPAEPKSKKAPIVLHGLD TETPDMYSIQNAAKSGQSPHPQFPTPAPETATSDQSVNAAEANSRKMSMSSHSSRTSP GLGSTLAEIRNRQQHDTQHTPSEYFFYQALLHYYLSPLDIRILKAAFGDFATFPSTIL PRVERVSTGHVIDDDLRKRTKYLAHLPYGCEVSFLECDWTDTVAPEILEKFRPEIERR RRKNQDKETKEEKARLRAEKAEYAEFAAARRKRNSISEGFSANDFQPLASGSEAAGQA GEESASTSPPWPSRRGGQGFASLASPSTSPSTSRTVWGTAIVAATSPIMAPLEHDNRD DGWLQDWEKDLLHEEDAMVAQAQAMSLEDEAPKKQAGGGKKKKAKKITLMSTNARRGA CC84DRAFT_1163012 MLIQETHKDVPTQAGGDMRIFLFHPTIPNYPKAKFPGVVVFSEI YQVTGPVARFARQIASQGYIVAAPSSYHEFTGPEPLAYDGPGTDAGNEWKITKEVSAY DEDATLSIDLLKSLDTCTGRIGATGMCLGGHLAYRCALDTRISAAVCYFATDIHSHSL GKGKNDDSLARAKDIKGELVMIFGKLDNHVPPAGRDLIRKTLHEAGVTFSFYEHAWAQ HAFIRDELSKGRYDAALSGVCFEMLKEVFGRTLRSDLGPRSGGDVEVEDVC CC84DRAFT_1163013 MSSSLTNKLITVTGAASGIGLSTARILARRGALLSLADYNKANL TKAHEELASLSSPKNIISTPLDIRSTASVNDWISHTRKHFSRTIDGCANIAGFHPLWA PKNIEEITDEEFDETIQINTVGLFKCLRAQLAPDMLSSPSSIVNVGSVSGLIGFAGDS AYVASKHAAHGLTKAASKEAGKRGIRVNAVAPGQTNTPMVQAMAAGKGTLPLPFLSLG REGQPEEIAEVISWLLGEESSYVTGSIYRADGGMLD CC84DRAFT_610953 MEGSFIRFRLESKHPPVSVTATGSKTKRRTKTGCLTCRTRRKKC DELKPSCVACRRNYFTCRWPDTNSIREEGSSPNASAEKRASWQLTQTSLNPSYILTSQ PFGYKARDSYLLEHYVLVTSQQLTGREHKQNPFLELLMPLALQDSRVLEGVLAVSGAH LSFQDQRFESDARSHYAGTVRSVKYTLLAWGMLETHDMIALLTTTLLLGYFESIIGDT RGSVFHHLRASRTILLELQSRRIPDPSHLAFLTEWYAFTAITANVTLHTSFATMDRDI PTDNFLSADALQSLNKGTASYGVLFGAAHKLLALIVPIRDAARRLSHSPDLSAHHEQL HAFEAQIRASNTGVLRAHPTASEVGAAIYHQALLVYLHTTFHGTAPPTPALYATIDPC VDRLFELMRAMRSQSAVITTLMWPALVIGSCVRKEGQQARFSAYMEALPLRMGSCQRV REVLRCMWEARREDERVYGPYGLEIVMGKMNINLCVS CC84DRAFT_610995 MASPADVSYADVFIGSWKDASRGSIKGTNITVSSKNGVILVAFL ALFVQFAGQHLWGIAAFLWYQLRLSGRPKYNAALRYQQDMSIRNNGSPGQTLWTLTRI AWAWRHAKGHKKEKQAWWRVSGLPIFVPLIFMVLLTATGILSSNIMETSKVDILLRGD ECGIWEVPDANTVKASDNDFMIENAKYIGGVTEFGRIYARACYNTTGDVNSPMCEAFT TKAIPYTSHTNASCPFDNQTCIYGNENLRMDTGNMDTNDVLGINTRKDNVHFRKVTTC APLQPDLWTTRENTTSPMGKDEYRIKWNWGTIPWYNDTDYVAEADSDNTNRLSKGFTG YSMVTQRYYRLDSRLPFPSSSSFIPRKEFNTSDGDIYFMFLAAHAVNFAKPIDDPWFS AHRANPGPLNRTYYFSDHLLSPLGCVEQFQFCNPKKGDAPDACTTLSGIVPATAAAKF DLDLTPMQSAIVDLLINAVYANNAIILQPIKLLADDTSNDGVQMPLPRNQWVIELQDM HNRVLTEIQRIIVDYARGPSSAAAKQFLVVPDSNYRNLCSMIRARTSGKFSSINTYGL ALTVGLGTLIILANIFLESMIRCFQRHRKAQHRGVDTWVEDGLLQVHRRTLVLAEMEE WEGRNDAVPTTRHWCSLARNGPMETKRVEETKRPSIHVEDVDAVRRVDTDETLALDSP GEAGSKDRKGDAGK CC84DRAFT_1215723 MATAEALDGDISDLRDRIATLQAHRASLTSILLSQPHLPTRLEQ RPVLHERNRRNAAKLVQRQSNRNLENVYRACAGVTAYKVKDPDPCAVDNGNVLGVRID VSVGGRFVDTYHVLFNRPNAAHKTMLKIHKHTIPPCIPLQPLANKWLPLTRKSAEEPR EQDLVRFGRHLRKELASWHLRLEALETLQKDAKLPGRIALQEGLQGELEPGMVLNAFV SDDEDSEAEEGANEQDGTPRISSIDGDAAVREITITWSNGTVGIFKVTKDGEVDKAAV RTKEGTRDASLSSQALGRVEGLVRRLEA CC84DRAFT_619024 MSKRNAKTPKEQNGQANSSATMSNILEHRRRHGSIDLSSPAGQA ASLMQRPSFTLDDAVPELDKDGEGQSKGFSELSKKDQRNFLLLVLLYFLQGIPMGLAM GSVPFLLKKADASYSAIGVFSLAAYPYSLKLFWSPIVDAVWSPKVGRRKSWILPIQTV SGFSMLWLGSVVNRIMSSASETDGSGIWNFTFWWFALVFLCATQDIAVDGWALTLLSQ ENLPFASTAQTVGLTGGQFLSHTVFLAFNSVDFTNKWFRSASAPGETGLMNLDSYLTF WGWAYLAVTLGLALMKREERTKEREGIMEVYQTMWGILKLPNIQTFIVIHLIAKIGFQ TNDGVTSLKLLAKGFSQEDLALTILIDFPIEMSLGYYIGKWCQTYPPMHIWSMAFVGR LAAAGFAQFVVSIFPAGGTTTWYLLLVICEHVLSTFMNTVMFVAVSAFHAKISDPVIG GTYMTLLATVSNLGGTFPRYFVLRFVDYFTVATCEPPTKAPANLKGDLVTQAFSCALE ADRHRCEAGGGTCHVQTDGYYVMNMICIIIGVVTFYGYIKPAVTKIQALPLRAWRIS CC84DRAFT_1185871 MAFAAYTPKARVQKPAPPFSGTAVVDGTFEDLSLTQFTSTKQWL VLGFVPMAWTFVCPTEIIAFSERAADFEARNTKVVFASTDSEYSLLAWTNASRRDGGL GKIDIPLLSDKNHQLSKDYGVLIEEEGIALRGLFVIDPNGIVRQITINDLPVGRSVDE TLRLIDAFQFTDKYGEVCPANWNPGDSTIKATPEGNKEFTAKAYADTKMADTNGTK CC84DRAFT_1174629 MKYAVILGSALATCAWASTSTVTATEVFTIPCTATPLPIDCIAN SWSGIVEFTITPGDLIHLHDEPTDLPIPTSTPTSFSTGKILSNVPSISGPFAVTTMVT ATTVFTIACTASPLPEDCEANSWSNTLVEITATPGQVLVNLPTELLVTGTGTGTASES AGSTAPSATPGAAAGREAGILGPVVAGLVAGLAVL CC84DRAFT_1055764 LVLTSDQGIRFGQLHGKARKSRLLEVGLYIQFLTTIAIVSSVVL LEAGLAMVTDGQCYAASVVCILLYCISKTALYMNFNEHVHSLRVPRVTRIRDPVWLTC TVCVIAGVAGSIFFAAAAPVAQLEGKTGQCNIHLTKEAIISLSTFLLAVGTYLTGTLV CILWPALKSHAVPISTRTSTYTERRMSFLSVSKDGRLQEDERSRKSNNIMLCKNLMGW TTILSIAVINMTVYLTRAEAKRSHVCLLSCVTDAALSMIITSWL CC84DRAFT_1088775 MALPLLLLQIWATVGAATIDNAKTLPCEPSSFTDPIVPGARVLS ITAEEKRNFDIPAVPGLLEPVSDLNFCDVQIYLTHPGANDKVLVKTWLPLTRDLWNAR FQATGGGGWATGMLDLALGPAIASGYAASSTDGGHPVDYFSADWTLNQDKSINWDLLQ NFGTRSLADQIYVGKSLTEQYYGQQPRYSYWNGCSQGGRQGYAVAQRYPELLDGVLAA APALRFPALTMSFLWPNLVMKVSGTVVSNCEFNWFASKALEECDILDGAKDGVIGDPD TCTFDPRRLVGEKLECSGVEIEVTEAMAEVVRRVHEGPSTPFGENVFPSLTYGTSLAT FVNATANPDGSRTLNDPGLDVFARTILLRKSDNSNNYGWIMDSDNPDMSAFRDAGGKL LSWHGTNDDIIPSKTTVNYRQRVERELGGAKAVDEFFRLFLAPGVKHCAFGNGAVPKD PLETLRNWVEKYEAPDTLEAETKNKDDEVVTRNLCRYPRKLRYLGLGDVNKASSWTCE GGDKEEELRGERKTFRDEL CC84DRAFT_1142660 MRLHRSALALLAPSALAQIVTVSNGTIHGGSCPSSAAIYYRAIP FAQPPLGPLRFAAPQPFNQKFNGSLDGTKNAPTCIQFGSDFLEPQPWSEDCLFLNVWA PKNASSISKLPVKVWIYGGSNTAGGISDPLYDGCNSAVDSIVVSINYRLGPLGFLGLE DAGLSGNYAVQDLLLGLRWVQENIEAFGGDASKVLLFGQSAGAGLAVVISTLPEAPSL ISSVAAESGGGRSSAPYAEAQPYFREFVINLGCALNDLQCVRSKSPEELNAAFPSEPS ATITLTYAKGFAPIIDGRIVLEDPAAVGSRVPAIFGSTTADGSSFVLGAYQNNFPPTE AIYTSFVDSNFGPYASTVKAYYPVSRFANISSAALAPYFAMTAIWTHSSYTCSAQRGL KKATENGVPAYAYSWGVAPSCPWSSQFNGVGSQVLQLLSVTHSSEIPFVFRNTEHLPL PNGTCSLSNTENTIGDAVSSAWAAMASGQSPNTPLISDTWPTFSSNGTKGLIATAKGV TIGDIDYSFCKLWDAITAATLATGT CC84DRAFT_610976 MHPTPSPHASNPTPFWAYQLSRTLCSPLSPTLPPLPGNTSSLAP PKPSPVSLWCHDIYHFASFIRICFLHTQKRCPCHLRGIHPRPKLPYSRSVPVLLILLL DILLRCHLVNTETRDLRSGQPVHERFCLHLRFDLGERVGISGIRWILLIDRKVLELQR CC84DRAFT_619230 MSVYNHNNFNDLRPFGDDGSGPAYNDNPSEEFDFNNYYPAAGGS NALPSSRQDNGTAGQYPDGDSNRFSPRDHDNLDLYRDDSLQSPEAGPSNVCSSSAQPC QSSPRGDPSTVPSSPTSPRQELSARARRVYDKLPEHRKETVGWHPQLNRMLSDHPHFI PTFNFDSAMSMTARDVVNPVAGEFPAAVVMDDELAELRLYYEQWVDFNTLTVEQLAGL GHGFLDQLAAEPKIVNLLEQYRYEPLVAGETIEQILPNVGKSLNEAPGLLDYYQIPLR KGEDEGESDEEDAEMGDEEEGEDAEDSKDKGKKSERKYLPRRVQYRPYRYMFKSSAEA RAHRTKVRHPAKIAKDIERVEQYGRYYWTRRIYESIINVDLIFDNKTSVIATNFSKLH HFDEDDLEATAHHIFDECITVHRKGWVGHDYNRHDYKRGKCKDVFADSVEGRLERICG ILKHSKAIANDCIVGGNDLLMQTVDNPIHRASTKTANNKGNMERAERLRKQETDRQRE KRLAREAAQAQKKEQKRIEKEKKEAEKQAEKDRKAAERKAEQERKATQREAERQRKAA EREHEKQRKAAERAQAAADRRRR CC84DRAFT_1163023 MDYSYFGAQPQPYFMGMPNNGFAHNVDPETIRSIEPLEAGFLSG PYDAFSFSHSLPTTHGSPDPVIAATPMQVGSVDSGIGGEVEDSRASRTRSSSEEKETG LTPAQSRRKAQNRAAQRAFRERKERHVRDLEAKLSALESSTHSLQSDNERLKLALQRA RTENEILRATTGGSPTSSRPVSASYPSPGAHLLDEGDDAYNVQELSNGSVVNSADKDH AALRKKNKSREIPAAQTWDFIQSHPLVKQGLVDIADVCERLKGAARCDGNGPVFEETI VWAAIESSRRSGGDELI CC84DRAFT_619277 MQMPDMPVNVPVDDPNADTEWNDILRKHGVIPEKPPSPTPMIQE ALEEARRLAHENRLEGKDLDELAELEDDEDDDFLEHYRNKRMQELSTINAASVYNQVY HLQKPDYSRDVTEASSKAYILVLLTSSHGTNGESRIMIDIWRELAQRFGDVKFCQMRA DLCIEGYPEKNTPTVLIYKDGDIKRQLVTLRELRGTRTNAQDVEQLLVDIGAVRIGDA RLRRNKADADADASTSKIRQSAVDPDDSDWD CC84DRAFT_1163025 MCTVTNPADRFYNDRTAQEQQKRIGMLLPNVVHTQTTPINYAAC LHHPVTYLFSANDAALGWRCRNRWWRRSGS CC84DRAFT_619301 MPAWNVLSRRAPASLVLFFNPIVTSPLDGDMVDPLSAVGLLASI IQIADGLTRLSSEFKRCIRTVRYAPQEVKCFHRELSNFSASLRWFHRISKSCLRELEK ERSPMRRERELHIAGVIKECEVVEDGFGALLSKFLRTSATHAPRITSPVDRLRWYFRK PSVVGLRLSLDSAKSTVELFMTLYTFESLHKEIFELQSASKEVSEDLKRQLRCVQQQL KEQRKTSDERHRQLIEHLDRMQYSAPILIPGMRLILVETRALERKATRSLRKENQKIW QGLSTDERPPKFPRSSSSPGTGSSVPGRQTPRPSPPAPNGNNFVRAQSLSPQDSIELL RYYQSARQGLRIRPHKAEHIKKTRTVQIDPILPETSIRSKSRSPSVEPQEAPTPGMNE SMVQEYSNKIGKSVGKSRSEASATSTASPYDQAYIVRSSLTSLRKRESQVGCESPASN TSEGSERQGIVKTEVELIEGGKRSSIAGPSPYRALPPFLPEDWKGVRRRPSE CC84DRAFT_619311 MSAKCKSCWGKEDTGQRLPKYYREQTLEISKTIFSLVFPLFLVA HKVPGKCRNKSSMSQRPAPFFCYIHLPSGFYFR CC84DRAFT_1204412 MPPKYNEKHEPANNFVGSPWQSGQTFNDTSLLPFLRAYTRMNSR DAGGGDRQFNDEFNFARRIVEELNRLHGGPPEFIHELVYSIANPTSDQRPMYPTLRSQ GVSDQVAYQNRIIVSVLLMTHATTDREGDGGITRGGLWLPPRQQAREAQEAAAQRGHA VQYPTWSRDEAVASTPARNANWTATGQPPRQTQDNALRYCGSQGFDNAVGSLPPFFLD WAPTPHSMRGHPSPGVFSGPPSAILGGHQWSYRGPHWHASNSSLHSEYSAPPPPDSQS RPNYVQDTLRAGLNLTHQAVRHGNKSSPYETATSNGRQLADRRFWQPSKPPTTQTRQP SAYVPPPPSLEGIDRISALVTEMASPARTAQPPIGSDRPRHDCHRHGGSRNSRGEGAR GTPSRPPPRSGSRSGCPRSRRDDQG CC84DRAFT_1204413 MAIPAQPASARLSKTLGALLGVHAGDSLGATLEFDSWREIKKNF PNGLRDIIGGGTFGWPAGHATDDTDLTRAVLLAYHDRANHKLLKNPDKEFDIARAAAD WSLDWYDGNWPGRKKGQPPRDIGGATRVGLLNYSDLRDPRKCGAGHGSAGNGSLMRCI PTGLFTHDRVDRIKESKEISAITHNDNRCVVSCAAYNEIVAALLDGKTAQAAVGIGIE VALEEDHHAVAKAIERGRTMSISRIVSDGPSDELPDMTSGYVLQSLTVAISALLDPRS LEDVLVDVIRIGGDTDTNGAISGGLLGARDGLEAIPDRWLGTLQFRAEFEELARDILD LESSPSKILSVSYKKRHGLS CC84DRAFT_1195152 MDSAPNLYAELKTQLASSKAEVLLPSDGDKYEESIKRWSEHCEK RASCVVKITSAADASTTVNFVKANKIPFVVRGGGHSTSGSASIDDGLVIDLSLMRGVT VDAGKKTIKAEGGALWVDVDEEAAKYGLAAVGGTVNHTGVGGLTLGGGYGYLSGKYGL TVDNLLEVEVVLASGEQVVASETSNTDLFWAIRGAGQNFGVVTAFTFQGHPQPNPVFA GPLIFLPDKLPQVVDFLNTFHTSNDGNQVMLLGFTCPPPANAPVILTQLFHNGTYEEG TTFFQPLLDLGPVANLTSMMPYEKLNACMNEGQGFGGRKMFGGGAYKLPLAPPFVQAL FDEFIGFSTSQPDMTESIMLFETIPYKEVVKVPNEKMAFSNRGEYYNLATMMKWADPA LDEKVRDFSRALLKRASQAAGVQQDADVRSAEGVGVYGNYVNPDVPASEVYGRNAKKL VELKQKYDPENLFDRGTRLAPRPVVVVN CC84DRAFT_1116463 MGVTGLWTVLQPCARPIKIETLNKKRLAVDASIWIYQFLKAVRD KEGNALRNSHIVGFFRRICKLLFFGIKPVFVFDGGAPALKRQTINNRKSRREGRREDA VRTAGKLLAMQMQRVAEEEEKKRKEASRRPRQEVDEEEEIPEDLVYAEEVIQTQQERL ANRTFKRKDQYHLPDLGTSIAEMGGADDPRIMSLEELEHYARQFDSGEDINVYDFSKI DFDGAFFTSLPDSDRYNILNAARLRSRLRLGYSKEQLDVMFPDRMAFSRFQIERVKER NDLTQRLMNINGMVDDSGFGAGAASRVASDKAREYVLVKNDSVEGGWALGVVTNRDEG KANKPIDVDNIPVQNLDEDDEWEEEDEFEDVPIEGLNRLPKPPPGMTVRDREAQDFIS EELTRRRRKFYASRKVKSSRPTAPRPARLANLAQDPDSLFLAPGDEQEQEWEDALPVD EDDAEGEPAGDDEEERQLQQAIAMSMQHNGTTEEHESEEEDIYREVFQQERAKETNPF AGTRGSGMAIARLANQRANKVAPVPRPFTGSDSEDDGIDLQAALAESRKSKQQPTSTQ AASRPPPKAAPPAPNPKPVKSTNAPGFDGPLPFERLNLGNSLLGKKKMEKLEAENAGG FEKDTGDQKTKEDAPLPPWFSGGERNIEEELKANREEAKKEWEQTRKEQAEMYQFQEL PRLRKHDTHEVVDLEAEGTHEELAEVLTVQPDDDDDAEMEDVVIVDSKLHMGDLADKV RAEPPQPPREDRPSLSKQGNSLLGKGPFAEDSDEPIEWSESEPEDERRQQTQQQAKLP SPQASKEPSKSPSPEFEDVPIGTAPTRPTLVNCPAAATSPSPEFEDVPIEPARATRRR RSLSPFVLGGADELEAPIVPYSGTADDNAPIDIPDDGSDQYSDPEDAELFASLAAEGE EHARFAQELTNSSRPRVNFDEELKQLRAQQKKDRRDADEVTQTMVAECQHLLTLFGLP YITAPMEAEAQCVELVHLGLVDGIVTDDSDTFLFGGTRVYKNMFNAAKFVECYLASDL SSEFALTREKLIDIAQLLGSDYTTGIPGIGPVTALELLSEFENLPDFREWWDGVQGGT IPKDADKNSQFRRRFRRSQATKLFLPPGFPDPRVKEAYLKPEVDSDPMPFQWGMPDLD ALRSFLSSQIGWSAERTDEVLVPVIKDMARREKEGTQSNLTRFFEGGVGAGAFAPRVR GAVGEQRGKGAAGKRLGAALTRLAQREKGGGVDVDSAVGEGGQGGQIGEAEIEADGNA STHGGMKRRRRGGKKAAAEVQDEVGGQEDGDEDEAYLEPMKKRTRKTRKKY CC84DRAFT_1163030 MATVWRLGWSFLQVVLLLVVELTLSPDLASRLEIRGCRPETASQ RSTKARCLRLGWGEAR CC84DRAFT_1257977 MVATRSRGKATGDAATTNAPSTTSILNAPPKRAPKRKAEEAPAA EPAALFTAAKKPTKRTKTAEPAPKKPADKPATKPATRTTRRAAKPVEETQAEDTEAPE PAKRATRGRKAAVLPPSKATAPAPTKATTTAPKKAAAPAPKKAAAPAPKKAAAPAPKK AAAPAPKKSAQKPATRTTRRAAKPVEETQAEDTEAPEPAKRATRGRKAAEPAPPVQDE EALQAILNQEALEELPIDYPTTPAHIAEAHGNQKAFKELAAEYPSTPNEFVDAHENQQ AFEQMAGYPKTPSHITAPVSSREALRELPIDYPTTPAHIMAPVPSREALRELPVDYPT TPAHIMAPVSSREALRELPIDYPTTPAHIMAPGLDQLPGYPNTPAPKDAASSPAQAHG TPEAPPSDSATEEFVSALEVTEADLMEVDGEEYTIKTTPPPKVNFSIDGSLSLAPTLA PPKSAARASPTKSALRSPLKNGTKTPKKQVTWPEDFETEEDDTFDLAMLLHGMRFLVD VTSKGEDQSLFYVTSLEDFGAQVVREWTENTELTHVIFKDGSQDTIDKVRASNGAVKC INTGWLMEVEATKKRVDESKFAIDLDTVSVCTPVSRAPRRRDFFTPAKTPTRFLNNIE SSSAAKSIEDLPATPTSSDFDRSVDTIEDKENNGSNWEDSTFFKKFSLSAPARKPWRK AQALSAAAKTPTKESLLREAPIKSSMFSERPIKSAMFSQPAVKSSLFGQTAAKESLFD QPPIKGPLFATAPKLGENAAKDSLFSQGPIKCPLFAPTPKNGQSQPPIKGPLFAVNPK LNFSKMSPNKNGSLFGQSPPKPASVDFAPAAADTWFDQHAKKSTLLGQGPVKSSTMSV QRPGRLSALLNKGVPSTPTASRVAPATNFLSTHKKRTASETFGGSVTATPAKKFRPLF CC84DRAFT_1257978 MVYIRQDKLPKLKEYKYSGVDHSLVSRYVLKPFYTHVVIKCFPM WMAPNLITLSGFGFVVANFLTMLWYTPTLDQDCPPWVYASWAIGLFLYQTFDAVDGSQ ARRTHQSGPLGELFDHGVDAVNTTLEVLLFSAAMNLGQGWKTVLTLFASLLTFYVQTW DEYHTHVLTLGFISGPVEGILTLCFVYAATAVLGGGSFWQRSLLESIGVQNYDLIPDV LYNLAWNEWYMVYGSVVLVFNTVSSALNVMKARRARGQRTRVALMGLLTFGAAWTLIP AYLYLQPMILHNHLVPFIFYAGLVNAYSVGRIIISHLTKSRFPRGNVLIYPLIYGVAD SLGPFLQERVGFGWPSALGNDVYQVAFVFMCLGLAIGVHGSFVIDVIWTICDYLDIWC LTIKYPYQPVKENEEKVKKDE CC84DRAFT_1257979 MLARRVGAGLRSAVPAQPTTAIVNSRCFTAYAAAQAQKAPALAD IRPDGAAEFNARQKEYREGLIAAQKEKEQREKEARAQDDGDKKKGGALKSLIYGTPEG RELDKDIERSFSQVLARGKYVHSIVFHDVKPDKVDEYTELVGNWYPRVASMPENKVHL VGSWRTEVGECDTFVHIWEYQRYEGYHASLNAIQHHPEFAEFDSKLKHLINSKRNSLM QEFSFWPTTPPRQLGGLFELRSYTLHPGNLLEWETHWRRGLKARREVMEGVGAWFVQI GELNTVHHLWQFANLEERKVRREQSWSVEGWGETVHKTVPLIQEMKSRILIPCPWSPV A CC84DRAFT_1215741 MGDIHSSEGNSDSKLLSNPNRGYYGKSYPGQRFFVQEPGPFGEK STRGGTLMGWSFITTHGGKVTGYTSSYVFHKDGKQPNFAIEDGEMAVYNGKRKGKESE NPSSWRRLSDSRLVESVAQMRKKTLKADGWTMIAPHEWAIEEMPRKMSVAPESPSEGS ASKETSESKGDRKPQYQSAPIVSSSSKESLLQRSADEDTELFESLFSKKRRKEHAEKF FMSLLAKGQPWLGARSSLAHPIVFDPNVPWEMLPYKAFTHTTPQCAALGHFLSRSETD KPTNPLMKSPNFTIIIRDIGRFDHTGRQPGLMIQATNFSIRQLDRGFVPGERRVEIWR NGETFCFPGVIAVMADRCAWFLGDEELRRGEWIMEMEKRKNLVSGVGLGQP CC84DRAFT_1257981 MPGTMPQSGVNLAVTEDGAAYNRLRRPDNYLESLTAQHPLKSEI PPAKRKFEAVAAADLDGDKTPYTKRSRADDAPRAASSPGRALSDTRRVKRPEKENGMQ TMFPGLDDDSASDADDTTREALAYLRSVRSEASTIPTLLVAPSQAPKNGDRDRPTYND GTGELRVRFHEGTWVAVDDEADGEYYDEDDEWAQYHDIDPQAAYYKSLLGRYKALRNT LANADPRELAALVKADPDKYANVRVPYYKSDWRYAFDNKYPTPALVAQLDDKGLYRAL EYVSEVLIIGDTISKQKSCWIWTLLALTGDSGTLDYYKVGRIRELGHKAGQLSIRLRS GERRDAYRLEGEDDAEEWEVEGEDDDEDEDHSEMEVDEGNEARETSFAPKEQRQRVED GEVHEDDDEYEPPLDLGGQFDGAAERQTQSHSAQSTHKGHKDDMSSSEDEGEVKDDDP EEEDTPADLEAARARLLAQLGDNLVTDSIPDRKVPAHQLKGKQLAHKEPRTSGSHRHN GKRCNDPSCKMTKARQEAHRRAALRATQGAKTNGSNSTSPSQSSQAKKPAEKIQREEV QSKAQTKCSHEEALAEARKQAAAMPENTDPATFLASLNPELRRAVLADQDEAYLAELP PEIIAEARDYSGQNGGMNGVAEQQSERDSSSDSEKGDHTKEKRKAEVDMDLNTKVTID MILTVVGECYGQRDLLRYREMCTINRKLQSQAQTSSLQPKMKSFAAIFALALAASAAS IPDTLAPRADLAICNAKKGESCPGSGAFACENNGGHSVQSPPPLSLSPSIFP CC84DRAFT_1089692 MAASNPVAYTDPNTGIDFQQYSATGVAFSLGIAFPETLGSDLIG QLVVPITKTGAWGAVSLGGGMLNHLLLVAWANGEEVVSTFRTAGAYANPAVYDATEVS ALPIANGTFVNSTHFSYTFLCEGCIVGGDTTFKATDDPPVLGWALSSGNPTTPSDPAS ELPYHDAGFGLYGQPIAAAQSADFETWASWASKTTTPAPGAGNSTLPVTGNSTSPAPT VSNATYDVIVVGGGTAGIIAAERIAEAGSSVLLIERGPANTVALGSKEGLEWNNTLTP YDIPALGSSLSGISGTKICSDTASMAGCLLGGSSSINGENFIRPPQHDFQNWPAEWSW DDVSKAADRLYSRNPGTTQPSLDGKHYDDMSYSVVSSYLDAEGWTNVDSIETPNEKHM VYSRPAWSIVNNMRAGPARTYMPFAEELDNFTLQLGTKVIQVQRTGSSVTGVLVQLED GTQQVINLKAGGKVVLAAGALSTPRILWNSGIGKSDALKIVQGGTSGVKLPEEADWID LPVGHGLKDHAQAPLQFKTSANYTAYKYTDIATDPVESDVDLYKQGSGVLTQAAQRMH LWTSVNGTDGRERYLQGTVSSMKDGTVTIKTFLTHGTTSTGELGITAGGNTVLNKKPW LVDDADREAFSGFIQWWMDITSQANSSITSTMALPTNSTPEFILAETLISGDHWVGTT KMGTDDGRKNGSSVVDTDTRVYGTDNLFVVDASIHPDLPTGNTQAIIMVVAEHAAEKI AAFKVANGTAPATPTTPSATPSGVATPSSVAVGNSTVPSATAAAEKKYTIQEFVAFLE EADAADGSSTTTRKRDAQGRLIRRYVSRRSV CC84DRAFT_1215744 MPAITQPAMQSLQSIKRALNDPETANQLLSLIARKTSKVGKKKS KKIKGGAIAGIVIGVIVVIIIAVIILLLLRRRAKKRQITNGPQMGSAPAARV CC84DRAFT_1163034 MAERVGFGTPLYSPDNAKVDVVFVHGLGGHRINTWTSEPTKKIP VETFWPEELLPKACPTARILSFGYDSRFVKFFPLSKSNLDKEGTIDEYSTALYQNLAS LRESTKTPADRPIIYVAHSLGGLVVANAVSRPPGANETAQKLTDNTIGMIFLGTPFAG SDKASWGEMAAKFVTLFGVQTKDTDIKDLNERSARLIDINLDFDTFIKGRDRDRKHGP VEIVCYYEADPTFIGPVCIGKVVSKESAARLPAIAALSIPDNHSDMCKFAGEFSSGYA SVSGQLAQWITALDKRGEEGEDHAGSTSVRIDGVVNNKGVVTGVIKSLPGGTTSVTGS ADTTYNFGPLPDEAILKLMGKK CC84DRAFT_1174646 MRGSEWSLILNISPNNWQMTTTGGSFFNVPMSQKKDPDSHDPPG VKIDGNKGVVASNIILSGGKNQIIGTPRFGFNHWKDFDAKAGQLRKTIHIAVNLADHM VSYAARIKGADVRMRDIADRIRATSSILHGIEILFEQLTSKPPEESSKVPDAANDLYA ELQSCETWLGGVEKQMSEFMEGVPAPRRLEGKEREKVELAQESLVLLETKITIVEFGI QDHKVNLQIRLSIFFMTFSNQKLVVNWMMACTKRELTCSHRPDLTWSDMISDMLSELR HILSELDLLRNGTVPKSLRSPALDPSNSSQLGRVPAPAAFSPRYAGWVIQRGSSHATG SDARGRSWLRPSVEPLAFSSEQLYLQVVALMVKDGKKDQTLHDRYERLGPQRQSAILD LLARENQELQIGQPVLEWKVAGIRPEIHRINRYRTEIVSMLIILKTELKPQVHWGSLK GHQVPSLRGGADRAEWDPEDGRYTERHTSGARHVRPPRHHRRDHEQHRDIPEEIPRIY NTHAGPSHDARQHHQRNNGTDFERLQQQQHFTRANPVFAFSSRPGGVLWTARLDARAR TYHGKEKARAHERRRNDDELIHALVEDWYEVISVWPRSHLTASYNIAQTAVPGSTHVA SAYGISTGHQYATTGTYASPTFAMPVHGDAQIPAHEAGDTYTVTAQGLAAAHPTDNSG LASTSTRRRRTGRTRRQGRVITLQAIIGTSRQQRSEEPAMMVIVYFSRVLDSQ CC84DRAFT_1163036 MFQFVLAALLQLSLGLLVEAAPVTAQGTSWQGPTGGGVVGFIVL VLDIIAWVEIVKSNRPPLNKVIWCLVVFLFPIVGMLIYYLFSNRAAHNDSGYEAIPS CC84DRAFT_619524 MHVLISGAGIAGPTLAWFLVQTDIKVTIVEKSDAIRPYGQSIEL QATALAAFKKTGLWDQVLARNTTERGTQFVDTSGRPFALFPVREGLQASFTSAFEILR GDLADILYQATKNHPNVTYRFSTTITRVLHNNESGVAVEFNDGTASSFDLLVAADGQW SKVRAQVFDASTVTTLHKGMYGAYWTIPRIPADNAWWNIYIALRRRIMALRPDPYGTA RVYVTCMPGASEEKRWREVARADRQTQEDLLKSEFSDAGWQAKRFLEGLEQAPDFYFQ VVEQIRMERWSRGRVVCLGDAAYAPTPLTGAGTSLAVLGAYALAGEISELEGGQHPSK ALDGYEERFRPFVEATQKIPGVVPGIAHPTTGWQRWLLETGLRVAAWLLGQSWMARWL GSEEQEDEGFPLPRYERFEGLNGE CC84DRAFT_1257986 MVSLSTLPDVPAKHADFVSYVESHPDTSLLELLEPYKKYDAKLR EIFAQEPDHPVLADEHLNVVPVFNGHTSSLKIRARDLDSESQEEKERYIMSLGAEERR PNNSPAIVQDIKEFQQNFALFCESSLVDLDWSNVVAAGSSVVTCLLPVPKQYKESKRA LRQYYHETIAPASDVDLFIYGLSEEEAVKKIIEVEKRIKDSILTETTTIRTKNAITIA SQYPTRHIQIVLRIYKSVSEILTGFDVDCSCAAYDGKQVWAAPRALTAYMTQANTIDL TRRSPSYESRLSKYSHRGFEVYWPLLERSRVDPTIFERNFGRTVGLARLLVLERLPTK TERESYMDERRRERGRPPVNRYRNFSMRDNIKDRHEDEVAEWVEQEDVSDYHTFTIPY GQPYHAKKIEKLLYTKDLLLNSEWNKPKDREVNLHRHPAFFGFAEDIINDCCGFCPQP VTPEEHDVAEEERKIYVSGKISFIKDDPGRQAIGSFNPITDDDWTEMAYVGNTARLCQ AIVDEDLEHVVDWLEQDGSDPNCRDYTGRTPLHLAVTSSTPEIVRALIDHGARLVARL ADGRTALHLAAARGSVEMVRMIMQKSEENEEEEAKKEDVRKKARMAERTPKNEYLSER PKSAKEDDDSDVDMIEKDEAESDDEARTTMTGSYIKVKEGENTTDNAVPEEDEDDPDV YDVNVLAWDLQCSPLHLAILKGHTEVVKELVQSFGADVFLPIKLLNDHDKSPRGAILT LVLSLGLPLEQAKEMTKTLLSLGATSVQADTKQMTALHYVAVKEPELLEILIQEDEPA AKRAINHLGVLGSSWRPSSQSPLMSAISSGNALAALKLLESGANPSIDFKDWMKSVEP QFDSIARSDSDNNRRTFTRDIEQPIILAIENEVPEIAVRLLEMGADPDTLTKPTKESL ERDYNTSYTDMDSVLDIVRKKITDLRDYVREVKDSGRPSNPRINLKNDIDYLEGFEHG TYKYFVAKAQLERAEEEDNRARESYEDQLKSYTERKGVEEKIEAIKSMEKRFEELEQA LMSKGAKTFKELHPNVKLEKRPYYGYNHQPWVPPPFEIKFDFSVPDLTDERRDAYSKL FQAVWDNDLETVKSLTLAPWGHNSPLKISVQDGQRNSIFAIAVIRGHLDLARALVEIC YAQFQPPEDDDVKKSRYRLANEDEDDESDMSDIAVLEETVDDRFTIENIGELSTQVKS DISPIQFMAGQVQAWNYVKHFLPEKQITYGIDNRKVDIASKRDSTCSLRSWALITNDR PLFSFLLDLDFEWTDRLAMKLDGSSGIPSFSGCDFHLAVEYGRTELLADMIKHAGAGI ELESFVKNSGVKYREKPKFYQGLSVHGKKRPAWINAARGTYSQGVSDSVPPLLSAAFK GSLQMVEWFMGDAPARHYLDFAEAYKHDKYIGHINKNAGGFERLLRKWLGTRRELALH LAVMAEPSHETFKLIKYLIDTMPDSLEVKSTDGYTPLLIAFSLHRFSAAKLLIEAGAD QTARISTGSNILDVLLVDPYRSFIINDNTILNRMLSLIDPRLVPSLLSERTSAQPGSL TPVAHLMTLAGGYNVGELKTEILETLLDFATPTNYAFLELLDGAGDTPLHWAVKKQNQ MYMSAILSRRPDLLFRENSVGRTPCEMAEDSWLASRVRDAPSVTQHTSQSIVHRSTES FAKDHVESAKTDIAESIWAQCREVVASSRGSLKRKLVSLLDANEVANRLAKRHMEKRA TQQAWSKKQDAVEEEEEEVSREVDEVRDWFGITVKGDGKELEVVDRTVGGEKHALMDY ARQLRALER CC84DRAFT_619547 MSFLFGKKNKQQQAGALPPATRDIGSSHGPPPAGSQIPAPNGTG AREPSSEKQRSGSGTQTSTPGNNSANNSFSSLSAGNTASPEPKALRDQGNADFAGGPR NARGPPESSPYPWSSRRLNFTTNASPFPRYGAAINSSTGSAGVIYIMGGLVGGATVKG DLWVAEMGNGSMACYPISTTGDGPGPRVGHSSLLVGNAFIVFGGDTKLDENDDLDDTL YLLNTSTKHWSRALPQGPRPTGRYGHTLNILGSKIYIFGGQVEGFFFNDLVAFDLNSL QSSSSRWEVLLPNQKEGTASSPSTRSPPARTNHSVVTWNDKLYLFGGTDGVTWFNDVW TYDPRSNSWSEQECIGYIPVAREGHSATLVNDTMYVFGGRTQDGVDLGDLAAFRISSR RWYMFQNMGHSPSPRSGHSMTAFGKHVIVVAGEPSSSVADKNELSLAYVLDTSKIRYP PNEAPPPQPGNAPRKLSGSDRANIPQAKAGSPPTRGSMMPSAQEPRPQDLLMNGAGPA GSRLPRAAGPAPSGPPPLQQAPQPRGPPPASGSRSKTPTKNERGYGPPLDTRGASFER ENISPITRESPADVQSQSLNDVAVNGTRKMSEGGQSQPKESMDTSRSGSLVSRSTSKS HRPQHSLESIEQAAARRSNENQQRALSREAERPADSGAAASPAVTQIHNELNQQLETL KVRNAWYASELALARKAGYNAGTATSPAFDERSAEAFGDDEKPLFEALLKMKNELERV QTSIKSQGDDAARRIAEVERQRDAAISEAIYAKAKLAAHGGGSQGGTPQPDAPRSTAT PDMDRVADINRRLAASLAAQSELTIKLEKLTHEVEAERKSKQLADDTAEAAQKRVQEL DSSRQKNSAELEGLRAALHEAEQIAREATASAAEAEATSKLLSVDKNELSSKFTRAME ESINHASVLQSLKEALAASSDKSGLLEKQLEDERFQRESLRQKLAQLRAEHENQTIEL EAASQKLNDTEQLAGKYAEEARLHRQAVLNGLGQITNRDEGIEDAMDERVTILQQQVE AANVMVRKNQTAADAASEKLRRAEERIAGLEAYQEQSSREGLNIRKQLQQAMRDMQAA EAEKAELQQQHERLRLDSNAFEVQLKTLKNLLEERGVSAVDARRSRVLDSPNSRFGTP ELNRVRELEQQIDSMGKAHDELRSAFEQREHDVSQQWEEKLQALHNDHQAAVKYLRGT EKMLTKMKAELDRYKQEKVKLEAELAQTRSASRSPTKEQSAEWEAEREALRAQISSTE ENMKASVAKLESQLSSLQTDLAMAQQEAAAAAKKVNEAESSAQQSRADLETLRIQNAE LDERAREAETRVQMFLDQFETSVDNYRRQSQPTSTAVNGQARGHRPHDSIASGESMYS DEGTATPDAGNRPSSAVTRNSMALDNLASELDALRSNWETTNKAYRLSDRFDFERTPT SEKGEFNDFSDWRQRMDASMVDDNDQDGRKNSEAHDSQATPTSATAPPSAAPVAPKAA SPTPAGPGGAAMI CC84DRAFT_1116484 MRTANEYPHVNPLALHAPSPGAMNGTAAAPAAPPQPQSPDSATP ARPSTASDSQPNLAAMNGLDADAVSSRRPSSTRSFSRPQMLRARSDFGPRHFETTPSD SGADEAGSVDGHFKIRHGWDDQLNSEEYNQLLTSSFFMYYTEKKHETGGIPKSEGSAY PLQEWRMRDRLKTVSAVLALCLNIGVDPPDVIKTNPCAKEECWIDPTVTSQTPGHTPM NQIGKALQTQYEQLSMRTRYKLLLDPTTEETRKYTSTLRRNARNERVLFHYNGHGVPR PTSSGEIWVFNRNYTQYIPLSLYDLQSWIGAPSLFVYDCSDAGLIISNFLRFAEKHQL EFEEAQRRDPNVEVVDFHDCIHLAACREKESLPTNPDLPADVFTSCLTDPITMAVKFF ILQNPLPSNVTLRDAHNIPGKVSERRTPIGELNWIFTAITDTIAWNSLSKPLFKKLFR QDLMVAALFRNYLLAQRVMRAYHCNPVSHPEIPQTHDHPLWRSWDLAVELILAQLPDL QAEARGEKEYTYRHSDFFSEQLTAFEVYLQQGAVEQKIPEQLPIVLQVLLSQVHRLRA LILLSSFLDHGPWAVNLALSIGIFPYVLKLLQSQANELKPVMVFIWARILAVDQSCQT DLLKDNGYQYFINIFNPNSGIPIQNASEHRAMCAFIIAMFCKDYNQGQRASLSPELVD SCLDHLKDIQNPLLRQWSCLCLGKLWVNYEEAKWVGIRCMAHERLCELVFDPVSEVRA AMLHALTAFLGIRDVTTQVATIEESIASMILVMTTDGNSMVRRELLIFFSTFVLRYKT KFLVAAFEQISEEVNSDTSGKENETGDGLYMKGRASKTAVAALSTSCSQNTIYAAIWR EVMVMAADPHPEVARDASAVVDYVLLALLQSPLAKFAGPLFDELVRRSPVDRAQRRVH QERPVQQPTQPPTPSKEASYLSLLGGLRRTGSVAASLKTIWTGHDSSTSPQKSPSRAK FDEYNSSTDTRPQTPERYNVEEQPKTRGFLPRSLGVPPELPLKSRIFEWSVEYFREPQ MKPTEADEPGSTDYNGRLWRRNRNDKIIAETQPLKEVAVVNHWNHQHALFDNLSQPMK MCFHQFEEHVVVTDNKDTINVIEYSGGPTRRINCFSNGNPAESRITEARFINEDDQAL LMTGSSDGVIKIFRNYDTRGKTELVTAFRALTDLVPSNRNAGLVFDWQQGRGSILVAG DVKVIRVWNAGTEICTSDIPARSGSCITSLTSDQVEGNVFIAGFGDGAVRVYDQRQKP ATAMVKVWKEHKQWVTNVHLQRGGQRELISGCRDGEVKLWDIRMDKSVKTIQATSDHL KTLSVHEHAPVFAVGTQRHQVKIFNINTGRTVSTWEPYSGFLRDRSQPVTATAFHPHK LMIAASAAHSNFVSVHTCNVARQQQNHHARTASGVVKTWDGNT CC84DRAFT_1163040 MDISSELDTQRMESPYANYLPDELLLQVLDFLPRTEESQGTLWN FVLVSRQWYSVGISRLYASPFLVGLRYTHFVRTLCPSIIPRIKRSELAGLVKHLDLSR IVHQGAKSTTARLLGRTKNNLELFVAPQASFAINCWAALSKCARLKVLDLGLVSEAIS YQSLNQTLRQLGSLEELYMPRCSTEFGYGPEFLTVRLHWPPNLRHLSMSGSVNGKFLW EMLRQPETFPASLHSMALLHCPGLDQPGIKPLLSNLADRLTAVELRDLPAVKQGRFNN IMAWLPHLTTLTIALDYIDEDFGRRPADFTAESHWHLARPLSNLTLLTCGTQTDPRRA FTLVDLWDLIDTRFLGRLRRLSVAASSGWERVDEGALWETVRLGLEALDQENWEARRW HYEGLKGVPEGMAYEEWRGTLAGWRERPRAVVLRNL CC84DRAFT_1116492 MSAENDPAQRVAIGISFGNSYSSIGFTTGEGRAEVIANEDGDRQ IPSVLSYVEGEELHGGQAKTQFVRNAKNTVAYFRDFLGQEFKSIDATPCHESAHPLQH ESTIAFKVKDSAEDEENEVTVSDITTRHLKRLRNSAAYYLGKEVNAAVITVPTNFSDA QKEALNKAAKEAGIEVLQFIHEPVAAVLAYDARPEAKLADKIVVVADLGGTRSDVAVV ASRGGIYTILATLHDYEVTGLKLDQVLIDYFAKEFLKKHKSASDPREDARGLAKLKLE CESVKKALSIGTSANFSVESLVGGIDYTATINRTRYDLLGNKLFSAFSRLVLHAVEKA QLDPLDITEVILAGGNAHTPKIASTIANAFPETTTVLAPSTSTTAINPSELSVRGASI QASLIQEFELEDIEQSTHPMVTVTPHLSHAVGVLCISGDETNGVFHAIVDAETPLPVR RTAIISTPREGGDVLIKLAEGLRHIKVTKPEPKAKKEKAEDDSDDSDDDESDEEEELR EKTWKVSNVLSEAAIKGVKKGGKVEVQINIGADLGVNAIYREVGGKGGVRGIIAGEKA ANGSA CC84DRAFT_1087787 MVVLPGDIVPQDGLPTPTGKKKHLTLGPGLRHIPPSTVSSTIAG GLVTDPKKVAAWIESNSGRYLPTTGDLVIATVQTSTAEAFNCTLTPHTPYATLPHLAF EGATKKTRPQLAPNSLVYARVASATKDFAPELTCVDPSTGKSEGLGPLKSGMVFQISL GMARRLLAGKKGGVILLEALGEKVGFEIAVGRNGVVVVDAGNVKSTLAIGKAVQEVDE QALGEKAQKKLAEKVLKSV CC84DRAFT_1163043 MAEENNAPAAAAPAEPSKNALKKAQKEKEKAEKKAAAKARELAE RQKKEAADAEDVSKEDYGELPLTGSKDFKPTGATRVELSTIPEHEGNEITFRCWVENA RVQSAKLAFINLRQGLNTVQSVIAASDKISKQMVKFSGTLSTESLLVVTGLVKKAPEP INSATIKDFEIHIKKVFIEKKAEVPLPLQVEDAERPLPSEGLGEEEEKAAEGDARPLV SLNTRLNNRTIDLRAKINHAIFVVKGGVRLLFTEFLSQKGFIPVETPKILGAASEGGA NVFELNYFGKPAYLAQSPQFYKQMLIAARFQKVMEIGPVFRAENSNTARHLTEFTGLD LEMEFQEHYHEVIRVLEDLMLFIFQGLNTRYKKETDLVRSTYHVDDFKLPESGKVPRI PFPEGIKMLREAQGTGEDVGEIGEYDDLSTPQEKKLGRLVLEKYGSDFYVLDQFPLAV RPAYTMPSPENPKLSNSYDFFMRGQEICSGAQRIHDAELLSKMMREHDPPIDPNSAGT KEYVDSFRYGAPPHGGGGFGLERIVQFWLGLPNIRMTSLFPRDPQRVTP CC84DRAFT_1089862 MFEAISDRIETHVDPALVDGSGGGTQPEKLLAETAPDGDLPTQA ELSTLQRVPAKIPWRVYTIAFVELVERMSYYGTLALFSNFISKPRQKVDGTWLTTPWG AALHPNDANATPGALGMGKKKAFSITTFNQFYIYLCPLLGAWIADTYLGRFKTIVYSV IIAEIGHVLLVGSSTPGMLDKPNSAFALFMFGLLIMGFGTGTFKPNISPLIAEQIPQD VARVEVNKKGRRVIIDPAETATRIYNWFYMFVNIGALIGQLSMVYIERYVGFWPAFLV PTAFFLLAFPVLFFCKNMYIHTPPSGSVLGPAIKLPLLAMRGRWSWNPVRIWKNARNG SFWESVKPSRLGSSKPAWMTYDDAWVDEVARGWAACGVLLWLPLYWLSYNQINSNLIQ QADTMQLHGIPNDLLQNLDPIAIIILVLFLDLLVYPALRKAGIRFTPIKKVFAGFMLA TAGMIWACVIQYYIYHKSPCGVMTEEEGCRSDVNVWAQAGVYILIAASEIMASVVSLE YAFTKAPKSMRSLVQAFSLFTNSLSAALSEAFTPLSEDPHLTWNYGSVAIICFVSGVA FWFTYRDMDKDEDRLNMLPTGRHIGTPSGAGTGTDVELAPAGKTG CC84DRAFT_1142712 MSDMASSSERSDDDRLASISEEGTKKVSETMNHSTSSAGPAAQN DHKDQPEVARDEGAIAEIERFRRMEACLYKHRKEWEVNIGPGKWQVDSFFVQDPKERG HWFDSPEGQSWLTADKQVRGERKYNRPDIFDPAFVGDTNDAWDFSKSSIGKDVYDTTI DWGHRRDRLRKTFEWELDRMFLREELQLKRLEQQKAEEGKKRRERRMAENDTKSGEEG QGDTALPSDSTSIEAGVAWSEWFAFKKTGPSDSKAMNVIDVLIGEPIVDTKIDANRFW FRSSSHRVAKSHDPSTSQKTTDAMDAATSPLPERIRINSDTLLQIFAELLGPVAQNVL ELEEMNIVFTRPFKALAWREQDLRDWCLHLEKKFEDLQSGSKAFAALQKSSTSVNNHA DAAKGDAQEITDGVEKATLQDSTKSQVDSTETIEQQGERQVHTNNAPDGQASDADNES GNEKHEYEDFEDSDKSLTKSPTALKHLKYLLQFLDATLVAKRNYFNSPQCRKVFFSDL WHLFRPGTEVIGSDGKQAYRVIGVQSARHRIAPPWERWYKPIGRGEKKLEFRITCVYI DFDGANIGPVTKVFDISMFDGQREITSLEVYPIRFHSVRQSEYNETEWHEMENYPVSE RYRKRLIGRGARFLNVVRGKHMYYAGSTLDAREEVESPVVIDFETAITTRDAQLKPPQ PANLRGYPPPDEEQEVLPADLPWKPKLSSLISIPELDAPGYVECSGECCRDENVHDDQ YVDRKQKQEYLESLLPSKEAVDEQPPITVMPRPLKDLRLGPEGNFACSDDELVIMSYR VFGFVLRSRKFAKLDLTHLTEIHDEESIANSNSTSKGGRGQNPTPTKGAFDRLVLEEG HSSMIVSLIAQHFRDKKSTTGKREEFDIVRGKGKGLIILLHGAPGVGKTSTAEGIAEK FKKPLFQITCGDLGTTASEVELALEKNFTLANKWDCILLLDEADVFLTERSKEDFKRN GLVAVFLRLMEYYSGILFLTTNRVGDFDEAFTSRIHVSLYYPALNEEKTGQVFEINMD MIEERFRTKGRAIDIDRMKIAFFAMRYFNDHPDARWNGRQIRNACQTALALAEFEAQG DSIEDTEDRNLVVKLNVKHFEIVRDAYLEFARYMKALFGTSAARKAKESKLRAILVDE NNNIVSTQNLGDKRMDKAAFTHASQNHSSRPMGHSPQQSFQQSPDQQYARYQQQQSST FGAPQPQYQSSQHPASQYTPQYPAQHQNPSSQEWYAQQSRNSSAYVPEPQQGGQFQSS VPHTQQTSPSPNRQQQAQLNPPWLGEEIRNMHAASGQLGDEQSSAGASRFQRT CC84DRAFT_619656 MTNPPIPAITKRAPTIDFIDQRLPKHHPLFATQTVQYDVMSGQY QAVGASNSGLVSTAASNAPSSSNSLVSRPEVADLNAMPFWNEIFADSMSQFKSTPEPK GRSKSLYDIREKTDWHAVYDTLQMARKKYETSGGIIRDMRRKVADRITPGAEVARIGS KVTPQDKIVTPILGAVELILGAVEIAALVRKKALAGLDDMVPVFSKVELFLSMFKEDA NIRNASIDLTAVTLAAVERTIGFFISSQFARTGKALLSGEDYQKGLIESFETIQTKSH DLWEQAQNSHMFESHVYYQEDRRIQAQMGAKIDLSTLVAVQGFNAVNNLLNDLAQQMD QKIDRMLQPIRQENISLRIENDRLRSTSPTRTSMWLPPPQPIPGLVSSWSINQETLRN IIDVHDLDLTDLALVQDRKEQLPSRDRSRAEQVTNTQLFRSWIVSPSSTKLLIHWDSR LPKMIAEVSPLTVFCTTMAQALRSNPRFLSALWFCGQHIDAYEFGARSGGQMMIGSLL DQLLRQWDFNTEPLHNYIGYDSLQKGDVEALTALLGFLIGQLPPEIVLFLIIDGAALF EREEVQDALPVFLSLIQLVADNSVRATVKLLITSTPGTDIIRGSFEEEDLILNVDSLP ILTAASEERMVRELEGELHGDVP CC84DRAFT_1163047 MSDLGRTRTEDSTRRARTRSRSRPRSRPGSREPALHRLLSNQFP DDHSVYHHEDGEGIHVDGDAASVHRTETQRRTAELSDSDSDSSDVVENEKDEPASPIE GQETTIQEIRDGIPDECDVEANAPALEKKKSMGSIKDPNLVTWDGPDDQANPKNWSMR RKWAATLIVSCFTLVSPVSSSMISPALGSISRDFHITEEVEAQLTLSIFVLAYAIGPL FLGPMSELYGRVVVLQVANAFYFAWNLACGFAQSKGQLMAFRFLSGLGGSAPLAIGGG LLSDTFYPEQRGKAISIYSLAPLLGPAIGPIAGGFIAQTTTWRWCFWSTSIFTAVVQC FGLFFLQETYAPKLLAWKRDRLRKETGNMELHTEFDDPNKTVFNTIKIAMQRPFRLLF TQPIVIVLACYMAYLYGLMYLMLSTFPGLWRSQYNESTGIGSLNFISMGLGFFLGTQI TAPLNDAIYRRLKKQNNGEGKPEFRVPIMIPGSILVPVGLFWYGWSAQAKVHWIMPNI GAVLFCGGVIVSFQCIQTYLVDSYTRYAASAIAAATVLRSLAGFGFPLFAPAMFNALD YGWGNTLLGFIGIALGVPAPFLLWKYGPTLRARSQFAAGS CC84DRAFT_1163049 MSSTPSCIAGAARAIQAATPVRIPAAHRTFASINSSRASIPPRA IAGNTAHRIVQAEKNVLGVSRRINGAQEQMRMFSSSSQRHALKTIQQVRARNKSGPFN LTAAILFVATAGGLYTYFTYEKERMARQRIANQTKGVGKPKVGGPFDLVDHNGNRFTH EDLLGRYSLVYFGFSHCPDICPDELDKMALMHDKVKEQCGDVMVPLMITCDPARDTPE VLKEYLAEFHPAILGLTGTYENIKQTCKEYRVYFSTPSTVKPGQDYLVDHSIYFYLMD PEGDFVEAIGRNFTAEQAARIISDHIKDWEKPLKKESRWS CC84DRAFT_619848 MKSPCNTVSYRYSALCPHRSTGLPQARSDVLHGCRPRQTHGRDS VHHLPVEAESYQRLSPTCMVSASTSAGAGLACHTQYQFRTGTAASHKLRPDLEQRCLV ATASMERVIAMRSCTRASDQIITLLWYNRAAIRRANGAGARHRVLGGPAVREGAGHRI ARQS CC84DRAFT_619870 MDRVSSSKAVFAAFDSSQGLGSAEQTLENLQLHSGWRHADLSMM RCKGALGGMRNDQGLDPHLHLLFPHSGCTPEGVSLRQSRWRPLTAALTLQILMVAKPY SKGQECCSTRASMHLRSTTGRGSDSGAGAKLQRQGTEAPKPSDRRGEAYAYRGEGSLL DGPRHACLQLQHTYIGSAPLPLASCYREIL CC84DRAFT_1215760 MSNNPEVMDVNVDPLGQVNPKVPANTEKENTFNTPAHAYAHDDE KDLDVERIKSLDDEHHDDFTIDPFKPFDDLPEEGRHILTIRAIFVGLCCGALVNASNV YLGLKTGWTFTANLFGAIAGFAVIKFLSRVVPESWPMLGGGFGPRENNICQTAAMASG GLSSVFVSAFPAMYQLKLLGATPKDDYWSIVALAAVSGYFGFFFATPLRKFFIVYVAR ELRLIFPTPSATAMTIRSMHQAVTGEAIAKMKMKGLSISFAGALVLRVVSQYAPGILW DWHFFTWFHIWSKYHSHALAVESWGWFLEWTPAFIGSGMLVGLNVSISFLGGSILAWG IIGPALVHNNKAWGTNLGEGTKWDGYTNFASLSLAACNKDYPSPRYWLLWPGVLLMIA VSFTELILQWKVLYFGFKALYRGLAAGLYALGQKAGRDLAWAKKAGARADEDLVEDSA APHEQVKMWMWAPGLVLSIVCICIVLGVQHDMPVGMSLLSVFLAFFFSFVAVQCTGIT DITPLTAASKASQIILGGATKGEHWDITRAQRLNLFGGAMCSMGASQATDLVCDFRTG FLLRTPPIYQWLAQGLGTIVAMFLAPAMFQLFATAYPCIIDIDAETCAFGIPSVSAWR ATAVAVTDPTFPIPASSGYFAIAFAILGSALTVVRHYVWVGRLEWMRKYHPNMMCVGL AFVLAQTQYGTAMTIGSAIAAYWQAKNPEHFDIYGYAVAAGLIAGEGIGGVINAVFQV AGIAGDKYGSCIASPAGEIVGC CC84DRAFT_1088636 MRREYEDDARSRASTMSTLNGDDLDFGFPEKTPTNKTFSADRSI PPTAAPAARKAMGPLESQLAALMDKVSQIEKKNPVASVTPDDYAAMKARLETLEAEKR TWQKRHEAIWALRDEDVANNIKIRGVLAKTRRELEAMTKLRDEDLVNVQAVRIKLAEA TKQVDRLKSQGPAASGRTSPMRGGRPPSMMLERRDTTDLFAAAKAAALEQRALEMEKR NTDLLHQIETLKGGANIDDLNRMTAHEAWKSEVATISAKLKAKDAEIAELRAAKSMAA PAPSGGTSAAAGAVAWHRVEAIHEEHANYRERMGGKLAVLRAEKEGLQKELHRKEDEC HELEVRVQSLQRRMGA CC84DRAFT_1215762 MTGPLTIPNFEAWREQHNQKQQSNKRSKRGRQNVYNPTYESLPG HKFFRLDRGAIDDEFHKISTAVLKTLDGARPQDKQLAALQLLARRLQTVVIPETLDVA VVGEQGMGKSLAINALQHRPRLSTTSASGGACTASAIRFCYKQDAAEYSDSFDAKIKF MTDEELTESIEEHINRYYHFHFSDNVDDETYFEDQKAAKDAEAFLELLHNSEHDCKAA SQLKILLTADNIKGKNLLNATMSMAHRRIDETRSLWSESEERTIIFKDRGIKALMEEV EQYMAMFPSMPSLWPIVQSLDILLWSLLAKHGVNLRDLPGLNDENQIRTAATNAFRRK AGYEMIFARADRVTTDNNVHRYIRQSIKAHGAKGTILILTKKDEYLLDTNSAEKVIKT HEAEPFPTIRDHMALNEKAMDKLPEDDEAADLEYNDRQEYHAYLGNLGRLAFIQHRGE GVAAEMKDKFKEMDPVPITVFSISASMYMEWMKQSHVERPLMSPAETGVPAVRQHLLQ LCAEANLKVYEDHAFGKMESMLDKCRRITDVERKDNSYALLRPVFAAVVAKIRENLVN IFSQFISNDNVDEVFEFNDRPTRQKELLRVVDDWGYGTHWNTYRSCLMRKGIGFSASA KYKTAENPNGSYNWNEDQGNVIIKDVDGWRERMDPAVSLLARQLEEAIMSGCRDIQAC IQSSSLPAELRTAAIQEWNGCELNVLRLANAEDKLQDAVNDTHQYATTETDIRCMNAK VNVEYYREVYDIDNWSRSKFTSRFAAQKSMMSDVMSSPDKAGRVLIDRIEHAVTLRSR QDLEGAFDGFLAEVIKAAEAFDEHLSDLAPLDYDLTDSDRQLREDVLRRIPELERMVA DVRAKFSDEALLTQQVVLFTRHREEGEPAAKRIKVDADE CC84DRAFT_619907 MGNGTGMSRNHFDHWNAEALAVVICSALALYNALELELLIFTTF HAYRGLYFWSLTLASFGVIPYVLGFMIEYFRLSYLSLGTAIDTVGWILMVTGQSVVLY SRLWLVFGNNHKRLLKMIKWMIIFNGCTFHGLTAIVVYGSRFGKETDGFSKAYDAIER IQMCVFFLQEVILSGIYIWKALDIIQTSDRKRSHHIMWQLFSINVIIIALDITLLALE FSSQHVAQQTIKGLIYCVKLKLELAILNKLVDVSTVHARANALTLGNTNDFLDPTKTV WDITRFTPAFSSSMHTYPKWMQDYEKSGLRAAYSPTDSTWIQAQRRNTNFYADDSYFS AEIQPCTMLPDPRLEGRDRGSATDLLYAEALRKIATPG CC84DRAFT_619942 MGELLFSRCFCSEHGVWNIGFGLVFCWYPMLLGTHQASRVGQGR ECWLDAESKRKPSCCCLLLWTVLAWIHMSSRHVLLVFGRSVLVTSALMDVRPHCSHDP WWSDFCVSMFSCETPMDQDFRGTLRG CC84DRAFT_1174664 MLVQIAFAALVGVAHCRNKQHPILLRPEGIEQPLIGFGTWNLKE SPENTTNAVAFAIEKGYKQIDCAAAYGNEEAVGKGIHKGLKKAGLKREEIWVTSKLWN DHHAPDRVEAGLDKTLKDLGLDYLDLYLMHWPVGKGLDDKMYHYDYVDTWKSMAELLK SGRVRRIGICNFSPAQLKELVKHSLKGDSPLPAVHQMELHPYLPQAKWIYAHKAHGIA VTAYSPLGNMNPTYGDRLRRSVTTQSKGPLLLENKVIKSIAKKRECTPAQVVLKWGVS RGTSVIPKSKHEKYIEENLGATGCGLGTDDLADIDELGEEPVRFNNPSDGWGVHLFDG LDDA CC84DRAFT_1258001 MSATETIALSSVAPKVPDQIHHPFDGPGNSPSSSPSISSTGLSI PSTPPSDEQNVALAQLAKPKLSTARLILTIFVPSLIGFWASFTNGIITVGLPVIARSI SLERALYLWPQSVYGLTSGAILLIAGSVADICGARIVELLGIFLLGAMTLACGLAQTG VQLVVFRAIQGVALAMHLPASVSIITTAVPAGRSRNLGFACLGMSQPLGFSVGLVLSG IMIEKAGWRSGFYLSGGATLVAAVAAYWALPKVNTAVAAGSRSTWERLRKDVDWVGGV IASGGLATLAYVLAILSADLSTIRSAETASLLAVSLVLILAFPAWMHFQTRAGRPALI PNSLWKNVPFSSTCIMVALSYGVMNSGEIFASLYFQEVQNASTLTTSLYLLPNLVTGV FINLTVGLVVHRVPARWLVSGSAVICACSPLIMALVNPAWNYWYLEFWAQVFAPFSGD VLFTVGLIIVSDSFPEKTQALAGAVFNTVAQFGMSLGVGVCQVVALGVMDSSSGASHA GKEGEAFEEDAGQVLKGYRASFWTMFAYMLVCVVIAVVGLRKAGKVGLKRE CC84DRAFT_619961 MSITWSVKRPESATLCERCTPYLPLLVEVSLAGKDYKAHDEWWK ATYDKVKPWVIQGLYPSECELCQMLQRCFSRFPGFDTATTEIRVTNYKPSSHKGLDHD TCIFKACGYSLILAPVNPSVMVGDAETVHAFAARPVFASFNPLLAKIWLAKCGAQHAA CKPDHSSENFNFPFRLIDVQEGRLVEAPPDVRYIALSYVWGGVKQVMLKRSNKQFLEQ PGSLTPEGLAEAEGEYASVKEEVESEGSVVPRTIREAIRLCQLINERYLWVDSLCIIQ DDEIQMATGAWTNADKLAQIPKMDVIYGASALTVIAACGSDSNAGLHGIHPSNARAAQ TMGKIGDQFFVSIQNDPMAAFWRSTWVNRAWTFQEFLLSRRHLIFLPEQVVFHCRTLA WCEDHPLEYIDDGVTHLGSSAPTWTRTAELSPLILPVRSVWAADVFFPRIFIEKFYAN WLQDFLQRRLTVPSDHLSAFEGALSASKNLLGTFHYGLPVGYFCETLNWKVGQGRDGW NNKSPYRGLTQRRSGFPSWSWTGWIWDLPRTETFNLSYQVDSAEQWRRVGIWGIKTST SEDLEPWHITSPDVTPWDRLNLAPSGAFDTDEDWIHEKLPMYFDIARKATIPSNCLII KTIIATIHISSHLLNQSSNLNRAYPTSDFTAKQPLDGIGFSSEWAHRIEAGLQLQIIA IGNFYWGTNPKQPDYTDEDDPTIVCLVVQPVGDGIFERLNVLRAKASLIKNLDWKPVV VVLQ CC84DRAFT_1163056 MPSPQTLTSFLSQNLPQKSRAQLRTSVLPTLLTSITRVAQCLRE SQDIQAAGSQNTSGDTQLNVDLATNEIVHAAVRTCPSIISASSEEDEGENAVQHDAGV QPHQEGEEQYAVAFDPLDGSSIIPANWSVGTIIGIWDGTSALHQPARKKQIAAILGVL GPRTTAIVAIHLPELGSPLCFEVGLSSSTADILVIRESVSYLTPEAIKTRYFAPANLR AAAQDPRYKALIDHFIEKEYTLRYSGGLVPDIYHSLIKGHGVYVSPVTSKSHAKLRLL YEVAPIALIIECAGGRAADPASGEDILAKSVGDVDQRSGLICGTLEEVLMCIEMLV CC84DRAFT_1163057 MLKMASRTTVLSLGVLLLSAHTARAATHAEDVTFLAYEESYGYQ TETAPSPSPEPATTLNGGYGYGQEVSTSADSTATLPPITTPTPYAPSSSPTCSNSTTT VTIPWSTSTPSTLHTCHNTTVTSTIYPSISSPSASPSRNCSKTTTTVTIPWPGTGTGY LPSGTGYAGGNGGWNGTTTYFAPTQGTNPPPSPTPEPPVNSTSNFELPETSSELVQSS SAVEYGGDTPTPTPTPEPAPQPEPQPSGNTPPAPPASSTGPEEPLFTGGAARAGSGVA LAVAVGALVAWL CC84DRAFT_1163059 MSSIVGRTAFRAAPRLRATQVPRRWNSTASSNASDAGKADRQAG KDALKAGAKRDPELYVLLAIMSGIFGVAGWHFSRSPTSASSERSVSQAPNSKPWEEGG GEGKYQYHPGGDTSAPKKDAPSALNTVIIPNVTLPKELHDAYNKWGKDGY CC84DRAFT_1089479 MVVQDDQAARAPTKPEDEHTTFGAPSPTSQNRNSTGSRVLGMTG PSGEAGLPPSRPSSIAISQSGSNRRWSREPPSRRGGGGVLPSAGTASVAGSMGAQSRP TTAASRTHVPLAAHGFFRPMSSQRLQQQRNQRPTSLLGHSSLYSEGTSEIGGNPYRQS IGSNQTVRTQQQQPLGLGLSHSHDPDQQLPPSRGTDITDRDLPDRTTANSTPTGAETV RSRGESITPLHRPKPQHLDLSKSHKGESGKLPTPSRSPRSFSASFGLSGSREGRSQMR PQTGHEKLASAESSPRMSRKEPTKETVKNELGKNYQYFSGNTAFCWGGRLQNTRDRPV NIATGTLIVIPSALFLGFSAPWLWLHISPSIPIIFAYLFMVCISSFIHATASDPGILP RNLHPFPPPNPNDDPLSVGPPTTEWTMVVSTSGANAAMEVPTKYCKSCNIWRPPRAHH CRVCDNCVETQDHHCVWLNNCIGRRNYRYFFTFVTSATLLGVYLLAASLVHILEWRSQ NGVSFGAAISKWRIPFAMCIYALLATPYPLSLGLYHVFLMGRGETTREYLNSHKFLKK DRHRPFTQGSIIKNLIAVLQRPKPPTYLHFKRKYEEGDQRFGPRRGKRTAPLTAEQQG GGLEMHDVRTATQGFQGPASRAGHDEAGPPTL CC84DRAFT_1258007 MADRTTSPLSTASLHFLVTAPAGKELDSRTSTASPPTSTPPTSL GDEASILSETSKMEIIETREEVSTPRAAPPSPTAPQSAPEASSDSAPRRAMRNTRKSV VTYNVQILAGTAIHTPTKYLEKHHENVVHGDIQEVIKTNPTPPKKRVGKRRTKSVSAD LDDPADQQLASEAAQAARRRTSTRLGNDLRRAALENLSGAGSAVANTLSAGKDFVQKT LKRSASDSRLRSSFRSAAQSSKFKEDTTDEEEEEEEEEEEEEKEPEYFKPKTKQWLKQ GLYVGQERGFDARFTEKRNRARREARQAKESKILPLPLFATQIQLESNPRVVYQPFKL PYDVYNPLPRKVKVDGWVKLNKNRFIGDASALWKREKHGDASQCFCDPEDGCGETCHN RIMAYECDETNCPLTPEQCNNRPFAQLKKRAKGNGYDYGVEVMETEGKGYGVRAMRCF EPHQIIVEYAGEIITQDECERRMKQIYKKDKCYYLMSFDNKMIIDATRGTIARFVNHS CEPNCEMIKWTVGGEPRMALFAGSRGIMTGEELTYDYNFDPFSLKNIQECRCGTKSCR GVLGPKPKKPANHEERTLPSALLAGVAGAKRKLQDMFVGGRGSVSAPNSPKKRMVVTS AMTKARNALAQSEAERERATREAEAHAAMIASRENRAMQRHRALATSKRGRLLHKAST AAKPTVRTSKKTVINLKRKVTVSKTAPKPGALKPVKNLPGKAAKGSRLQKPSKAALLM SRRRSATPESSSDEDSPNITPASLRSANKKATPGRKPIKPLKLSKAPGKLGQRTLEVP DSASDSEMDSDSEEEEVPDSDVDAEYGKAVAKAKFAGKKKGSAPAPRGMHKTGAGVSK IPRGRVRNERGRYEKKKAKA CC84DRAFT_1064023 LGTPTAHLTSASQPHSHIRIVPREQLDSDSYEDSTPTFRSSSLQ LPPLPLRDRGSRTGSAHSEVSTPINTTFAQMARRSNKNDMEGVEKPTSGPVPLSGFVS FKTHSDGSDNISPTDTRPPAIENHLRLFEQLPDIIRLQEQTGDFDGQVVFIGHPNRDV SAHQWISDSYQWINVGLWSHTRKRIEGSLASDRLATSELSFNSIEYFKFAAERRESMI KEHGRPRTDPEATNTEPTPTEANTDQTSEAPVGSFAATSARTVTGEKLEDPFITPGNI LQPAPMLVFNFREGGPTVANPYRGISTLNAAAAPYRMLPKKQSSGESDSSVPAFNIPV SASDPALRFSDPDGRQEHVHPIANGFNKQAPTRQNWSGPFFADSMPTTHDPLASLSAQ ISIEEKLRNWYRDGQSVIRQQDYAKTLVAAASASNKNRSFAVIGDGSARKQDLSKHAN THLFARVYEHLSEYAEQSRTDGGQSCFTR CC84DRAFT_1174671 MEDQQVQQTPLRKRFLDQVLSSNSTERPAKQQKDEAVCGKGEKA LDKILVPDTEDDGSSTLHNGDLPGALDNGILGTGARDDPIRFPTWESGNVISSKCHGT FIELSDDEDEGNKNHSHRTTSPNAFKSVCPLHITGKESAESTGAIASLMRKQASLGPR SPLSVDSKSSAENVISTDALMLFGHLLKNPNRLVKLEEYDLEHSDDEFFEYPFPDVAP SINGRSGNVFRSGVSKQARAKDRGKQPVSMAVAATEDNFFFVPAAQDRDEADDSDLII AFEKSKPAGTLDKPWMISVQEEHEEARESNRVRDSSDIEIQPGHCGDLLYAPSVASMA YQGSDSLSSAKTQLTASRAPLELASSINWNKSLPLATRKRLFFDTFHHLQRARLESSI QGYYSNIQSGISITECWLYTGSKLPKPPIQGLAMSVTLRCNGHTERLGLNPLMVKLLL DGNLSQEQIDGVVEHSWHTSHLCGNWTCFNTAHVVLEPGSVNSNRNACFHDIDGPCFH IPRCLKHLKLDKSLLGRATDQASLNVTAARNGHWTGDNGVCERETLNLSGWLSALLLG KDAMNGYAEVVANDMSVFRKRLTNG CC84DRAFT_1054282 TFALNTDGSVKHTAVVSIPRGGPVSTQLYNSNNNLAIAHYGGHG LTTFSNVNGAFTLLQNIGYENRTTGPKPQQQDGSHVHHSVLDPTGQYVIFPDLGLDVT HVFCIDSSSGKLVEHAELKAPTGYGPRHAAFWKSGSKTFLFVIHELVSKVISYEVTYV RSGGLTFKKIDEQSTYGPTADPAITQYGAAAEIAVSPDNKFLLASNRNVTLTQVPNVD PANSTQIDSNSIATFKPSSDGKLAWVQLAPSGGLFPRHFELSKDGSEIAIANQNSGNV RIYKRDVKSGKI CC84DRAFT_1258011 MASFPSLRQISRLASRHTSFTSPSPSILRPCTRASRSFQSTAAP PTVPEFAFAFDIDGVLVRSSDPLPRAHKALSYLQKHRIPFILLTNGGGKHEDERVADL SSKLDVPLSTSMFVQSHTPFADMHEYKDKTVMVVGGDGDKCRVVAEKYGFKTVVTPID IISAYPEVWPFSQQLLPYYKAFMRPLPAPIDPASPSTSLKIDAVFVYNDPRDWGLDTQ IIKDVLLSREGIMGTLSKKNGDATLPNKGYQQDGQPPLYFSNPDLLWAAKYHLPRLGQ GGFREALEGVWAALTGGPSAGVELQKIVMGKPHQPTYAFAEKRLIAHRETLLKQVDAQ AGQLKRVYMVGDNPESDILGGNSYESPHGTDWASVLVQTGVYVEGTEPAHRPRKMVGD VWDAVEWAVGEEGWKR CC84DRAFT_620271 MSEASSSTTGNSAPTTGTGTTAELLVRAPIKRARPQLSCTPCRQ GKLKCNREHPVCDQCAKRSRQEVCQYVPPPPRNKQAQNMRGRIRNLESLVVNLINQKQ QEDGASTPAAPSIEPTATKEVEELSLETFGQMRISSQGNDHYVGAGHWSALLKEIEEV KNGINDDEEEEDQGEEWDDDAARSTVTFGMPRHITKTQLIQEMPSKEECDRLLPLWFN SADPLLFIMHVPTFQEEYNQFWIDASSTSVMWIALLYSAMALGIILGPRNPGMNAHAA AYDNSSGSIYNSTDSSGTNDYLNSAVNRFQQLASSAIVLADVTKSQPYTLETLMIYSE CEFLRRDDHHSKIWLMNGVSMRVAMRMGYHRDPSNFKGMSPFQGEMRRRVWHVLNMLD TLISFAIGLPAVVRRIESDTRAPQNLYDLDLSPNMTKMPKARPSSELTPATYTIAKAK ICAVFAEAAELAQRITPPRYAIVVSLEKRLEEAHDQVPEGMRVRPVQDCVTDAPVLIM GRYNIELLYLKTKLVLHRAFLTAGQTDPKYAESRKICVDSALEILRYHVTIFHACQPG GQLNKVWWYMSSLQTFDFLLAAMVICLELNHLQSTGDSSSGKISDMFSLLQSTYDIWA NHPNRFRDSVRGAEILKAMLKKCSSPGRSGLSPQDPAPSGFEKNTSDLPKEMTPESLP EELPPQIWNNWPSADNMTFDVPDIASEIDWNLWDSTMMGQDHMLPPTNWVNSNNTTME SWMGATSNVDSMIAQGLEGFTDLRDPLNIYGPSAFNPAPPPPSSTNTS CC84DRAFT_1258013 MPTPSSASRYRPAVLVFTGAAAAYVTWLLYTSFSGAPSEGLHRS NAVRRPNARSRPRNRPQRIVEQLLAEPIALGDFDFFGRTITLETGNVMDHDSLLALGR ELQPDADDERIERVINNLYDYYVDMFVETLAQGRPLSEIPRGELQAIVSIVNEQSTWQ GTEYAFQRLRTRPSILAAQHPVDDLETIPPTEAGDWEDDTVADMEGQTLQRTLYHIAE DRARHEGVVHRGITCNGCDSRPIRGIRWHCANCADFDLCSDCEATNSHVKTHIFYKIR VPAPNLSITKQEPLYPGRPHMMSSSIAPTLKRRLVNDTRMEAEEIEALWDQFTCLATI PWTTDPNGLGWALDRRAFNHAFIPRYSTFKSAPNLIYDRVFSYFDTDHNTLIGFEEFV KGIDGLHATDAHVKLRIVFNGYDIDGDGYISRKDVLRIFRAHYAIEREATRNYLAESA EEMSVRGALDVIQSAQPLASAFTQAAIEPGEPNWLLRTKPPDDEIETAPIIENQPDTL DRDVVIRSTSKNMFDEDGDELDPNMSADNILKDRWARRQFYTDEEEGFTRPEGAPESP RTTAFDDQTNGFHDEHPVGDEEYKSPTLESERPRWSRSSSRVRFQDDVDVDTRSNAST SSRPVGERWGGYEIPEPEKDLGKEVLYQITQQAFNELLDPLFKEGEDAAMDAYACRDE RRHYVAEIDAILEKFQSDKDMLENMNNVGAFTYSNCILKALCEQDFEKLSEKAPLDLT EIASIVGNMFKSAEKSITTFSAHWVKDRSPHHETDLWLMMLYRAQLEFEVTATLLELA MRQGWVLAPSPSSPIARHIARHRHSASKVADRDPTFPQFRPNSLKDVVPPIKEAPDTA VNDTPPLPKRPFGPLFVVPARTEPASTANDSKPATEVGTAPYALPEHNITLSRPLAIK EIGPHPYMHSLSFSLSGGTTPTVTLKDETRILGQHPAYDPDMPQVDGTFHAAIRAAAG EPDTANNRHLRRVALARLESVDRRDGERKGSGKVGFEEFEKAAREGRMRFLESWMDWV SF CC84DRAFT_1163067 MSTKSLPEFSLSGKVILVSGAARGLGLTQAEALLEAGATVYALD RLPEPSPDFEPIAARAEKEFGTQLHYRQIDVREVEQLNKIVEDIASKHGRLDGLIAAA GIQQETSALEYTAADANRMFEVNITGVFMTSQAVAKQMIKYGNGGSIVMIASMSGSVA NKGLICPAYNASKAGVIQLARNLAMEWGQYNIRVNTISPGYIVTAMVEALFVKYPERK ESWPKDNMLGRLSKPKEYRGAAVFLLSDASSFMTGSDLKMDGGHSAW CC84DRAFT_620324 MLPAASSSKALESWQSIAKRTQQQREATIAAVHPPIPDILDLPL DSTPIPKTILTPTEISITESDPVDLLAKLSSASLSSVDVTKAFLRRAALAQKLVNCIT ELMPQAALERAGWLDSYLKRHGKPIGPLHGLPISTKEMINIARQSCNAAFISWHDRTP TANAHILDILLDAGAVLYARTTQPQTLMHLETSSNYFGATVNPFNRLLTSGGSSGGEG ALVGIRGSCLGIGTDIGGSIRSPAANNGVYGLRPTSGRLPMQGMTATMLSAEHILAVI GPLSTSLGGVKVFTKTLIDARPWVREPGLVPLPWKTEAQFPAGKDGSGKRMRVGVLTD DGVVRPHPPILRALSALAEKLKHVPGVEVVPFAPLAHDTAWTIISSLYFADGGSEESS AIEASGEPWRPLSKFILHENKNVKKLSIAEMWDLTAQRDAYRTAYAEHWEDSGIDVLL CPVGPGAAPQLDTARYWGYTAQWNLLDYPCLVAPVSKVLPTDTVDESYVPRNEDDAYN HLLYSPEKYANAPVSVQLVGRRYEDEKVVEAWEYVQGKLGLPWAAYV CC84DRAFT_1059453 WSCTVPSVHDDTPLNVRIYHPSTLPSQSDKPWRKRGIVMAHPYA PMGGSYDDRVVGIVAEEFLAAGWVVGTFNFRGAHAAKGRTSWSGKPELSDYHSFAAFF MHYLSYLRPNPPPGAAFTPDQPPVPPTVAMDGRAPIVVLGGYSYGSLILRNLPPLPSI LQPFAAPTPGSAAHEILLTAHKLADQDNLEFINAARDTERRRRRGHEHKLSVKMGGEE TSPGIRRSSREIRRSVDGGSRSLDLGSRLRSLSHGHHHRRGKDASPQPQPAAPENGSV IQIPQVRYLLVSPITPPTATLAAPALARGFWSRAGDGDASLKMHETLAVYGDQDMFAS TRKMREWVARTEAENQTQGGFRGVEVAGAGHFWHEQGVERELREALRGWERRVR CC84DRAFT_1142762 MAQKLHHECTRVPITALAECGPLLIVAEGPYLRFYDRQQNILIS SERLFEAQAIHGVRELSSSADHVHLVIWGGLLLRTLLLDTSYDEHDGWLPLNARCSDV ARAPDWILDLQSAPKSPGNDIPERCVAVTAHNALLDITQELGVQYPRVESLGPISVSE LTSSSRSILYSAHLLWESDDCILVAAGTAFGEIIYWSWNQSRPDENRSRIHHVFLGHE GSIFGVQISHVLCINGQKSRRLLASCSDDRTIRIWDVSRVTAEEGSDSHVIDTDSQRT RHTGFSNASFDADVSSKDCVAIGWGHTSRVWKVEFLDSRGSISVKPDKIVLLSAGEDA TSRTWTLITNVDSSTSPSTPPWQLELMDTAPYHSGKNVWSISIPNASSSHRSVALGGA DSKITKFSLRTKKQSTKQAQYDIEYFTSTLASLEESTAFPRKAGHRSSKQMEFLRSYA FVDASSFILTTNSGGIFLETLSQHGSKIDLSQLIAKPEDLCGYSVCAGEPSLGLAFVA GAKGTLYAYHKETSRLTQLHTFNGKIGEVFTGTFADSLQSKRIVLLVTLVGQKDAQLL HVDFSECKEPQYLYEELPESARPRASSTTVVPIPDHITGLVITSMVYVDTSVGSYVLL GYRRGSIAAYRIVDQRGEHADQSSAKLLGIIHSVHGKETVTAMTWVPRGTDSPSGHLV STGRDGCVAIHLIELETMSYRLVHNITLPVGPNIEGLYIHNSELHVYGFSHKEFVVYN TATEEVTMSVETGGAHRSWSFQPDVSMYRGGGTLVWTRASSMHILSQSGPDHDVIRSG GHGREIKAVAVSPGVETDGSKLQLIATGAEDTDIKIFSYTDKHLTCLRTLRKHTTGIQ NLQWSTDGSYLFSSGGCEEFYVWKISRLPSEMGGIGVVCEASCPPESEHSDLRIMAFD VAQYTESPAVFFISMVFSNSVVKVYAYMPTQPERWHKLASGLYFTSCLTQCAFLPMGE RKMPQSILTAGTDGHAVLWAFSPPPTQAPETLTYRSPTTIHQSSTKTLVTHVLPTRSD KTLIISGGDDGTVSFLLARCTSINGVEWAGSPVTVVRTHASAVTACAVVSHNGQLLLI TSGNDQWVRMWELVLCVGDGWEEDKDWIEIRRAGKVKTRVADVSSMAVVGGEDMEGDA ARVLICGVGMEVIRVGGNTQVGLGKGERS CC84DRAFT_1185911 MSLGTQGALPEGAQDNNPDVEAQWAVQAGKHMETYWNILEKVKG SSLRLTKFDDDIYAHFKKDFPEIDVSGTLDQDAMKSKEGKERWRKFMEEYKNTVDDYN FGTMLRDSPKAEYGPDTTMMSVRMQFYAIEIARNREGHNDWVYDKAHGN CC84DRAFT_1089296 MAPNSAPNRADEPDKQEVPDAEEQLFVNTMGWKALFSFTTRKHL PVLTFAVLASTLAALTLPALAVLYGLLFREFGSVAKGDKSDSQFLKQVSTYCIYVTAI GGVSWLGNSLHFATFVTFGEMQARSARGRIFGALLKKDMAWYDTRDTGVAAFLPAMQT QIHDLQIAVSQPFGLAVQCVVQTIGALAVAFYSSWSLTLVIIASVPIMYLLTAYLSKL LAQSAHEQSDILRRALKYFTNAIRSIETVKCFNGERHESQRYERVVTSAGNLYNKQAN FRALQLGAMQFFTLSVFFQGFWYGAHQIFEGKINIGQVITTFWAAMMAVQGVTGFLPQ FIVLQKGKVAGARLRMLIAQISEDGALVETSGQLIPPKCDGKIEFNNVSFSYPSRADQ IALRNVSVSFPAGETTFVIGRSGSGKSTLGQLLVRFYQPASGQIRLDGTSIQDLDVHW LRNQITLVEQNSVLFDGSIRDNIALGKRGQEANSTEIVSVVSFAMLEQMIHSLPDGLE TDLGTQGNNLSGGQRQRMALARARLRDSCVLVLDESTSALDYITRSTILQAIRSWRRG RTTIIITHDITQILQQDVVYVMDKAQVVQQGTRDQMVAVPYSPFHTFLVEKDWKDESE DDESEDDTDMIVGLYWDSWSGSRTPSRLPSRPPSRPNSTIVRRSGMFSPFSSPDVEAS LSQSHRASGDFTGERIINFSGKLRPRPLTATSTVRDYSPLKVVSRPQSTVSYHSKERD VSKVSSIARPESVAAPATILRPANKDEYPSFRRTFREKMEIRKQLRSKIHEEKSAETI EQLSIAGVLRTVWPLVNWATRLALFGGLFCSLIHAAATPVFGYVLSQLFSTFYTLDNQ RELAQTYALSILGIATADGLANYGFNVLFEISAQRWATTLRTEGVKRILLQPREFFEQ EENSVPRLAECLDQFAEEARNLPGRFFCILIIMVFTVVIALIWALVICWKLVLVSIGC LAVMFCITRIFHAISNHWENLSNSASERVGQVLHETFFNIRTVRCLVLEDVFRQKYAE ATSSALAIGMKRAIYTGSIYGLNYASAAFTTATLMWWGAWLVSKGQYTSTDIITTFNV LMLSVAHVNHVGDYIPQINMSKDAASRLMRLARLPRNSYELSGNSQLFTAGDIELDKV DFTYPTRQNHQVLKNVSFRIPRGSCTAIVGASGSGKSTIASLLLKLYQANAKSHTHKP DISICNQDIKRLHTLTLRSRIAVVPQTPVLFPGTIRENIIYGLDFSSSYTTEENIRAA AFAAGCEDFIDSLPQGFSTVVGDGGTGLSGGQAQRIAIARALVRSPDIIILDEATSAL DVESAGIVRDTIQRLVHESRTPKFNPDVTSTNSSKFPPRVGSRTEATKPLSARMSQAV ERQGMTVIIITHAREMMAIAEHIVMLDQGKVVEEGGYEELRKKRTGAFARLLRGEAGD GGGMFI CC84DRAFT_1142772 MPQRAYWNSSRLVITLSFVSQALFLVGLLFAGYYVVGARPPPAS AQQHVLKTANIASDGVRLRHVFHHGAGQPGPRARRLDISSERVAIEADSAALFGPFPI RTSSVRIDKSPLQNYASQQQPMSPIWHPDYVMGPDVKDKQTILNFANMSEDAYKPNRD DPEWLDVGKNFADSIPFGWEDSGIRGHVFSDDTNSTVVLSVKGTTVAMFEGNGTSGRD KDNDNLFGSCCCGQQGPYLWRKVCDCMTSTYTCDEQCVKDELLSPNRYFEATLELFDE VIQLYPKANIITTGHSLGGALASFIGLRHGLPSITFETYPQALAAARLGLAVRGQDSL LRENTGGFHFGHTADPIYMGTCNGGSSFCSIAGYAFETVCHTGKRCSYDVVKDWGWRQ STQYHRLRYSIDEVYAKYDEAAPCVDEDVNCVDCYLWKFENGTKQHTTTTTSSSTSTS TSVTRTETCKTPGWWGCRDATTSTTIITTSPTTAITTSTSTSTCETPGWFGCKDKTTS DTTTSEATTTTTCTTPGWFGRCLDPTPTTTTAETAHAYWRTSPTATQTERAGAAYLYR HTEPTATQTSP CC84DRAFT_620464 MLLRHTMTEAGRREATSSAALGTAQVHLLNACFVCTAMRLVRPW DTRLAPAWMPQQDLQVETSQVTKLSRAKPGHKASISRSPFRKMQSGECNAACSRASGR MTSQARAWFTRETKRQLRVPLGRSPGIVCKCHPRRRAISYVVASSRASLGSRKALRVH CAVQFATLSAARSGQTRKGHPSSWV CC84DRAFT_1089569 MAQPVDPKDPEGFLRRCSGYNKKKNVRCSAIIGRNSHYAKNVHS TFLPTCYTHKDQQSYAGWCQFNQEDGERCGRLFRWTPPYLELCAQHQGHPDTPCYFMK LPLELRLEVFRYLLPSRAIGSSTSLLHIDEDPDQQQPWYHSTGPTRAGPAHLGRNAHI ARPSSPLDRSTMRSVFPMPLNNLLLISRQVHDEVRDFLYSTVPFTVDVRKDGTFMCGR RLLEPRRADGSSHYVVGDVDKIKERFLKTFNWAAVKNYNVDILVENWKDDTNRGYHTF PWDEEVEIYDIRDYIGVVISGILSKARNLCRLNVRLGFSKFIWTQDELYANIKTLVGP FERLRNVRQPRFLGVYEGTPQTNFMISLPVTGHTTMAPVQNSSMTVPGSSHGRPSTPL CSVPQLPTKVPLSICTEPEFVEYRTNWERWIASAFATSLLSKTPIRAMFTELKEFYTR LAATVPDVTARNGRHAFLHRARVAREQEDVEAFRHLRNELITYWEAYLEQEERKKDDM NRRLSRMLDVDVYPASWDEDHACTIGSNSSATRSTPSPSAQSPIITDADAWLKA CC84DRAFT_1258022 MPGFLGRKFPAPVAKPMWPFYVSGLVILYGVNAAAGAMMTADEY KEDPRNPLRKNPASDSH CC84DRAFT_1088207 MALEGCVGSLRSSMQLLDSSINILDEATNDFPRLSKVLQTTRHF ELISEPDLHSAQSALLSEIRPEVESLLTRVQNYLDKLERREQSLIAKCDLNDGRLGTQ DSNAESAFGAKSKGSAGANGKPISAVQEMKYKQLRAKKQRLSYAVETLEMQAKQRERQ LRMSMAAPQQLGLED CC84DRAFT_1185915 MTTMDLRVGNKYRIGRKIGSGSFGDIYLGTNIISGEEIAIKLES VKAKHPQLEYEARVYKSLAGGVGIPFVRWFGTECDYNAMVLDLLGPSLEDLFNFCNRK FSLKTVLLLADQLISRIEYIHAKSFIHRDIKPDNFLMGIGKRGNQVNVIDFGLAKKYR DPKTHFHIPYRENKNLTGTARYASINTHLGVEQSRRDDMESLGYVMLYFCRGSLPWQG LKAATKKQKYDRIMEKKMTTPTEVLCRGFPNEFAIYLNYTRSLRFDDKPDYSYLRKIF RDLFVREGFQYDYVFDWTVYKYQKNAQAIAQAAGNQGQGEEDDKARGRHVTTTAAANA GTGTGTATKGGRPVNARQEGTGM CC84DRAFT_1195188 MFPGRSLHSICNSCRLSSLLAQARIARFPRTSAFVAQHASQRRP LSTTWRLNQETEEHSTSFSANANANANDSPPTPADRLSIEVNARKARQLFGNALPKGH LSDEELKVYERLYGAPIFVETAEELLEVEVVEEEIFEEQREDGSTVLLRKGEDGELEE VEVFDEVEKEVADGEEGGSMTKEEWEALREQKEQNYHNSVYERATREMEEAEEDGAEQ EEEDDDDFMRAHPLTIAGRFKPSPSTVFLPKETLVDPTTELLTRANIKHLAESSNRIL GGPGLPYSASTPAARLGKEQKPIPLDPSHSDMGNIEADVYMASVQPGTYASVMSSLVE VRRRLGSAWIENLLTNKKGGMVLDAGSGGVGILAWHEVLKADWERIHEDSGKTSLPSA PLGKATVLAAPDTLRHRASRLLENTTFIPRLPDTLPEAEEVNTQQPRKLYDVIIAPHT LWGLKQEYLRKEQVQKYWSLLNPKGGVLILIEKGLPRGFEVIAAARELLLAKHIASPG STHVEVPLEEQVSKPDEESQFTEKETGMIIAPCTNHGTCPMYQSPGISHGRKDFCFFS QRYIRPPYLQRILNATDRNHEDIQYSYLAVQRGRDQRLAQHDKLGRGFVQGAAATDAA FSGHEYKPDPDAEDGTELGLTSPDDINPLTLPRLILPALKRRGHIILDVCTPAATLER WTVPRSFSRQAFRDARKARWGDLWALGAKTRIPRNVRLGKPRDEFDRKGRRIRSPKKV TVMLDVGSRGDEAVDMGARVTGRRGDRDGRYSADRKIRGSGGRKGRKKSVGLGILEDV CC84DRAFT_1215790 MNTSWAVATPPAREEEPASAIESLPNELLQLVFGFLPSAALREA ALVSTCFHRHATDFLWQHVNLMDKPHFYENENFMDIVPDRSTGETDQHDDTPIIFKLS ILATNPTIASKVQVLTHRCHLPTPNIFSELPHMHFDSENLSQDNRIHTLLWLAIRNLT NVHTLRIVYGHYNLTRILLAAFLDKRRPQRVPLRKLWVESSCLSGFETNYNDLLSPEY ATGLESVRIRRLRVELETPSERSKAQFEYRLARGGRSLAMHNAAGGFLHTTVDIHAFP ARLPATVVLPTPAELRAKADAYDTLIWDRLNFDGPEIQHFVDSNQFPCPNLKSGPSNS MMNIIGKSWSTLTSLNLDWILWRQHGSTDDTQAISDLRQLSQLRFPNLRSFQLRNAVV DETGLPDGVFLLEDMFLTFMEVHTKIQCLAWPLDRVYSHVKPSSDVLIRARAVVAHLR NVLVELRLDSFYSGNGEMNTDEGREPHEREERVRRRRFISEFAAHMRKIEQLKLEGGI PRDEKRELVRALHQCQLKKLVLIGVTFPIGNTWGARGIDLKQHDPNHVSDATYQLEEE DFDGILASYKNGTVISNDCSFEPYYGWRAEAPFMQCIALHHASTIEELKLCGYNGSPI LSYLTPITTPLLYPLRHFDNLKQLVISFWLLTTFEDQYRDAEIIQSWMDTRSPASTAL VVVTPPATPPIAPPVDPAMMPRARNQTSRQQNFNRWAVTLKTRFTPSALAYRVAEDIA PHLSEVAKARPGGVRVRASFCLGTREESRSANDIFDLDIRIGRQGKVLEFIGPREEAE KGRFWQKLERRRWF CC84DRAFT_620584 MGSQYVVLRGLPSPQVYHDLRKLANLTPPPAEAMAEAVPKSLQN SFACFVAYERQHMVDEKTPGPDQSAVAMGRLLGDGALFLQLVDVAVHPDHQSKGLGKR IMESLVGFADEHAPHAYVSLVADPLGQRLYPKYGFEDVKPGVGMFRCLRIQGDAEFKR AREEKQAAAMRGPGCSA CC84DRAFT_1163074 MALLPPSDSVFVATFNEEDPRVNTPAESLFLLNDFVGLVLGLKS LEGADIDRFRHLVSAPLVQGVVSCLRRSGISKNEVEQGEL CC84DRAFT_1142793 MSGTAEMTRAQEKKSLRNKLKAAMVPKNQSDLQFIPMGVLDKLL DRATVGRALEQCKDKLDIDIALLESFICNKARKIFAILTWADSPQYIQQFFLHNFVDE QLPVRVECNDEDLYDAISFRLGKIEIDQHPFNYHQWTTRNVDLFCDNEQWPFLSPVLD GSQFRYDFHERTRMPFVDERHKSLKESFFSVVEQWRIHRDHVRAPKLVRLPKDPNDHP TVAVKKLKQMTLTDAEVEIVAGTEVQALETMRILNHRHLVKAIAYYTRGKSHCIVFPW AHWGNLRDIWNTNPPKLDENLLRWVFTQLCGLADAIKTLHNSQQDSHGRESLRHGDLK PENILCFEDLDKEPSDDISSSILVIADVGLSRSHDQLTEDRKGATRTKSGTIKYEPPE TELQPNEPRSRRYDVWSLGCIYLEFMIWLLYGREELEHFRNDLNTLGENTRFYIVEED TSTRNRAARLNSVVQKWVDWIKKDKRCSERTAIRRLLDLIVERLLVADVGPVLLPRRP TTFIENDETPSTPSVIVRQATFYHNPSNDSSVRPRATAEELENGLRSILEDADSTMAD RIEWLDWSARAQPGPRQYGDHLNASDSVRGLEPLNDDWKYGPDTEMANHVLSDFKTAT ATGDEPEVSTLCERCNQLPIWLPRHTFSDTLADLRARSPRCNLCRLLQKHVQGHTEGD RQVLQFFRVGSSLTFHDRQSSPIVSLYTFPEGTTLGVEEPSNELQFGIPRLHEPGTSR HLKVLSGWIESCDKGHLCVPKHESFLPTRVLEIGNDSKTVRLYCGARGQTRPGKYFAL SHRWGLSPNLEEYYRTCRNNVDQHQRGMNVADFPKTFRDAIEITRALGVPYLWIDSLC IIQDDQEDWETESRLMEQVYSNAYATIAASCATGTYDGFLKPRPSRDCLKIKRGITSA IYVCDAIDDFTRDVENGQLNRRGWVLQERALSRRTIYFADRQTYWECSQGVRCETLTK MNNKKASFLGDASFPDSFKSYVKGTKIELFQSLYEKYSTLDLSYPADRPVAIKSLERR MIRTLNTEGGHGVFDIYLHRCLLWQRAGSSLHRIDALRNGDTPSWSWMGYEGSMRYMT VPFGEVLWAEDIHSPWRSAQDIQNDTINEYLPTRIRIIAQACELTDSCKPADFIMDEP SRSFTRPFKFVIVGIEKLKRPGSSHRLIHGLVVAQIAGKRDDEYERVGVATLEESSVR RSTPSVAIQLV CC84DRAFT_1258028 MYGNARDPSLFARLRKAQVGCTLNGEQEFLPLDVLEKEITEDNV RGALSRNSSVATAALRFLRLANDDQLPRRVVEKAKKVFAILVYIGEPDAIKSLLDDGL TDDQLPLHLDDEGNTLVSQSGRVFKSSATVDEQRVAAFVEKQWLVLAPLLDDQGLHNE LDRRCPLPFERADQTGHISTRAVYKTKLHPAHYQGPKHFESMEYVATKEFFNDEAFEH ERKNLERLAALRHKHLIKHLATFTRHSKHFVIFPWANGGTLATLWLTQDTRIKDTCFI IWCLRQMLGISEAVQALHTINCRHGDMKPENILHFTGSDEGILIVADVGVSKTHEKAT LARAGQPTDTRATTPSYEAPEAFMKGSAARSRRFDMWSIGCIFLEWAIWILHDMQAID TFHYARHQPYYEFYLLNRDSAESPRVPVIHPIVSGAFGLLRNDPRCASGTIFREFINL IEERLLQVQVDQRAEADELVDTLRSIVSDAEKFPARLLKDVNPLPDKLRFPKRTQTGG FEPGISPIPEEHTLLAS CC84DRAFT_1163075 MVSLTNGHKTNGQIPNVVHKNTPLYLTVLGMNSGTSMDGIDCAL CRFRQESPSSPMNFELLTYDEVPLESVIKKRVMDMIYHNRTTPEELSEVNVLLGETFA SAAIAFASKHNISLSDIDVIGSHGQTVWLLSMPEKGHTKSALTMAEGTFISSRTGITS VTDFRVSDQAAGRQGAPLIAFFDSLVLHHPTKLRACQNIGGIANVCFIPPDRNGELNQ DFFDFDTGPGNVFIDAAVRHFTNGKMEYDKDGKMGAAGTVDQEMVDEFLKTHPYFALE PPKTTGREVFRDTIADDMITRGLAKGLSQNDVVATITRITAQAIVEHYHRYMPQDYGP LAEVFMCGGGAKNPNITSFLQRSFPDIKIMMLDEAGIPGDAKEAITFAWQGMEAIVGR SINVPDRVETRQGYVLGKISPGRNYRQVMRKGTEFGGELDDLLPVTKMVNWKNGKALS NTW CC84DRAFT_1089591 MTSDSNRPAKRRRVTQACDYCHRRSIRCQPAVGDIHERCTNCVD FEQPCTRDRAVRRRGVKPGRSMSQQTPSPSLHTVQTPDSSGPIPSHSSVSLPWTAPQV ASQAAIVDLIDVYIEVVYPIFPLFHRPSFLRKISRGEYMDSREMFAVTMAACALASAR AADNALFDSNWDAQTLGSMSSSVFYEAAIRALPKTDTPEHSLNLMRAYALLSLTAIQH GNTRDMQAYLGKYHALVAMDGLHDEANWPRDLTIIELEERRRLYWSMYTLDIFSCIVF NSVIRSREEQSVVRYPTELDDACFDNTGYRTEPQSPVDAISPSSSSSPDSWVHGWNIV TDLWRLLEHVTMKLHSHTKKKRSFLEIAANFEASPPTSKLQAEVNRIYFGLPYHFREI KERTGDQAKDRYGFQAANITATVQLLRMVLLASEQNTIEQRCQVVSEVVNAFMRIPSS YLRAISSPLLHHLSGIGSVLGGVLGEPLLDYQYQQVRTVLLSLAQLLENLDIGIHSVK SAQKLRALVVQIDEHMASRGSAVSPATMYMDPHNRDLQLPQDVLSEWPWKSGFMHFPS E CC84DRAFT_1163078 MNIGADGVVTDSTKQGGLSAAYYFGAMFGAFIGGWIGDRYGRKV GVFAGAWQGVLGGALQAGSINSNMFICARVITGLGMGFVMAIVPPWVSELSQAHDRGS NFSFIFVANFLGITIANWLNFGVRNSEIEFRWRFPLGFMCIPVFTVAVTVMLLPESPR WLMAHDRRDEAVQILCKVRGDIEPTDPSIQQELEHLHAIVKASKHPRNSYVNLALGGR HSGVLHLGRRAILGFALQQIQQWSGIIAVVTWSSKLFSLAGFDEYKSLWMGGLVNTLG MFGTAAAGLVIDRIGRRMSLLVSFIIQGIALFIVAALIKSSENYAESDTGKSETLGQG AASFVFIYLWFFTMFNIVPCWLYGTEIWPQDVRAKGYSFTILGWAIGCGMTTFLIPIM LSKIGWYTFIFFGIMNAVTIPLIYLFYVEPAGHSLEEVNLLFTSDSPLVKHNNAEFDR RVAAAGGNSAVAARRLIEEVDGLVILEELKIEEAKM CC84DRAFT_1215797 MRPLVHLHFPRPISYRTAQTLQETLVSRFLAHKRDPTLAAPPPH IITAEFNPVYTCGRREIGTVSAEQQTYLQHRGQAEFVEALRGGQTTFHGPGQLVAYPI IDLRMHKLTPREYVCLLEKSLIATCARYNIKAMTTEHTGVWTTPDDKIAALGVHMRRN ITSHGVGLNVNTDLWWFGRIVACGLEGKSTTSFEREGVEGLEVSDVGKTFVGEIGERL GLDAIEEQDAEEFLEQERQMAS CC84DRAFT_1215798 MGKKRTSDGEEKHPKRARIGAAPSSDTTPAAQAPRSSTAPSAAK AIANETREPKKQKLDEAQDALAEPKPSNHAPSRKQTHKKLPRLPPNVSVTKRPLHHPA IPTPFASSDHPKTLYISHSTPFVPALKRIRRLLLEISKRQAQSQATQPRNRQQGRNRK PLVSNGRLAPEDVEREIVEEGRETGEGERREEVYVKATGRAIERALRIGVHFQGESDC RVRVETGSVMAVDDIEVRQNEEEKGGEGSKVKEEVKDEVPETRVRMVSSVTVAVGLR CC84DRAFT_620676 MATNHFEEVYDLLLLVDATSSMSNYLESLRISFPKIISISKLTN SFARIGLLAYRDYTEADNRYYPLLEWSGWYSHDNVMDADSTTAEGLMQKAGSLVASGG GDFPEATKTGMARAYSLMREEATTIILLYTDATPQCWTVAEKDPRSNYVAEQKALKKE GSYGGFGPRFADWVEGCNFMHTGLRKAHVFCFLDEDAGKDVLDSGFYLYLSTITRGAC MSLTKSDPQSIAHVTVDVLLSWMEVAKPGAEKTSLPATVIRYKLGTDIKKIKDEKDPV ASAFFWSHDPSVLEGGYKGLDSYQFRMQAKKQLEANRVTKPVDSEVLAKFLPKRKTKA VDFAWQYNNDPQYKEIVIEELKNIIEADVTSIALNPVFGSLWRAVCNDRALPGRLALT TLFASSIDRLQDVEQRARMKNWLEESYDFAADILDMLEAVPEDQRYPCVFLDPTIEFL PAKERREKASDEEEDDYYQIAALRRDELLDIGRACEGRILRRLGNVLTRLTYVETAEQ LPSHIAAATLVKVPRIPIALSSQSQEWKFWKILLHTVLPGTMLSTRPAMVLAALAMRM GIEPLFVPASSALMYWRDKWNNLETPETWTVGCLGLLLDADNEYRKTRSDGKTSSKDP SGLLYPADRTLFTQLVAHKLLEANFQTTLVAVVSWTPEKTQLPIGHVVTCKRCKYPRS VTAMALKSGGQCGLCVASDYKDEAHKKRMMNSNITDSGNIAWVECSVRTCRAQYVCYN PDDLRVRPKCYYCRFLQCEAPTIECAKCRSKVIWPSEWRAAAPTPFNCVACVDGRKTV VTVDTTAEKLCKENGQAWLLQNDKNTLKTPFQGSIFRTITTVGPEAFLANVRILSPTY DGALTFRGKPIQNREALRSTLVAWIHRRNVEKTTCSLCFSELPNARLLPACRRRGCHQ RICEKCLGDWYGQNSVGQIVNPAALFCPFCRRPPAARTLAAYGKGIHAVGDLMTALEE RGKWIHAWCRICGKARRLMERECARGAPDPVDNFSCEDCNIEALENARRAEEEARRAA AEAAQLAHQLNIADRRQRRIQAQHELSVAERARAELEYPVKKCPGCGVRSQKSYGCDH VRCPIKTCGVHWCWSCAKMFTQRDIYNHMSGAHGGWDAEGAWGGVRYRLGFQDDEDDY DEYYDELED CC84DRAFT_1215800 MSDKEVIIIGAGPSGICAGVQLQRLLGHKNVHFYERSADLGGVW FNNTYPGCAVDIPAHFYSYSFALKPDWPKLFPVRQDLYDYFHDIAEEYDLTRRMTFNT ECYGMSWDQQRSLWTVQFRRTNDHSVTFEREAPVVICAIGKLDLPNIPNIKGRESFGG AQFHSARWDHSVDIKGKNVVVLGNGASSTQFVPKIVPIAGKTTQIVRSAHYIQERLNP HYSPTFQWMMRYIPGACRAYRWSWYIRMERQFNAFWLTPSGEKARVAVAQETWDYIDK AAPEKYKQILRPDYLVGCRRRVHSNKYLESLHADNVELIQDDVIEITNDTVITKSGRR IPADVIIYGTGFRTQDWLWPMKIYGVDGQEMHEVWKQRKQVQAYWGTAHDQFPNWYTL YGPNTGSGHNSVIYQTECQVNFMCRLLKPVLNGNAKSVMPKYEAQQNFNSWVNAQLPK MTFSSGCTSWFIDKNGHNSYVFPNYTFMFWLNTVMINWNQVQVDYPKNTGYKGKSTSK APYALLLAGLAAAGLLATGQVTTDSLTRTGEMLIERVRHRSFF CC84DRAFT_1195199 MSSNATNEKLAPSQDHIERYEDHPGMGHRRSSSIAVDAFGHRDI DDRAEEAKGRMSDVIDPKYWYSWRFLGSMFAVGMAFMGGIGGIALISPILANINEDIG PSPNINWVPLVNLCGGAVFFLLVGSLSDIFGRRWFFIIGSVISLIGSIIGACAQNVNT LIGAEVFIGIGVAFQQSFFWVVAELVPMKWRYIANSFCYAMTTPTSPLAARVAYSFLE YPGGWRNCFYLLIAINATSVLAWYIFYHPPTFSMLHRKALAKDLFFSFDWIGVMLFTG GLCILIFGLNWGGVLYPWKSAAVIATMAVGGSTLFFVLPAYEIFIHKKGRSTYLPLHL FKNIRFQSAAWNTGIAAGVYYGFGIVFPQIVQTVYYGRGEISRYDIGTLAGLVPMCFV FAQMCHGFVVWFTGPKWGMIGGAVIGCALLTAASANLNNRALTQGLIIPGAFAIGIVE SVSITTSTFPLRSQEEIGQGGGLSGSTRNFVSAIAVAIYQSTLSNRLLTTIPQHVYPV ARSLGLPDGSLDNLRMALQGQGSFDAVQGLTPQIQAAVMEPYRQAFVSAGQTVFLVSL AFSGSALILSFFTTENDESTANFVATQSGIQRTQESKEEIASS CC84DRAFT_1241493 MSYDKIPSGATLKPERFELKVPQQDVDHFYQLLKLSVLAPKTYE NTRTDPNQFGVNHDFMSKAKKHWESSYDWRKREININSVPQYKVKITDDDGYEFDIHF AALFSDKQDALPIVFLHGWPGSFLEFLGILKVIKKQYSAQDLPYHIVVPSLPGYTLSS GPPLDRDFTTEDIARITDKLMNGLGLSGYIGQGGDVGSYTCRPLALYPSCKAVHLNFN MMERPEAAANSNVSASEKKGLERAEDFSQRASAYALEHGTRPATIGFVLQSSPLALLA WIAEKFITWTDTTPDLDDILDSITLYWFTQSFPRCIYIYRQYHGARTTSGNTPHGDPR YHFKKPFGYSYFPLEIAPMPLSWVKTSGNLIWSKEHDSGGHFAAFEKPKELWEDVESF VQALKAGGFDGKVADGLAAEDG CC84DRAFT_1241499 MAPEIQWRTSTSKTCEVRSGDPSGDDASAILEAFEKCKEDGHIL FTNTTYYVGRAMKTTGLKDVDIELQGTMEWSKDIDYWLNNSIPIGFQNQSAAWLLGGD NIRFYGHGYGTLFGNGDVWYVFNNGTSNLQGRPHAISIYNTTNSLVEGLRFIQSQMWT STVMRSERVELSNIYVNNSCITQFDRCNVNTDGVDTIYANYITFLRWSVESGDDSISM KQNSSNIYMANNTFHRGLAYAMGSIGQYENQVNFIENITAIDTVFIDTAYAGRIKSWT GRNTGVPPNGGGGGLGWARNISFTNFTLQNVDTPWYITQCTSYNGVKGGCDTSLFHID NMHWGNTTGTTKNNLVLSLQCSGAAPCTNINIFDNDLTVHKNGTTPTEFLCSNVDDPK GFKCTGKAPNTSG CC84DRAFT_1241501 MCGISCVITLKGNAPKSNSYGAKNLAQAYELERALLSEQMDGSL EAIKHRGPDDRGYWFSDYNRVALGHVRLSILDLSPEAQQPFHDPENTVHAVVCGEFYD WEDIRDDLMKKGYTFGSHCDSEILLALYQEYGMSMMEYLRGEFAFVLYDSKAETIVAA RDRYGVKPLFYTVHDGRIFIASEMKAFLAFGWQPEWDVQSLIECGYLTDTRTLFQGVS RIQAGRYLSLQSYRTITQTEYWNIEYPDKVFQAQRHFLHETETRSEQEMIEGVRERLL DAVSVRLRADVPVGIFLSGGLDSSAIAGMIKHLIVEKGVRLGNEGSATANIKCFSIKF LDGPGDEFDEEPIAQRTAEWLGVKKHVVRMTEEEFVKNYSDAAWFCEHPLSDLNFIAK VALSRITRANGVKVILTGEGSDEQFAGYGQLLADFLREPDQSWTSQSLPRLPNNLRLK LLAEEEQGKSGDQKTIKNFRIADPPSAAYARKQINNVSIISMTSLMNAQPLLSPWVQQ EFGVQDPRVVGVHNLLTGTVRKKIQLKWHTLHSALYIWQKFFLQNILLTALGDRVEMA NSIEGRQPFLDHNLTEYVNGLPPSVKLRYDPETNSLNEKWILKEAAKPFITEELYKRR KHPFSAPVTYAVDGPLHRYIGSLMSKENIDQLGFLDWEKCKSLVEDGFVHKNAVEMRK LFMVSQLVELSRRFGVKRAEPEYALRPNEAGIQESQEPLAGTSSLSRL CC84DRAFT_1204469 MTIQKIILQLAACSAIAHAQSASIGLGTNPDAQGATEEVTPGAG PNGSEDWLNSGMEADGWTAPYLSWDSLIKIDRKTFYANAGSACEQYDWAFQQAGDKHQ IDPVFLAFIAMQESSCNADAGGPTPGLMQVACENYPDGQCTNDVAKSVDAGASFLRKQ LDDNNNNAIKVIGNYNGWFTSGDQTGLNGGRGLSLDYPCSDDGKAHGQPQNLDYLQQT LNGWFLGLNPYGDENWLGQYHCSGSCDSGHVC CC84DRAFT_1241503 MRDHIVVLGLISSSFVAASPISPFGRHLSAASTSAPAGHEWRIA GPSDSRSPCPMLNSMSNHGWLPHNGKNIDLATIQSAFQSAMGFSTESFISITEQALTL STTGNSSTFHLSDLAHHNAIEHDGSLSRNDQFFGDDLHFNPKIWATTAQRYGIRVPFS TPVITVETASKARAARVRDAKLVNPAFNLTDQSGSIGETSIFLTAFWDQSAGGVPKDY ARVLFEQERIPYAEGFVKGNHTLADIVTMISAVSAVKV CC84DRAFT_1163084 MSVSNSDNLLTEQDQSLIRAGIDFLKEYFADQGEGAKEVGKTKK EQVNQTKRTNPDNAATRSAQDSPRLSKTAIGRRIFELQDLPQLCVITVEVHQVAGKDG TGEVEPGWNRVYNTSLKEKAIGELLRNDFPRIYHTAIVIIQQHQKPVGEV CC84DRAFT_1048862 ASSLSQEQLSAYVQHIGLPAKYHPANNPTHNLAFLTQLHVHTIS TIPYDNLTLHYSASKRISIDPQDAFQKIVTENRGRGGYCMENSILFNHVLRGLGFAAY MAGVRMRLRENGVPAGAYIGWVHLVNIVTLPCGAKYMLDVGFGGDAPTTPIPLVDGHI TTNISPQENRLVHGHIPQQVVQTEHTKLWIYQYRNGKEAAWNSFYAFPEFEFLEADFK IMNWYTGSHPESFQTFTMLIIKFLRREREGGEQGEMEVYGKRMLINGVVKENKGGKTR VVHECRTEAERHEALENWFGMRLSEEEKAGIAGHSTELRG CC84DRAFT_1163088 MSGYLSTNCFIHPRANWFKPEHWARIQHYHVFGQMYLLGQGMNG LFRNRFDVCLPTTMTLTLRYTDWWDWETNAPIYPIRQDRFFPLRYMWLPPTVQRMTVE FENIESKIKELDAVVNEMFSRHYHWVWRRRDGKNLKVCGRGVEGDGVETWRWNGPTTF GYRSQKFPHHGDGPTMGYVVKVVTWEVVDEE CC84DRAFT_1089873 MSGHDKLAGVPGKPVSISVYTSTTKPLEPPPGSAQQIFTKSLIH EQYLRAFVKLLTCWASNHDSSAQFHGKIGVLASPNTNETYELGKSVNCVLKHTDYPKV RKHIVVRLFPPREECRKYALVVRSGSGWMSARDFFNKEHCLMLRAEARISTATKPYGK TISRREELAREAEPRNTVSIGPVFHQFLKLPQEIQDIIWVTAAGLTGMFRPCRHRTSD VPVPHIHENFYPRPNSPITISTMLRVCKSVNAHMAPWIYRTTRFQFELTGFTNFLWIS GPVNRTNLRRVTFKFSSLALLHCLRWLSPDPVFMLFDPPAFTSPHGLQYFWRCQIQDL ARELNLHTLTLDLQGVEPDHVHMVVRILRRAFGSVKYVRFVEDGKDICEGHWQLQGLR ERKSWRTMCREWFEAHRANGGYMSDERRWKSLEELDKDMDAKRDFFDSFDDWQGVQTP CC84DRAFT_620761 MRAPKLASRRGSLSLFFRPISEYRPTCFIIRHDGRQKRCTPRSQ DLLHKRLVSSRLLPLSFPLPHIVYPTLTVIVFSPCYILLSF CC84DRAFT_1215811 MAPIDRAEREARRQQRVRGAGTSSAITDFNFNFNFAPPAAKHSS VPPQRTQRTPTPKQLTPRIAAKSAHRHRSASVHASSSVRRHATPKAFATPQLGKRKRG SQDAQALEDNGEPDELSPEQNNETNSSEQPRRVVGTVSPIREEVDDEPDELTAVLDGT GSLRKHVAESTIRSALALKTPTPQDRTPSARKSATGFARSRPSARRSKSTEAPPETPI VFPNGRSRQTTSRSPNIATPSVVLEDEEDELAPAPASVTPRIVGKETPTSSVPQQEDE ADELSSPAKSGTTPTPGVPKKQLRPSPKQQSVQTTVPVRAKRGRPKHSIVDEAEEVQP TPAATQHRRKKTSLDARESANTGDSTDELSPEINRTQRPRVKRRQDVQPVHQHEEPVE ISSAEEESEEEQPLEQDQPDVSMLPAPREKPVKRIDTKPARKRPKVSGPKQAISVMRI KGSTVRGITVADTTRTILEETIDHQVQRMATKMQTVEDSSRRKKLRGHINLALAFKES LVEKLVDLQDANDTLSAGFKKRKLLRKDNLERRKEILQIQNNRDEIALEMDDKRAAYD AEKAKAEAKSKLNANMFEIQAAIQSGRERARRQGREDEGPERPMSMLIDKVGRQVGSV GGGLLASLQQFNEGLERAAGWLEGRA CC84DRAFT_1185931 MGWLWGSSDSSSGKGALDPSLQDFLSKEAPTGPKPSLPSKPAEK PKEAEQPAPQHVSEGPFVPPQSQFQDGRYAHLWKGYVPQNDLENRAKTEQDKLKDIVD GFNDRKAEIGRIALENCALEYMEQFECFRAPKTYWQTATLCTAESKKFNRCYDMQSKF LKALGYLTLDVRSPEDSERIQMHADKLYQQMIEQEAAIEKAKDEGREPPKYESVLSKQ NIARAMSGQPSSATPVTGNPQPDDTQDLWRLVKPDSRAKYEALLAKMTPAEQDIEKAA LVGEIQANLGRAKQVQDTFIEERINRMKRREAGQSTIGDTIKRLWGWDRNA CC84DRAFT_620755 MRDFAAYWARFDPTFSLLGLQDQTEFSAHTSGGDADQFAVLARK ACHERKFFFTEQTSMGLCPRNTKPGDRVVVLYGGSVPYILRPTGQDSWTFVGECYVDG MMFGETRDLKEKLDTQDQVFHIR CC84DRAFT_1174704 MADHLNLAAACISVVGFPHWKNQPLALLTIAAGALPGRWFNPVR IAHNAQDLTSDFFPLSNADEKSPALGAENITVDLSNPVSTDSSPPSRSSFESSHGVTS DSGVATPTPSTIYVPVSVENRTTTSSTPASVSHSTGKSLKFEHDAAVGNNKTVRNDLS LPEETAGQNEITAGNPTAVGDYTASQDDVSLSETPPANIDKERMSADEIIHPGVPKAD ETYENSTASWLVRLSSWLNKWFSETRNLSLISSPRPNQSASSAPSSATEPISQSTQIA SSPSSAPSDVLPVRNNETNSITVEPPVSIHDSDFTTLLVPFLIASSALNAVLVNFGIY FWRLSKKVSVNPQPIVPGPVILRQSTITAVAAHPQPVNPSSVELEQSDISMTYDSASL GPSPDFLQLSTIETIDRVPLDPSYANVQTQTDSVIHTLAFSSMSGVSVEPLPTALQKS DTTMVAQNTPAPSSYNDIEIQTSPTGLPYTDGGAQVEPVVPILGFSSIEQEPIDPAAV ALQRSVVTTIFDAEPEPPPYTDAEAQVEPVMPALGFPEVKKLSVDPVPVPLPSNTNVG VQVEPFHPTLRVSSIEQWSVDPVSVPLPLNTNSGVQVEAVRPLLEISSNEQVSVEPAT VQIPYTNMGAQTEPTPLNYSKIEEVSMSPKPSLYGDAGVQVESFIPHLGISSIEQVSV KPVPVQLLCTDTGAQTESASLNYSKIEGVSSSPDKGFPVIHLCSGCEFAPSEHRCSGC HTAPRESDSGRQTDSAEVEHNGSSCEGDSFQVDNTESGLQIEPVQNKQKEAVAHTDPG AQMVFAEPTSASAPTVTQRLVHNPFSHGNLKPAHQQDPIDTLNHVAWPYGPTYPAPEG WRTREDFTYPLLSPPMPPDPNDPNVDKKWLWNQKRRWKNLRHLREKEAQLDYEEYVLG PGSASRSVERTEHLFRPQYKHMTEEERAKKDKEAKRLGQQKWRRQQWARNQKPVKAQR DGGQRDPSNFRVDHRNPRNLEAAGFELPIRLPSREVDDRAIGRPDDNVLVNPPQEEKA HANGPGPENPEEGQGPALLRFPAVDNRNPGSLEENVLVYPPSQEKARAKDPCSEESER KKDRGGPERA CC84DRAFT_1163091 MYASLTCYPPLGQTTIVPAQKQHVKFTVLIESSTEKPWDVALHT NFDDREKWASLKLEPAEQPPSLLWTSKDAKTNLHRKWFTLDLAGLPKHGRPVSFTFTF RGAADEPWKWANEQFSTSDGHIIYQTPRPLDTHLTKFIDGLPENLNIQEEKSDTPETV LWSVTSLVKSASGKASGFSKNKLGKPTSLSRWFALVRLWSPWLAPRQGRSKFNPDKEA VVAAFQREDGSHLVVLATSGIDDVMTTLSHDGDGNVMIESRNDSDEDGSATLIVAAGH SLEFAMSAAMYHARKLVMRYQAASGESAAEKEALMKDYKPQWLQNWYDGLAYCTWNGI GQKLTEDKIFEALDSLKKNNVNISNLIIDDNWQSLNHEGGDQFQNAMTEFEATKTGFP RGLKAAVSDIRERHPNVKHIAVWHALFGYWGGIAAKGKIADEYKTITVRKKDGVSGGT MTAVAEEDIGRFYHDFYQFLSSTGVDSVKTDAQFFVDELDDAPDRRQLIKAYQDAWNI NQLRFFSGKAISCMSQTPQLIFHSQLPSNKPRILLRNSDDFFPEVPASHPWHIFCNAH NAIFNQYLNILPDWDMFQTSHEWASFHAAARCVSGGPIYITDVPGKHDIGLINQMVGN TPRGDSVILRPHTVGKSTSAYNSYDDTVLLKVSTFVGMAHSGVSILGVFNCTQHPITE LIGLDQFPGAENGTYIIRSHTSGNVSKPTTAESGDALVHVELPVIGWEILSGFPIQSF KLERGQHGATGPADISVANLGLLGKMTGAAGIINSDAYIERDSGKLRIWTSLKVLGTY GLYISDLKKRSIQNDFMAVIFGRPISPKCVKINDQCENILEIDTVRAWKETDQHAGWS NEVAVEVVIR CC84DRAFT_1163092 MSLLRQLPRARPYVCQQCIRKQRTTIEGQRQKGTWGQKIMDPAR AAAQWEQRANKIKNGQEKSMLTILEERGFVKDVAGGREQLDWLLTQKDIGAYVGVDPT APSMHVGHLLPFMALFWLYLSGYPSVTLLGAGTVLIGDPSGRTTERARQADDVRTMNI LNMRRQIDQLWTHVKCLGIKHRFPAEISRTRMALDNHTWLQNLSAIELMQQVGSGMRL GPMLARDSVKVRMENGEGMSFSEFSYPLFQAYDWWWMYNKHGVQLQLGGSDQYGNLCA GMDAVSYMRRTRGDQRVGAEDDIANATFGLATPLLTTASGEKFGKSAGNAVWLDKEMM NSFDLYQYFLRTADNDVERYLKLFTFLPIQHIDLVMESQRKDESKRTAQHVLAKEVVE LAHGAEDAMKAEAAHKEAFSQGTHTFSLFGLRKAFEEIKKKEQPDKVDEAELKLLTYK KTYASSSTATGNSAEDSQKEASQIVTLPLPLLSQGSFPRVLHAAGLVGSKSEGQRLIA KRGAYVVLPNSGSLENPSGLKWEQIPEAISTTDPNQYLLDWRALVLRSGKSKIQICRI VTEEDFEKEGLTCPGWEDFKARRAESGEETQ CC84DRAFT_1088653 MSLRQATQHLNSRSSCLRSSYAEISRFVRTRNHGTAFRASAACS QTSIRKIGTVIRPDSKAKEALDQYRTTYWSALAKLSPVWETVRPGSEVAFCGYLQTRR DVNKKLSFTVLRNIKQNLAIQIVSNANVEGSVEAEAHNLLKTLREWTPVVIKGIMKER TAPPKQDPNATTSQGNTLMMNTREIALTAITPLNEVPKDIIIKSEAVYGPEQRHLQLR TDWSARGRLITRSTTIHEARKLLHAREFVEIETPLLFKSTPEGAREFLVPTRNKGLAY ALPQSPQQYKQILMASGFPKYYQFARCFRDEDLRADRQPEFTQLDMEMSFADEETVMT EIEALVSKIWSTFTKAPVTPFPRMTYHEAMSSYGSDKPDLRYSAKVKPDFIGKITSLK HPAIDAFKMQLSDDPATNRKFIADFFDSPEGAPFLQNPDGQPATFCGDARQPMGGLGP LGHRFAMEAPAEVAADHGDLLVMQARPHGRFTGGSTTIGNLRLALYKAAAAQGLIEPP RWNDYRFVWITDFPLFSPTNEAEPGQGGTAGLASTHHPFTAPKTASDLDLLLIDPTKA IAAHYDIVVNGVELGGGSRRIHNAEVQEFIFRDVLKMKPERIEDFRHLLDVLRSGCPP HAGIALGWDRLMTLLTGCESVRDVIAFSKSGSGEDALVKSPNRATKEQWEAYHLKVAE ESAQGKA CC84DRAFT_621135 MHRTYSMRQSRAPTASQIQNPPPPSSSTKSGRFFGRANIGHTFR HKAAGSFGPDLAKKLSALVKMEKNVMRSMELVSRERMEVAQQLSIWGEACDDDVSDVT DKLGVLIYEIGELEDQFVDRYDQYRVTIKSIRNIEASVQPSRDRKQKITDQIAQLKYK EPNSPKIVVLEQELVRAEAESLVAEAQLSNITREKLKAAFTYQFDALREHSEKIAIIA GFGKHLLELVDDTPVTPGETRNAYDGYEASKAIIQDCEDALTNWVTQNAAVSSKLSTR ARTLSQRRKNAHRGEGVDLSHQDQPLGDRESGLWIPASEHAGNGYEEEEDDLDAPSTI AETRGREEERVVAA CC84DRAFT_1195211 MMHWAILVGVLYYGSSLPDDNRLKGCVADVEDMEEFLELLKLPV KIFKSTSNHPTVGAGYPPEKPKERAILRNFIDRLNDVIEYGSKKIVHKMHVTVAFECC ISGATKRHGRAPTFGVCFSSTVEPWITNPQGYTIFCACASSQIANEVYELKPRKEADT ETGLQGVLNREHFTTAKRPIERGALSHVLLEALKQLRQTDTTIIAGSLFEHQRAQFHV RVPNQTPVLYGSKTTSFFADSLSFSDTEIVPVFNKDRKLMMHKGPVHGVVIGDKYSLF PLRKSADASDKYNLYVGCIVDVPPE CC84DRAFT_1163095 MSDVKKYEITEPWIKLHCALGEAPFWEESTNTIRFVDVEKQELH RVSVTEGPSSHKVVKQYDISIGCTADIEGNDTDFIFGGKYGYGICDKESGEYRWIKKV WSDEEVKAGKLNKFRGNDGAVDSGGRFWVGFMFDPLVTEMNNEGAVFRLHSDGTLDRP LDGITIPNGTTWNQTDDTMFFADSPFKTIYQFDYDAKTGHVSNKRPFFVMPEDNRYGE DAVPDGHCIDEEGYMWTALHGGSHVLRISPKGEIVAEIKVPTSQPTCPCFVGEELVIT SAGGTAGEGGKPVDENAGSVFKINVGIRGLKRFRFKGGAAVEGGKEDGKVVSE CC84DRAFT_1185938 MVLELHTWGPAFGLPSIDPTCVAATAYLNRTLPRDRWTLIADYD SSLSPQGELPLLVDADKKITGFTNIVSYLRKNYSHNLDADQTPQQQRDRTAFTSLLES TAGPLLDLYLYVSSENYGTTTSAAYTAILPWHVNYTIPPKRRDIARSRTAHLGLSSLD VAQASEDSHGPGRGTATSDYEAAKRAAGIPSEDRPPALHMGRGKGGLLSSPLYAARFK LDALSKEVLQPLADQLGKNKYLFGGDAPSSLDCLAFGYLSLLRYAPVPQAWVREAIQT KYPRLEGYLARLREELLHNEDIEPADVWSVATGKAVVGDFGLQLPWASRNPGAFIPQF RAVVHDGVLPHALQKAPTVRHGHNNPPRTARSSLPTPLAINTLATVTTAAAIGLAAFA VQHRRSPRDEPVIFWALRPLQPVFEGFGVESFLSALPR CC84DRAFT_1204479 MAPIRRYLRITKHSVLEVRIYLDRPSDAETWLLRRDNPALPRVI QAVRPLVLPKLREENERKSGRGKGKAKKKGVKDIVVEDDFEVSIFLTEHSSRHSVLTK QKVFKDKPRIQSNSGKLTNWLNTGNGEQPLVINDGPTETVIIREEEDDEPINLEDVPE ADGSEDQTTYAVDEQDQKKKLGLNTSYDGFSIYGRILCLVVKRRGVRHQAGNSSAHAS SQQMLENWVSTQAQAEQVNDDEDIG CC84DRAFT_1204482 MSSKPAIGFCGLGAMGFGMATHLVKQGYPVTGFDVYAPTLERFS AAGGTPSTSLSESAKDKAYYICMVATAQQAEQALFGDDGIVAGLPQGAVLYLTSTVPS SYAQSVEKKLADVGRGDIFFIDAPVSGGAIRAADGTLSIMAGASEAAFEKGKFLLDEL TAPAKLFIVAGGVGAGSNMKMVHQVLAAIHIISVSEAFGFAARLGLNGSDVREHVIGG KAWSWMFENRSVRTLKEDYFPGASAVTIILKDTGIITSMANLVDFPVPLCSIAEQLYI TGLNKDFGAQDDAGLVRLWTSEPVTSLAPLADEDKKAKLELVSNLLNGIHLCAAAEGI SFAKHLGVPLAQYYELCVEAAGGSTQYKEQGTKMIDIIEGKQGHEGASLRSYRDGLKA AITEARDKKIPLHLGNGALTLIEQAGKEQSLSSLLKLYSV CC84DRAFT_1142851 MAQDSRRLSGALHQLHATASRSEGLTTFGDFTSPPPASVANDAK SFDMVQSGLSGLYSRLKASVGGNKEQGHEHLDEGAVVGIGAKNKATKKPALPKSPAGT AVSSPVVVSVPSSRLQSPLAPTFPDQPPPPSRDSNVSVSAVSAKPSLNGSRSSLGTTR SRPSISSPVDSHKTAREDESFTHSPLSTISKPHGTLAVDSASRNNSSSGLPSGSKSRD ASRYRSERTSYRHNNEMDLVERESDTTEDDVVLVEGDSNVRDKTGSVFPLDVLGDDLA RVTSRPERQYKPVKELSSNESQATEVPHVTANAQTPVLAPAPQRPPLVQVGPSHLPGF HPSRMSSSDGLSSVMTSTTVRSPAVPPIEEHQRSTIRPSSTLAHAAPSQVPRKLLSRE YWMRDENAKDCFNCGDAFSTFRRKHHCRTCGQIFDSKCTVIVSGKMFGQTMNVRVCKP CEDIIQGNGDDSSEYTDDGDQASLYEHDDDGLDADQFDPSESDHTKIGTPTISIPMSR KVGSEKKRRSAVIEVAPQLPRPSSSRSLRSLSGRPRSSSHKRHHQHMRNVRHDERAPF HQYHTDLTRSRSALPAFHHDNIIDPDLAPFMSDEGSSDEEPASIFATLRADSPSHATM DNEKGGLGGLLAAMRKGKGRADRNTFGGAHARDPDTVSITSRQFNRHSKRRNLSVSSI THRPSPRRSKSNSLLKPFGAGFGGTSPSPQPVILQPPPAPPSASKITRSASMQGGNAP QIELNQASLEHVRRLLKQMLQDASISHTSSWERALVPILLQCTDDVNPDLHRGDDIDI RHYIKLKKIPGGKPRDTSYVSGVIFSKNVALKSMPRNVAHPKILIITFSVEYARHQTH FMSLDPVIAQEREYLKNIVDRIVALRPHVLLVQRNVSGLALEFLEKAGISVAYNVKET VLNAVARCTQSKPVSSVDQLADPSRLGRCGSFDVKTYVHKGVKRNYIFLSGCQPDLGC TIALRGDEAKSLAKLKRITEFMCYVVYNLKLETCLMRDEFVLIPVTSDSGTIASKKDL SASHNIDGASIQNPSIAGDDTQTTALNLEVPQDAPAPSFYSEMVENHRTKLLSASPFV KFMQPYLLNQAREYEKKLGYLKQLRDQYANPETDTEGMPQKFKLVQPEMVHGVVDQAS KQVREFLHAVHASEYEKALHNYKTQKRQWEAYLATNVELFDPFNHQKIAVLYSVVNTA FSTPCIGPDIIALNFYQEHDFDDGFTPDCTLGQYVEDLCHGVNSLCEVDGCNRRMVDH SRQYVHGEGQMSVIVQKYASKIKGLQNTILMWSCCRICGQETQVIPMSDSTWKYSFAK YLELTFWSSQLHPRAGLCPHDIHKDHVRYFGFNNAALRVQFDPVSLYEVTVPRPIVTW KVDSDLRLKNDQFLKIEERLDKFMVSVRARIKGIHVESVIPEKVELCKTEVDRLMKRA NDEHDWLKAKLQDKYLNSKYYEIIPMNRAIRAIQEKAIAWDETFTEFEQQYFPSEKDI RRLATLQLKKLFLERDESTSSLTSVEEGSEIAEEPALNEKGESLALPPMPSSMSPERA RDMLNSVVEEDHSQSTAPTDGESRKILESTSAPGEAKPDQLLPVQTSYDALERDDVRH LDLAVSSRFSEPPVPTDEELLKTPTMSPEQDPTGPGSPTPTALRVDPIDKVVADAIDN MPTSPTPSYPAGGLLESKIPRLVDTIRRETSSHTRPATLTRTQSQPGGIPKSPSPPHI AAASKPSEPTKRIGGDAARAMDRITDRLSLGTFKQGKSSSKIPRSIPIFRSQGDSSKV SKLAEHFEQLSREFQRERMRERRNERSRQVRAYPLASSKPIVEVYMDVHEAVQEHNPS DEEAASPARISIDSTLEDSTLTETTGATPASESPRVERDPQESHAHPSTGSQPVTPSH PPSDFENELSDVEIAPEDIPLPDSDLLSMTESQLENSLELPKHEKTTLMKMLTSFWSE RSASGWTPLDYPFAQIEHVWEDSDIIVREDEPSSIIALALSAPHYLTQLQSFRGDRVA SEKGGNGLENSEASIERNLLHEANSNIRYGFNNRGVKAQCKIFYAQSFDALRRKCGVA DRFVESLSRCSKWDSKGGKSKSIFLKTLDDRFVLKSLSPIEVQAFFRFAPNYFAFTHQ NLFKGLPSVIAKMFGLFQVQIKTPTGRDFDWYMLVMENLFYDREPNRRYDLKGSMRNR KIESTGERDEVLLDENLVDIIYSETPIFVREHTKKLLKASVWNDTLFLSQNNVMDYSL MAGFDDANHEIIVGIIDCIRTYTWDKKLESWIKDRGKNKPTITSPRDYRNRFRSAMDK YILQAPNCWHQFSGRVVGGALLGTRKTIMLEPGPASASAQIMEVEGGEQEKAEAATRI CC84DRAFT_621506 MDRVWLEQEIATQLDLASAWLTRTDGSSDEEWARLNLFQDSGSS LRILVRVSEERRDNCLHIVKLDPLTLTDGAASIEASLSPECYTSLRARQSHIPLAVRK YTLRYTPYGPPRHRITFTLDSVDPEYRAGISKQSFGDLRPIHLCEDVVESAHKLRQIR IESDRRCFGTVECKREEQARMSPVGSPSEDGSNEERNPDTQMAYGTQVAHRIRTPSKT TGRITEPAHQAQDDDSRRTALLGLLKSRTTAAAPSTSDITNESPRVDTDGVPSGPRAV RESLPSPGHSRVSNIFLNPAAHGDAPKPPSAGGFSRTSTSRASNEGLAPPTDRLQSTR LPPSYPRQNAADKPPVSVTTSSTLEDPVPEWLKDTCRADGCGRVPGPQQKLLSSWQKH RAGTRNRFPDANVPIHLFNAFKQFKIKATLPGSESSDEEGSSASTTNSPEEEDIPDVG TGIRTDSAAYAAPEENDDLSDGEASTPSTLTSWSSSPLREPPEAPFRPGHILPPDSSL PDQSPASQREPEQASPVQEAQRVVAFQSSSEENISGPRSSPPLVPEGDDSDMDMEMDL PRGLEEGTSVRNIPPAATATLKAAVVQVKETPYPKEKNQASPANVNFSARAQQQTSSG TSNDTSSTSIILGTYHDPSSSAKSVTHGTDTVPSQSIALVASYKRHEENVEPVDVSMV DANDEPPPPSPDFEDQRQHAHSPASPSSHLGNLNSRLEPEQPYAEDGISSLMSPGLSP VSGQRLQLVAEANVKSHAKRKLEPSPSTRRPFKRGKMPRFDGFPKAESTDYRDDLEED YKAHLEEFIQRERAASRASLASNNPENRASPHAQPMSTCDSKEASRGTEKAPEDLQAQ SAVNTDAMERDEHVASGHQDVDLDQTHQQAREGSPRSTAGGSEPRNSEFASSEAKPQI LTQSLTLAPEVPRDADMEIDDLETGDSSVGRHMEIDDLEASDSDIEAARIGNAEANQK IVTQEIRGQDASHHLQARLSTSPPPNPAFLATTPKVVRPDMPISKSGTIFEVFKTTYP AYTGDIRHFLGQCKQMEKLDDQDKMVPKWQWDDFIIRNRTDYKDYANQCLDYGEDAEP YYRFYKDNIRDTLYTEGIIASRKTLAAAIGELEGGIAAKATAATRKDTVAKISKSQSS TRRSDTEIFAAKSSTVMAKAPVSKAPARSQAGLEGSSPVPNIHRKPRQSLPPAFSKKS GVKTSTAHRTSKERPRQSFQTSSSRDPSPLPSSSGLIIGAVHHAQHAEPFNRSSTSHS TSTRRPSMQRTSSGSRVSMEPTGDPYRDFVFAMGRAKSFTGNDSVRSPSTPAPGGGNK CC84DRAFT_1163102 MSFVSDTLLSGGARLHVARGGHQGKDAGKRSNQSQGGRLDPLIR LVKDPFGVFGGAREADEDESAQKAKADEDRKQLLYLRLRDAESYDDWKAAAAELDTLE GNDAWKLEDASSEYDAELVKVRLDELNEARLNCDVKRMLFLVRTTLTRGLGGMGDLRL YKHSHVGTKKLIERYIDSAVQTLAAIPEVAAKQGDTCPVKPHRVAEELLRTRQSFGRT ALLMSGGGTFGMNHVGVVKCLWELQLLPRIISGASAGSIVCAVLCSKIDDEIPGVLSD FCYGDLDVFEKAGEEEGVIQKVTRFLKYGSLFDISHLQRVMRQMLGDITFQESYNRTR RILNITVSSASVYELPRLLNYVTAPDVMIWSAVCASCSVPLIFSAASLMAKDRKTGRE VPWNPSPNEGWIDGSVDNDLPMTRLAEMFNVNHFIVSQVNPHVVPFLAKEEHDIGAEV QQQNSAFSAGPGWMHNMASFAKGEALHRLEVLAEMGVFPNTMTKVRSVLSQRYSGDIT IFPAISYTNFPKILSNPTTDYMLRCLLAGEQATWLKVSRIQNHVAVELALDEAVNQIL PFVHFSKSQVDLRLLNVNRPASQGVELPPTHQLRRHKRDSHSEGQGLPLAPFTPFTET PSPGFSRRPGSRGQGLTPTASRPYLPSRPSMRNSKSPDFNRVAFSTVEAVSSTDADDS PTDADVSDNIASDTTEILSSPSLSHSPPPNIAELYRSFPAVSNPTTPAAPAELFSGQN GSRHLAPGTPSSPELRYKRLFHPPAQTREPEPAYKQGIKRVLLPLSSIPVLKPMENKP EQQGAPSSTLAKAASLDEREVEALDAPGLSPLSTRDGAQEMEGSYFERKMRGLHRGNG TPRWGSPTRGTAVLRRKKSKGGSVGGERA CC84DRAFT_1163103 MDTAVATEPIPKKRGRPRKVVSEDAPAVEPKTGVRKASTKTAAA EKKEAAPNKVAKATKTATKTTTKSTTKTTTKAAPAKATKATKAAAPSKTAPAPQTSAA PKAPAPTKAASTPDAEEHIVETPQVADKPTPVTPSTSKILEQVYKTGTVKPPPPASPA PAAQEILLPTGGAANVVIEQPAPAAAMKPSLPSEPIQPTPTPTYTPPTSSSAPPPPPP PPPPQHSIPISRPTPTSALRKATTIPLPLHPLNPSKPATPRPKPISPADLEKAAIERD INEGRMPRKYQGAARRVTAIMVGIPVILVVGWDLYKRWDGEVRKKFGEERVAGP CC84DRAFT_1142855 MSSTLSSAVAAATKSATKATPQGGVLEHVSPVKVDPKNPIILFI IQVIIIIVFCRALQWPLNKLRQPRVISEVIGGILLGPTAMGRIPGFKDAIFPEAAMPQ LNLVANLGLVLFLFIIGLEVDLRFLVSNWKVALNVGIVSMAIPFGLGCAIAVGLYNEF RHEEGLVAIHFPVYMLFIGVAMAITAFPVLCRILTELKLLDTPVGVIVLSAGVGNDVV GWILLALCVALVNSGAGLTALYILLCCVGFILFLVYLVRPAFIWILRKNRALQDGPSQ GMIALTLLLCLACSFFTGIIGVHAIFGAFLAGLICPHEGGFAIKVAEKIEDLIGALFL PLYFTLSGLNTNIGLLDSGIVWAYVIGVVCVAFFSKFIGATIAARWTGMFWRECFAIG SLMSCKGLVELIVLNIGLQAKILSTRTFTIFVVMALITTFLSSPLTQYFYPAWYQKKV EAFRRGEIDWDTGKPLDGTDSVVDAAYYDKVSSNKIKHLTVYLRLDSMPNLLAFASLF GGAYSQSKAISHPKAAQRSTSISKKAGETLDEEPKRPVQAYGLRLLNLTDRGSSVMAV TEAQEYTAYDPVVNIFRTFGRMHNLAVSGEVVVVPESSFADTLSTRASDSDFLLLPWS ETGGMSEQAIIEDKGTKNKLAASSYTTFVNQTFEQSAAPVAVLINKNFGGSKNKDKKE RGKLSRTYSAVSLHSNREKDVTAPITDPSHHIFFAFFGGEDDRTALRLVLQLAEKPEV TATIVRFDVAPEVLGDANSSSSSAAKGAENATMNGSSAHEQDATFFASLSASVPAELS SRVVFETVSSSNLVKDVIARALAEVGQNPRNAGDLVVLGRNIGRNSVLSDSSADEVRP VNASSAASTLGVLAEKVWDSKSGASILVVKAGLASAAPGLLTYK CC84DRAFT_1163105 MAKLGPDVQSGSTDAYSGYLASGNVWGFSMTHESGTGGAPKYGV VSQMPAVGNVSNPLADLSQGRASADEGQIGYYKTVLNNGVTVELSATNHAGLYQYTFP SGSPSTIVVDVSHVLPSFRGLGWEQHYTRGNFSFSSDGHYEGFGTYNNGWNLSPDWTL YFCGKFDQKPTISKTFTGTGTMLSSYDETAAVSGTERLGGVFTFSKPNLTSRVGISFI SSEKACQNLDSEIQSKTKLKSLVTKAKSAWNSQILSKIQVEGGSDEDRQLLYSSLLGM FMIPSNKTGENPGWTSSEPYYDDIFTFWDTHRCHTALFHILEPAAYEEFIRSLIDIWR HDGFMPDARSSNFNGRVQGGSNADNVLADAYVKGVRGAVNWDDGFSAMQTDAEKTPPN NHDPKSPESSTKEGRGALPDWLKYGYITPSFNRAVSRAVEYSTNDFGLYQVAKGLGRV DDEAKYLSRSRNWRNHWNPAVSSTNHSGFMVPRRADGTFVSQDPLSCGGCYWGDAYYE DNAWVYSLNAIHDVYTMKKYIGGDAKFIDRIDKLWELNIFHAGNEPSFTTPYLYNFVQ GAQWRTVERSRGIGKLYNSGSTGLPGNSDAGAMEANILWQMIGLYPMTGQTTFLILSP WFPSLSISLGNGKLLKITTTGGNKDTAFYVQSLRVNGEPWNKAWVSWDDVFANGGTMD FVLGSSRVDWATGELPPSPASADTDGYTPRAGHWGGSL CC84DRAFT_621815 MEELRQKWKTLYAQELPAMAKAKDEKQNWPIHLDHCFARIIMDN AVGKDKPWTEVVKSPAIKHMSEQQLKDAIKLGESIADGSEDINPLNERSLKLRGKSGP GRKRKVIAQDDAADKKTKRSESSNISTYFLPSPALPKKEIPPDETLVTAQDLEIDVQL KRIADSKLTPFRKQILSMLCQIPRGRYSTYQAMSDYITNTSHKTCARAVGNAMRNNPF APEVPCHRILAADGTLGGFGGHWGEEGKFADRKHELLKEEGISFDSRGKVKGPPFRSF SRTASNAGR CC84DRAFT_1241580 MSGELQTIPARHGVATFVPRGRTIKIINTYGQQVVSTWAFGLAA PPEEGDNDEEEEELEGKVDYLKKELSKEEGKNEVPKEEGANDKTAEEDATKVSDEGPE ATKEEGPPEEEQSDNPATKDGEGADDPPEQAPDTPENERSDGKKPEKRTWSSYLPSIP YRNKGQAQGQNKTDAQAQQEEKAEKAQNEETSKKWSSYLPTGKGFSSYVPNVKMPDTQ GVVSAFKSSNSWDPNKSYAEQLYDFSKTPVGAGTLAVASGSGTASSLYAAYNAYTQIS PSKNDQPPMEYLSLPHTRAATYRLVPELNDTLLTNLRNPLMTLVEDTSPGAHDTLTAA CDANMYAALGVDKPEEHGSCAENLVLALKELNEKAGLKGTKAIGADITVNIAPTPLHL FMNTPIEIDSSAQSEGAGAKGAKLSVEKPMSKKRSYVRFRAERDIVVVLSACPNELGQ QNGGRCMAANFMVEEPEEDPSASTSSVKSKNSAAKKLDTKKDEKKEDKKEPEEKPKVK RKALPKQQKKSEDAAPKVEEAEPKGTAKVEEAKPDKPPKADEEKPEDTPKPKKKPKKL ERRTVTPKSEAS CC84DRAFT_1215829 MAPSRNTVLALLGLVASIQAIPAALPEAQFGIGPPHYSISWDAP LPVATDDPEDPEEPEYPAPTPSDQISLGQPSATISWDGPSVPTEDPEPEYPEDPEDPE EPLPSPSDIISLNPPTYSISWDGPQPPKTTRNPWPQWPPRPSHKTSTKKTSSKGYVSA TVSWAQKDSQTQPSDVISLNPPTYSISWDGPTPPKTTQKPSPPKPTKKPWPPRPTAKT STNGYVSASVSWGKRDDGPSYSISWDPQPPATTRKPWPWWPPAWTSTKGYVSASVSWG KRQEGEDPEPTDFPSFPDDPEDPEDPENPISFPAGPLPTPSDVISIQPPQVSFSWGKR QEEEPEPTDFPEPENPEDPDSPIGFPGAPAPTPPNSIGIQPPQVSFSWGKREAAATAP SYSLGKPTASVKPPKTSKSSKSPKPPKTTSQAWISWGKRQEDNGPTEVFPPAPTPSDQ ITFVPPTASLSWGKRDAEAQLPTYGLGFPSFSFGLPPVAPSSAYVSWGKRDDDKKHPT TLVTHKTRPTLTVTDHIPTSSACPTVTKTIVPPCVPPTCPYNLVSCKVGEAQEVEVRA IEKTIVTITTVAKPSLKPTATRSVCTTSTVVVNVGCPTYTCVPGGECN CC84DRAFT_1089406 MRIHLPAAHGVFRPTFAVPSQPRLVTLAIETSCDDTSVAVLETR TLGRPITTAAKLHFHEKVTSNNAAFNGVHPLAALESHQENLANLVAKAIKTLPGKNGH PDFVSVTRGPGMRSNLFTGLDTAKGLAAAWKATHALTPRLVAALDAFENPAQAWEDSP GVSHGEIAVAPKFPFLSVLASGGHTLLIHSAALTRHEVLASTSDVAVGECLDKIARIV LPPDVLEDASNTMYGALLERFAFPSGKAAQPASSDPRSASEYCHDAASRYDYRVPKNH EEELQRNVSRWGWAFNQPLAKAGGGTKNKSLEMSFSGLMTAVERAVRYEHHQSTGKLT KIERSAQAISREERRDMAEFSMRAAFEHIAARVVLALQSTPAESVIVAGGVGANFYFR YVLACKLVAHGYGDVRLVVPPPHLCSDNAAMIAWTGTEMFRAGHRDNFNIRAIRKWPL DMLLSPPVEWTT CC84DRAFT_1163108 MADYKKETDGPQPDSADGFDTALLPDFDDDFVDEEDFAEFARAL QAPETSPSTEDLTAPQPEPQRFITALNDWRPIHQRVRKRKKHNKKPPRRGKDETREGI FYEVLKYPLLLVVFGWLAFLSIAYVLTRFYIYAYERSVTWRGRRQRLRRELQNAKSYE DWIKGAKELDAYLGNDAWKEKADYAYYDSKTISRVHQQMVRLRQKAEAEEKGGTNETK AAEELRNLLEACIKNNFAGMENPRLWSESYYGTKNLLQDFTDEAEKSLSFLLKSSQLN QENKRAMFKHLSTNFGRTALCLSGGATFAYYHFGVAKALLDAGLLPEVITGTSGGALV AGLLGTRTNDELKKLLVPALANRITACHDSFWVWFKRWRQTGARFDSVDWAKRCAWFT RGSMTFKEAYQRTGRILNVSCVPSDPHSPTILANYLTAPDCVIWSAVLASAAVPGILN PVVLMKKNKDGTLAPYSFGHKWKDGSLRTDIPLKALNLHFNVRFSIVSQVNPHINIFF FSSRGTVGRPVTHRRGRGWRGGFIGSALEQYIKLDLHKWLKVLRHLELLPRPLGQDWS EIWLQRFSGTITIWPKTIPSDFMYILTDPTPERLARMIHVGQQCTFPTLKFVANRAKL EHVIQQGRRQFRPRSGHDGGFVSVLSEDDLQSLLKRSQKDPSGNVAPYPLSGSESSSS AAPSRPGTPVDLPLGFSFSTKQKQAPPHLDTKTDPSSGNPAIPGSPSLGKRLSGWWSS LSPRDVNAPSSPSSSRLRSGPRNKRSISPPAPSPHANFRPNSMIELRPSQHVLRPSGS SSPSRSGSLLKEIRRQSRVFVDDDSDDGGALADFGDAGKRYDGGGDEAGDDPGLEDYV GDDEDEGEHEGEVQSVGVGLGLQG CC84DRAFT_1185953 MAAKRKVADSRDAPLSKKTRIATGSSESTNVSDFEDDSSAGFDA SADRKVNYTENGKKRADSEAKRSWNYVCDFEGCGQRFNRPCRLESHMRTHTKERPFAC PHEGCDRDFPRKDHLQRHLTHFHAVPERKFACDWEGCGKTFTSNGRLQRHKEVHDSKF YCTGYAPCKEIFRKQKTLDAHIKTVHLETKAYPCTVVDDSGILCPHGYQTENGLRRHM ASAHTKMEEPSHFCMLCPTPGTDCETITSATGETASVPTEPLSFSTEVELQAHNREVH PPTCPTCGQVFSNQSNVNSHMKAVHTSQGEQQLFQCPYQECGKMFNRRGNLNAHVSQV HENQYRFACTAEAMQQSKHPDLSGWNGENACGKLSKTKAALEQHIRTHHLGLPNRKAT RKAAKGRKRFIPEPSTLTLLTGVGYDDGRPITCLVNGCPSRFARDRDLKRHVVAEHSY TDAECEAAILERGAARGEQFWIGGLDDFDPVSMSGSTEPSVPQTPMPYFGNPAMDTDM QGGYGNVTEGDGTKDPILEFLASVQPYNDQMQG CC84DRAFT_1088821 IVESGDPSAAAAAKAKNTVAAEKSKKVPNDQRSTTPYMTKYEKA RILGTRALQISGNAPVLIDVEGMTDPLEIAQKELREKKIPLVVRRYLPDGFYEDWTCE ELLT CC84DRAFT_1163110 MSFETTATIASFGGKLLKLKHQSSSTGTDMALNLYLPPQALKSG AKVPVLFYLSGLTCTGDNCSEKGFFQHGASQKGLAVVYPDTSPRGLKIEGEDDAYDFG SGAGFYVDATKEPWNKGYNMYSYITKELPEALFAHFKELDGSRISITGHSMGGHGALT LFLKNPGMYKSVSAFAPIANPINAPWGQKAFKGYFGEDQQQKWKEHDATELVKQWKGP LDILIDVGTGDNFYKQKQLLPENFIEAAKEAGNDKGINLRLQPDYDHSYYFMATFADD HVAWAAKHLGV CC84DRAFT_1174727 MEAQVENAIQIASDPTSSQQLRGQAIEYLNQLRTEGSAWQAGLT LFTREPRAPEITRHTSLDLVNNAMQENRLDDQSLSYIKDTLMNYIRQTYAAGSASADA GHIQNKLMQTITYLFVALYPSSWQSFFDDFRALAGDQATIGSVNYTSTLLYLRLLAQV HDEIADVLVARPEDEKKRNTELKDLIRQRDAQKISLSWQEILAKWRETDLGLIEMCLR TIGRWVSWIDISLVVNENMIMVFLQMAGQQGISSPETPEGRARDAAIDTFSEIVSKKM GPADKIQLIEFLNLGSVVGQLIGSPALADQSTPDYDNDLAETVAKLVNNIVFDIVKIL EKDNNDDQTRQRADTLIQVFTPYLLRFFADQYDEVCSTVIPSLTDLLTFFRKLQKKTG ALPSQYAAILPPVLEAIIAKMKYDEAVSWGEEGEQTDEAEFQDLRKRLHVLQLTVAAI DENFYIETLSRVVSDTFGRLSAGGRSSLDWRDLDLALYEMYLFGELATRNSGLYAKRE PSSEAAQRLVGMMNSMLDADIPSYPHPAIQLQYMETCVRYYQFFEQNSQQIPKVLENF VRLTHNNHVKVRSRSWYLFQRLVRHLRAQLGNVSYDIIQAIGDLLTIKAELPEDSGDD MSSDEEDQSADALFDSQLYLFEAVGCIASSSTVSTENKKLYAQTIMSPLFADMEKTLP QAQNGDERSILQIHHIIMAIGTLARGFSDWVPSSNSALPQSEVSDEFAKASEAILIAL KSLNSSSTIRYAARFAFSRMIAVLGSRILQEIPSWIEGLLSQSSSMDEISTFMKVLGQ IIYTFKTEIAGILDTVLTPLLQRIFTALAIIPSGTDDEIQLAELRREYLNFIYVVLGN GLGSVFVSDVNQATFDTIITSIDTFARDTSDYPTARLALSVLIRMTSVWSGQDKIGPG ANTSPTTPATASIPGFENFVIERFSPLVWSVPASSGFNSKDAQAKLVLYEVANLQAEI VKKVGEPYVERLRTDLSGMGVSEDVVDGYLRTLAGAFEGVEKFKQWRIFFARFVSSAQ G CC84DRAFT_622190 MHCSGAPVAGTTHSIAPVHADPAAPARLPRRVATSRRLLEDFPP GVATGARCCRRSTAQIEWPATRPWQLFSRCTHDTPRLTWRGVLHLHMAHVLKTPTYLK PETTHRTGRCEPVLHRAPRAAEG CC84DRAFT_622199 MEHGACNVIYIDRRANDEHVRRETLSSSLVARTSTGNVPQGYFP GSAKAPPPQVHANVESILSIFNEVHVCGSGGSCLAKISQLLDATKESVPTIVLIDLPY DEEQRLKRLSREPRTPSPTATRLIRLDTGEPDDIYGMHLLTHISSEIASKNFSKLVIP VVILSGLDREFASNTLPSPSVHGSQVLTDTVRLVRYLDAGAVDVLLSPLSKDSVHGLV IHAYRVHKEVTREEASFLTTKRNRKLSWVGVSDQKPYAYLREAMVSNLMGGICNPETV GESLESKDIFVEEDRKQVIAAAVGTWAFSAHDFTDDELLYGALLMLKHALKMPEVEEW GMTDDELTIFLLASRTAYNEFVLYHNFRHVVDVLQALFHFLVQIGTLPWYPTDTPRAQ AAPESAIAQLLKPFDALTLLVSAIGHDVGHPGVNNAFLVALNAPLAQLYNDRSVLESF HCAAYSQILRRYWPKAFSDAAMRKLMINSILATDMGLHFKYMSDLGNLQEKLGHDQGM IDGWNVKVREEHKDLACGLLIKCADISNVARKFQTAARWANILTDEFSNQGVMEQELE MPSCLFGGPPVQDDIIKLGESQIGFMNIFARPLFESVADILPAMRYAVDEILTNKGIW EKKINDEKNKTKKHPNFSLGLTTPSFAADPTPSPLSGGMLGSKSPPSATLPTSQLGRT VPSSTWLVDSDDGGRRGSGGSFHGVLPSSRRSSGVDKGSQRSSGTGLAGLRSRENQSQ SRRGSGDASLTAILVTQTSSAGDAPEKEPGQDPSGTSPSPSGHRKDTMSPKKDKDMRP VTAPSRRSQGTNMFPVPHPSSQSHSEVDLSTTANGNYDGSKMQTWESNKLSNDSNMSH SDASRDIHRRSEWWRPARKQRDHRNGGSDTPKQQQAAMLDPAVTNATLDPSTSPGKTS RTGKLKSFFRRKSGRAADSEKQLSSHGSSSQLPTSDPGRSVNSDE CC84DRAFT_1088054 MRRAAVVAAAVAALGATVSADTCASVAATSSIQIKKQLDIGYAT EQANYWSTACGAMKPSCQLPNQGFASIDGGPLISTRELNEVIYDAGNGVVRVGPGNDW DDVIGALDGTGVTVVGGRIGDVGVGGLVLGGGLSFLSTQYGWVANNVVEYEIVLANAS IVTASESHNADLWKALKGGINNYGIVTAYTFKAHPQGQIWGGNIAYTADKSAEILAAV RDFTEYYPDDKAGIIMTAELTQFGVIDIWLMFLFYDGPTPPAGVFDNFTAIAHLSDDT KSRSYSDLLTHNNFGVIKTEIYTIATEMIPLPSADVGPDFLGAIYDNWRNTTESVIDA AGLIGSIALQPIPKRLARKAMEAGGDMIDLDDEIDRIILEFDLSWLSPLDSARMDVAT QKFYTGSKDLVEQYQADDKLPEGYLPLFANDAYYRQDYFGRLRTADFARTVRDAVDPS GFFASRTGGWKP CC84DRAFT_622256 MTLVGRHPTRRQSAPESRRTWECWRPAWRHIRELRIALPRAKQS MLSCLGGLLGIPASRAAWPTPPGSGPGKLEARRASFNGAPSGAEQEYLVCLQRRRGLP TKRYMLRFHSKHVRRDPNLDRAVSMARRSVSKQPLRCYSALSKATRRRGSALCAFDAV IDRLAQSGACYSVPLQSPYAVAPVQFSPPAWPSRMACLPRTPEARCRSALQCSALPQM GPSPVRGRQASV CC84DRAFT_1174730 MPKEERVSSVHRNSISTASAAGGGARSSSWSQSDDETLIKARAQ GLNWNQIAPRHFPSKSANACRKRHERLMEKQNAEQWDGVRLDVLAEAYMEVRSEMWKI LGTKVNEKWQLVEQKCMEKGLKNLTQAYRQAQRKQGTYDINEDSGVGISDLDEDSNEN PSPVLTDTSNHLPLVYQQQQQQQSTTFQHHQTRVPSIHSILQHTQHLQQPQSVLQQQT MGQHTMGYTSAYHQH CC84DRAFT_622258 MFFLLIISILSSVSFLYLFTCNRGGLLRTFAVSASRRNARVSLN RGMVFGVSLRITIIKRYHGRRVRTGRRSAFKIFVSTFPIFPYFRLSHWSRISIFLHFL ITSIICMGEE CC84DRAFT_1142893 MPPVRAPLLRIPYTSPLPAPTIIPPSAGTAPGAIAALAEFLGRS ERVSSRHGKDGRTLLLTGAGISVASGLADYRGTKGTYTLNKTYRPIYYNEFCENHEMR KRYWARSFLGWTNMRRARPNAGHEAVAKLGELGIVRRVITQNVDSFHPKAHPSLPTIE LHGYLRTLTCLTCKSDYSRNDFQVDLARLNPAWATFLQEVLATGALDTENPDERRKRG LKSNPDGDVDIPGAPYTTFRYPACPTCLQKREHGVGVDTDGGWKEGSTGGVLKPSVVM FGESIPPERKNAAEQAVNDAERILVIGSSLATYSAWRLVKHAKELKLPIGILNIGGVR GEDTFFADVQEGDTGRQAVRCSESADVVLPEVVKMLEGSMR CC84DRAFT_1087774 MVLAVLLSLSSLAAGAAIEKRAVPAGYVAPPAYPAPHGGWVPEW SDSFQKAAQVVAGLTLAEKTNLTAGSGIFMGRCVGNTGSALRVGIPQLCLQDGPLGVR NTDHNTAFPAGITIGATFDKDLMRRRGEALGEEFRGKGVNVYLGPSVGPLGRKPLGGR NWEGFGADPVLQAYGAALTVEGVQSKGVIATIKHWIGNEQEKYRMYSIIQDGISSNID DRTLHELYAWPFAEAVRSGVGSAMVAYNAVNGSACSQNAYLINNILKDELGFQGFVMS DWLAQISGVPSALAGLDMSMPGDIHTVPLVTAQSYWMYEYSRSILNGSVPVDRLDDSV TRILAAWYQMGQDKDYPPPNFSANSQDAEGPLYPGAEPGSPRGVINEFVDVQGDHAVV AKEVARDAITLLKNVNGTLPLSRSATLKVFGTDAEKNPDGINSCADQGCNKGTLGMGW GSGSARYPYMDSPIDGFKSRGANYSFYNSDSFPSNSNPSANDVAIVFVTADSGENYIT VEGNNGDRDSSKLNLWHNGDKLVKDVAAKYSNVVVVVHTVGPILMEQWIDLPSVKAVL FAHLPGQEAGDSLMQVLYGDVSPSGHLPYTVPKSEDDYPKSVSLVSDVVLVQPQDTFT DGLYVDYRHFNKEGITPRYAFGYGLSYTTFSFSDATITPVTALTTTPPSRPAKGKTPT YSTNIPAASEAYWPDNFNAVFRYKYSFLAKNDADAAAKVGNSTKKYPYPAGYSNVQTA GVAAGGAQGGNPALFNTAYDISVTVKNTGKTAGKAVAQLYVQFPTGISFDTPIIQLRD FEKTKELAAGESQVVKLRVTRKDLSVWDVVSQNWVVPNVSGDYGIWIGDASDSLKLRC GTKAATCVGGQTSPV CC84DRAFT_1087802 MFGGKAFGLVALATGVLSAPAKRQAPSGVPDYVLKYAPVVYLHG QDPYMPSDLNTHISHTTPRVNFNAVSAPNPLDLNSLNQLGGDVYLTSNDDITTIPQWL KGVRPDGNGKTEGTTAAVIVNDKGNGDVDAFYMFFYTYNWGGEVLGLRSLNFGNHVGD WEHVMVRFKDGQPQAVWYSQHANGQAFKYSVTEKHSDNLRPIAYSANGSHANYAIGGT HDHTIPNFNLPGGVLEDHTAKDIFWDPLQSSLYYKYDAGANSFTAYDGTSPVNWLSFS GRWGDQEYPTSDKRQVKIFGQAKYSSGPTGPADKQLNRNNVCPDNENDCILRGILVPR SAEFDAQVFAEDVVQR CC84DRAFT_622338 MFQQVSLIHLSGFFPMTFTSVGGAFPVGKPIFGATLPRDCHNGS HPSSTPHSRKRSSGTSPCAIVQCSRYAFQALARQPQVSSRNHSCRMVCLAETYSCPIG LEVTVKELRHHVAMYNPLRPLQARREPRAEVEPPLPSVRPHGRIETLLPCTHIASSVK NNLCIWVCSCELCSKGPPRHVS CC84DRAFT_1116691 MPKQRQTCTRCSQRRQKCDRKSPCTRCVLNNEAHLCTTVWKEGY NPAVHRRYPKKPSPTTSQSLTDPSTSAEVSPSSGIPWPAGQIPLHFRSKAPGNQSAGD ASRGGSISTSSDGVAGSSDLPNTSSNNVDFITYGRSEFTNISMGTLLDNKEEYTRHQS LMDETLNQSRTKTVLDEAATETFSPAAQAAEVYHLQSVLPTKEQVFQMIDYHQNCMAY WIGGIYHGPSLRQALLEAYGDNGHFDLRCHDWRWCALLFSILSASIIGSPEEVSNSWG FSNADKLKLSRQWGNSLISCLQLGDFASRHHIYSVQAILNMHTSEHLVGSAKEWAVYQ AASTVIARGLGLNKLGRHPEDQMSPSEMTTEQKDALIQREIGRRVWSALISQDWLCST SQGMYTQQKRHYSSIPPRHFDEETWEPVVSYQKPTFTHVSNYLNEIAHVLVRYLDDMM DAGDIESKYNVVLRYDAIMRGLCIEKMPPWLSLNMPHNNDWPEWTKWVRRSYHASCAH KIIMIHQSFLGRSFKDPRYTYSRWACISSAKTVLEAMEKRLPNEPQWWVEQAFVVTAG LCLGLDLFHRAEGEPEAIKDLECIQKAVQILEQWPTSSVAAHGIRLLTTLLQEHSKKA DASRSESRAKDPEFPPNIAPQAIADAASVDSPRPSPVPLPPPAPTELPISDEVWANGD FDIDMSGFEELMDTLPLQNGFDNSVFLDTLWNGYTV CC84DRAFT_1142903 MSPPPSSTVSGTDTSLREKEADIEQKAADKDLGNLPTAPEPVNP WHPSQFPDGGRDANLCLLGAFCCLFCSFGWLNCVGVFQNYYETHQLREKSSSQIAWIS SLEIFVMFFPGPFVGFLYDNHGPKYLLAVGAFFHVFGLMMTSLCTEYYQFVLAQGICS PLGLNCIFNAAMSSLPSWFSKKRGLAYGVTAAGSGLGGIIFPIMASHLIPQIGYGWTM RTFAFMILGLLLIAFATVRSRLPPKKRDFKLHVFVDPFKDLKFTMMVISSFVFFFGLF IPINFIEIEAMVNGMSVRLSGYLLAMLNAASIFGRIIPGALADKFGPYNLQAVMAFFT GILCLALGLPASGNAAFIVFAVLYGFASGSFVSLAPAQIARISNVQEIGVRTGVLFSC LSFAGLVGNPIAGALVDGTGFHKVNIFAGVVMIAGAALFVFTRMVVTGWKVMQIA CC84DRAFT_1258075 MANRAPASGYLAEKPDGRRSGKSPSRSPARNAHKKQKPKVVGYT SEGVRDHDIFSLPGSDWQMLGLLMVVATVVRLYRIYQPSSVVFDEVHFGGFASKYIKG KFFMDVHPPLAKLLITLAGWLAGFDGNFDFKDIGKDYVEPGVPYVAMRLLPAICGILS IPTMFLTLKAAGCRTTTASLGAGLVIFDNALVTQSRLILLDSPLVLFTAITALAWTSF TNQHEQGPTKAFQPSWWFWLAATGVGLGATFSVKWVGLFTIAWVGTLTLLQLWVLLGD ARNVTPRLWFKHFFARLFCLVVIPLSFYMAMFGIHFICLVNPGDGDGFMSSEFQATLN SKGMQDVPADVAFGSRVSIRHHNTQGGYLHSHAHMYPTGSKQQQITLYPHKDENNIWI LENQTLPEILDGPKPSGAKAWDNLGPINIEDGAVLRLYHITSDRRLHSHDVRAPVTEA DWQNEVSAYGYEGFEGDANDFFRVEIVKSMSDGAEAKKRLRTIQTKFRLVHLMTGCVL FSHKVKLPEWGFEQQEVTCARGGTLPNSIWYIEGNVHPLMNETTEKVNYRNPGFFGKF WELQKVMWKTNAGLVESHAWDSRPPSWPFLRRGINFWGKHSRQIYLIGNPVIWWSSTA IIGLYVAIKGLAVLRWQRGYRDYNNVTFKRFDYEVGMSLLGWAFHYFPFYLMARQLFL HHYLPALYFAIMALCQMYDFVAYRFDILGIRDYPKIGQTAAVAFLAITIVVFQTYSPL AYGNPWTKSQCNSVKLFSTWDWDCNNFLESYDQYTTEGIIPYTTSPAVPVSAAPKEIP PPVQQEQKKPDDVVSEKPKVSVPVQADELPVLSKEERIEFRDENGRVLDEEEVKALEG KVSFKTRYETRTRIVDSAGNELHESLVEAREAEDQGVAPPHPDVEGRNPETKDANEAE ASELPPTVEAVEDEKKEKSVEELAKAPKPASEAKAATQESAN CC84DRAFT_1163122 MAASNGDSSEIAANPPPNVIIPPKNVRDSIAKTADFVHRRGERD EAALVTRVRDQGKSNMAFVLPEDTYNPYYAWYLQQLREGKGPSAATARAVADAKPQGP PEPPKFRFSARMPQINAKDLEILRLTALYTARVGENWLKELRNRESGNAQFEFLRPTH SFFPFFRALVEQYKILLEEEQTVEARIEELQRNIQNRFHVLDRAKGRAEYVKYVTHQK EKEEKKAEDERKEYAAIDWHDFGVIATVLFDEGDDAAELPPPTNLTDLQSASLEQKAM VSLSSKRLEEALPDDVTFYNTSQQPAMPPSAYPGMPPMAPPVQPAYAPPPAAPYGYQQ PAPVDWQADEARQAREQQAERDQVARAQATARGAPGTMRIRTDYVPRGKKTNVTTVQC PNCKQMFPSDEIEEHMRIELLDPRWKEQRAKTEARYSTVITPNEAANNLKRFASQRDD IYDGVTGVPITEEEAARRKKAATSYDGQPDSAKDAARIHQMQSMNLQDQLRRIHEKHG GGQ CC84DRAFT_1163123 MPYQVRSLYRSLLRQGNQFAAYNFREYAKRRTRDGFRQHQNESE ERRIQELVQEGLKTLQMLKRQTVVSQFYQLDRLVVEGGRTGKQTGTDSDIVRQKDQG CC84DRAFT_1215848 MAPFRNRDRSNRSGRGQVEHNVLEGLPINQYREVEITIGPNTTE IKQLDQDDWPALPMPRDSHLLPEHSQQILARARAPRIYKPPAPVEEDKEIMDEEEEHK EVRQGFTVKKWMKVPRHLEESEPEYLAKRRKGLPSQYVNGAAMIQPTPMRETKVKKTD AEGNVNVYKVLVPEGQAVEGEVQPTDAVTDAAIPAPGTVVEGVGVVNAEGVVVANDLL QQTPPRRRPPPPKKKKKSGPGRGKKKVLFAEGTTEQGTPISSTGSELLTVPGVKQEGS VEPSEGGDTPMPDAGDEDEGSGEEGSDDDDHEDHEEQEGNEDHEETPVQAIPISTASA PPTNVPDVEQADTRMADAPPLAEAAPVAATEPDQPIQALAPAGNITAVGHSEPSLQPS VEQQSEEAPRRDPSSSPELPLSAVSHSRHNSLNQLTPIFTPETSTVQELGVPLGSTVV PEPSDPEVVLGKAPEVTLEAAPGAASEAPRTEGVVAPATVDKEVSSATNVEQSADGAP TPDGEPDLLGSLERQLEKDSETMGAN CC84DRAFT_1163126 MAPDLSQNRCLKIVTHAAKNKYAVPAICCYNIESIIASVKAAEA KNSPVIVQLFPWAIHFAGGILVHAAKEAADNAKVPVGVHMDHAQTPEIVKKAADLGGF DGIMVDMSHYEKEENFRLTKELVQYCNERGIITEAEPGRIDGGEDGVGDVSALGLEAI LTTPEQAEEFVSLGINWLAPAFGNVHGKYGPKGPQLDYDRLSRVHKQVGDRVDLVLHG AGTEWFSNKEMLQSVIQRGVAKINLNDAINNDFTRVMAEKASNTPLTTLLEDATNAMQ KAVEDHMDYMGSTGQAW CC84DRAFT_1142918 MVRGIEGNEMSTPRASSQKKQPASASQSAKNQKSILGFFQKKST NSPTPTRDTKGIPTPTLSQTPSATHVPSLTPQPSSDPAQPSSPLRQERLGNQGKNKEN EVTGITVNSPSRKARKAVNYAESEDEDDEVFKPIDNGARTGRASKRRRVVVDEDSDDE FALDEATQQALVEDDIDDFIAPDDSEEEAPSNKRKRQRPSKPSTKAALPSSPPVTIQK DDSDDDKDIVMGGVPSTAQQWTFDPDNLEPLKPRTAIRVPKTDASKAKVKASSITPEK RHLWLANQVDAERRPPDHPDYDPRTLYIPPNDFDKLSAFEKQYWEIKKKFWDSIVFFK KGKFYELYEKDAVIGHQLFDLKLTDRVNMSMVGVPEASLDLWANQFVAKGYRVARVDQ MESALAKEMRERGDKKPSKAPKKEDKIIRRELAGVLTLGTLTETGMLTNDMSTYCMAI KETDRDNLPTFGVAIVDTATAQFQLCEFTDDIDMTKFETLIAQMRPQELIIEKSCISA KALRILKNNTTPSTLWNYLKPGKEFWSADDTVREIEASQYFVSATEDDVEAWPPVLRE ARDHDLAMSAFGALLQYLRVLMIERELVTLSNFSWYDPIRKATSLVLDGQSLINLEIF ANNFDGSGEGTVFKLLNRCITPFGKRLLKQWVCHPLADAKKINARLDAVDALNSNPDV TESFTASLGKLPDLERLISRIHAGRCRAQDFLNVLEGFEQIEYTFELLKQFSENDTVI QQLVSSMPDLSGVLEDWKSAFDRKAARSDGVLVPAPGIEEDFDNSQDEISTCQDNLNR LLKKARSDLNCTAIVYNDLGKEIYQLEVPKKVKVPKDWDQMSATAKVTRYYSPELRKL VRALQEAQETHGQIVRDVAGRFCKRFDENYATWLAAVKVVAQLDCLISLAKASASLGE PSCRPVFSEAERSIVDFQELRHPCMLNTVDDFIPNDITLGGGTPSINLLTGANAAGKS TILRMTCVAVIMAQVGCYVPCTSATLTPIDRIMSRLGANDNIFAAQSTFFVELSETQK ILTEATPRSLVILDELGRGTSSYDGVAVAQAVLHDISTRIGCVGFFATHYRSLAKEFE GHPEILNKRMRIHVDDASRSITFLYKLEDGVAEGSFGMHCAAMCGIPSKIIENAEIAA KEWEHTSRLGERMETRKEEGAAYLPLGMQSDIAWALREGLQGVGDRALDTLRAALAAL CC84DRAFT_1163129 MAAREKNSVELEKAKQLAHVPWSEEYEKMISGMLYDSHDPSLAA ARFKARAWAHEYNTVPPPFLAPLLSFLTPYP CC84DRAFT_1063199 LAMDYRLLLPQLPPELRDMVYTQTVTSDNHATSAGLDFTSKIYE SSHTRVEIIPVHHGNPAMLALQRYHFLEGDEYRHFILKTAVQLRIHVVFKGHTNTFVQ EHWDKKMAAHLKNLAKRHPWLRKVAHYDIRILWKPASWAPSKRKRRVGAIAKRMVEVL TQELDVEQRVKRGMVKTDLRIADFVVADHVLKGQTLGLGEFV CC84DRAFT_1116716 MGKIQKKGQAGAAKNYITRTRAVKKLQISLPDFRRLCIFKGIYP REPRKKKKVSKGSTAATTFYYTKDIQYLLHEPLLAKFREHKAVAKKIAKALGRGEAGD AARLEKNLVPKIKLDHIIKERYPTFTDALRDLDDALSMLFLFANLPSSEHVPAKTIAL CQKLCHEFEHYVITSHSLRKTFLSIKGIYYQATIQGQDVMWLVPYRFVQRTGGDIDFR IMGTFVEFYTTLLGFVNYRLYTSIGLVYPPRFNADLDAQGAELSAFQIEGKGVAANGD VSHGDVSETANPEAQAVADRIAAAPVTEEEQPEDTQVVPAAEEENTETIDKFEAVAPD ADVLPQPQASSAEVAALFAPYTFYLARETPRAPLEFLLKAFGCKRVGWDSTLGDGAFT SNESDPSITHQIVDRTAIATEDASETPDGAAPKVQWPRSTMPGRTYVQPQWVWDCINQ GKLLRPDLYAPGAELPPHLSPWVKPKKGEYDPNLPLQAQELEGEREAFEDAEEVASEG EAEESDDEVDEDEGMESDASVEAGEGMQVDGSEDEEEDDDEPQKPADEFDGFDSDAES DVSEGEAARLQHQRELEAEATGKTLEPEVETTKSKNAAVRKKAERKRKEEQDERDRQK MMLSNKKRKLLKRIEYGSNKRDVEAENLRRKKRKLEKAKAAAEAV CC84DRAFT_1163132 MALDTRHKAALFAAAAAVRLLLFQAFPFLPDRLAGRVELSTPVS SFKRLQEGLYLYTHNVSPYDGGVYHQAPLLLPLFSLLPDASYYPFATNLVFTAVDLLS AHALAQIADSGHAAATRLFKSSRQGLRWSTAAIVACFLFNPFTLATCMARSTTSLTNL FILTAMAKACCGASATFLLAISAASYLAMHPILLFPPLLVLLYDTRTEKLKAAPNALA FTAVYTLGLILVCGTLLVVSAILSGSWEFLEATYGVRLLLPDLTPNVGLWWYFFTEMF DSFRDFFLGVFWLHVASYTPGLTIRLRTQPLFVATTLTGIFAIFTPYPSVADAALYLS LVPLFRHLFPLMRYTFLASAAVLYASFLGPAFYHLWVYAGSGNANFFYAITLVWSLGL SIIVGDSLFAALRDELDVQRPELRDKEVKRI CC84DRAFT_1215855 MAKSDEALTFSAREMQVLALAWQCFESEPKIDIKKLARLTGYTE GSASVTMGKIKRKLKTHGAGGGSGSESNPATATASTPKKTPAPKTPRTGKRGAGASAD ETPSKKVKGERVEDEGEEFKIKPEPVLDGYGAYHDYAGGL CC84DRAFT_1067425 MWCSTLITSVLAAAATVEAYGIKTHITYEVVTGIFKQDDPATDA SSFNFTAENFGLIEQKYPSDWTYLFQRPTSWQRLNRYIETLNLKAKYNERYTLLFLGR HGEGYHNAAETYFGTPAWNCYWSEKDGNGTVTWADAHLTPKGIAQAQDVNKFWETLLT KEKITAPQTYYTSPLYRCLDTARLTFTGLPLPKTRPFVPIIKEYFREGISAHTCDRRS TKSSIHAAFPSYKFEKGFAERDPYWTALHAEPSSDQDIRSKKALDDVFGSDRSTYISV TAHSGEIASLLRVLGHRVFGLATGAAMPVLVKASTVAGGAGSATSTVAWPAQKTCGVP PAVRDSSCNDCSCC CC84DRAFT_1185973 MAEVMQLRANGGSSASAQPGLQRQPSFSSFPPSRSPSAVSLRNE NKRPPRSPQPPMVREDDVSIDELSQASSFGDTRHARLRSQYPADSIENHVEYILVASF DIDRGSVMEHQYPGPISGDETMLAELMLPDQTHMRSQDWTIFFLHKDTSAEEEEKEAR RERRRKGKRKENHDADGDDTTAGAEEYDEPDDDDSSSESENEMDSDGPPLVYVLNLVN TKQDNTVKRGAIVKAMAICTRHPFLHIYKPLLLLALEEYFRAPVMETLASLYNALNTM DLSLLPKLSMFENFVLQATDAKDMFIEKFEQMIRQRRAEDAERASLPSASSSDLQRKN YAPPRDTHEFESKVLYNGVPVPIKVPTALSPETVGDFSLIKLIQTFSTPHATQPQPFA LHPHLTTSGAYTHPIIVLVNALLTQKRIIFLGHNRPSGEVAEAVLAACALASGGMLRG FVRHAFPYTDLTKVDDLLKVPGFIAGVTNPAFSYKPEWWDLLCDLPTGRMKISNKIEL PQPTEGQVFFQSQGIPVGIYPSGSDKAADPTGDTHFMESLVASIANRHGENAIRAKWR SWVLKFARISAAFEETVYGASALFVGSHETEENVYGVSGHGFVWPDEISKLRELAANV HRVEGWRNTRSYYSFIQDLARQWEKRPVRGIDLHHQHDKLRCLKLTHDQSAAIYLALA RCVEEAGGPKPSPSPSDTDLSTTTTSQSLTIANDPDRKPGEQLQYDTVLQLLCVIPET NSGLFYLSLGLFHPRQDVRAAVVKLLERIIEHPAGRHFWATLGRFAKLAFFRVKREES REVKT CC84DRAFT_1163135 MSTTPTPYKPSPLSFNSARTSPFRRPSTLAQSPGNSPSTARPTT PSSSPLKPTPDNTPLQTPAPLRKPAASNASQRPPSWLNTRGTSLEPEIPKSPSRQVST STQHTIRASNTASIAARFDGGASAPPLERTTSRELPVERPFERTSSKDHLPALSRPAN IDFPPPLQPTPRPAMAPRTNSTDALARIPPPLLHSMRESFSVMDRDNSGFVDAADVAE MLSQLGLSATPSTLSSHFSDGAKNINLATYLNTLSDLLSGLSHPNELNAAFEAFDDGD DGQIDLGELKDALLHTAPEPGERKLTEREIDMVVEGFSGRRAFGKGAKGLGRGEVFRY QEFVASVTGGGSAENGGGAAAPA CC84DRAFT_622667 MIGLDRVRPHQAQEPHNDNRASHLQRKLIPFFEARFASNKNKVY DTNRSNQGNTMMEFASVTYSLPPGNPYGGGGFGNGSSRSGDRRDRNRASLFCPMHQAS GHDLNNCTKFPGLVDQAVVTRLLQLATGSSLSGTKEDKPPQHPSISHHVVTPSWSVCV AIAMTRGKLP CC84DRAFT_1088259 MATPKAIVGVLSIGQMGFGVAKLLLAHGFHVITNVGDRSFATQE RAKSASIECASSDSELVAKADYILSIVPPRDAKATAERILNIFNHEVDPRTGKKEQLY YADLNAVSPETARNISELFQQEPRCKIRFIDGGIIGGPPSPTPDTGGWKRPGIPMSGP HPLSDAPIAGRELADTLNTRYLNADIGTASGLKCTFAALSKGFTALALQSFTTAASLG VLPELQDYISEYNPSAKVRAEKGVTGCPPKAYRWVEEMNQIGQCFELEGGWPASANVF RSVASVYEQLAVTVEERGGAEGMDELEGALSALTKSLKDGNDLPKIRID CC84DRAFT_1163139 MAPLPGTPRPRVRSSGRPLNKRTISDSAPPLKKRKYIPGGPGGG GRYVDEDGIETPVGGTGPGGYAYIGPRGRIGRMNAERQGLPLDAPSPSYSRPRRERPP AGPRSSSAAAVAAAVAQNDGYKPREERGWEEFHQTLDIDLELPIFSADQVDGISPPDS APGTPVNGFSTGQYAIDSGVSAHFEGVRAQQQASQDATTTNGLQLTPRKRGPGRPPRK TDSMLSGLGSPPRPRINPLPTMNPKEKLNLPKPSTRQVETFKAYEESEAVKINYVDRT MASIGYQESEIFAKPEKALIRVPEGSIEEDLDLTLQSEGDGSSAVGVGRVEYDMDEQD DQWLETVNAQRRDEGVEAIKPAIFEVTITQIEKEWHALEKRIPKPNPKPPQTHRPRSS SAAAVNGEPAGQGDEQDTKCAVCDDGDCENTNAIVFCDGCDLAVHQECYGVPFIPEGQ WLCRRCQLVGRGTPVSEQPGCIFCPNIDGAFKQTTAMKWAHLLCAMWIPEVSLANTTF QEPVQDVEKVPKTRWKLTCYICKQKMGACIQCGHKSCFEAFHVTCARKARLCLKMKSS SSQNPHDASVLKAYCDRHSPSDWRRENDVEGALVEAKYFYRQTMRHVRWGDSQAYALS IGSSHPVPSVEGLEEDDGSGNRRRRTGPPVKSWRLPSGAPVVPHAVYHNVENSLIRFN VRKRKEFVQEACKYWTLKREARRGAALIKRLQLQLDAFSSMEITRRNFAGMGAVGRPR LQRRIQFAERLEDDMESILLLCESVKKREAEKLKEVMILRSMLDAMYFPIAPLLEPIL ERAATYDNKDVFKDGLLELQTRLAEKFYTSVQTFGEDIVAALSSVIGFAAIADVGDAE QQLSGVAHGVLTTEQKEKKKLAKRIIKGIQPLFDDAMHKESDLAGRPFEREIPNLEAI LEQKLLTRPPTATGANGVHASIENGASGGAVQATKTMMDGVAAKSDESIAHAPTPEEL SEVHRSARDEAADEAAIAAQLGQHTMQVVPDTDAMDVDDAQANNTTAAPPTPPGSDQD LLGPIHHGGIPWYMKEFDPEGTTVYEERWRGRDVLRDMSEELSELDDEELNGLAGSEG MIPEAVASEQEVARNKRNRNRGLR CC84DRAFT_1174750 MASMEYESEQRGYDDEPRGYDRERSRSPRADRRDLDDSRQRSAS PINRDSRGPPPDSRDEDDNSRNPGSNLFVTGIHPSLTEEEVTRLFEKYGEVEKCNIMR DPHTKESRGFGFVKMVTSDQADAAKEGLQGEVHQGRTLSIEKARRARPRTPTPGKYYG PPKREFGGSGGGRGGRGGPPRYGDRYDDRRGGGGYSGGGGGGYGGGRRDDYGGGYGYR GSRYEDRPYRRDRDDYSSRGVDRYASRDDRYGGREERRGGGGGYYNERPPPPAGGAGG YGDAPPPRQDAYSGGSGGGGRPYEDRGGDERYSR CC84DRAFT_1174751 MTQRKLPFFALGVALLCLFIYSSRTAHESWQNLPQHLHFGDHVG TEHTEPDFVNWNPKPNFKAGSPLPPDHNYTSVLVIAKTKREDIRWMEGELAGQQKAIY VADDPTAPLHPPKNKGHEVMVYLSWIIDHYDNLPDVAIFMHAHQYTWHNDDLLGHNAA DMIKRLSRPRVWREGFVNMRCNWYPGCPDWMNPGETEKNVFKQEEHLLAKSWSELFPL DPVPSVLAQPCCAQFALSRERIQAKPFAQYLWYRNWLFSTKLHDSISGRIWEYVWQFV FTGNNVYCPKEHVCFCDQYGSCFGGENEFEEFLKKKHELEDREHELNDWRDKAKAIKE ANDELRFGDAEKLEKPEPGKNVLLEKEIQQLRPVVDKLKADAWERGKDPKNRAKEAGR EWHEGDGF CC84DRAFT_1163143 MAFINIAVNAPYFKHHAIPANVTLAPETGDHVRCPSASTKDDDL YTSCQMSDIPAVALQETHNFVELLEAASTAADRAQTLAGHKTSRAMATQKGKRKWTSD APGMCEDGAEAGFKRARVQGTPDIARGNQGPQVNPGLETPADNTTPCAPNNPAIHSAA ALFRRPSERNTRKHTRLPMSKLFMSLQLSPESFLHLQAEAKSYMLNPAHPERQNCVGN RGKGDTDIVKLRLFNCVREFLDGGAGERYFGETAASKRQREGDPREAARALGEGELLA DNDLVWPTDGNKLVSLVTPLLRRMVTNERQRVYAIETRKGVPRGKEGSLEAAEKAGLA MQQQAPPGFTKLPTSPKGSNTTNLSIASSLPFSHSIVDLSSSDHSVSPQNTSEAPHIK HINIFLKREERIIGSLRLHHTAEAPLFSLFWSDLLDCIGHLMAQYTYKRPTKNPVCKS RLDIGPDTLRGLAVAANNVQLNDSSNEATFMDMVSRPSNIVADASDRAVSGTDDCPHV CATPRPEAENMETASFQVNDHEGLHPYRLEAMRSAGRAVIKNDSDWETLKLDIAFADW ADRTLNVVAILCSALSNT CC84DRAFT_1163146 MQAKTLPAGNVTAFQTRVYTLLLQIPEGKVSTYAALAKALQSSP RAVGGALRNNPFAPEVPCHRVLASTGFIGGFKGDWEKVPSGQNQTSKRELLAAEGVQL DENGYLVDKSCWWDNFDIS CC84DRAFT_1163148 MPPKNRDFKAKAKSKPSNPQSEVEYLEAADEFEQAAGKWRAGDA AKSARFFQRAVQVYDEGLAKFPQSFDLAYNKAHLEYQACEDERVGPHLGNKITLLQET LASHRAAFLLNRDNLDVQFNTGQVLSSLAEALLEDEAEAEGKASARVLLEEAADLFAN VLAAQQREYEQMALQWKNMQNEQGDASLDDGGVRLDVGTGTGKQMDADAASEGSSAAG EWATVEEALSPEVILETCTAQLGALTTLLGVYDSTELSNLEKRVQEGLSTVRSSISTL ISLVDNAPRPLTEDEAAGPTLSISSPSAKTEHALTPKDDALLAAAIFQVAVADASYRS GNITAPQYASTVSHLFATLMLGPQSPEQQVAKQSAYADALVNVAEASSAAASDMETQW NALSEAQKTLTQLAKPPHNALLSPSRLADIFDTRADIDLTRFGLSFRDDAKTAWISSR NVLVSNAGVFYRGAKSYGERAGDVEQAKTAGAKAVVAEVLKQVLEQGGGAVAVKPGWK EQQGGVREVLEQMVNERILGQREGEEVLRIVSQEAWSRTTELNC CC84DRAFT_1258095 MPLSAQSVQIIKSVLDGATKEGPTGVNGLTFVAVDKDGKTLVEH ASGTRGVNSQEPMDMDTTFWIASCTKIVATIAVLQLVEQGSIPLDDPEFIKKLAPEIG AKKVYADGVNGAEQQGSVTMRMLLNHTAGFAYAFFDARVSMRGRPVGIAEFNGDEEDI LNSPMVNQPGSMWEYGVNIDWAGIILERHTGQKLNDYMQEHIFKPLGISDVTMFPTDK MKANLAYMHQRDPATGALTERDHLYRRPFYQTTKEQQQKFFHSAGAGLFAKPKEYVKV LTALLNDGVSPTTGNRILKKETVDLMWENQIPKQPDFARAGLAAADPTLANSLPEMYP QSGNPPQGWGLSMFLTLAPGDTGRGANTGWWCGLSNLFWWVDREKGVAGMLSGQILPF GDPKVIQAWVGVEKAIYDGLE CC84DRAFT_628004 MVARLGLLLFGALVQGAVAANGPYAQCGGTGYTGETTCPSGYTC KVQNEWYYQCVPGTAASSAVSSARTSSSSAVSTKPSTTLVTSSTKSASTSASASASAS ASASATSGAGVKCTGTFTPVSASAFVKNINPGWNLGNTLDAVEDEGDWNNPKVTADTF DDIKAAGFKGVRLPVTWAYHFTTGSPSWDVDPAWLQRVSDVTDMITTRGFYTIVNVHH DSWVWADVTAAGANLTMIEEKFYRLWYQIGTKLACKGSQVAFEPINEPPGTTAAHADE INKLNTIFLQAISDAGGFNAQRVVTLVGAGEDSIKTSQWFKRPDAKFKNPWAIQYHYY SPYDFIFSAWGKTIWGSDADKAALDADFAAIRNNFTDVPLIIGEWDASYTNTETAARW KYFDFLIRTANKYNTATVLWDNGLDQFDRINHVWRDPTVLSILTNTTAGTTNSLPDST TDGSVTTQFSSAYAYHKAGDAVTDVVLPYLFNGNTVSSIKNAKTGAVLTKGADYSTNS SAIILTSAFVKTVITSSTATGSLANLTVTFSSGAPNTIEVVQYATPVLGSTTAKLPAT SADLPIPITWKGQSRPAAVKAIKSDGGILIDDWTQYLGPLQQGRMTYSGQWDWDANNV ILKATVLDAVRSAGKTTTFTFEFYPREPTNVANFTLTV CC84DRAFT_628804 MADDDNFDIDIYGDESGPSFQNATVSQPPKQSDPAANEDSLEPA METNEKPTDADAADKRDSSVTGANGAIQHDNGTQQISSTGASANNQTFLKQAPQQQGV KRKQGEDDDRPMDPGATAALMINDLNWWISEEDIRGWANQGGCEDELNEVTFNEHKVN GKSKGQVYVQLQSPQAATALKHQIEALYKDQTHTKKPTAIFNPPHVNPFKTLPKDVPQ RDKNRGDRSSSGNFGNQGGQGSNFNRFNNQRGNFSNRGGNSMGFQRGGFNGPAGNMGG NMGGFGGPGPMNNFGGGPMGGINNFGGGNFNRGGMMGGGMRGGMNNRGRGGMGMNNMG GMNMPMGNNMMGMAGGMGMMGGNMGGMMGGGMGAGPGGFGGNQNFNPGFFQQGQGAGD GNWNPHGAKRPRPE CC84DRAFT_1163155 MCFSVPQEFVKDGLQFVNRCTKPDKREFIKISQAVGVGFLIMGV IGYVVKLVHSTQHLGQPCNLSSNHRAVPVNNILVGGS CC84DRAFT_1241626 MSSYQDPRDTPSEQDDPRTIVYDPTDPFWQDTEDDNDDMDYFPA EGGSGEDESEDIDGQFHDAAENPGGFEIEVEISQEEGDNVDEEEDEDEEEDDEEGAEA SGATAAQPIYISRDQILQLLGRSGLRQLFAADDDDEDEDDDDDDDSDYTDSGERATGY DPVGPRRKRKDRGRAAFEKVPSDTGRELMDTGTFGANPRTEDSIKRKKRLAYNVLQRE LGLGGDGRQKNASRLMRQDMIPEGVADTIIHYNARCYSGQFSDDGNFFFSCAQDFRVR MYDTSNPYDWKYYKTVVYPYGQWTITDASLSPDNRFLAYSSIRSIVCLAPTDPNNESE PHLLDFANTGSVNPHGFHTYFGIWSIRFSGDGREIVAGTGDNSVYVYDIERRQSILRI PGHEDDVNAVCFGDSQSPHILYSGSDDTTIKVWDRRSMGDGREAGVFLGHTEGLTYVD SKGDGRYVLSNGKDQTAKLWDLRKMMSKDKADRVDLNAYTTRFEYRSNGYDDSDYRPH PYDCSLVTFRGHKVLKTLIRCHFSPPGSTDSRYVYSGSYDGSVYVWNLDATLAGKIDV LKATKNSRPRDPDLMAEMYDFPRRNGGRWSTCVRDASWHPTAPVVAATSWNGWGNTSG TCTVHTWNDGMEDDEAEPQLGRRVNAQLQHDERLYRSIATGGRRYWYDE CC84DRAFT_1088121 MRRVERWAGLTRTVSNWDGLRRDPELWDEDGDCLVHLYAVGQSR RGPSFCVPFQLLKHRNCGSMFSLCFAQTTKNTVSNNTSSNVKQLPSKASLRIELYIPA PEDTSREASFQWHIATRNFFAFISGKPLVGSHLGQAMIDLQERMCLFRSGRVDNHQDF LDYVEAQGYRDFVGFPDYALAMLYYAEHYKIRDAWIDAFAHCVGMNEKLVLSPEFPVS TSTVGGQRLNSSDFGTARPGSCSNLNSRTVF CC84DRAFT_622826 MDMLYHYCFTNGSDDQDDTTRKNLQALIVSMAALESEMSAFNVY VVTQHMHDKPLNMWPKYEQYCGLGTASKELEDTVKGIKTLVQSGSFSNMYCIDMYTFQ AREISIKVSNTIATVMKTMSDKTIDIETEARTDAHESTEQDTAIVAIMFELAEVIKHL TTAAESTWAALNLLETIAAKSSLQQANKFAKMQSYLPWACCAFTAILALSTFFNGLHN ASQPPDLNDMRSSIAEMQSVCGLVNKNLDIAAYVRNDTLAEIDQRLLAIEHAWQDNNE RIDNVWEALGPPNAEGTYFIQEMGAPDERPIDSRVLKARMDKLEADALEFKRQVQRAE VRTASRLNKLDRKRGGSGETEVE CC84DRAFT_1089094 MSSAQSAPVSLPRVAITYCTQCRWMLRAAYFGQELLSTFGTQIG EIALIPVTGGVFTAELDATSEDGDGDRPVETKTVLLWDRKAEGGFPETKVLKQRVRDR IDPKRDLGHSDVGGKKGRKEGEGKENVKVEKEGEGGVKDGEVCEDCK CC84DRAFT_622774 MAETFEFQAEISQLLGLIINTVYSNKEIFLRELISNASDALDKI RYEALSDPSKLDSGKDLRIDIIPNKEAKTLTIQDSGIGMTKADLINNLGTIARSGTKQ FMEALSAGADISMIGQFGVGFYSAYLVADRVSVVSKHNDDEQYIWESSAGGTFKIAED TEGEQIGRGTKIILHLKDEQMDYLNEAKIKEVVKKHSEFISYPIYLHVLKETEKEVED EDAEETTTEGDEKKPKVEEVDDEEEEKKEKKTKTVKESKIEEEELNKTKPIWTRNPQD ISQEEYASFYKSLSNDWEDHLAVKHFSVEGQLEFRAILFVPKRAPFDLFETKKTKNNI KLYVRRVFITDDATDLIPEWLSFVKGVVDSEDLPLNLSRETLQQNKIMKVIRKNIVKK TLELFNEIAEDREQFDKFYAAFSKNLKLGIHEDSQNRQSLAKLLRFNSTKSGDEATSL ADYITRMPEHQKQMYYITGESLKAVQKSPFLDSLKDKGFEVLFLVDPIDEYAMTQLKE FDGKKLVDITKDFELEETDEEKKAREEEEKEFEGLAKSLKNVLGEKVEKVVVSHKLTG SPCAIRTGQFGWSANMERIMKAQALRDTSMSSYMSSKKTFEISPKSPIIKELKRKVEA DGEEDRTVKSITLLLFETSLLVSGFTIDEPVHYAERIHKLVSLGLNVDEEVETEQEAK ADEPAAEATGESAMEEVD CC84DRAFT_1163159 MASSGNEEQKQPPPETKQSLWLRRAVIASFWAVVAFLGLPVWYK TTAIYRADLPLQDMTAWANGQVCKPVFPLHIAVQAPLLAQDAHHLLHATQQTLDDLSD FPAHQLHLAFAEPPPPANASQQVTADGSGPADLDDTVALSVRLIPVETGVTPRSQLQP FSATLDVYYQLSQIPTQSSTSSPLTTFIAHELHQLYAQERVRLSSLYSDAASQSKSLS PELTAQLQRDATRAFKYAPTYHLTFSLFTPTSSPSAWDIETALRQYMNPILESFAGIS NFTIDTQVQLYANFSPSIRQPEYDENTKAWTIFKEDVSGFINAAEWPLSPSIGQGPTI NFALYVPDEVQSPLFVKGSRDNSWLVPQWGGVMILNAQSHETTLTKEALVPAMQVFST QLSSLLGLPQTPASLPLRISTLTRIHATSLLFSASSTLGALAQVYQKMPTIPVPDTVA KSVNLSLAHLRQTCESLRDGRFQDALQNARTADGEAEKAFFERSMVGQVYFPDEHKVA VYLPLLGPVAVPLIMAALKEVKAGIAALKGR CC84DRAFT_1088096 MARSVHNDVHAAPEIKNDSANVERVLSTDEKVDHINYDRIDDEL AKYANAEAIEISPEENKRLKRMIDRRVLPIMVFTYFLQALDKGTMSFASIMGIRTDIP VLQDNSKFGWLTTCIYIAVLIVEFPTNWMIQRVPIAKYLGFNIMAWSVVLACHAACKS FPALIAVRTMLGIFEAVCQPTFLILSSMWYKREEQTTIVTYWYMMNGMQQIVGGLLAY CFSLIKSPPSPLKSWQAIFMTYGMASFFWGVFVIWWLPDSPMRAKCFSEEDKKLMIER VRSNQTGLQNRKFRAYQVKEALLDPQSWCYCLIAICTTLPTSGLGGFANIIIKGFNFS VLETQLLAMVLGVVIILTLISATWLANKTQQNLLVMGIYVIPSFIGTIVLMTVRLHNQ ATQVGLLISYYIVLSFWAAQTLSMSLLSRNIAGQTKKTTVVAMNFIAWCVGNSIGPQV FLTRDKPRYFIAFATHMGCYVLLVIVIAFLRFNFMLRNKKKDTLAAQVAEANDDHLVH AFDDLTDKENPNFRYMY CC84DRAFT_1163162 MVGTLWTDYSSAATITISLPKLWGFNNTILRSSNLAIRSTDTFG ELEFCSHLSTKVLYRRESVDRGVQSAQDWPIMSTLTIHGLKSDDIHSAIQLLTHNLIN IHDTTGEFLLKLDDGRVIDTKGWDGWEWTHGIGLYGLWHYYTLTGSQNTKDIMTGWFQ KQLAKGTTKNINTMSPFLTLAYLYEDTGNRTYLPWLDTWAEWLMNGLPRTKYGGFQHE TYNSFNKDELWDDTLMMSALPLAKIGLTLDRPEYVDEARRQFQLHCQYLFDTSTGLFF HGWKWEEGKDGSIGHNFARARWARGNSWLTIVLPEFVELLRLQPDDPLRQFLLGIFEA QCKALVKYQGENGMWRTLIDLPLENGNYEESSATAGFAYGMLKGVRKGYLGKEYLEPA VKAVKAVIGKIDDKGELQGTSFGTAMGPDLDFYCKIPITSMPYGQAMAIMALGEFLRT FI CC84DRAFT_1163163 MSLYGGSSRNVDMGKQEQDLAINIRKATSIEETAPKRKHVRSCI VYTWDHKSSASFWQGMKVQPIMADEVQTFKALITVHKVLQEGHPVVLKEAQANIQWLD SLQRGTGGGDGLKGYGRLITEYVYYLQAKLAFHRQHPEFNGTFEYEEYISLKSINDPN EGYETISDLMTLQDQIDQFQKLIFSHFRSANNNECRISALVPLVQESYGIYKFITSML RAMHTTLGDDEALSPLRGRYDAQHYRLVKFYYECSNLRYLTSLITVPKLPQDPPNLLS EDDTAPALPARPKVAEDIAPPPPRAQSVDPEPINEFWKNDQKRQQEEYEAEQRRLQDQ WEAAQRQQQEAQMRAQQDFEEQQRLQAEQARLAQEQLLREQYQQQTQGRLADLERENL NARAQYERDQLMLQQYDQRMKALEGEIQQMNLNFQQQMGSRDDQIKALQEQLNTWRSK YESLAKLYSQLRHEHLQLLQKFKSVQLKANSAQEAIDARDKLQRELKTKNLELADMIR ERDRAMLDKDRNSGGHKEELEKLKRELRFALERAENAERGKGSELSAMLSRHNREIAD LEEALRNKTRALEDFQMKYREGDSDLERQLREKEEELEIFRAGMDQTLLELNDLKLNQ NANDNVLDGHLDELIAQSLSKINDIIDSVLQSGVQRVDDALYELDSSMHAGNQNASGA FVLSQIEKASTCAMDFATAFNGFIADGPNATHAEVIKTVNAFAGAIGDVLSDTKGLTR FASDDNKADALVNAARQSATATVKFFRNVQSVRLYDLDADGKFNVVVNNNAEVLRNLQ SLSKLADAFAPKSKITNASGDLGELVEDELTKAANAIDAAVERLSKLKNKPRDQFSTY ELKIHDSILDAAIAVTNAIAQLIKAATESQKEIVREGRGSMTRTQFYKKHNRWTEGLI SAAKAVASSTNTLIETADGVISGRNSPEQLIVASNDVAASTAQLVAASRVKASFMSKT QDRLENASKAVTSACRSLVRQVQAIIAQKNKDEGESVDYSKLSDHEFKVQQMEQQVEI LQLENSLAQARSRLGEMRKLSYLEE CC84DRAFT_1163164 MPPAQPRKPDVSAAAKERNEYIPSFISKKPFYAVDENGEDGDYL EHQRLQKQEQDSKWYDRGKKIGPAATKYRKGACENCGAMTHKKKDCLSRPRKTGAKFT GKNIEADEAVEDVQLGWDAKRDRWNGYDAANFTEVIEEYNELEEMRKKAKEAAKGDQK SGDEEEDEGDRYDAETDMGRKQATSTRNLRLREDTAKYLLNLDLDSAKYDPKTRSMVD TGATADNAAALVAEEGFMKASGDAAEFERAQRYAWETQERGDRNKVHLQANPTSGELM RKKELQEAEETKAAKQKALMDKYGGQDKFNDDTLRRVGVTENERYVEYDERGRIKGAP KVKAKSKYPEDVLIHNHTSVWGSWWASFQWGYACCHSTVKNSYCTGSAGKEAFEAAER MRLGADAPDVDEIPKAIAQIEDAALKKHVPNTAEKDDKATRDDKRKRTLEEMRDGVTE AEMEEYRRKRQMAADPMANFLASKEAI CC84DRAFT_1142989 MNTFRFRLNCIDHYQAVPTDLDPVLRRVPGISQHQRAPQVPVIR VFGATETGQKVCAHIHGALPYLYLEYTGSLDQDAGIPFYGYHVGYKFFLKVYLLNPAH MTRFADLLHQGAIMNRTFQPYESHLQYLLQWMCDYNLYGCGYIDCAKVQFRGPVPDSD AIDITSHKWHDASISNHLLAEPDQYPRQSHCSLEIDVCVQDILNRHELHSRPIHHSLF EQSFALKSDEKYVPSMAGLWRDETIRRKRRMGLTNPSSSPFPPEVLVSMSADTRNNEK AGWIHEEEYRELLEQLVNDENRLGPVADRATFVKPAEGEAHIKTALESVEDLYPGHFE THLLAEEEDQLQLLEQDAQARISPPEDNFDVANVKEDMDLDDPTHTEEAPSLDPLSVS EAQIMTQHDQESRLPNGCSSELDSNQSEDRGTRKRSTSVEDERLRKKHRPSQEDTDSA PSSSLSVKADGHGIHGSKKNSRPGQSSQNEARPSTLPFPVVKNPRAPETRLRLSQTDI SKLPVLYRDAYYSDEADVPERSREYAGRDFTLQSTTIPYLPLFDPVNMSFLDSKSEFV DKKHPEDPLRPLQCSLRHWELGPAPPSYKQVNRWLRNERQHNSAGPAPKASNLPHQRK FPEHVSQVEGPTQNNKHGFKYSQKIASTSVKHETQYMSILSLEVHVNSRASFAPDPVK DEIQAIFWCIQGEDGANGDHHRTGMLCLSDDEGIVNQIRKQTSVAVEYEEDELDLINR IVDIVRHFDPDILTGYEVHNGSWGYLIERARLKFEYDLCDEISRTKSQSHGRFGKEAD RWGFTHTSTIRVTGRHMINIWRAMRGELNLLQYTMENVVFHVLHKRIPHYQYSDLTTW YTSPKPRDLAKVVDYFITRVQLDLDILEANEIVPRTSEQARLLGVDFFSVISRGSQFK VESTMFRIAKPENYLLVSPSRKQVGQQNALECLPLVMEPQSAFYSSPLLVLDFQSLYP SVMIAYNYCYSTCLGRVVPWRGRNKIGFTDFNREPGLLNLVKDHVNIAPNGMMYVKPQ MRKSLLAKMLGEILETRVMVKSGMKIDKDDKTLQQLLNNRQLALKLLANVTYGYTSAS FSGRMPASEIADSIVQTGRETLEKAIAFIHSVEKWNAEVVYGDTDSLFVYLKGRTRDQ AFTIGEEIADTITQMNPRPIKLKFEKVYHPCVLLAKKRYVGFKYEHRNQKVPEFDAKG IETVRRDGTPAEQKIEEKALKILFKTSDLSQVKSYFLSQCAKIMEGRVSIQDFLFAKE VKLGTYSDRGPPPPGALIATKRMLADPRAEPQYGERVPYVVVTGAPSARLIDRCVSPE TLLQNDHIELDAEYYILKNLIPPLERIFSLMGANVRQWYDEMPKVQRIRNVTLPLNQP QLVANALVKEGNGSHIKTLEGYLKSSVCLICRSKLPPTPKLNTFDTELRLPLCKQCLK KPARALLGLKNIICKSEKRAANINAICRSCSNLAWGETIRCDSRDCPVFYTRIRENSR LAGLRDDLGRVVEILENEDYGSGKEASDTEGTVDEEGAEQQEERYVEEGTEDDVERSG SSDVLEW CC84DRAFT_1204532 MHSPPLSKAGNSAYHDATSVYTHIADPNERRRMALAEVDKAPFG WYHVRLAVVTGIGFFTDAYSLFAINLAVIMLGIVYWQDDVNKGVMPHNADTAIKVATS AGAIFGQVIFGYLADVLGRKKMYGVELMIIISTTLAQSLCGESTAVSVVGVLIFYRVV MGIGVGGDYPLSAVITAEFASTRNRGGIIAAVFAMQGLGQLAAALMALVVVAAYKKDL MAVPDVASCSGDCVAAVDKMWRIIIAFGGIPGWFALYYRLTIPETPRYTFDVLYDVEK ASMDTRRYRYGKAGNTVNPVEQAQVRRDMAKYKTPRPTVGEIFRYYSKKRNFIRLFGT SFSWFFLDLAFYGLSFSSASLLSTMGFDKRGSLYENLRNTATGQVVLICAGAIPGYWM TVFTVDRLGRRPIQIGGFAILTIIFCVLGFAWKGLTKIHLLALYVLAQFFFNFGPNAT TFITPAEIFPTRVRSTGHGISAGFGKLGAVIAQIFFAPMIKRGATHDNPTPWIHGVM CC84DRAFT_1163166 MTKGTSSFGKRHNKTHTLCRRCGRRSLHIQKHTCSSCGYPAAKT RKFNWGEKAKRRKTTGTGRMRYLKTVSRKFSNGFRTGVPAGAKGPTTE CC84DRAFT_629640 MAHESGSQLTTAAAALEQLQKSPPLKLIFLAETLAPKDNSQSAD KRTSTVSDDSEQNGDTHPAALQADLQHYKELFGKLRFSYVEQVTKEKFLRGITADPPS LVEATENEAKEKDILALKATLKQRKVEIAELLQQLEAKGRELALRYEGVQLKTQQLAS LPVEIEGLEANIETLKREQIPHSQNPELALPLPETSQLVEQREAELTALNAQLAKLQS SLPNRARELEKLERELKPLETQKQGIVAAAKEARRRKEDGGGIGDELEERGRWLRASE VALNKMLEV CC84DRAFT_1204533 MAGDSIDDDEYVARLLKQDAVDASKKYSFVGLDAFHPKRLRSDA PKPNTNFLRHIIRQTDSHNAALLAREAKDSRARLRQLDTAKNGSNDRTQNPRSRKEDD YDRRERRAEGRGRHRVSSDENQDRRSFKKSAHARRASGDEGSRHRRRRSADKAERPAY RSDSRRESEHHTQRNTDKAQSSRNSEHRSRKRARERSVSVSRTNTRSPSPCSSRYDKA SKRDRSPRSPRKRSRSPPKRGEQYQSRRASRTRARRDPSIEDDSDPLEAIVGPLPPPK PPAVRSRGRGARKGDAEGINARFSSTYDPAMDIDAFSDKGDDWGDAVEAYRDRQRWKQ QGAERLKAAGFSEEQVKKWERGDEKTEEDVRWTTRGQAREWDRGKVVEADGDIGHKAA WADK CC84DRAFT_1163168 MSGLSAPGSGAYSSNTMYVGDGTWDSQRNTFLLPNLQGLNLATT QYNGMGNRFRNMSGYHSLILGHGILAAATFLFVVPAAIFLARFYHRNPRMALRLHIWL QIGTVLLSTALFILGFQAVGLKRSLTNPHHGIGVAIYTMILIQAIGGCVIHRREKGKE RYKIPLKLMLHQWIGRLIALLGIVQVALGLTLYGSPLWLFVVYAIWGFILLCAWFILS YRNQPEMGFDETSTYITETTMSGRSRSHRGHGLGAVATAGAAGAGLAALRNRSRSRST RRRGGRREVLSSHPSTYYTDSRVDEKYTEVGRKNHTWRDRLLGAGAATGGFFALKSLF GKKKIEPSETASSVSYSRPPIGQSEVTQTDLSRLEEGRAPASPGRDHWRRVEEREAAQ EAAMGASPLRQGHRATRSGASIDSFDSRTSFGDDIVRPKESHGLRDGIAALGFAGFLK HQWSKRRNRKEDAHVAAVKEQDLEDERIARANSQRRKFTGDGLPPRRNGPPSTIFSES DISGTTPGVRPPRSTTHLTQTETIAGPSTTPAPAPMDPHGVLSDSGSEAYVSAGGHRH RRHHNGGSAALGAAAAGASTDRRDASQRRGSRDGSVNSPPVSVKVKMHNDGRHVTLRR LNEEEAAAEREARKKDRRRRDGSMSSLSNLENNERWRRTAAMEAAQAQEMAQASAPIP MPEPIIPGPPPGPPPMGTSAGHQSPLPPPPPIPGAGGSVMSSPQGTQVYGTETDVSAY DSNRRRRRAERAQAKQARLGGSRVEFS CC84DRAFT_1087745 MSTATTSAPVKRACDSCHRRKVKCIGEGTNPCKNCVSAGLACTY NAIPQKKGPKGSRAKVLSELRETQRNAQLAAGLSHELGFDGRALPTTFARTPGLLPPG LVESCLDFYFANVYPSQPILHQQRAQEILLSIEHSSEAYCMIVALCAYVMIQANMTVS PSLLPRPEMAQLSNVSIGHVLLEEAVRVRKGFEYLENPTHLSLLTSWLFYGSYFGLGK DNTAWSYLREATTQAHLLGLHEEETHKNDPLDISRKRVLYWLLLIAERTHALHKHRPI SLYPTVHSPSLEDSPSDRPIASGLDCMISLYKPVDDTFISLWNKVQTHGNPSWIAQLQ NQLTEALPAYLDCTESQAADLRITQQWLRTMLWQLCVSQGLVSSVAADNTRTFKYPIE VSRDLLSMTHQFSQHAMEVHGVGLIEKLFDIACCLVDVVACIPFSPDTFALGPRDYVS RFLTLFSALRGGQTRYLPLLLAKVSEVLPNLPLPRSLNIPQSMSASAMDSTSGSIGSV ASSVNDDLSGLPPIASPSYPSTELIRQLAAQTGAQLPFNTSQQSLLQAPSSRVEDLSL YDTSAPHSAHSSGSAPPSQSGTPGPYEPTMHSRPPIPSQAHGHPPPQLQQSHSQHSQL QSHQLGINSSPYDPRFSVPGFDTGMGYKQDAIDGAGHITGRGALDNQGAYSENLPHGR GHGGYAG CC84DRAFT_1163170 MSAAGTPYTRLPVAVPQETVEATPLASPDDLVKTYLLHVTSELL AIQASTTSFGASSTDRYSPSLQVLHARLQDGHHSPFPGLENRSGNAFTRKGKAHRVAV PSPMVRPLGDFEDMYYAILATVKETHDSIILRLNNGFVDPNALLFPSSQHTIHFFQEW LAHQWTILNEPSLVRALDIAVREALVDGHLCQGLRSQVDSGQITHEQAETARAHLYQS DVFADMPGLSWVGNEHSAMINGRLNEKYRVVFQAEKQAHEKKIRMAKKRERIQKTAKI GPKACGRRGSGEYRRQQQQVMAEQAQQAADMLPTSMGKQSPRSEAEQAKQAHDIARQT PQVDHSDISLFQEEVEAWRLYTQQLMSSRRETQLRYHEDELRRKTPPAATEQALQALP DSLRSGAVSCTPQRQSHARTVTQPHAAHVQQDTSDAGHAGPERTFPGASPREKRKQRV TEYQAWLRESASDHARTRMMGQRRQTQAQASDVSDVSLQAFNGAEAPMDMDGDGGF CC84DRAFT_629743 MRRARQKRMQSQKRRDQSRGTATRWSQGGGFAPDLGIQSRCAGR LVGDGRMGRDNTQAPRTSSKHSISRAGWEEAAMCPCAETWRRPTWAHRSAVVFARPWR ARATRDSRKYSTFAMRRPIVRSHVWWVGGSRDSQARIGGGRRPCDVCSVWADGAPG CC84DRAFT_629738 MCLSALFAGSGKKRKSAGSSSNEKRRSEPRDQRRYEKIPVVAEV AERKWAERPDMKRSKDIHSRLDHHTRPQYSYTQNSGASRRPTLAVNVPPMWHRRAGST SSNSSEEMLLNPRPDRPAANDRRHRHAKHTSQSTLRGRGAKRQRTTDSRDDHISSHSS PKTPKKKAPSRTVENSSRSNRSSRQHQYHAHPSEPQPSPSRSRRHHSQVSHHHSARAN TAARRDPSDDRRFAVLAATNTALEHLRREAFAQPSPPPPPRLRRYQGVQIPASSVPFA WDCISSQTHSSARHDEGSSRQRRRR CC84DRAFT_1116792 MVSLLSLVSTGLLCVGLGRAVPTVKSTRGVAPVDNAVLGTFTLM EQYASAAYCKSNYNSPGDQIRCNDGTCPLVQASNATSVIEYNSNLSTDVTGYVALDST HKLIVVSFRGSVSIQNWITNLDFDAVSTSLCSGCTVHHGFWQSWLDSRSAVTAAVKQL SASNPTYKIVTTGHSLGGAIATLAAADLRNAGYQVAFYSFGAPRVSSSKLAKYITAQP GGNYRVTHWNDPVPNIPPIFLDFAHVSPEYYINRPNGLAVTASDFKIYEGVMNLRGNA AWLITDILAHLWYFGTFPIKCAL CC84DRAFT_1143006 MSSMSVMLERTVRFLITESRNVRTRHLLQHLEHGDSSTQLQIMR QLLCIRSPHPRLPPNVLRDIHDILIHERSRRVLTPVSSTSCVASIPRENSRPPVRIRL WKGDITTLAPGTTAITNAANSQMLGCFQPTHRCIDNVIHSWAGPRLRQECFDIASTRQ DQQDALPVGDAVTTQAYCLPCDYVIHTVGPQLARGSEPDDMERHQLSQCYYSVLDEAE RLPETAEGKSVALCGISTGLFAFPAKLAASIAVDTVAAWFAHHPEASISDVVFVTYTE DDYEIYDALLKRARPTWTVCTQEPAKGISLGSPTMEKARAWLAEADTIIVSAGAGLSA ADGLDYTSRTLFREHFPAFLQYDLERLYDVFGFVNWPSDRVKWGYFFTHLDMVSNWPQ SQLYRRLLGWLDSYGHNAHVRTSNADGLFVANGWDESRLSTPQGQYYYFQCLANCTPD SYWPSRPFLEQALPLIDPVTQSLKNDSAVPRCKNCGGDLFICVRAANWFNERPYRAGE ARWQKFKRRVLSGGGKTVVLELGVGMNTPGVLKWPNEDLVRQGNRQVKLVRLAVGEHA EVPWDLEEAELATSIDGDISLSLPQILPSGSR CC84DRAFT_1088602 MAAAPPPSRPIKILMIHGFTQSGPNFHAKTRALEKNLQKAFPAG ITLSYPTAPIRLAHADIPFPTAAPEVKENGEAEESDAWAWWRRKGDAEPYTYEGLELG METLARVLKEEGPFDGVVGFSQGGAMAAMVAALLEPERRKAFEKLAVEGGMSFPQSFE ADTGYIEEAIHAPLKFAVSYSGFAARGVDTYRGFYEPKIKTPMLHFIGTLDTVVEESR SLALVERCEQSVDRVVYHPGGHFLTTQKTYVAALVGFIKETLHKADNGEQEEESVEDM DVPF CC84DRAFT_1204540 MSSGQVHKADKDFTKEADKAIPEAEKLGSSNPQAAIEKLLLLEK QTRQASDLASTSRVLVAICTIAKNAGDWSLLNEQVLLLSKKHGQLKQATTKMVQTVMG FLDDTPSLETKLSVIETLRTVTEGKIFVEVERARITRILSNIKKEQGDITAATDILCE LQVETFGSMTRREKTEFILQQVSLCIQKGDWTQAGILSRKISTRYFTRKPKKSPEQLE KEQKEREEKEKARSVDDPPVEPEDDVTDLKLRFYEQQITLAKHEDKYLDVCKHYRQVL DTEAVEEDPNKLKAILQRVIYFIILAPYDNEQSDLIHRIQRDSRNSMIPQDAQLVKLF TVPELMRWPMVAKQFGPHLTETDVFDAEADDSDDPKAYKRWQDLRKRVIEHNVRVVAK YYTRIQIPRLTELLDLTEDETEKYISELVTAKTIHAKIDRPARIVSFAKPRDADDVLN EWSGNMKSLLGLLERIDHLITKEEMMARIQGAKLDKSSKLAKGKAK CC84DRAFT_1088891 MKIVCGTSFMMYGYDAGVLGGVLLHPPFLDAIGRPKDVWTIPMI TASYDLAACVTALAILPFTFRIGRRGTILLGNLAAVFGAVIQASSYSVAQLIVGRLVT GFAIGCISSAVPTYLNETGVEIGDRGPANALNAILLISGVPLAYWVDFGFTKMYNQAS WRVPIVLQVIFAFFSGGCMWFLPDTPRWYYARNRWEEGDAVLARLNDTPVESEQVQNT RREILAAIEAELEANSSLHWKQFLTMGIVDNTRMKIIRRLCMCFWLPMIREWMGSSLI AYYSSVILATIAKPSLVSLLSGVLNIFFALGCVPLYFTIERVGRRSVLLYGAITMTVL ITIFTVLVALSTTEAIRWAAIGIIFIFLFVFGYAWQGCVWLYCAEIAPLEYRHIGGAA TAFGEWLMTFITVFAGPIGFDKTGWYFWLWVVSGNVIAVLFVFLLCPETGGKTLEQVD YLFVDKGFAGLRRNFDVDVHGLDLWNDKDKEKEMERHEIAP CC84DRAFT_1054461 PVRFLIMSDTHDGHGKILRSTPQCDVLLHCGDFTQHGSPEAIKE ALEAFSKIEAELKLFIAGNHEIALDKDYYLSQGGTAEGHQKARGLLCTPGVRFFEEGT HEFTLKSGAHFKIFVSPWTPRYGSSAFQYTTNKDRFNQSNVTPSWAENFGTTASIIPE SVDIVMTHGPPKYVLDKTGDGTSAGCEHLRRAIARARPRLHFFGHVHKGYGAQRVRYD DRKNIKEEGDDHMVLLPQEWVGKNQAKRKDHASLPPGSAETFKKNPQETLMVNAAIMD DDNQPENAPWLVELDLP CC84DRAFT_1163174 MSLFGGDESTTSAHSLSPSERSSSPQNAQDAPFLPFTVPDPDDS LDEDFQPSSPEPETTPLEAAPREERAVKPQSWRRYTEADRAIAESLENIESTDLAAHL YNAHNLKRRLRLPEEQLEKIKDWQSKDAWLKKGEELQFRDPLTGDLETELVPSRLWTA WPLPPKRLPPKGSATHRTENSEDGWYISSATDRGSGEVMREELLALFLRTAKDNWAKR QTVDEERGLHADTIAISSAPPSSSDSEAEAQPEIHSSSTKKKKAKGASSKLYSSETDG EQVTRQLDGSDVDTEDDLAQGMSIDSELESALPNRTRQRGLSNSQQSATEAAFLADDD EARRILEPSINSLLSRIDKLALAVHRNRLNHAGDGLHRSGSGSDYTTDAETPAPRARS LSRSQSHSRGPKRTNSKKQALRPQKPTQNLHNAADSDSASEYGADLESEDEGAPDYLK TTCARSGSGNSSPRSNASSNAEVRKGAGVMDWSELLGLAAIAGFDKRVVESTAQRCAS LFGEQMSFRTFSDGRASLPPLEPVQYIPSKIPAPDEAQVVPGDAVREPGSSGQIRTLF AKRPYFDPGSLRCPHTDCPGNLKDFSGSSRLTEHVRRKHGYDPRTNDSDNEERTVGGV HIDGYLQPIWAKAGWLGHGRAKSEATETETEMPRRKRSRMDSRPNSTVTSAYTTQDEQ EVEEHTVPGQIADPAVNPARRPKQKKTCTNCSRRKKLCDGNRPCERCRNLGEGETCEY RVTSARVACLNCRRRKQRCDYSQPCARCERSGQDENCQYPT CC84DRAFT_1215895 MSALDEMKKQNAALQAGVANLQKVAGSGASTAKKAPASAAKTTT STTQKPVTPVKKATPAIAKTAGTTATPVKKAPAKLNKTASGAASQTSTTPAKTTPLKP STPAAAKKAVSSTTSNATPAKKTSVAASKTAASDATKPAPKTPVAAKKTTSASTTSAP AVKGPNIKNQASAAPKLNAAKTTPVKSATTATSTTSKATPVKKTPAKSTSAVNADGTK PTPAAVKKTGQTTTPKKPGMVGTASNAVTGGLNKGTGLATGTVNTVADSATTGLNSTV NGITGIAGKGLDKVPAGIGKPVKHVTDNVGKTATGAVDGLYNTAGYATKGVQGTVSKT TGAIGKGDIKGTASGLGSGVGNTVGGVGKGLGGTIDGAVGGVGRTVGGPVGDVVGGIG KGAGDAIGGIAGGLGDGVGKLTKGDFVGGVGSTLGGVQKGVGGLLGGVFGGVGGKADE DEEK CC84DRAFT_1143017 MPHATASDIIVRPLPSRPGSKYTIGAELLNANLESLTPHDEATI KHALYTHQVILFKNQSALTPSAQYAVTRLFDPAATSYGHGKTLDAKRSVLHPDLKTIP HQPQVQVIGNGFVEEFEGLKDINLKHPHHRTFHRDVLSDELDRDNTRFYRWHIDAALY DLEPPMATSLLAVEVPKTECQTLRYDDGTGDEMRVPRGSTVFVSGETMYDVLSEEDKK FARETKVQYAPHPYIWMSGARSRSTGLGMVCEGLELDAAQLPPVEEDKIKILPMCWKN PVTGKLALQIHPSAVQKLVLKDGTVVDDLEEVRKIVYRLQRPGIAPELVFPIDWDEGD FAIFNNRGVLHSVTGSFLPEEVRIFRQCNMAASQPVEGPEECA CC84DRAFT_629874 MVKIARFSVEAWMDKYEHSCKYNIAETCCASISIDQLRELSEDK DRDVVTTSTALTYGAIRGADALRDNLARLYSARSGKVLPRDNILTTNGAIQANYLIAY ALLGPGDHVICHYPTYQSLYEIPKQLGAEVSLWKASPENKWSPSIDHLKTLIKPNTKM IIINNPHNPTGAVLGKSFLQQVIDIASEHNIIIHSDEVYRPIFHGITPMDAEFPPSIV SMGYERVIATGSMSKAYSLAGIRIGWIASRDRSIIEEIAEARHYTLISVSMLDEQVAA FALDPSTIHSLLARNIALAKTNVEIMEKFVLKNDDACDWVKPVAGTTAFVRFHRDGKP VDSVDFCEKLLEKTGVMFVPGSDCFGQDFKGYVRIGYVNHTEGVKEGLDKVTQFVRRN LDDVKLAE CC84DRAFT_1143025 MRRPWTAALLVSLSLAGPATATARKTFANYSAAEVPLDLFSLYD DRPDGCPPCFNCNLDDFQCHQFAKCVKGNGKCDCTPGFGGEDCSTPLCGALPDGNRNR APRSGDTCDCNEGWGGINCNVCQTNQACNAMMPEGEGGICFKEGQLVKENYQMCDITN RKILDQLKDKKPQATFSCNAETDECNFQFWVDQKESFYCALDTCSANFEALSDRNISR YNCENIKCACVPGRMLCGEEGSIDIGDFLKESIKGPAEFKSISTVEPSKESGSIFSEP AMNDLISSVFGDESIFLKCNAGECLYETEVPGYERPIKTINTPLIAGVIAGCALFIVA VILVIWYLARRAERRKWGPIHLSDDEEDENAKLLADHKPASLTFENVSYQLKGKQILT GITGAVHPGELLAIMGASGAGKTTFLDILARKNKIGATGGNFYLNGEQVRDDEFRSVI GFVDQEDTLLPTLTVHETILDSALLRLPKEMSRASKEQLVDDVERQLGIHHIRHQKIG SEESGRGISGGEKRRVGIACELVTSPSILFLDEPTSGLDAFNAFNVVECLVNLVKSYN RTVVFTIHQPRSNIVALFDQLILLAKGRTVYSGPFENCQAYFDNIGYTCPPGFNIADY LVDLTMHASAVTQPIDDNNSLFSRQGLDTRASSAIAVKSIRSVNTDRDTVGSPSISSI RPKGKRRASIKQQQERELFTRKKSSVHDGTMSPKSDDGGPYQPREGSNGQWLKLSRQQ GAPPPQILEDPDELPPPADGGTGTNLDTLVHAYATSDVAAALRDDISSAISSANQANG VSDDHQQANGFVAAGKVRGFRKVGLLGQFIILSRRTWRNLYRNPMLMLTHYAIAIVLA VFLGFLFFGLTDDIKGFQNRLGLFFFVLALFGFSTLTVLTVFAPERLLFTRERAKGYY SPLSYFASKVFFDIVPLRLIPPIILGIIVYPMTGLVPAWPQFLKFVLFLVLFNLAAAA VCLFIGIVFRNNGVANLIGVLVMLFSLLFSGFFLNKDSIPPAAKWLQTLSIFHYAFEG LIVNEVKYLSLVDHKYGLDIEVPGSAILSSFGFNVLALWKDCIGLAVFGASFVVLAYL AMHLLLVERR CC84DRAFT_629931 MRFTTSVALCLVAALSNAIPTPQTDGSAADLPTQASPDEAQALE QLAQLAEFAGQTTNETLAANKKRDGCSLGNLSIRREWSTLSKPQRKAYTDAVLCLQKL PARTPSSVIPGAKSRFDDFVGTHIQQANYIHYSGTFLAWHRYFTWSYEQALRNECGYN GVQPYWNWASSGAVGLANSAILDGSAYSMSGDGQYVEKTGDIILGGAEGLPPLVLPTG SGGGCVKSGPFVNMSVNLGPVGLSLPGGGYEVNGDGLSYNPRCLKRDLTDAVVRKYSN ATAIAYTILKPQEVYDFQMTMQGVPGSGNIGIHGGGHYAMGGDPGRDVNTSPGDPLFY THHAMIDRVWWIWQLLDKKERTGAKGISGTGTFLNMPPSANTTLDTVIDLGYAAGPPV TMRDLMSTTDGPFCYAYL CC84DRAFT_630037 MGSEQPKQGAYPQENDGPRVLGVVLGITVFAVITMAARLWVRMK MIRNVGWDDYCMSFATLLVIIGAGIVVPEVHYGCGRHIDQISPEDFKIGFKLNFISQP IYLIAICIVKLSVGFFLLRIATIPFYRHTIQGIMAFMAFYTTGCFFTIMFQCTNLAVQ WDQSVKGTCWSKQTIQALGYTNTACNIITDLLFAIVIPIPMLWQVQLNRRQKSSIVCI LGLGIFATAAALVKISFLPNYGSTGDWLWDSRNITIWTILETCVGIIAGNLPCLKPLF RTVLGSTYGRGSRGRTGGTGNTPRYLGYGGGTNAASAKAKGFSSLNSSKADRQPHDPY EMKTMGGDERGSGAISPVSVRGDGGSDKSSEGSVELLDTQNAVLRLGGIMKTTEVTQS REATGGVPPSSRAGELELRPERGIRDMV CC84DRAFT_1195278 MSKSELKDEKKKRKRQEDGAVDVAKKSKKSKKSTDAAAEDPSVG DASKEKKEKKSKQAKKGGSSEDAAPAADGFIAFDDTPMPDAFAGAMNGTISGDERKKE KKKEKKEKSSKKSKDAVEDEAESASVEAPAEKSKSKKDRKSKDSKADADPVESAAAVD SSSEKKDKKSKKGKKEKKAKKSKGVDEVAEPMDVDGAAEETPGKKSKKDKKSKKEKKS KNDPEDASEEVESTPAANDTDDHDEQAEGEANGAADDASQKGPFIVFVGNLPFSTTKE QIEEHFAKLKPFEVRLRTYKDTGKSMGCAFIQFERFDHMQTALLKFHHSVIDDPKKKE GRKINVELSAGGGGNTEARMAKINAKNEKLANERQRNHEKRAEIEGKQAERKAKKESQ KGKDGKDGEAGGEGAAEEPENAGIHPARLAMLAQPERPQKQFKPNMGNVPKWKGGPPA RGPKRY CC84DRAFT_1163181 MRPQTSRIRLAGRTLRNAPNAHSVESQCPRQFSHRPPRLLLVSH RSLPRPQLPFLYPSGASLSNAQLSRLFSISANQKKFIKETARETVKWTLLIFFATSCF SIASLGILSERQERAFPSPHEWSLITRFRFRRGKWWQVPENNEDEGFPNWARVYDELE HALKRLENTDKDGAGLREQEEGGILVPGVGKAGYDLTAKSEEWRQGYYEVLMGMATAA ERLEGWVTTKSRRYVWAPEFVPSPTNPRPKATLPSQLPIPDEEDRIPASDVPETFYLK IITSNGFTTYQRMAAALGYADWLAFKKLPDSAEEMYKWALDIALSGLPIPDHSAVIDP KSHVLSSTAPKELITPNVVFAATSLATFHASQGHTTTALPMLVSLLRARLAADAAPSK PLAKPQDSSFIGTVLSLLHEPEYPALPPTGDEALLRRAEDRCEEAVLKSYIGEILFAV ADSTAGRTQGLSWVRDAVSTAKTAQLDVPSIQSNPTLRKKCEQCEEVGLEAWGKMMTY LAAEARERQDSLESSVVKKLWWKLWPGATRKLREEVEDREGEEEGVVMRLNKLRAKML KEEYEDMDRKYARTFVF CC84DRAFT_1204550 MSDVGTNGVAVVDDAAAAASKADQKAADLQRATEAGWQKPIPFE YEAAPSEAGAADEREVKWLSDAVVYEWVDEYGEIGPRDEQLEKQLYEDPDIQRRGGDA GMAAYQAFEVLVQGMDKIHPFRDFDDAGLHPAVLENVKRCKYDFPTPIQAYCIPAVLT GHDVIGIAQTGKTAAFLLPILSKLMGKARMLAAPRAVEGGPRVRAEPLVLVVCPTREL ACQIFDEARRLCYRTMLRPCVAYGGAPTKNQREQLEKGCDILVATPGRLMDFMKNPNL LSLARLKFTVIDEADELLSSGWEETIDSLFGAGSDTNVDADHTYLMFSATFSKEARKL CREYMEEEYVRIKVGRIGSTHSNIKQSIVFVEDSQKNQALFDLIFSDGPQRTLIFVNS KAKCDMVDDFLYNKGLPSTSIHSDRTQREREDALRSFRTGKCPLMVATGVSARGLDVA NVKHVINYDLPSMVHDGITEYVHRIGRTARIGNEGKATSFYNDRNEDLAPKLVKLLLE AKQEVPDFLDMHKPEDPNNIEWNDGTDEESEAGDFGGDAGADAAFDLNAGFGGGDVGD FGGGDDEFKADGQNSKVDAW CC84DRAFT_1163182 MRVCIATLRLLPLGLWSARLFVSARCLLVAMVALLHQRTPPSLP HVLSHSPAKSQRNL CC84DRAFT_1116828 MNDPGLDAPAQQAAEDVEENVYEADKEKAEAEEQVFLDPSRWWF ASTACPLIAGTFGPIANAFSICALVEKWRVYLPPGVDEGHGTKVEDPKWLVAVNSISL VLALVANMALLLNMTRRLSFAIAQPITIIGWFLASFMLIALVAVASASVFRIQPATEH ALSQAFYYGIIAAGLYFIIASLMVLTVYGAYRGHYPKEFRLTASQRTLMLQTISFVFY LLVGALVFSKVEGWNFLDAVYWADFTLLTVGVGGEFVPKTHTGRTLLFFYAIGGVVSL GLVIGSIRSLVLERGKEKMSARFLEMKRRRVLASMDEEKRTIKLGLWEKIEFSEKGLS EAQRREQEFNVMRRIQTKSERKRRYTALAMSSTAALLLWFLGALVFMHSEKPQGWSYF VSMYFSYTSLLTIGYGDYSPTSNSGKAFFVFWSLLAVPTLTILISNMGDTVIKAFRDL TIWVGSFTVLPGEHGVSGTLKSGIQQLKVGKIFRDDDSTERKLTGRSAAEQTLRDRLA EHIEEDELAEAEEAGEHGNKLDRDIHFYHYVLAKELRKLMRDADASPPKQYTYQEWNY YLRLIGQDENDTSAHRKPRVQHERKPHSRPDLGSADEGERIAWSWLGVRSPLMGNKSE AEWLLQRISATLELEMDKLRSSRAKREPPPISMADLRNREGRSGSDAEQDAKKGLGAT EARRRLMRDM CC84DRAFT_1174791 MDFQAPPSWTLVAESDHLPTVTLAPQLELVFSRSLHVQPLAWTP LVISCLIICVTATYVWKLWQREDKYYVEEDYSEEEYEDLEATAINAGTIARIKSRSEM RERRPGTPESLIAAREETRAAALRSTISRPFAARTIPLNIRDQAWTADQTRKHDCPSN LEPAQIPDAIASPPAPSRSPAHDETARNPPATDDAASHRSNDTWERNSDETLGLSRAG AALPQVPVQEAIQSTVSEAEPDHTGFAAAEYGAHRMSGRLSGIDWKDDGSSIQGSEFT KYGASEFDRSSYANRYEDY CC84DRAFT_1258129 MVFTFDTLRDWKNSISHMQRSKRKEDSIADVMFTNMMQHNKDFR SLVPSYIAKMNADIDFKADSKRCRNPKSSRLTAETTEKNGFDTAASHSSEDQKEIKAL PKLTPKGLLNKLGGLEPKVSQRLGRNKRAMTFEDQVVPHHGRKRTRDPEDTAMKADGA EEREGHRAKKRVKGRPVATRYRNRDGVRDQREQKEQKF CC84DRAFT_1204552 MPGVKDIAYYAVHPTQLRSIIQWKVWHNPVHERDESKESASLKR CFHFLAQTSRSFVAVILELHPDILVSVAIFYLVLRGLDTIEDDMTIPLAKKEPILRDF HNIIEQDGWTFTENGPNEKDRQLCVEFNVVIEEYKKLKPAHQAIIKDITKRMGNGMAD YVKNAEFGINDNGVNTVKDYEKYCHYVAGLVGEGCTRLFVEEGKANPALLKRTELHES MGQFLQQVNIIRDIKEDFDDKRRFWPKEIWSKHVDNFEDLFKPENKAKALACSSEMIL TALRRADDCLFYLAGIKEQSVFNFCAIPQSMAIATLDACFQNYDIFKRNVKITKGDAC QLMLESTQNLQLVCDVFKRYTRKIHKKNNPHDPNFLQISIACGKTEQFIESIFPSQKP PVDEKKNPVNAEEAARLKKEAEETKWETIYMVSAVIGTILFISGIMLVVAWFAGARFD IALSQAKEQLGQLFGSGGSINASAPLDTKPSTDHSEL CC84DRAFT_1163186 MADVATAPNPNQQVPLDTIPISPSDETSSESKEPGSTAATSDGD IRTVFHDPENFNVKHPLMNTWTLWFTKPPSGKGDNWNELLKEVISFDSVEEFWGIYNN ITPTSDLALKSDYHLFKQGVRPEWEDAQNKHGGKWAFQFKDKKAVNIDALWLHVMLAA IGENLEDEDDNEVMGVVVNVRRGFYRIGLWTRTVGKALPNDQNKGRSFEQGKETLSKI GKRFKQALQLKENDPVEFSGHTDAAHAGSTRAKAKFVV CC84DRAFT_1215909 MVKETAYYDALQVPPTATELEIKKAYRKLAIKLHPDKNPGDETA HEKFQAIGEAYQVLSDETLRKNYDQYGKEGAIPSSGFEDPAEFFTMIFGGEAFMDWIG EISLMKDLTKTMEISMREMEEQEAAEAEAAASTADESAAAASTADKTTEPASTAQAKA ADPATAPGAGAAPAAHAAPPPASVETPAEPAAAAAAGSTPQAPPTSGTSTPQPGRGIP IRAAIMDKSEEDARLEAAGVTDAEKELREKQKKKGGLTKEQREELAAYELERKRIRDE RVQTLAKKLVDRISIWTETDKGADVTAAFKEKTQLEIENLKMESFGLEILHAVGATYL SKATSFIKSQKFLGISGFFSRVKDKGTLVKETWNTMSAAIDAQLTMEEMAKLEEKGGE DWTDEKKAEYEKKVTGKILAAAWRGSKFEIQSVLRDVCDQVLNDKKVKLEKRVERAHA LVIIGEMFAKAERDPEEEGDFMAFEQLMAEAAAKKETKADKDKKKHKHHSHHHEKEKA CC84DRAFT_1241700 MPSPTPTIKKFLDQNKDNTAPSLLITFPSGTQIPAPATKASKSN TARAPTFSVTQSCLRTHLPGAKYIALALDLDAPSPALPLASPVLHCLHTDLVAATASP FAAAPSSSSPIFKTDTATDTWVQLTPSSVAAGGEGTLEKPLASWVAPAPPGFSAPHRY VFLVWEQPEKMSGEEVRRKMGWGKGEIGLWGKVRFDVEGFVRRVGAGEIVAGTWMVVG GS CC84DRAFT_1241704 MATYKSHSNLPRKMEEQPPYPRRAPTGRLPQRSVTPTTTISQVP APVPSKLQKRRPSRDEVLLTRGAPGQRVPTPKAAVMLGSRQAGASSTVPIIHPAPRQM APKSALQSSSRLPKPEMPTNTRTPSLVSGSSASTVDSPQSNLLRRKQTNIGVGGSRED GSSVSFQEKSIMPSGIPGGYKDPFSETVLGISIPSTSAVLAHSAAELELQHRSPYEYD LGPTEILPPPAPHYTLSTTPSTRYSESPGPFSVSSTPTSMSSYSPGVVMSTKTNTRTR QVSPLQGKPPVVRYRTPEEPRMNSESSNERSQIERLPTRPSDPTSRTTHTRLPTSHRQ ADAMKGDVSKGLRRPSQTMEQKPRVQAPPELAHLADQPSSTAAPRRPSRPSRDGTPEI TGFRGPSPIIQSNMNSFPFSHQRTTSTGSTSSVGMNSKTRFGIMSPKQSSRNPSPNPS LTSSFAPTSRVPTRGPTPDLQPDKKRSSTLPTPAPAPSPSKTSRFGFFSRRAKTEPTA PAVKSEKKLHRRGPAAGTGHEGYGRYAVGARSASGASGSSIGKSLSAATTSESFDKTP STRKSSVTSTTSTEMDDFFLERLNPVVIRGNGSNSELTMSPEPLQSTSSLDVSSPRLS QLSKTTKGPSGTDSEKARPALLPSAMSDSVQGMSPVKRMPIGPRRPSESEDELKKSLI PAIAAKRASRSSKFVDTTTSAKQSFSKARKGSLTGATSDGKEGGWLKSSKKEKTEQEA SKPPRKWNFFQRAHGASRVPTPAVEAPTSSAARIPVSRSVAHYAIVDSPSGLDANDIE MIMKEADSAPEEPPAPIQQDEPPEFNVQPKATERLPSMLLPPKPILPDIFTAPARPAS PKVFLRPVPEPQPKLTLDTSVTEQAHRVPPSVGDLTPVTDLSAPSSPGSPLSPGQPSD LVVSPNTLPAPVFLPSPPATLSPPGPPPSNPPPRPSRLAQVGRIPQVVSTRHKAHRPH SRSFSRPFAMTQPGPKASSWTSFDSIGPMTVTAGPAEPYPILHGLNALTHGTAIATVS PSAVESMRQGEFFVFPSRKGSEVSYSSSSGTWSFPATAGTAIVPILGAPQSEDEVWNE YNDLIDEVLSPTDLQNADGGESEEKRSALEPLPLKLKHSRASSHSAASTTRVSNPSLH LRRSRLLAVLHSQSPTSSISLSEFLQEYGDRNISVVDPISGRLSFPSTAHMSVGSGPR DRVSSNRSSLPASLNLSSRQSKATLASKSDRDRNSASSNKYRDTRLMEMAETRADGLS AMANLRFGALMTSKWLSFGRVIFSPAHFELKDPEHDRILVIDGLGKDWSYYCALTYPE ATVYNLGPGQPSITTTTTGNAPEPWSTLSNHRHFNQNTLGDPFPFPRGFFACVVLRFP SAVPTSVHRTVVSECKRVLRPGGFLELSVLDLDLVNMGNRARRAVRGLKVKMQVADED VSLRNISDEIMGLVGKKGFTECNRCFVGVPVAGTLPSPDQTDAASSKKRKGSTASSIN KPTGAATKATKKPKPEVSFSDLLNTRASSESTDNTITDMVARVGRWWYSRCYESLVLP EAGEPDAANSGDLLRSSIWRDESLINECEKRGTSFRLLIGYAQKPIVGVRRTVSV CC84DRAFT_630390 MLVESALGVVSNVQLRVLPDVYLVCAVCSFRITQSTGSLGVANL TRLQVHRAGAAPFKTANNLLRNSQMSSKNSVRTGHSCSNYATLRRFRVSRGVRKTVAR RADAQGRASEGGYNLGAVAHAPVLVSTVLRRPRTGSSKGLLAQRSKGHLGDNLVVGWA RPDLLTLLSDKFKRGLGLIYGVRPNTTLRNEKRRSPGQGRKARGSGALPSSRALALRG RVERSQRKRPSTTHRRDAGGVTRRESSASDWVSVLSLAIGFSHNIMRLPTIKSNSHLE SPLFLVGGDQTTQSQLRYDN CC84DRAFT_630334 MSQYAKMKNDELQAMLRERGLPTTGKKAEMVDRLTKDDEKKISE PAPSNAHPEDEIDWDDGDEAAKQEEKPDKKTTSEEVAVTTLTQAETVAKAGGTGQPPN PQAVPNQQADIDPSKTDDLSVKPAGEATEAATGATQEENKEPAPSYAAGIAATDLDAE IEKRKKRAAKFGLKVEDDETLKKLERAKKFGESGALKGLDEALPERKERERKRGREDN DDAGRNKRRGGGPRAGGNRGGNHDGDRRRDNRENRRDDKRNDSGSTWMSAADRERAEA RKAKWTKPAAAS CC84DRAFT_1195287 MSQRFSTADVASHNKVDNLWIIVDEDVYDLTKFQDDHPGGKKIL QRVAGKDASKQFWKYHNESILKKYQKQLQVGSLDSKAAPPTPPATPPPQEKELVKPEA NSGVVAPQPTAEAEEAEALDPYGDLIPYADPSWYQSYHSPYFNESHAALRDEVRQWVD EMIQPNVTEWDEAKKVPDEIYKQMGDRGYLAGLLGMHFPTHLTDKRVKSVAPEKWDLF HEMLLTDELSRSGSGGLVWNLIGGYGIGAPPLFKFGKKELVQRIGPKILSGEKRICLA ITEPDAGSDVANLGCEAKLSEDGKYYIVNGEKKWITNGIWCDYFTTAVRTSDKGMNGV SVLLIEREAGGVSTRRMDCQGVWSSGTTYITFEDVKVPVENLIGKENQGFKVVMTNFN HERIGIIIQCLRFSRVCYEESIKYAHKRKTFGKRLIDHPVIRLKLAHMARQIEASYNW LENLIFQCEKMGEMEAMLKLGGAIASLKAQSTTTFEFCAREASQIFGGLSYSRGGQGA KVERLYRDVRAYAIPGGSEEIMLDLSIRQALRVHKALGMKL CC84DRAFT_630412 MTSPILNFAIRGFQVVFAAVVLGLSVGMIKGQGPTLDSPISLRY TAFVGGVTFLTAIVGIAAEWISVLQGMVGLIIDGVVILLNIAGGVLLAIQIGVPKCSD TSPENMLNLYHNHLFNGGCYKKLPKVEGEYQCWNGPFNDREGQLNARCKEAQADTVFL FFTVVILLVSATMTFLRQKKGY CC84DRAFT_1143059 MAREGATTTRLKNIETELRNMATCTAATVAELQELLKLSGDAAQ SQKENIRSRSARAPSAQSTARRRPGTAASARTEPGADAAALLTPRQRYVLATQTVNAT LKSLADALKTPATPQRPRTPTASAKVAHTSQAKSTATSQRPLKERSVSQITNSPTKPT PLRRSSSYSTFTSPDSGLVATAECARLAFAYLNTSEGIQFVGKDSPELALENGRLSLI GKLVTHGLDSLAVKEMRLLKKRLDACTRRANATEDARPASSRSTSQQAPSSEKESLAA LLDFGDVHHSSAAIPIIINLQIYVLRVLGRTKRPRLVETAWSYLKLSHPSNPTNLILH TAKEKAHQAKAARQLESLAQTILQLCPSISMSADQDLPQPSPDIVLCLQHLAFGIRQR WWTLAQHKGSKDKELVEPFAKCLVAFARRSTLPAVKKYKVAESLYSNLLGTGNSTDFS QRNGKSLAGAKNHTLVSLARAADLPDEALRWLGDSSSSTATQTGPTAAVRSVRIATVS LEAYIRDPSRTDQDATINAALESLSGSLDGTVRDLETLLIEVHALRRVATRIISTASS PDKTDWSVTLCGHCIRIIAASIPFSRRFIGSRPPDDASPRQITAYQARFALVTKLARS TVDSVSVCCRLPLSSEATWEELDKLIQDCIRLISQLEGEPAGNDDASLASQEGAKSVF VKFSNLYSAFRRPLQKLKCDSSALKTMQRSTTLLYSRPQAEKEAGQLTSKLERLGEEL HALDRFQESRQAYTQCLQTSLDGGLCQEIVKATSKHHVVHVFRQDSLIGDLGRVLRLY HRSFTKFGLGKSQELAFFDDANCPAAARGALLEWQLETYQQTLSRNRSWDAALNSSLQ AITDRLLSIYTPHQFPLRRKRLHLLLLQLSQMYPNIISNAYFSDDYQWESDGDIYQTE DGGLARFGDHLRSMILLKTLLHRGDLSLQQLRDCFSTWQNMLDATKSWDEVEDRVDNT QNWLEAIQASADFLCAKGEEYEALPVIHLLVRVLELRKGTDQSQLILALNTLGLQFLR LGYSGKAGLAFAKAEFLLNATASIEAKLRWHIGYAEYLLGTGNTSKCETILCAAETLA GKDPTFIDLSKPSATHSMRMTFNNIVAEACYVSSLLCASKGDYKNAARHARQAVLVNR RIWAAREGHVNTRKAVSAEGPTGAPFDPLSSIRDEKGTSITSSITHEALKGPEFWSLV PSLYRALIQHSIVIASQGMLEEAVYVLQQAEKVASAIGSRTLLVDHASRLADLWIQSG RPDKAQPLFDGLDISQSSKHLSTVSYHLSLARMHHVSQRFDEEMAEYDTLEKLLTYLS SPSYISSRTSFTSSLDALTKNVSALTLEESTPALVQTTRTVRTRTTTVKNPEKTTIRK TVTKTTMTRTTRKAPLKTAPTAASKISTKAATLPDNGKQSATEHCASLDAIQADILYR RVATYLLQEDVAKAMDVLSRVEMSEQDREGSHAWVRFKAMLAQAITSISNDFTFNTLP ESTIAFPSIPPKDRRSSEGIAAKRPAVKPSTKLARGKKQGGDDFVELIENAREKLVEA HAQHATAASNHVFRQLCAALSHATILLSAVSQGRIRGSIHPLYSAYMSEIPKHHALAL AQDSVEVDQEVMSREVCLQWPKLTGKQRFIASPTDFQHDYIDIIPETWCVVSLALSEE QDELYITRYQRNTSPFVLRLPLARHSSRDLDEEEFTFADGKRELEEIIELSDFTTRNA KDLTSREGRRQWWEDREALDVKLRELLLNIEKIWLGGFRGIFSDHVHQPGLLARFRKS FDNILDRHLPSRQKRGQQKRPSLDPRVLELFIGLGDASNPDLDLDEALTDLIYFVVDI LQFNGEPNAYDEIDFDSMVIETHEALRAYYDSATKVSPVSAHTILILDKDLHMLPWES VPCLEKLSISRLPSLAALRERLLAARLPTATQNAPIGHYISADAGGTSMLNPSGDLGH TSKTIKPHLDSMQGTWTHIANRPPLEKEFEDCLRNDQLVLFFGHGSGAQYIRSKAVRR LYLNGKTQSEPEKKKSGCATTFLFGCSSVHLSDNGIYEPSGMLSSYLTAGAPAVLGML WDVTDKDCDRLAVRAGELWGLWPEPDPEDEVVVEPPPTVKKKKGKSRAAQLVEEMDTP RVANKSRKGNAREDASVRVERRRGIGLDEAVRDARKACVLRYLNGAAAVVYGIPVYLE CC84DRAFT_1163196 MGVPEKTTPLHNADAAEPAPPAYVQNQPLPNYLCSESKAAPQQQ FSLQPQHLRFPSQFNVYTHFSTFTLGPHQDDKLFTVVQTGLRKPMALVQGDDKKAPPL STLKMKGLGSTSVLTIFPHEGGEVFEPLEITIENHSSKHCFCIALPNKAPHYFEWRSS KSEEVQALGSYKRGWKLVWLRKDGGGEEIVAASALNNTMSMKKGLKFGFFNAGLDGTL GDTFHIAAVTTAMNDFEMFLSTKTAISGNAATAASVASVSAVTS CC84DRAFT_1163197 MPAPRRIVRTSVQLGVLASAVFLLVLFLDSRYSVLPQAVHNHLP LHHEGLVITDITIQTCSSLNPISKCQLDQELWHRVEKDLYLGTGWVSKAYVHIKRKKE EELTDEDKVIVDVRTGKLDPAMGDKTQASEKWESRPAGIWIKRSAKRHASDSKKTITA VDVLFGADATESRPGWQLVANGAIHLDTGGDDKVPHLSIRRGQPEAVKKPVPRITKDG KFKIMQISDLHLSTGIRPCRDPEPKDYNGGHCDADTRTMEFVERVLDEEKPDMVVLSG DQVNGGTAPDVPSALFKITAVLIERKIPYAAIFGNHDDEGVISRGIQMQLYESLPYSL SEAGPTSIDGSMGVGNYFVEVLAHGANKHSALTLYFLDTHAYSPDEKKFKGYDWLKPN QIQWFKKAADSLKESHMKYTHIHMDMAFIHIPLPEYSIVENERLGQWKERVTAPRFNT HFKDALVEQGIKVVSCGHDHANDYCMLSKNQQSDPELWMCYAGGSGFGGYGGYDGYHR RIRVFEVDTNQARISTWKRLEYGDIASRLDEQIIVDAGRLLPLQSQ CC84DRAFT_1195289 MRCSGLIARTSAQLHLHSIKRSFATSSFLRRAFQDGDVVLLKDK KAASHEGVLVKLQAAKQTHTHRGNLAHADIIGKEPRQLVHSTRGNAYRIHEPTLAEYV RLTPRLVTPIYPSDANLIVSLLDLHVETPSESPNGNSPVEILEAGTGHGALTLHLARA IHAANPRLPKLQNYTSEQEAEDPVYLGESMADLQDANIETWRANRGAIVHTLDISGKH SKHARKIVEGFRHGMYAGSVDFHVGDPASWITEQLKVRKTEDPFLSHVLLDLPGADSY LDVVASALQVNGLLAVFNPSITQIVECVEKIRKDRMPYLLDQVVELGASTIREWDVRA VRPRATLRKAELKDTPDSSSGDEPIDPVKGQEARDNELARDLAKNEEKWAMVCRPKAG LEVVGGGFIALWRKMEPANLSESPEASPAPAATEEA CC84DRAFT_1258141 MIGPASEGTNAGPILHRQSRFPLSLGAHLTVPPPFPIIPPHQFP SEPWKRNMSGAGENRSSWQRPQGRGNRASSSNNPSKEGARQQAMSAVSGNAWKAKGQG QGGAERAPAPAPSVPAEQHVPVKDFNAGEVKEFLKKKYLESVAGISSSSSASTPATGT NAKPLDQPSAYHKVQGDSVAKRSSGAWGSRGNMPHLMPSGQDFFTQLKKQLVALDQGK SS CC84DRAFT_1090128 MSHATPSSKRNSFRRRSSGYSSITPRLSQEFEHESPAHNFDGGG DGGLGNLADELGELDDWDDDDDGAEGEYDDDDGLPHDEDASNIGVAVDHDGGAGTVNG VRDSGVAMQTSSPAGSRLSPPSAAKSRKHQRQHSLYDGSDYGDDSDLEANEGISPALE SRMAAIESLARRGMEENGSAADGIVKRVTEQLRDLGSQIGIENGATRLKTAHDALTTH LTHQSRTLNSATAMMTGPRAIMPPAEEIEMLLPLIESTLELIPQSSPQALIALSQLTL STRELLEHLSNLSDSLHMSRQKANEASRKLRSSKDQLAEWKKDVDLRELGTAYIDNGD WDRRLREREAGAACGDVVNGFEEMCGMWRKKLCEGLGVASA CC84DRAFT_1089149 MKAFLLLSLLGAFTLAQTTTSICRCPQVKCPSSNAVELCQCLNS RETMCHKACPMYKPTYMPCPINPPTPWPTPSPTPEVQAEPTCTCEPGFCIQMWPQSCY CGNAQKQRCFEKCGGVQPELQECPPMETPSIVARTVETHPPKPTNVHKPCGGGRGNYR ECDHGEVCIKDPYKDGCGPACDQVGICVKDKLCGGFAGFPCEVKGQVCQDDPRDDCDP LNHGYDCGGLCVWPLSLRHDYGEGY CC84DRAFT_1087994 MAAAMDPGEEHAHFVEWAQSNGVDINGIAPAKFKDSGMGIVAAT DIKFPTKTSIHARLAAYLALRFPDDDCQHRPWQDIWPSRDEFKEILPLHWSKQLQDLL PHAAAVLLTAQRSNLDRDYLSIHAIHPSISRDLFTYVWLIVNTRTFYWEYPDLPNAHP RLPKKRPQLTADDCYAMCPFMDYFNHSDKGCDPKADAKGYSVTADRAYRAGEEVYVSY GSHTNDFLLVEYGFILDTNGCDSLPLDHLILSQLSSEQVQVLKEDGFYGSYTLSPTTP TICHRTQAVVRLLTLPSRRYTAFVSGTDEGTGDQGRVNEYVIRLLVKYERQIMEIMEE VEGLKTGGDATTAQRSTLLRRWKQVRAIVKTGIDVLTN CC84DRAFT_1089363 MRPAAGLTSARSKLGPHALRLPCSDRCFSVLNRPPPNYEGHIPL TRAERLGLALGSGLMSFLDPRRGDLIAAFGEATAQPYFINHLRDRMLLNPTGRRILRD RPRLTSTSLDIPRLRLLPKNTVGYAYTEWLDREGVSPDTRAQVRYIDDEECAYVMQRY RECHDFYHALVGLPVFREGEVALKAFEFANTGLPMTGLAVFSALTLKKGEWRRFWDIY GPWAARNGAQSDDVINVYWEEELETDVDQLRTRLGIEKPPDLRDMRRAAREARKKEKA AREAAAGAAV CC84DRAFT_630521 MCREDDSHRSLNAALYTRKIQSNNGKPFDFLLRVNRKWKHKGRT VPGESRPTFNYAYCGQAVWSSSCRTGSYDRRSVQESQLSEQVRYKVSSSAP CC84DRAFT_1195293 MGYAENRIAILLGSSIAFGILYITGVVIYRLWFHPLAKYPGPFL NRVSQIPAIYAVIVGRLPMHTKTIHDKYGPIVRLSPNELSFNTPEAWEDIYGLRPGRP NLSKDPIHVGSVDPVPGVSTISMADNETHARQRRALSHGFSQQALWGQEEIVQSFVTK LLDNVEAFAQEKKSFNIVDWFNFMTFDVIGDLAFGESFGCLDKGDFHFWITLIFRAVQ AGAVETATRRVATPGSPLQQYLMKWIPNEMRKQRKDHLAYSREKVLKRLADTKTDRKD FVHYIVRQSKKIDLSQDEIIVNGALFIVAGSETTAMTLSATVNFMMAHPAKFAKLREE IRSTYAREEEITVDKATNLQYLNACVEETLRLLPPAPIGFLRSVPQGGDTIDGQYLPG GTTVSVSTWCAAHSPDNFQNPDEFIPERWYDPKYANDKRLASRPFSMGPRGCIGKNLA YMELRLALSRLFWRYNVESVDGAPDWTTEGQMKNMKAYSTWVKPELNVRVLPAVQ CC84DRAFT_1215926 MATKRKHDDSPPSDSSQAAPDNGTAPASPQAARVAENYPRKRIA IACNVCRFRKTRCDAQKPSCGFCTDLGIECTYRKPTVGDRGKASDPPSEALDNIERRL SELESRLEATEQQVQMQNAVVMSPNASHHSGMLPPQELPSRRSSVNRPAVDYITSVNA TSNGSVGTPVTYDFAYRQTATESQTARPSLLTFRAPPYIPVESWDFTKEFYDDEILAG ESLSDQLVTLLDQPVDLARRTTRRLQQSFVENFLRWTPIFDQQTCVSAVELATSHSFV ESSPSTCLTFFLLAMGAITEDKGDYAKSMLPGLDYFARGCQILERLSLRTGNLMTLQC RVLQASYLKFAIRPMQTWNSITQAARDCMHICSSRMRDHLSPAEQEAFNRAFWATSTI VHELEATMKMFPVGIRQFHEMVPLPQFEEEDSGFYYFLAQISLRKFLTQSLEVVGYHS GRVIYAPVVTRELRKQVREWYDHLPAVVRFPLDATPVFDSRKSFLRGQYVALFVVLGW PSVLKIMEHGTGEGAPFEQTAVAAMKEQAAGCIRGCCLFLSVADEQLMDRTMGTHFSM WA CC84DRAFT_1116870 MASSQMTRAYPKFSELPLDPQHPRYSAWGLWGKDDELGTLNHLT PERVVAAAKEVKTGARFGLNWALEQMDYTGGFRETIKHEIFQLGENMNDDRITFNTQT STQWDGLRHWGFDDGRFYNGFTQKEIIENKSPRLGIQAWAKHGLVGRGVLIDFVSYAA KKNIEYDPLDFYAVPLKTAKQIAQDCSFDFQAGDIVLLRTGFTAAFEQASIETKKKIM AQSPFRYPGMESNFEVLGWLWDTQIAAVAGDCPGFEAWPPSEHALHQILLAGFGMPIG EMFALDELAQECERQKRWTFMLTSEPLNVRGGVASPPNALAIM CC84DRAFT_1143084 MEKAELDNIEVTETPSSSPTSAAEKNIAPDDDYIPNAAQDEDVV TLKTWMVIVVLSCSYGLSFWVVTTISAIQTQVATELGNPSNASWWTTIYTMCTAISFM IFGGNSDLFGRRWFVISGNILVGAGYVAIGAAKNTETIIGGCTSIGSHSMTLTSPIDA STWVAVLFGPIVARYAIRHGDAWRWLFYAAAIGCAIVFSLLVWLYHPPKHPRGIAWAQ ALRELDYVGGLLFIIGAACVFTGVTYTNIVPSSDPKVVALLVVGFMVIGVFACWERWG KLKQPLCPPGIFAKDKGQEFTYPFIAGCIVNMFFYSVNIVYPTMINVLWTDSTTPLST SLKYTLPQNLGLIFGSMLLWFFGIKIGHWKWQFIGSFAVMTLFGSLLALGNTGNMAMI MVFCFIVQGAYGWAQTLSITWIQMGAPQTQLGISGALAGVARWTGGAMSSSIYLAILS NVQSEQFSRLGTNAIAAAGGSKATAAQLLAALPLGADAISQVSGTTEAMIAAAGAAFT QSYVVALRTVCLTSIAFGVVGTICCCFSNDIGPKMNDKIEVFLENDVQADKNRFH CC84DRAFT_1116876 MSSGPYLHGHHASVLRSHSWRTVENSCPHLIPHLHNTSLKILDV GCGPGTISVDLAARIPQGSVLAIDPSQEVIMKARKHAEEKGVTNIRFEVGDIFNWGAV AGIEESGFDIVHAHQVLQHLQDPLSAMKEMKKLTRKGGILAVRDCDYSAMNHYPPNEG MSKWLDLYRSVAKSIKCDPDIGKRLHAIAMQAGFPRSDIDTSVSAWTFSTPDERAWWC GLWAERSTKSDYKARVLESGLGTEEDLEEIARTWREMEGKEDGWFAVINGEVVAYNRP CC84DRAFT_1258150 MSKRSSYNRSELGRPSTKRAKQIDEDRPFDNLIKLLEEQEEKKE VTKVVHWFRPKDLRIHDNTGLHHASHLSQSSKRPLVCIYLHCPAEESWHGTSPARMDF MLEGLKLMQEELKALHIPLVFLESQERNGHFPTVARFLKENHVSHVFANFEYEVDEIR RDIGLVQEVGSDVQVSIYHDQTVVEPGSMLTGSGKPMKVFTPYHRAWLDRVKEEPSLL DTQPAPAKNDASAAKELKYLFGSKLPNVAKDKQFASNDDKKRIRKLWPAGHAAGMERM DSFLSQIDNYAATRSNPAEDSTSRMSAYFSAGMVSVREALSKVREYNEGSSDFTEGGS SHGVYSWVREIVFRELYRQTLMTTPHTGMNLPQNLKFDFVKWEDDEEGWEKWYKGMTG EPFIDAGMRQLNTEAYMHNRLRMNVSSYLYCNLLLDYRRGERYFAETLIDWDLSNNTQ GWEPSYTVFNPVSQSEKNDPSGSYIRKWVPELKDVQGKAIFAPYNRLSKEEFEKLGYP KPHVDWKETKQRAIERFKSNMRDVTP CC84DRAFT_1090178 MAILSKVAGVAVAAFVSAVSAQSFQRLGTCPTLGMADFLAGQYF DIRLEVHAPKNGSEAIGLPPDEAFTFTIAKGDGVAKPVTEFFGIEDAKLEDWTFSYFE GLDFFARDAKTPSLVDVRSKAYRRLALHEPGEYTATLNYNNGSSTKATWLVRDLAEER KAKNIILFIGDGMTTNMITAARLIGHKTINGKYQTRMQMDKFPVLGHQMTHSIDSFIT DSANSASALYTGHKSSVNALGVYADSSKDPFDDPKVETIAEIFHRLTGGHVGIVSTAF LADATPAGLTAHTRARSEYGAVVDSFLNGITNYTWTEWDGPDVLFGGGAEQFYSPELG GITYQNKTYYDEFAKKGYQVLQNRTALLSAPSNEKALGVFTYSNMAKWLDRNVYRENL NQSLSPTGDKTAALDQPGLKDMTLKAIDILSERSGDKGFFLMSEAASIDKMMHVLDYD RALGELLELDDTIKATVNKLNETGCLKETLILVTADHGHGFDVMGSVDTKYLAAQDDQ RKKRNAIGTYQNSGLSQYQNTGNLTYVDSNFPRNWDPRYTLFSGLTANPDHRENYTVH KDGPREPAAELEEGSKDFHTNPEDAENGIEINGNLPVSNDQGVHSLTDVPVFAMGPCQ DKFSGVYNSIDIFFSMAECFGLARGKGHSAGN CC84DRAFT_1143095 MDLGDEGSSPWGDVPSRSPPPQVPTITQENESASQSDAQPTAAS APNASAAPRSPAARGPRRKQYGAQATKLEAVEDPLGPLGAPLGGSTPGPEAPPAPPQK EQAGRNTAAAAAAAAAAAAAAAPLPSASIPSLQNTLDSVNLDDDDDDKPLARGPRVPP PVQPPTAAAPARHTQPSVSIEQAAKPTFSIYVGDPHKVGDLTSSHTEYSVMTKTTSKG YRNSEFTVSRRYRDFLWLYNQLHSNNPGIIVPPPPEKQAVGRFDTDFVESRRAALERM LNKIAAHSVLQHDGDLKIFLESDAFNVDIKNKERKDPGLGESKGMFGSMLGGSSGKFI EHDDWFHERKIYLDALENQLKALLKATDTVVAQRKGLAEACGDFSASLHSLSTVELSP SLSGPLDSLSDIQIRIRELYERQAQQDVLTMGIVIDEYIRLIGSVKQAFLQRQKSYHT WHAAEAELHKRKTTQDKLLRQGRSQQDRLNQLSADVADAERRVHQARLLFEDMGRLMR SELERFEREKVEDFKSGVETYLESAVEAQKELIEIWETFLMQLDTEEGDTFVPPAGVV ASPTSEQPPSTKNQAETAGSETSDAREVAVAIGEADA CC84DRAFT_1116890 MDTHILLRQLLRQPHTARTLLSSATRPQPHTFALLSPKHSYARH LSVPTILRPAFWKSMIPRPLRSGESLSSTSDVPSRQWNPATPYIVLALLVGSQAIQIL WLKQERALMTRRAEAKMGILREVVERVQRGEEVDVEGILGTGVEGREREWAGLLKEIE DEELLFQSTAEKRKLKEAAEAEARDEAAQSAGDAHNSPEEAKLKVESLGGAKFY CC84DRAFT_1163208 MAPQYNDIKFEVKGKIGVIKFNRPKALNSFGGTLISDVIAALRE LNEHPDTVFTVLTGEGRFFSAGADVRGGSLSQQPKEFKNAGEKKLAFLQNFTYALELL RSMIDHRKVLILALNGPGVGGGAAWFPGIADIVLASSSAWLQCPFSALALVPENGSAL SFAQHIGIHRANDFLMFGRKLSAQELLDAGMYNYVWDKSGDEFHAEVIKFLEGQLEVN DGKSMMETKRLQNVGVRDQRMIAVVNAVDALAERFVEDAPLHRFAEKKKLMEEKSKTR SKM CC84DRAFT_1088757 MQAQQEFVLVDEFQVGLDRAKITNTAQIQLPETHEAQGAQDERH LRSAESSAASSHNVVDSMKARKHRAGLRIRKTLHIGRSSDDFEYTTTALVGAAAEGSG SRYMTDAPEPDEPTMKDFLHNPIDTVKARISEKGNQQVSGQITAKEVPHGDEVDMIRA SEAVEDAGNDTQRLLAIKDLSRLMKERQATYARWTLDRHITKIRRLPQGEIKVRPRSD FQKLDQNADTVTNWRAYLLVYHAHQYGGQYIGFGSDPPAPSKETVMPNIERLLLASSP IQEFVMTSRKIYRWEQPAETLTYLLLYSTLWYFDMLLPGSLAACIYLVFQRRTHGNTL EDLRDEIKHREDQRRTALSLTELIVKKGDKNWSDKLVEDLGPWFMVQLADLANFFESL HSFYEWRDPHRTLYAMIVLGVVTLATALTPMWLLVKVVTFGMGVSFFGLYPIAVKFPE YRLLVSPAKRFLWNIPTHAEWAIKYVQAEGTQLAEKSIPVASALALASPTFDMEHDYN SYGASQDKSSGRLIISRSGIRFISNFGHKVLWNLQFDQLEKIEKVDRMVTKNIPGKLQ GDSGKDLRLVSRSGGVHLLEKVDKRDEAFSQMVGFSKVTWQVLW CC84DRAFT_1241757 MLKLAAILLGLPAVLAQNSTCCQALQNAGLAHVLYPNTTEYANR TVSYWSVSAQLSPYCIVQPTCTSEVVKVVNTLIRGESCSSTKFAVRSGGHTVWAGSNN INDGVTVDLGLMKDVTLDKNASVASIGPGARWLNVYAALDPDGWTVPGGRAGSVGVAG FLTGGGNSFFTARKGFACDNVKNFELVTASGEVVNANADENPDLWQALKGGSAANFGI VTRFDMFAFQTGNLWGGTATYNKSATADQIAAYVKWTDNVSNYPDGSSIIFWSYLPVM SDIVILAAYEDTQGNEAPVGFDDFMAIPRIADTLRIDSHKALTDELEQATGYRDVWFT MTFANNAEIFTKIVELNEAFVNEWKSQTNDPDFITQCMFQAIPTVFSVHSVERGGNVM GLDSEDRNGIMLLFDIAVKTAEEEAIARPLLRSYGEQMQEFATSRDGLISWKFLNYAD SYQNPLASYGSANVEKIRAAAKKFDPEGVFQTKAPGGFKISKVGTEESGVETDKLFGS VGEETKTLANLSDA CC84DRAFT_1116895 MPIVNGTVTVLEPPEGYVVDFDNPQMQYVVESYAIVAVEMTLGF LFLIQRLYTKTVIMKSFQLEDGVVIFAWFFCMGTQICGLMGLAHGAIGRHAWEISLEK YTFYSRIILAAPLLYAIGTCAAKVSLALFYRRLNPNKVFQGFVYFTLFVCLSAYTSIF FSLLFACKPVAASWDPLLAALPTTICLNRGGIYLAQAVIGIVTDVLLLALPVPTVLKL QMPNKQKLGLVGIFGVGSITIVTSIVRLIILLPSLTTADQTWVIGEGFMWIFVEANLL IMCCCLSTLRRFFKHFAPRLIGEYSSGSNSKPHSRGFSRNHGQRTFGSGGAKRTLDTL MNTKNDDGGIPLSSIDEMDKHVGHVSTNAKALVRDSDSEEAIQFERSVQVTYENRDDR SVSGEVAAARGYEGTQAHQPRVWTGKRAPGAH CC84DRAFT_1174819 MLVAQISHSQALCLCAPLQRATTQIPPVQASVVRLSLWQRENLV RTALRHGWLSDNWNGRFLATSNGGTGGYIDYTNLAHGVSLGVATFGTKAGQYGQADTG GRQGFEEAILYHEDFDGIQGPMGVDWLHVVAYKDILARRQGWPDLESLGYITAAHWSA IVAAQIKLFDPHGGFTDGTIDNMSLHNLEPSMFACSTGILNDSTCLAAAQVNTVRKIY QPLADSSGSSGKIVYPAFGLGASTSVFLVNIKVINGTTVPAMVYTLVEDHWRGAVYNS STWKSRNFSTTDMDLAVCLHPGTINIAGGTSHNVSTYHGYGEKLLA CC84DRAFT_1143107 MPQAPKITSVDALPADEAKWIEFQKISWSDQTGKSRVWEAASRK TRGKSGVDAVAIATVIRHPKNPPSTIIILQYRPPVDAVCVEFPAGLVDEKETPKEASI RELHEETGYKGEVTSMSPTIFSDPGMSTANMQLATVEVQLKEGDKEPDQALDDGEFIE RLVVPLEELYDRLIQYDQEGKRVDARLWHWAEGLHFAKTML CC84DRAFT_1241772 MPGKPHYGDVDFLVSGFLPEPPGTSLNWRRKVASSRDALGTRHV PHPNGEHWIQIDIKWAEDAGLLDQATRLEDQPDGFPHPRRRNGGNQFPGSLVFATKQP TDALRILGLDTRFLLACFAATEETCLDEGKHRGHLEDRSGPWLAFVFEWLPQRIPNIV FLTKKSHFTGGASRRGLPCARKFSRCSQQSLKSTIRSLRIIETIEEHRLQQLLMEAIS EGQDGWSDEIPLHTIVVQGAASGTPPLMPVVPAQGDTACASDPPSLMFDASSDLIFSR PVTPPHTPPTCVTDIKPSTPTTASPIEDLRSVLLTPIHTQHLPRDPPLFFVARPPANN MSPAAKLDCLAM CC84DRAFT_1241773 MKISTVFTSQLVALSTANSHFARQYQTGSPHDWIPAGPDDFRGP CPMLNTLSNHGYFPRSGRNITKANAVKGLTTGLNFNASLAELMWEQAIIANPAPNATF FTLDQLNVHNVLEHDASLSRTDAAFGNNHVFNETVFNTSRAFWTADTVTAEMLANSKL YRQIESRAFNPDYRFTEVTEAFSLGEVAAPIIAFGDIEEFTVPKNLLEYFFLNERLPE ELGWSKKEHVMSLEDIMKVSQAIGKATSLLTGGDKAGAKLRRGDFHAGMF CC84DRAFT_1241775 MWKRLRNGSSRSSSSSLVSVADAQLRVAPTPTPVAHVRSSQSSQ SSQQSGEDLHELLAFHKSLVQGLGEDLQKRCAELDKVKSQIDEQRIVIGHLSSRKTDY KRRVAELETQVKEDKTRIDELIKSVNNLRKFDAQTQASDHMVPLGGIVKNALIEQLES DVERLTQQVFDHDHEMQALATSHEHEVSELHAHMERLRSELKHERSVVAKYTWDLPEM TRKGQTSEIELQQRVLEEALNEQGRFGDATTRDEKLAQALSYTKELRKSGRLLQDGKE VELHHLQTLCGLAQAQAGDIVRLRAANADLLVTNTGLQDKMDSITQAKPSGADVFAPS HRRNVTWDLPLRNNETLAHAESHVPSKLRKHFAIHLPSPRRDTAHRTAPLFSPINPHI PALATPTTPFTPSLAHTIANLTRRLDHLRTQLAAAQLSPQRSNPKDTIVLQREFAAIH ARLLSSVGDINGTLGMTSEQIAQVVDQVLQRFTAVRHGDLGEPKEDMATLEIWAEEEL QRAREKKETTKACDVLSMNSEQIAPTAKQVQKRYDAMRRKSDLDDPKQAPEKVLSSPT RTSGFIRDGRIDIAAVADSIVEQYRAVRDNGSSWGTGVAESLSSPEEGRVRSAEHVQA ESYLFGDIPMVFSAVG CC84DRAFT_1258158 MASKYGFAKQLKELRFLFCQTSEHSAATRSFLTKSYPTMKKHNP YTPILIREASGTEPRLYARYEFGKEKSVPLKGLDDKAIEQKVTELVKASI CC84DRAFT_1186046 MSFRPGSRIFSAFRPFFRQQRNQSTAAPEQSGFAKLWHSPVGPK TVHFWAPIMKWGLVIAGASDLTRPASQLSLNTNAALMTTGLIWTRWCFVIRPQNIFLA TVNFFLFLTGATQTTRILMYQRSLKGAEGQAEAEGKEMKEEIKEVAKDVQAKVEKVIK S CC84DRAFT_630889 MVRATEPCSRQLCLAHPQHGRLTPRTPAAQTAPPVTRLTEPIGR TTPLILPAPLARQTGHDSRLPPRPCANPCVPRRRRRVRAGYGRARGEAVLHRQLDFGF VGDGGGGRGRCRGRRSRELVRLVTVVGVIVRVQAFVAVACFAARKGADRFDGCGIHAG HGVAFSRVAFGGGAGICRRCICRTGVVGGRVGHCGRVWGRHASDGIADVTMPRPKRWE SVEEEQEFAGGMRCAYRFSMCDVTVPWFRGRC CC84DRAFT_1143118 MPLRKRLKSVAADGQVAKGDEKKSKRERLVAFFKSRKQRKAADS DKEAAAAPIPVAVKKDGPAKPPRDSKIAESTRPAKSSSSIDVQRAEAEAEDVPLPTPS AEPTEPAEPERKEQQTVEKLSEEHVHALFSGAPNFFVHKTEKRAVPRVSFPWDAELHI KDVSDSVQLAEPAYSAATLHRHLPSLQQSSDQDKPYQGYDLDVVEAPSMLSAQGLESG TIGFAHFLELPQSDHLVTDLQQSQTSNAYLEGMRNKQLLQQNPERLGVRAVDMAMVHD RLIELGDLVEAFHDSPERMTILNNQAPGDLYANLFGKFLTPPGYDSTADDPTGMKVQI DTLLKILRLRGVWFDFSLVEWRIRLGQILWGEAEAEVVSDTQPLWTDRDILLLQITLA CELLLRLDAISSMEVDDVKRQLHVSPQDFQGFLKLKTRKTDWDLVLARRFLENILVVK EYNTSPSVPDSKLNGLFSLLGSSAPKDEVRSDLVLLPQHQARQLSGLLHFAKTIQWPG IDLIVAELAQKITVPEVLYTPEQASPPTERFLDPSTPASISVYGTPLATPRSNTVLDS YFGNLQKPPLDRNDSRALQVPLTTTLLAQADSPDHALNVGGWLSRSYLTGLILPGEGI SHFLISTLLENDRLAIAALGDSANLYGGFIYANRTWWSKASIVARVMGCVEGAVECMG WVGFSELPADSADGWYAVTSTQLRPEGPSLTTTEEDPIMLRSAVIPNLDESNVKAEDL TMPRDGATPPIPSMEFSCWNLTPANAGPQESDALSTPTAETESHVASVTFASLARSMH TLSLIHDVQFVTSWPCTPPTASPAPNVPRMLKRSQTSSLSRTSSKRSIHSNRTGSNRS SQLIRRNSHGFEPLLSHPPDSPSIAPTRMYLPVSDEDPSAALSPKLEPLNAHPLHTSH KYKIVSATDVLDANFVLPFTPHVYTIPASSPQTSPREEREDVNPTGEEGAVLVLDARA SKDLELLARSWCAEKGFHAVIGRVGRTCLACCIREAKALGVNTVIRI CC84DRAFT_1215951 MWMNSDTFKNIMVFFGIMDVVLQYETDEIMDRVKGGWLEVRGRL MPVPVSCDERDVRELGVGSWQLHVDRTKFTNPVTIRSCTPEQAELYASIEVPTSDAGH FPYDNAKGRLHYMPTANHEDFMAEALKLRIVDPESGIFKRIGVVNEVVIPHQVDKTPL LLAQGKEIMKAKPVQP CC84DRAFT_1204578 MSLNTYQSHVDTRPGTTPPKPDELSELDKLAKKVGGPFKKFASV VGASLAAVPTGTGDGSKLQPEPTDESEALKRIQGNLRDLSHLGITDYKTLLDIGLTKA TGTPQDDKDYIMEALIRTAADLPDGSRLRDRLTGEFLTQLWNDLEHPPLSYLGAQYQY RSADGSFNSLVHPQLGAAGTPYARTVKPSMMQTPCRPDAGVVFDSVMTRKHAERHPNE ISSVLFYLASIIIHDCFRTDHRDYANSLTSSYLDLSPLYGSNQDEQNLMRTFADGKLK PDAFSEQRLLSFPPGVACLLVMFNRFHNNVVTNLALINEGNRFPKPVAPEDAKDEKKA EAYKAQLKKYDNDLFQTGRLVTCGLYVNIILIDYVRTILNLNRTDSNWQLNPRADIKD LPIGVGNQVSAEFNLVYRWHSTLSTRDEAWTQDLWDGMFGKGRDPKTVSNREFLMKLN EEYQKSEKDPGKRTFAGIKRNADGSLPDQALVDILVSSVEDCANSFGPNRVPAVMRAI EVLGIEQSRAWNLGSLNEFRKYFGLEPHTSFEDITSDKYVAEQLKHLYDHPDKVEIYP GIVVEDAKKAMAPGSGLTPSYTVSRAVLSDAVALVRGDRFYTRDYNPRTLTNWGYAQA DSDLAVDNGCVFYKLFLTAFPQHFSNNSVYAHYPLTVPSAMKVALEDPTINKANLYDF SRPVYTPKPLPISSYAAASTILRDQDTYKVTWGKAMTYLMGAPAQDFMLAGDGPKNSI SRSLMSKALYVDGWEKEVRSYYASKTVSLLKSRSAKIADFHQVDIIRDIGNLAHVHFA SELFQLPLKTVDDPHGIFTEAEMYLLMSGVFALIFFDADPVASFPLHLKSKNAVKVLG EFVEKNVEFVAKFGKLSELAHKVWPRETKLKSYGLHFIQRLLESGMEPKQLVWGQMLG TAGGMVSNQGSLFAQIIEYFLLGDGKKHWPEVQALAAKEDDASFEKLSKYVLEAGRIA GETAVLREAAKDASLTDGEMTVSVKKGDRLFVNLHAASLDPAKFPNPQTLDTSHTAES YIHLGAGPHACLGAPLAKVALTAMLKEVAKLKGLRPAAGAQGKVHSVKKTVGEGEVFH GYLTEVWDGYWPFPCALKVNWDE CC84DRAFT_1089761 MSEITLVVRPRGRPIKNLPDTITVQADGVASQIHQKIADASKFS IHRLRVTKGSDGSPIPNTKEATVHETGLRNKSAIDVKDLGPQISWRTVFIIEYLGPLL IHPLVYYGRPLIYGSSEAPSELQKITMVMCVLHFLKREYETLFVHRFSAATMPARNIF KNSAHYWILSGINLAYWAYAPWAPTAGKSNPLITYAGITLFAVGEVLNFYTHIVLKNL RRPGTTERGIPRGIGFSLVTCPNYFFEIMAWIGVSLVSWSLSTVLFLVIAGAQMGAWG KKKERRYRSEFGDKYKKKRYAIFPGIF CC84DRAFT_1186050 MQSLVTPFGASYYFSSKSLTVDVYQGLVDRGWRRSGTVFYKPDV LRHCCPHYTIRLPVASFAPTRDHRQVINRWNRFVLGDEYSKEAAKLYPKSKADKAKQR NGFDLPGAVHESEYGNIKRPPEPAHRFEVTLEEDKFTKEKYELFSNYQQHVHHEKPSE ISQSGFKRFLCESPLQRRTAQVNGKMQQLGSYHQCYRLDGRLVAMGVLDLLPHCVSGV YFLYHSDFEKWSFGKLSALREATLALEGGYQYYYMGYYIHSCVKMRYKGEYRPQYVLD PESLTWDPLEGELRALLDKKRYVSLSRERRLKEAVQGTGDDAVEPSQNETEPDPCSDF PYPTAKEASDAVSDGVSLFDLKIPGVMTEDEIKQHPLGEQRISGRNQIFVAEDLMAWE GGDIRDRSFKGITAEVVAVLGPDVAKQVIIGFG CC84DRAFT_1163218 MATAQQLSMQSRTGRTNQRYGSQGERLVAGIVPLSSDKYYVLLI QSTRRGGWVLPKGGWETDEATAQDAAKREAWEEAGIIVKVNYDLGLIAERRRPDQLTA QAPKASYHFFEATVERQEAQWPEMHKRSRQWFTYQQARQALADRPELLDALDRCTMHR CC84DRAFT_631060 MPLFEPGGGRTLQSRFKAEPKVWLMCRIEGHTCKGSIGQMMTVR GCPFVGLGKNKCTLDMRWHREALDLTDGRSNRKADVELTERVTCPHRSWTLGSHAKIL LVCS CC84DRAFT_1186052 MSNNADLQLGQVFNVKDKVALVTGGGSGIGLMITQALAVNGAKV YIVGRTEEKLEKVAEVHGKDIAGEIIPLQGDAASKEGVRKFVREVESREKYLDILVNN AGIAPGKTETKHDTPEAMREHLLDPTSVDEWTSVYTTHVVGPFMMTTAFLPYLQIHGY SGTVINITSISGLVKISQDHYSYNASKTAQIHLNRMLSSEIAQMGFKICVNSIAPDVF PSEMTTGESRNDNQKSEIPKEHKEGLPCQYLNGQTIPVDGDYLIQAGQ CC84DRAFT_1215957 MRAFALALLSLATVAVAQNCGPKYSNAVCAAGKCCSQYGWCDTG AAYCDPKTCLKAFSGKGSSCATGTTTTLKTSAKASSTSAPYASKIPVIDVCGHAQGGV SCPGAGLGGYFYRCCSSAGHCGPKNDIQDQNLYCGTGCQAGFGKCDSENKPAKPTGVP GVSGEGETCGPIVNKKCGSGLCCSGSNFCGKGTDFCGAANWCQKSWGQCS CC84DRAFT_1163221 MADRPAKRARRPSTDHDESEGAGHWKDSGAHTNATSAPPPTASS SRPRRNTTNKAPPAAVIQRTSPEDSRHSLNLTVKASPGRLREATGGRTSTAVNSRNIV AGKRASRNSRVIRELDSDDEEDEDEDEEDDDDDAEEVDAMDVDEPEDDDAEGEEDDDM DAEGEEDDDAEVTPAPRIVVPSNKSAPVKQKVAQDSVEAKEIALDDDDEELSELDSEL EGEDEDAEGEDDDAEGEEDDEIAVPNDDEDMDSDEDMSRDQTPDLSKMTRRQQAMVVQ GADESLMALSNEAQKKKHLTAEEITSRRAEMARRRKNLSEKRNEEEKADTINRLLKKQ PPKRGRKSMLAENAEEGQEEDDKKPEKANPLFVRYRQTVQGTTLAIPEEWLEAPAGSL FSGAVEKPIAKPWGGRMVEEVS CC84DRAFT_1143134 MSGKPGIPSWQRVQAPASTPAEGDAQPASEQQPESTPAAPSRAE EADGDATQDTATGDASLLDQASKFLDDPAIRDAPREKKIEFLQSKGVKAGDIDELLSR DTPRDVAEDLAQAGERAWSSKAASTAPRPPLVTTKRLFNTAYMAGGLVATVYGLSKYI IGPMTQNLTESRHDFASHAQEHLDKLNERLRDSASIDPATKQKPSASDAADDISETDS DPTELYHRDFGTQTTPTLSRRDSKSSDATEDESVVKAHENRLKIITSHLRELQSTRSN DTASSDSLKTQLSDLQTYLSDMSYQNQYYSSSGGFYGGTYGLPKTGDGKDDQMEVLKN DIRAVKGGFASKLPFGHSRSKSPSQSGEQDGSLTTLLTADQCADLTFLIATITMTMRK SLLDTFAPEETPVEAKTAMSEEAALAAAPTDLENADIETEDKRRKKQEQVKKDREKRQ EEAKKELAKPEVQDLKKEMLKNFDEWRGRVVGRVGEVVNSRKEAQQQSSQVKEGDVEK KVKRSDTGMKSLGGIDDEGEDEDAVFKELYPAVDTALMQLDLEKRKLVLHSFVLILLS LETYSAHSRVLLLYLTSSLQLKLSVMKNDEETVARGLLEAASKQLNADAETKKAAESS STARKWKVGLAGVAGAALIGVTGGLAAPLLAAGIGSVMGGLGLGATAAAGYLGTLASS SVLVGGLFGAYGAKMTSKAMDEYAREVEDFGFIPIHNFHRPRKIEKEYRRLRVAIAIS GWLTSKEEVVVPWRYVEQSIEGFALRWELESLLKLGNSMDSFVKSAAWSYAKGQIIKR TVFGALAAGLWPLSLLKLSSLLDNPFSVAKYRADRAGEVLADALINKVQGERPVTLIG YSLGARLIFSCLQTLAKRKVFGLIENVVLLGSPCPSDAEDWRALRSVVAGRVVNVFST NDYILAFLYRTSSIQLGVAGLQPVLGIHGVQNVDVSEMVGGHLEYRYLTGSILKKIGF EDIDVAEVQREEDELKAVAAAEKKAAKEKEGKVTDEDEEKAQLERDIEKKNEASTMDW MMEKMNVGSLWGSGRKEKTEAEKMVELEKRAKEKGEEGEGGAKAAA CC84DRAFT_1163223 MPHNQQYFKTMLNSNSPAQFTDAQRSQQARGKSYATKAAGEPYE ARAKREQAAQILESVELLVWHSNARNESVAQTRQHFQNIMLGLPKGEPVHSKGKDPHR CC84DRAFT_631194 MSSPSSYARQRHTTYFPSARHPSVYRQTDYQNGKAIQVVESRRR APVTSMSMSAQEVHALAPLSPKNQTSSSSRSHKISSQQSQHQSTSTPSLPNQYGHVTP RSSPKTKARSQVVIVQGSVSVAVDFRKRDVAIGLATPPPTPRIARLPTPELEDLDERP LCNCCTGAQIVKYCARCGCELDSWRG CC84DRAFT_631255 MILRRALLFSRSIPRHVPRPVVPLRTISGIAQAPNANDSLPSAS ASMGFEDIQIALESDPTWSVESLLPPANKAPNAPEISSQQLHHLLRLSALPLPNSQED EEKMLSTLADQLHFVGKIQQVDTTGVKPLRAIRNETAAAEVEQTVTLETLKDALAKEK VIGKHYKRIQRATTPVDASDVESWDVLGSAERKQGKYFVVESERPQE CC84DRAFT_1163225 MAGDPRALLRQAEQSLSKAGGGFSFFGGRQEKYEAAADQFIAAA NAFRMQKMGKEAGEAFEKAAQVQKQNLNEPDDEANTLTEAFKAYRKDDPEAAARCLDR AIAHYCSKGNFRRAATHKQNLGELFEVELGDNARAAAAYEEAAGWYESDNAEALSNKL WLKTADLVALEGKDYYHAISLYEKVAKSSINNNLMRWSVKEYLLKAGICQLCTGDEVG AATAFERYRELDPSFTQQREHQLLVDLLQAVKDGDQEVFADKLFQYDQLSKLDKWKTT LLLRIKSAVESKEEDFS CC84DRAFT_1241846 MILTNTSIRYNLSLALRPVHSTTWAHTSSTGTPSTSFLPLQLKF SLLNTNTSITSPMFIPVPTSLTCSTIPHAPTSTTCTATLGLLAYIARQMPPSTSLRCS SPLHRSTTGRCRHGPAYELPKHAHLRSRPLQRSARSRVGRTVLAVKQDSGKVVSEASS SLASKLKYPSAAAVSASPRRRRRLNWVCMKGGNILSSSGFISTPPVGWWDWEGVVASR NSARRKARAERMVAFAVRFMRRARRSVMGETSVKRRICSRSSGMEKTASCGVRECSCM MSLYGMDEVEWRGGGLIFDTALYELSCSCDTARHCVSDPRRSS CC84DRAFT_1163226 MGISRDSRHKRSASGAKRAYYRKKRAFEKGRQPANTRIGAKRIH LVRTRGGNRKFRALRLDSGNFSWGSEGVAKKTRVIGVVYHPSNNELVRTNTLTKSAIV QIDAAPFRQWFEAHYGQALGRRRQAKAGEKEEEKKSNSVQKKQADRFKASGKVENALE KQFEAGRVFAAVSSRPGQSGRCDGYILEGEELAFYQRLLRK CC84DRAFT_1062284 SKSSFPFMKLPLSIRKRIYIHLLTVPGLICVRQNHTSYHNEEKA FLYAEERQLLPGIAYALPQLVVGGFKTRFARLGGNVGVLRVCKEVAREARRVLYGSNT FEIICPALERSPPPDFKIPLFPRGCARRVRHLSIRVRALYALRWLLAGGYASLKDAYD NLEQLDVVFEMEDGVKGAAKGLGKREGDEWAAYVTRLHTHLVTTLFESPHQNQHRLPT WIHLRVLFDGDAY CC84DRAFT_1186060 MFSSRALTSAARIAAPRPQIAARVVATRAYAAAAAPSTGAANKP PVALFGIDGTYASALYTAAAKTNALDSAAKSLDSLAAVFKKDAKLATILTAPTLSVSD KQQIVAELQKHLGNDKDGIVKNLLDTLAQNNRLGALEGVVDKFGQLMSAYRGEVELTV TSAAPLDNRTLSRLESAVSKSSYVSSGQKLKVVPKVNPDIRGGLIVEIGDRTIDLSVS AKMARMNKLLKDTL CC84DRAFT_1116939 MPLPMPGSTSRELYWARIKAVFHGADPRVCAAFWLFGLINNVLY VIILSSALDLVGPNVPKGVVLLADVIPSFLTKLCAPYFIHKIPYNLRILIFCALSACG MLVIALTPQLQDAKTITIKMCGVMLASLSSGGGELSFLGLTHYYGHFALASWGSGTGG AGLVGAGAYAVLTTSLQISPRNALLAFSFLPVIMLVSFFVILPLGPLRASPSHQAGYE AVEDDDTLDENDELESHPENSSLLASSMHSASGRSFASARSNGLPSTLLGFKANLRRA RGLFFPYMLPLLLVYIAEYTINQGVAPTLLFPLSSSPFDEYRSFYSTYGAIYQVGVFI SRSSTPFIRIHHLYFPSFLQVANLVLLTSHAMFDFLPNFYVVCVIIFWEGLLGGLVYV STFAEITDNVPKEDREFSLGATSVSDSGGICIAGFVSMVFEVWLCKWQVQHGRKYCKL Q CC84DRAFT_1195321 MDARQTHPSPYAHMHNPNHNPNQSNHHQPPPQAPRGGYPPASQP QPPVHIPFAADPYASARRDPFYPPANTQDARRGSYGALGPDNAPPGERQMGWGNSARP HNAVEASQLHSGPPPPPSMSSSHGTPNQSYPYDASRRRSLGGASPPSRYGGPSHEPPP PPPSFARPHMPPPSSPQQQLQQHNHASQSQRAPYASAFGGNRELPGLGQAHHRPGSSM SISSLIGGGETGAPHQASQSHPSPPTNAPSTSSHSMQPPSPRRGPSSGPRPDLPAFRR HPSPERHPYSNSAPRAPEGHTYSAGSPSRAYNHAQGSPDQGRSTLPHSSQPYKPMVFQ AQRPYPSPLSDLHARDQRQAAASVPPRPNSQPSGPGLPEQESRASYDIFGQRRPPNGP PEERRRTLGESHHSRPSASELGLGGASESASDRDRPSTVQPVSQSAFGPPNVSRGAIG PQPPRSLWRHPLPEEPTREPEPRREEPHGIFRGYGGYSAPPQGPSPYGGPGPEDMVRG RSLDHLSHRVVEQYHAPPTSDPTSTDRHKSEQLSRSLSSGGRYMYDQNRPFLAFGPDA NRRTGRASPLPQAVQGAQAQPLSVGKDPHVKSEFGRMFSGLGGLGSSTPSRGSPMPQG AHDSLPPGTDINEHLRLQRVSSQNGRKPKRVKDEEVFDEDDNDGRNTPLGMRGNKRNK HSHHHHHHAHAHHSHHHHHKGDEEGLPATNGNSNGPPHHHHHYHGAPHHHHHAPRPFQ PSVPSPPPPLKVHNIQPILDEAAQNPRKHLGSQLYATTTELPKPNSSLDDQFGYASRP KELPRFSINPINCTYTIRVPRFYLKPRQRQQIVLQRHLWGARVYRDDSDPIAAAIHSG WIRGEWDETVDVKMLDSRLSQANDPSDAQDTLEQIPAAPIIPPADMDLQIELLILPKL EEFAGTTEYGITSRKSSNHDGLSFTINKIRWVDEGLGGRGQERTAAALKRRLDASAAL LSLKSGGDDAFRAVNGMTKLSA CC84DRAFT_1241850 MTGTNGVYAPNMRAVPAGEFVKPSRKTNGAGVQAEDTRICVVMV GLPARGKSLIAQKVVRYLHWLSIKAKTFNVGQYRRTATPNPSAEFFDTSNPEGERLRK AAAQAAVDDMCKWFAEGRGLIAILDATNSTKSRRRWIQERCSQENIETLFVESKCDDE DLIMSNILEVKTTSPDYVGQDPEEAAADFRNRIRNYEKVYETIDEDERDLTYVKLIDV GKQVIINQIQDYLQSRVVYYLMNLHIKPRSIWLSRHGESKYNLTGQIGGDADLSDRGD AYAHALPGLVAKSVGDGRRLTVWTSTLKRTIQTARFLTFEKLEWKALDELDSGVCDGL TYAEIEQKYPEDFAQRDEDKYNYRYLGGESYRDVVIRLEPIIMELERSENILIVTHQA ILRCIYAYFMNVPQEQSPWMEVPLHTLIKLTPKAYSTQEERLKADIPAVSTWRGKGTK AEHQEVDGSAPSPIIAPGKAG CC84DRAFT_1204596 MAPLEFHELRRGKYAKLDAPVDDESPSRLSFASDASSFEDLEEY DPLNYDAGTLKRKKSTGDLRTRKPSFKKEADGFALRPKPGRSCGIRQWCCWLLIFVTS VVLLFTAGGLWAYRRTLVKDGMSPPWYPTPKGGADAAWQQSYSKAADMVRQMTLVEKV NITTGVGWSMGMCVGNTGPVQRLKFPSLCLQDGPLGIRFADNITAFPAGITVGATWNK ELMYLRGKAHGEEARLKGVNVLLGPAMGPLGRMPAGGRNWEGFGSDPVLQGVAAAQTI KGIQDAGVIATAKHFILNEQEHFRQAWEWGLPNAISSNIDDRALHEIYAWPFAESVKA GVGSVMCSYNQVNNSYACQNSKLMNGILKDELGFQGFVQSDWLAQRSGVAAALAGLDM SMPGDGLKWADGDSLWGAQLTKAVLNGSVPIDRVDDMVTRIVAAWYQVGQDKWPEDGP NFSSWTNDEIGKIYEGSPSDDTKGVVNKFVNAQGEGEDFHGNLVRKVAAEGTVLLKNE GHLLPLSRDGWQEHEKKTDKYRVGIFGEDARLSRDGINSCPDQSCNDGTLASGWGSGA VDYPYLVDPLSALRKAFNNESVYVTDWPENTLPKEKEIVEDQDLCIVFANAHGGEGYL KWSDVHGDRNDLNLQKGGDKLIQDVAKDCGKGKGDVIVVIHAIGPVIMEKFISLPSVK AVVLANLPGQESGNALVDVLFGDVNPSGRLPYTIAKKEEDYGPGSRIKYLPNPVEGLT PQQNFSEGLYIDYRHFDKYDIAPRYEFGHGLSYTTFHLSSLLISSHGGRSPYPAPRPD PTIVPPTYPTTIPDPSSALLPPGFHKIEKYIYPYISSTSSVQKSQPKILANQLQSPLS PAGGGPGGNPDLYSVLFTVSCVLTNIGLLPGSAVVQLYLSFPADSKHPETGEKVDFPV RVLRGFEKVFVDVEKGGVVKGVKGSTHGGKGGNRVKVEFEVTRKDVSYWDVQAQNWVI PEGTFGVGVGWSSRDLPLKGTW CC84DRAFT_1195324 MAYQPLNLQHSIAVEPISDEITSTSTPRQHNPFQDGFQDMQFDR ASDAAATGHGSKHAPHWSSSTNTTVVTSEDSGLKSKRKVNLTHADTWTPEIVTLLVGV AAVSAIIGVIARYNGRALPEWPHQITLNALIALLATIANATMSITLSSGISQSKWIRF KQAETPLSDVEVFDEASRGSWGALKLLMERRGGFLSSLGALIGILSLALGPFAQQIAT YKTRNVESDLGAVIPRALNYNGALKGNTSSTGYVPILPLKAAVYNGLFAENNRPAASL PFTCQSGNCAWEPFETLAVCHECIDISALATRYCPSEFGPNPNVSTCGWQVPQGARLN TSSDVFSMTSFIPSAYGDMPHSTLIRIIFMGTELHSVPDAVNVWAKQCSLQACVQTLS SSIVNGTLQEKVTQTTNNHSVVDMSSPDSESEYDVYIKGADELYLLGMGALLSIRGWF GAIFTNGSATRTATDFTRSVTDADRAVVVNLTVGISSGETFFDTDIITAFYWNYYEYA DGLNMLMSDLAVSMTTAFRGFMGAVPVSGKSTSMESYVHVRWGFSILPVAVVLATVLF LIAGMVLTRRSQTKLWKSSALAALFHGLDHDTRALFDAEDDLEQKKTQAKRVKVRLQQ DASDGTLLRAGKTEVSA CC84DRAFT_1174849 MFSLMLGGGVVPTLYDTTASLKSKGLSGRGTPDKSKARKSSNDG PSSKTVRESGIFSLLRDIPVFQMVLWTSSQLQAGVAKSPWQLQALNTVTWVGTSGPFI NFCHSAWSVVGETNNLMSSSKSFLPQRYDPSPWGPAGAVIEHGLAGAQTYPTPHKV CC84DRAFT_1241859 MDSIPNAGLLYFRGVLGSEDLVVTAAEGLRDVLFAHAYNCEKTR GFRRYAKRILAVGLVVQEGEAHQVRRRAVGPAFQARNVDGLQSLLCARSQRLGSVLQS HVRGGEVVADICDWATGFTPDIACVVGFGEAFGLIESGTFIPCTIIFADLNEKMGHYA WHNSAPDWLSRMFPHKLDKEMDEAGCVIRDLTLSAVERRMSLIVKGEEAPQDFLTEGV RPEKCDAQERADDLVILMAAAHECAAAHIAMTINLLS CC84DRAFT_1143166 MALSARTASRALRAVKPSAARPFASVQSCSYSSSSEPDLKETFK KVLPAKRELLKKVKAHADKELGVVKVENTLGGMRGLKAMVWEGSVLDANEGIRFHGKT IKDCQKELPKGTSGTEMLPEAMFWLLLTGEVPTVSQTRVLSRELAEKAGIPKFVEKML DDFPKDLHPMTQFACAVSALNYESKFAKAYEKGINKADYWEPTFDDCISLLAKLPTIA AKIYQNAYRGGGALPAEVDLNQDWAYNFAAMLGKAGKENEGFQDLLRLYLALHGDHEG GNVSAHATHLVGSALSDPFLSYSAGLQGLAGPLHGLAAQEVLRFILDMQKTVGTSFTE GDVTDFLWSVLKSGRVIPGYGHAVLRKPDPRFEALMDFANARPDIAKNPVYQLVKKNS EVAPGVLTEHGKTKNPYPNVDSSSGVLFHHYGFHETLYYTATFGVSRGLGPLAQLIWD RALGLPIERPKSINLEGILKQVGA CC84DRAFT_1163234 MCRMSSSPLAPVDPPVSSALPGDSFQLLPEAAKAGQAEDALFEE QVQQVKDWWASPRYKGIKRPYSAEDVVSKRGTLQQTYPSSLMARKLFNLFEERAATGE PVHTMGAIDPIQMTQQAPNQEVLYISGWACSSVLTTTHEVSADFGDYPYNTVPNQVQR LFKAQQLHDRKNWDNRRKMTPEERAKTPYLDYMRPIIADGDTGHGGLSAVIKLAKLFA ENGAAAVHFEDQLHGGKKCGHLAGKVLVPVGDHINRLVAARFQWDMMGCENLVIARTD SESGKLISSAVDVRDHEFIKGVTEDTEPLSETLQNMEAAGAPGSEIDAYEAAWVKKHK LVTFDEAVQQHLAKEGSPADAYLEEVRANPDLSLLKRRQVAAKYTKSPVFFNWDIPRT REGHYHYKAGMAAATKRAKEFAPYADLLWVETGSPNVEDAGKFAGEIREKYPGKKFVY NLSPSFNWLGQGFSEESLKSFVWDLAKHGFVLQLISLAGLHSTATITCELSRAFKDQG MLAYVNLVQAREKEIGCDVLTHQKWSGAGYIDGILGSIQSGSSGSRSMGEGNTESGF CC84DRAFT_1204600 MGRKLPLGRQGEERVSAAVANLKAYRSIGDKLTFGYGPTSIVQT FAHVDGLALLAISAALTEVYNESVAATVLKEILFILDLQREATPLLQSWLKIVRACAG ALATTGFGVLAEDLMKKHPTETSLPSATAAEFHDSWRSRSKSRDIAKALVTLGEISRK EMESVTIVGGGDIGFLAAVAEWLFDMNIAIYESDGTPPIYPKAMSGAPIQVRFVYRDR TATSSPEKMELDLFQYTRKVIYLKDVSDVLWANKENANIENVAAGRLEWDRCLSDVFG NTYAQLKNMDNVFGKTLGYAARIFKAVATAEPGIDIETRRNWIYYTDAGSGFGFVQHL ISRFPELRIFKAAMDEGAAEAVHQEARKKYGLCMTLLARSCKCAWCTPGVRDRPRTGW CAVVVVETILKAGLILSNAIVERGLTPKRSGFERLYDRQTDARAEPRETPVKSIDWVI EPERGHEILYSVDHRVRSMVDSALGLFSSHEDEIDHDSGCAFVENGICAYRMILDNLS VRNDTGITLAQIRIVPGQILWRDTPYSRIQDMYVEHADFEWINEDGRIAPEELDFERS SLLLEESTKMLSASFQITNSQGRILHVLPTYTANEVLEHHGLVTCDHRPNTFRRDRAT RERIDFQIQNVHGKEVTMYRAPPGASAFAAWTVAKIPAAAPDGYDVVCNTKCMECALH TAAMLYHRSTHPLLIMRTDT CC84DRAFT_1174853 MSASSRAARDAAEKRATCSFESTTPFGSTAPLNPVNPFETATPF DVVSPFDCTSPRKRWAPPGWELNTTITSHGLKASEIVLVDNCRCQDHRQRYPEVEAII QMFFRVCAIILNVIVLIIVRILDTDLQTLLQVNRLYGGIAFSFLLNTIELLTLSNPQS TLLIHLFPSLLPAIPSKSSPELQPRFPRLPSLALCICELAAMTGSFCSAITFLNPKVE GDALRNGCPVVTCVTPEEHDMIYGYMIEAVIGLVHCGFFSMAFVHYAKRKGPGWLERL ER CC84DRAFT_1163236 METPLTRGYLHRPHGWREYVFIFVLCSTQLFCQIALGYVIIPLS LISKTFHQDGYEQAAEMNWHAAAYSLTIGSFILVSGRLGDIYGSKNVLVFGWIWFAAW SIIAGCSAFTHSAIFFDVSRAFQGIGPSLLLPNALAIAGRTYAPGRRRSVVFSLIAMC APLGGIIGGLAGSALAQYVWWPWITWISGIGCFMVAAIAYLVVPQDRDEISPKVITFD WIGSFFGVGGLILLNISWNQAPIDGWSTPYVYVLLIVGLIFLGMFALQERRAEHPIMD VSIFNGRVMGILLTTGLSWTSFGIWFYYIFQFLQHVRGLSSLESALQFGPGAISGMVA PLFVAWSVGKVPAPWLMVISSSAFLMGTVLLATAPVEQKYWFNVFWSFVIMAWGMDVS FPASTLFLSDSVSIEHQGISASLVNTIINYSIAIGLGIAGTVEYQVVRGTSNKSILQG YRAAQWTSVGLAGLGLGVALLLVVLKRQRTLGKSEQTACDSEEYV CC84DRAFT_631563 MVSQQKTPDQGRWAFVTTSPTTRCPTAAQRTLMRKHIMKDIGYS RRACHYSSRSSSVSGAGGIPGQTDGAPEVIKPTAIPRAFPSTATALVTVNDDARCVLH YVFSDALPSYIRVYREKWYPVCVQDNAAFHQMLACCATHLYRWQPEKHHRLKRVAISE HAQALTIAREHLRGVTHLVSEDYLVVILMFACYAHMLNDLATFKMHMAAIRNIVSFRT SDISEEFMRLYQSIDSIGSYAFGITPSSLIPTTMTLPTLTHSTLGKPLSVLISSLDLK YRLTMLQAYQSLQNLDHYLALVHVNPGSSLLDEPYKLDLLVEPVSRCFLSLRIPTDMP AKDSAPLTYLCAGGLLYLAELRRRSGISPVMTTFHVDKLKQSLESMAHYLNIDPSIHL WVLTVGALESTSLDDQYYFCSQIKQLRTNEGIETMKQYEEHLHWVVWFDPLYQTRLSA LFPMT CC84DRAFT_1143174 MEEPSLWARVLGQAAHQFTQIQPMIPTYAHLIASALFPIYAGAH ASLTRPSSAAKPTKRTSKLDGQDPDDDDEEEEEHKMEGLSPTDAIMMPLFAGCALAGL YFLLKWLKDPHYLNLVLNWYFALFGVFAVSRLVTDALDIGHSLVYPRRFARGGALYHV DQTHQAAVPVAGDIKDKNQLLSPLPGFLSYIPLTDGFRKLLWSDRAMPGNKWTLRLYI HRLFAGKLLLGPHGMVGAVVSVAVWGYFNFVDKPWYLTNLIGFGFSYAALQLMSPTTF ATGSLILSALFFYDIYFVFFTPMMVTVAKSIDAPIKLVFPRPDSAVSDKPQYAMLGLG DVVLPGIMIGLALRFDLWIYYLRRQRRVQATDDSSELVEKPKYFSLAGRWSDHFWSHS FFGRPLWMHADKPEPPFTFPKTYFKASLTGYILGMFATLAVMHVWGHAQPALLYLVPG VLGSLWLTALVRGELKLMWNFSEEDEEEAEGKEKTDEKDSSGNKTPRERESMFSMSDK KAEAREERMKKAIGKHLVVDSEDEGNDMETTKDKVKAVAGHRSRREVFSFSIEAPHDL NHPRSLMDSKKDRANEETNKTTQHEPRSAPTLTGKADEGHAGKRVRLT CC84DRAFT_1163241 MDKRHSSPSSRARSPRPRTYRKRSNSFPISEALGCSTPEAELIL AEGRAALRSRLADRQRGRSRRNDNHPFNPKDHIGYLTQRSGVSEVADRHSLSPSGPKP PRHVRIPSDATDRSTSTIVAPNQLAVQEASIDSNQAASPLGDYSAQLAKFIQSQLNSI PAYTSGDNSISPRSCPDLTFARSASPVKSLKSPALPHAKPVSMKRPIGAPSLIQIPSI RPPERSAFSEWSSTDDETDDEAPPLPDTEIAQPVPKADSYTPPVLRYYEQPNDSTFLF TSTPVADDEDEPHTAKGFSFPSIPSPPGNHAERSSTRDEDYPSSDSRLSLLSSSSAPS LSSISPGSYFEHNMPTSQVSDLRKRLLAAVTPPPGKIIPAISPFEGADLANVHDILVQ SQRSVLVDGMSFDMVRDFAAPNEGMRPYQTQC CC84DRAFT_631896 MHSKMPPGRISSLHRRAESECGPVQQERRRWRWRWRLLCVPSNH VGLAVLEGAGQIHGHNACGSCGEGSRFRRGGRVCGLCRETARMLRSCIPVLVRTRHAL TRCHVLLHPPSPAPPQAAAAQCKKGDDTWPDRGLLKSQYRALPRVGLRPRCSA CC84DRAFT_631772 MTTHHTYVAIHVFLTVLATAFVVARFAAKCITANQINLTSDDAL LAAALLLMYTLFAGAILAITLGNAGEHAYALTNSQLEVTAMVFWICQFPHAVALTLVR WALIVFLIRCFLTRVTLSRLRSTAYSCLGFSMA CC84DRAFT_631913 MIIDAVIWSLPHFVVWQLQLRRAHKIAISCIFALGLLNILVAVF RIISIVRVNFRGDMTDDLAAAMAWMYAQLSTAIILACCPLLRPVFEKMIPRQLTRIRR NTSMSSQNTSQRIQKTTNILVHPNSTETRSRPLGIIIHDDANVEPIGPKFEVERSQPV SGRRLSCLF CC84DRAFT_1163244 MDELYVFGYGYNQQFPKTLRQDAQKAEYLDVKSVSTPTCVLSAE EIVIVWASWCDLIIIISDTYRYTGTSLLPAQITAITTLPASLAQTPVFFGRPDTSGVL GFITPSRSTLTIFSTPHEIAQGAPPTQTTSFASSPRLILAIHFTASDAVIVHSAHRAT NQITVVELPSLQHVHDFIANPNAEYITRTDFPLVQVTTNIATLTAVSQFGTTHTLATD RRFARAAGRDPDSSTETAQPVPFLEQTWITRVAAGGLYTAALAEDGELFLWGQAMAGT PGELRCLANKSDDDDEYVRTVDLGEGASVVDLAVGAGHVLVAVERGESREVWAAGDNR NHALGLGMEMKGRDFVNEFVAIEGLKGEWVKQMVCAGMSSYVVVKADSMRGNDE CC84DRAFT_1163246 MGQAVKREETPVVSSSRAPSSSPTNSVSPTTTELVTDTDQLSAT ETVPFNTPSPTGAHPSPTIPLATSSPSTESPNQLETGVKIGIAVLAAILSLALLAFLL ESCYLRPRRREQAMQRAVQEVENGERAERALAELKSSQEIVVLESRVSIHFDDGDEGY EGEESEGEEWERGRTDGRNGMSLARREY CC84DRAFT_1258189 MAQPTTFQAEREAPPAREDTPAQASPAGDLMQGKQNTTTFDLTG IPEICPSFEIPPEPTTSDFEDDTVASSASNVDIQAAVVQVIKPEMEVADAHWSPLASP AETIAFEYGTPSFGLYLTLTNPPVPAVTYRVQLNTSLAQAQSDMKLLVTNELMKAQAH GIAEDLKFDIDVRKIDIVNEKGAILSYEILQGTFTEGGFTSIMERDGRVIDGESYTRK MFEKAAQETSSIKSPQDNSTELDAPSTPASDSPRANRIESYHKTPEQQTPTPVALYSP IKKREPDADDTTSPPSKKLRPTPPESTQEPAGQEEIFCRCKQPDDGTDMLGCDGDACV NGGWVHKDCFLEIDSPPVADGGESKWYCPDCDPAAFEPSTGKRKGDKKGKAVKKGGVE KKKGKGGAANRRNMSR CC84DRAFT_1174861 MHPVLPPLRNPLHAPLPNLNRETVCEVSNDGLRRRNREALGELP ELLAEVPDATATSYRDLDEAHEAAKAIVKDYRYQLQVIVGDDGHAEPETETREIVAND ASELIVARDGGYLYEVVYIGVEGSRESGVQTGFLDVGAWKSFVSGTGGRRSRVVYIK CC84DRAFT_1163248 MPGAGTYIKAIGAGAALVIGGPALVWYVTPTEEEIFKKYNPDLQ KRALAQRESRQQDFEDFVTHLKEAAKSDKPIWIAQKEMDQKRALEKNQRMRDEQASFL AEAERRRAEIRSSSQ CC84DRAFT_1116965 MAYQQQQRQQYDEYQQPPRQQQYYHQGAPQQARQPAGYAAQYDQ QYDDYGYDQGQYEQWDDGYYNQGGGWDHGQQRGYGDVSQQPRQRGPSQDGYDPQYRQP PPPPQQQQQREPRAPMGRGGGPPAPMQGRGGMRPPPAARERPRMAPPSPTTIPQDNPF PSFPSQKAKPRPKAGSMDEAMALMNLGPDNLGQGRGMGPPPGRGRGPPPMQQRAPPMG RGGQSDMQRHDSGHHEGSSRGQRPPPNRMPSNRGPPPPQQGFAPVQRSATMPQNMPGP GNQRRYEDPHQQWAEPGAAAGYQGPDSPTYIPPRPTTAGGTKSNNYGSQQGFDQRPPM PQAPQQQQQPQFQPNDQSRYSHEASLDTVYDDYYGEDRQSKASIDMPDFDAIQQPTNG HRRGDSLDNHLSPTTGPPAHSMTRSAGGGYTQANNFYQQAATSRSQPDLHGQYNAHAM AADAPPMPGMPGMPSEHVPYQPNGLPSGPRGRGPPPRGMTMDRAAPGPGYGPEHPSNF DSRYGRPPPGPQRVYSDETTYSEPPPNVMRNASPMGPGNPIQRPGTAAPAPGRVPSDP LGRRPGTTQPTNPDALPAHPAPFRPGLMQQQNSQTPSAQAAKPPPVRQYNSEPAPNEY NIQLGGSAQIQRSGSVKHPVTHDELNRLKKTWGGNLQDPKNGLLLAKKLVEAATVLAD EGGTADNRTKNKNRERFINEAYKIVKKLCSAGSPDAMFYLADCYGQGLLGLQVDTKEA FTLYQSAAKAGHPAAAYRTAVCCEMGHEEGGGTKKDPLKAVQWYRRAAALGDPPAMYK MGMILLKGLLGQQRHLGEAVNMLKRAADHADEDNPHALHELGLLYEAQTGSERIMRDE PYAFSLFKQAAELGYKFSQFRLGQAFEYGLLGCPVDARLSIGWYTRAAAQEEHQSELA LSGWYLTGVEGILGQSDTEAYLWARKAACAEPPLPKALFAMGYFTEVGIGCPRSLDEA KRWYGRAAAYKFPKAQERLEELKKGGSKVQMKRERLSRTNQKQQEENCVVM CC84DRAFT_1258192 MFVKTLLPALGLAALSHAAHDIVEDINGYKFYHSGPTHAATKDE YELSFCHTALSKLGAPPSDDENCLTTLRALKASYTPEEFLSLFDKDIRGADTTWHAVV ANSTGTSHVSANADVVAVVPGINSVSFAAYYLSSYAEKSYQKINAEHYINRDDGLLKQ EILEGWGGVTTHFTLATTDRSQFEYLPELSDFPIKVASDKILRDGSSAVFGVVDIALK DIVGPEAGLSEGEKGVHILSSVWYGDGAPKSFVEVESQHVVVEIVNHALNAKAGYDSG EIVLEELVGALCGLMPSAPGCAA CC84DRAFT_1204611 MRLSFLPCFILLASTTPQPYAMSFIPKSAKNVTRVAVGAKDWVV NNPKTAAGVAAAPVVGVVAAPLALGVAGFTAGGVAAGSMAAGIQAGIGNVAAGSIFAG LMSAGAGGAALAVVQGIAGGAAAAVAGGTAYVMKKGKVQDEDIRNSEGGKGNGKGPAA KL CC84DRAFT_1143182 MVPWSLVSPASRGIGFAIARRILQTTNAPLVATARKDLAGTKHK LLDGLDVDENRLTMLEVDVLDEDTISNAAAACKERFPTPINQLQLAFIVPGMLHPEKS PAQIDASSALLTFRTNTLGPMLMVKHFSPFLPKKKAIQPEEHDLQGLPPTAAMALMSA RVGSISDNALGGWYSYRASKAGVNQVVKTFDNHLRTSSGDRAISVALHPGTVKTEFSK EFWGGVKQGKLFEKEFVAERLVEVVMGVGVEGRGKCWDWEGKEVLP CC84DRAFT_1258195 MADTITVKSPPLFLPPSDKSPVRGSKPAHARHPQAPLGKEPLKA AAADPNGVVKRKQTKSRNGCITCKAKRLKCDETKPTCQQCSRRSVACGGYKKDFKWRP FEDTTFATKTTGKPKKTSPPQHVSARDAPFACHVPPTYNTQPTIFYAPTQAPPALRPM YGLPSFPEPSQALMTAMFAVPQHQPYMIAPPAMSPVESFHPGSESTNSVFEAESADTR PSTTTLASSVSSGQSPRLVDLLLPGTDLNDLPEEYMSFREQHGAFYQPTGLTPPDDAM DKDIEEIPRDFDQHDWTLRLPSPAQSDPSTSSTDSLDMTLFARPRLSMTSPEMLTRRF DQETCGILSVKDGPTENPWRTLIWPLAHDSPALYHAMLSMTSFHQSRDSPALRIEGID HMRTAVHALASGIENMRVDAAISTTIALAFSESWDQHISTGINHIKGARVLIDRALVQ HSRNPVRGDELTRLKFLCNQWVYIDVIARLTSADPDESSDYDSVLDSLHMGESDACQL DPLMGCAQTLFPIIGRVANLVRKVRQMDNNSPTIISQAMELKRHLEDWMPPSYIENPE DETTSPHDAIKTATAYQYATLLYLHQAVPEIPSLPSAVLAQKVVRELATVDHRSRHSI VHIYPLTAAGCELVDPVDREWICDRWDKTAARMKIGIIERCLEVTKEVWSRRDTYAAE AALDQDISGSIYSATPSLKRHFSHSSDDFETAGDFCWLEPPAKARAVESVSSFDAEGD FCWLDPAPRESPRRVPVQSAMSGGMRRSEVMGTETLPYDFTVKGRLHWLGVMKDWNWE VLLG CC84DRAFT_1215988 MSHPRRSASQPSHHLSQYHPRPTPTVPETKTLEWHKQNFYNQQQ AWRMQTAIEAASSPSGQANRKPPLGLNKPPPVRGMVTDARRTLRVVNADRTSTPTPDP ESLRIRLAALRDSDVVAVAPLSTPSSAGSSICRTPLAKTSREEVSSANSAAAGRPARS QPGSPPHAKTAASSIPGQNTGEHAHTSRLPSEVGSPGLLDVPLSVYGFRSTLPAELQP KEPPLRWDDEPAPQIPPIASDAARDLYEDAELMEFMTANKSYLEPFLEMMPVATTIVK GKLDEVVET CC84DRAFT_1195333 MGAKFMNFAATATLLFGASLVHAVPYSPSLHTGTALVLIDIQND FINGSLNNPRAPAILPRVYKLLDDHEWPFIVASQDWHPKGHVSFASAHPGSQPGGSVQ VQFVETPLKTENQSLYADHCVPGTWGAQLETGIQSRLWDLEGYRAPVHYIKKAQDHSV DSYSAFADNQYHRFTTLNSELSLHSISTIVITGLITSACVRGTSIDGIKLGYEVILIE DATESISAEDKAKAITELKGWGVQVKNLTDWEAENESDSN CC84DRAFT_1163254 MNRLPTTILFLLALGTSTVAVPDPICSGLCTGTIRDPAVLRRDD GTWLRFTTEGNLRIATAPSISGPWTSNTGEAGAMLPGGSIIDLDPDQRLWAPNVFFSN GQFYCHYSVSTIGSQNSAIGVATSVTGEPGNWTDHGSIGLPADTRYNRIDANFFRECD TCTPYLNFGSAWEHLFQTTLTSDLLKWSGEAPVHIARNTSFPSVPQTYPSIMEGAFMW WLPNVNGHKYFYLFFSSGACCNTPQSEEGLEAPGDEYKIMVCRGESVTGPFTDKDGRN CLTENGGSLVLGSHGDVYAPGGQGLAHDPDADRVALYYMYVNKADGTYNDFRFGFNWL DFSSGWPEVVE CC84DRAFT_1258198 MDRLPDYSNVYFPPPPSSSGTSTNPSAIYYPPPPERAQPSSTSR TNPGPPAGSTHTPSSSISYLPVNISTPPQIPLRQRAPSSPYQPHASVYQGSPLPSPSL LPPAQHVSRPASPAFRTRFAAVSLHAADRLQFLRFPPALYSLLRATIQAVWTRGIQLE KISTQLLELQLKGNPWGVHRDNPDGLGIGALRNVLDRDSEGQAIHAQRLVCALLRALH SEGWVLMQSTDVSQVLWDADTLLFRHQMPAPAPQEWFSVVFQHNRFRFVDAPRGLCLR VLEHLSSQRLEMKFKDHEKVEGCCEVKFTGMMRRGSPYLDPVINAGTLKTRMMFLDLL GVVEESGWTLYASVEQNMRGDGEGMTDTWYCCRPVGWAEGNPVYHS CC84DRAFT_1143190 MKLLSGITALAVLDSFVSSSASAQANTPSQYPLHDNGLNKVVQW DHYSFKVNGQRLFVYSGELHYWRIPVPEVWDDLLEKIKAAGFTAFAFYGNWAWHSATN QTLDFETGAHNFERLLETAKRVGLYVIVRPGPYVNAEANAGGFPLWLTTGAYGELRDD DPRYTAAWKPYFSKFSEITSKHLVTNGGNAIVYQIENEYGEQWDNVNTKIPNAAAGRY MQSLEDTARENGIDVPLIANDPNMNTKSWSEDFAPNATGNVDVAGLDSYPSCWSCNLD ECTGTNGKYVPYQVINYYDHFQEVSPTQPSFFPEFQGGSYNPWGGPEGGCPADIGADF ANLFYRNLISQRVTAISLYMMYGGTNWGAFACPVVATSYDYSSPISENRKIDSKYYET KSLALFTRVAKDLTVTNRVANSTAYSDNAAIATSELRNPNTNAAFYVTIHSTSSSGTR EAFKLNVNTSIGELTIPQHAGSIVLNGHQSKIIVTDFSIGKQKLTYSTAEVLTYAVID GNPTVVLWVPAGESAEFHVKGARKGSVESASGSKAKFYTDRQGVTASFNAVSGKSVLQ FDNDVKVVVVDRPTAYLFWAPNLSDDPIGPVDKSVLVQGPYLVRDVTSDGSTLKLTGD ESNATAIEVFASKRYQSVTWNGKKVKLSKTSYGSLKGSVGVSKTTIKLPSLASWKVRD SLPEKQASYNDSGPAWVDAAHQTTPNPTKPVTLPVLYVDDYGFHNSFHLFRGYFDGSA TGVNLTLQGGMAFGFSAWLNGELVGSYIGNSTVGKTSLVVSFANGTLNKNGANVLLVA QDNTGHDLRGGATDPRGILGASLQGGASFKKWKIAGEVNGERQLIDPVRGPLSEGGLT AERLGWHLPGFDDSAWNSSSPSTGFSGAGIHFYRTVVPLNVPKGVDAAFSFVLNAPAS KAIRVQLFVNGYQYGRFNPSVGNEVRFPVPPGILNYSGDNTIGVSVWAQTEEGGKLDV KLEQDYVLESSWSPRFDGDYLRPGWTEERLKYA CC84DRAFT_1215993 MSNAKISDHSSAIPDHEACYSHSNCPAVASADWQYKSRPDLAPP RLNITIPAAKNVEKGHLFVALFAGHPKTTTEQHDPRQARPYIFRDDSDLVWSGYGIYS TWATRVQAAKWKGQYVLFSFEIDHNAGYGHDHGHTTILDQHYETIRELRAGNHKLTDK HKFHVVSDNTAVIQIYQPTPQYPTAYGPSEEQQWIVDTIFQELDITTRDFLFEWSSLD HVTPEDKSHFADQIGPGWRRLQLSDAWDYFHINSVDKNSEGECIISARDACSVHKING INGSIWRLDGKRSSFTLGKNVTFCFQHHARFHQQLKGEEEGTNIERGKEVATAPTSSG KIIKLDTEAWTAELVEGFYPPESLRSKSQGSRQFLPNGNVIVNWGSEGALTEYDAKGR ILLYTYMDSGYLGLGVENYRGFRCSWTALPNKEPAIISLLNDEGTAVYVSWNGDTETE TWRYYAVASGYGRKHFLGENSRKSFETCFHIPGKQVHHVVAEAVDARGRVLRTTSVAK LQPEISPAKKSQAIPPMRIQAQDQAWMELL CC84DRAFT_632139 MMADNRDPALTTFSDEEKFKDFSEVDSEDHEIGQYPSRTRSWRT VLLIVSSVIVAILIAIVAMSWTKSSHLKYDHCGTNANEARARGCVFETTGFTWLAPEC ADPATEAEFLEYIAKNEFKYYRTENYTEEVSVEEIRKGNGPGFFVREKYHLTHCLFLM KKLHRMRDKGAMIDGQIMPLHHTEHCMGQNLKALADTGFRKHDVQFSYTKFPYCGKPG GYNLEWPEQGTWTES CC84DRAFT_1258201 MSPRNAEEASQEPDENTPLLLRPPEEENWKPPRGFFWVELAIFA NVFLYGFDSTITAATYAVISSEFDAANTSSWLTTSYLITSTAFQPLYGRFSDIFGRRV CFFVSTITFAAGCLGCGLANSMLVTNLMRALTGFGGGGLMTMATIVNSDMIPFRKRGM YQALQNGIFGFGSICGASFGGSIADSIGWRWCFLVQVPISIIALVLGWIVVKNPIELH PSWKEMREKVDFFGALLLVTGISVQLLGLSLGGNELPWSNAWVISSLVGSFVLLGGFI WVESRTTAIPVIPLRQLRGRNPIAIQTANICAGTAAYAYLFMLPLFFQVVLLDTATKA GARLAIPSLATPVGGLIAGIVMSRWGRLIPLVRVGALLLAVGNAMVTSFSFQDTTWKY MVFIFPANLGQGIIYPATLFTTLASFDHSDHAVSASTVYLIRSLGTVWGVAISAAIVQ NTLNRRLPGALEGIPNKQDIIDSIRHSVIALRSLPPDIQLKARLIYYDGIRYSFAAST GIATVAIVASLFAYNAGLRDTASH CC84DRAFT_1258202 MGDPFSIAGSAVGVISLGIVACQKLYYVIDDIRTAADKAEAIRA GLDRLENGLEQLETVLGKLGPTSSVAAADVSVTACANVLSRLRDELPYDANPTKQGVH QLFRALRCRLSYPLKKGELNYLRTLIKDTHQNLHTAQLTVIMEQGQLNTSSLGACISL ESQLMQRALTDQHCALQGSIQSDISKYTQSVQSGFQSLDLETSLVRRDVNEVYSMLQP LAGSLSQLSLLHASLTRLETKVDAFNPKEFVLRARQGDVSAAPGLTRTLRTFQNRNAK IRRNVPLCACRAQSSSSTCYWWQAVLSSSVTKPHTSECLYSAFDDKITEIDLRVSLCS SLLRRKYTLAMGFSHSLAKGFGIRAGLRTFAIVDHDSEAFSLIRRFASRENYELSRPD SWQNVAAELQRLFESRRASPHDRLSDGSTLLHQLCSGQYEIRKRSVRNTAEMYTAVVD NFRCLAGYLLKHTNATAREKDLRRNTCLEVCDHDLVWSPFLAQLLEHDVPISINMFKF PPGDGPTWLQQSILLEHQLELPEAFIAIVLKDDIRLSALLASEQSDHNVSVELPGLYE MTAIMSWPEGCEILMKNGLKLAPRHYKHFWWVMNASDSDMLRFWLDIRPYLDVSDLQN LGSLEYAFDCIEYDWHTYPIFDRVDAIIAAIAKQRHDLGVFAAKNLYEADYSPKHDRL LDVQAHEVCNMLENRGIFVPSALVPSRMCIYHSVPAIGGKISTYVLDALYKAGFRDLS QNDQSNGVNGFVTPLLFYLGRSVWLWQPWENILATLTWFLAKGSDLHEPWPYSASRGT HLLAWRIGWSLYQEELGRLNYIHGFWNWIILRTTSSEEDPDATEEGGIGFASTQKAWQ CIQDALEDKKPDECECHCSPSGCIPMTLLCRPFAAELRQLPGNGIEKLDLTIQTVAER VSPILLTESSDRRNYTIMIRMLTFWKLEIRHTCCDLYGFTYSLKCEPNALAACRPAAL HSPEDTRRIHNEDRHLIEVLENLVPELDQAYDDIGQPFQVFLKSFMLPRINKVLEQLA KKDHEDFAEGRRALGVVMQPMPGYV CC84DRAFT_1163259 MAPTLSSRDPPAHIMTTTTTQLPILTPRQPALSQRPSLRLDTQQ IRTFGKGSSLRLDTLSAVSPTARNTFSNAYAPPASAAPATGRPSKLRLSIDSSCNAPS SASTPSSASTLSSALTSASTDSSALIIPYKQPHNVRSILSNGPAPRKMASARPLFPAE KRVSFRTPLEEEIKTVKYTLAHSDIASSVSTIASLATTSSEESEETTTLPINTQSAST ASLTLSIPSSSSSSSFASLQTSPRPRGPRTGDKRDSSESDSDSCPETPVAGRRKRRRD WRWTLGPLPSDGSASTSASTSEATSEDST CC84DRAFT_1195338 MDSRQRHPQRRKRLKRPLDSIIIHFDYDAFYASVIEAETPSLRT RPLAIQQKQIVVTCNYEARRRGLYKLQLIKEAKKLCPDVVIVLGEDLTQFRNASKELY AFLRSFSWNSRCERLGFDEVWMDVTDMVNYNLELLNPTDLTSSFFCLSKSDPSIGFTF DASKVAGQTYPVQVDTSPDGPSNLLRMRLILGSHLAHYLRERLASEKDHTCTAGISTN KLLSKLVGNVHKPNDQTTLFPPYNSEDGQDNVTSFIDSHEVGKIPGIGFKTALNLRAL VLQRPAKFDQGLVYGGTKEKVLVGDVRKVPDIGPEALEQILGGTGAPHGIGAKVYGLL FGIDDSEVGQARDVPTQISLEDSYIRLDTISEVKKELLLLSKSLLRRMHADLLEEDED ALASARTITDSAEPTETPTKRWMAFPKTLRLTTRPRPPKNPDGSHNRSFARISRSGPM PNFVFTLKDDVDTIAERLVAEALLPLFRRLHPERSGWNLSLVNVAATNMVDAASEKGG VGRDISKMFKRQDQVLKGFKVTDSDDVVPVMSRAAYEVMNTAVYSDQTGVVDTNVHDL KNARGGSEDLPTPSQEATIATQDHWESEDEEMLDEDRYACDECGAVMPLFAMGAHARW HSDS CC84DRAFT_1143200 MPGSSRLPVSLRETFNARTRSVRGQQLAALNVDLLRNIVFLLFV LRWTRKLFYQLKGRGITGSIVDVYTSTRRTLYGVFLQLPGVRTKVATQVAESILKLER KLVPSGPGVERITSLPAEGWSEEDVRKKLDELAQMEHTRWEDGRVSGAVYHGGDELMR LQTEAFGKFTVANPIHPDVFPGVRKMEAEIVAMVLSLFNAPEGACGVTTSGGTESILM ACLSARNKAYHERSVTEPEMILPETAHTAFRKACEYFKIKMHLVACKAPSYKVDLRAV SRLINPNTILLVGSAPNFPHGIIDDISGLSRLAHKKKLPLHVDCCLGSFIIPMLPKAG FDFEPFDFKLKGVTSISCDTHKYGFAPKGNSTVLYRSDVYRKYQYFISPDWSGGVYAS PSIAGSRPGALIAGCWASLVKQGQNGYIDACHKIVGSMKQIESAIRERPELSADLKVI GRPLVSVVAFLSPTLDIYDIADGMNAKGWHLNALQSPPAIHIAVTLPIVAVADKLVAD LVEVVEGVKDEERKRIAEGKGAKGAVKGDTAALYGVAGSLPNKSVVVDLAKGFLDTLY KA CC84DRAFT_1216000 MASGHISYTSPGADSGASSSPGSDPKNNLTTSDDTTEIVHHSKH EKTFEDATQALADAAANSKLAQKVRHRDPVEAIDKPTQDFRRKRTSSAGSVGSIHKEK DDGRHDSAGLGTMELRPLTPANDLVRASEGSSGSTDIVGESSASSRRERDGGDDEGAV VSEPQFRKESIFRDEQRKEREARESIVDPKEVSDAKAKGSKLGTPEEKGAAGDATETE PLLRPEDSAEEMTGQAEQQHTTPCAVASPFDSPAPGKLHRALRFICAIFEKFDPIVWR RSFRFYEICDFPSTPDIIRRTCQDVLAREWTRHVPLFTFLQPAPPALTAAKRLESVIR EVCDKDPERNLEIYEFCAGSSGPTPTFERLINQHRVNMKEPPIRFTMSDLYPNREAWD GLMGTSEWLSLEYDPVNAISPPPKAMSLGLALNKQPNEHNNTDRRRVFRLFNCSFHHF DDELARKIMESTMETSDGFAIIELQDRRAGMLLMMLGNVFFVIPQVMAACRLREHFSY GVPNIFLYPLAWFGAVLTLTFDGFVSCLRTREFGEFTELVKRAAQDEGPVVIRRRSQG DEQLQVYDVPSWEIRSHPKLLHTLPFGYVRMITGVRTVPT CC84DRAFT_1216001 MKEELAQELARQNKDQIASRGGISNTLEEIAAKQMGSTVASPVL VSQLPVPEAFARLDEGGNQRVIEAVRTAGNGRTVAGQLEKPTVPAVPQNSTVEQNTVA ALVECTVREMQARQAKQHAQDKQANRHAQTTQTTQTVQTTQPTQARRPGLRLDQELYP EEDSQNLLRENTDEEDGDEEGAWEGEDNGY CC84DRAFT_1143202 MRPNVALTIAAGFSTAAAVTLEELCTTSYAASKLPATGTYQGIT IDENSVITTLTSNSALKDNTFYPDAAVSYCNITFSYTHDGRGDLVHVAYFAPDPSTFQ NRFLATGGGGLAINSGASSVSGGVSIGAIAGLTDGGFGSFNTQADAHFLLANGTVNWE NVYMFGYQAIHEMTVLGKAFTKNLMAVENGTKMYAYYQGCSEGGREGWSQVQRFEELD GASIGAPAFRYAHQQIQHLYSNVVEKTLNYFPPPCELQAIVNATIKFCDPLDGKTDGV VARSDLCKLKFNINSTIGTPYYCAASTGGGGGFGPGAGQPTPEQKGNVSAKAVAVAST ILSGLHDLQGRQAYFSYQPAATFADARTKYNNASGKWELSISGLGGEFVTRYLWLQDT STLTSLEGVTYDTMVDWIYGLWQLYEDSLQTTWPDLSRFQASGAKILHFHGESDDSIP AASSVHYWDSVRTTLHPTLSYNDSTTALQSFYRLFLVPGAGHCGANTGQPNGGWPQTS MQQLIAWVEGGVAPEMLNATVALGANKGQSQRICMWPLRPVYSGNATVPDCVWDEKSV ETWRYELDAFKLPVY CC84DRAFT_1186083 MFVPRALRLKGVKEAQKRKPNQPQRPDSIEVSSDDGLVQAMQNA STDSAAPSPAAQMEGVIETAKDTKSAKPSTTTANSEYLAQLVSGIELIFTDYAHQDKL GAKWLDERYRTVDEGEKYIHLTAILEHHNISTLKPQATQALLRQALQNVSSPSLDISP SGFYVRRRPSTYPARFVPPKSFSTVDDSGLSFWDQRTIYVEPHIRHLCKTPAKVAHWL KEHGQLRAKWLPVQAVHMLYNSCAFVVLSGNVMHEDQWSKWRASGKPENWKVMTKVEN MRRTEEYEKMVRESRAEAGKQRRESKGNEQDDSRSGVQEAYGTGIEGSCEQVAIKTKK KKRKRGKNTTSAADDEADAEATPETDGDRRNKRRA CC84DRAFT_1241935 MPKEKNFNPVQAAKKAEKAKTLKKQKAQLQTQRTEKLARRNPAR IQRDIDALKEAEQTGALRPHERTRLNELEKDLAAVNKARAALGDKAPQFKPERDQRDQ RDGGGGRGGRGGVLGKRSRDGGRKGNADDSSDTDADVRRIPMPRDTPPPIPKRYGGDA PAEEKKPTLVYEAAPQVRDFKKEVTSRFMPAAVAQKLKLAKGEGRLLEPDEFDKLQQE GYMNTAKEGEDKAETTEAKAEGEADPELEQFLRTQEIRNAAEGAAEAAVHEAEYEMMA AENQGEIKNLEGESRVAENKLRHVEIEEVEDEGDY CC84DRAFT_1116999 MSDFGRKGLGEQAKEKVTPDSQKSTLDKAGETVSGLGDKAASAV QPEGNKSATQKVGDATRSGGDSAQNQGQGMLANAQETLGNAAQSVSDAVSGNKK CC84DRAFT_1143214 MKPRYSFLLPLLYISPAVADYTENTHTAIQLLQDKFYNVDTGLW KGATGDLWWQSGHILETVARFGIADESYKQTAIDIVANTYAKSGDQIGYTNWHNDYYD DMGWWAQGWIAAYDLTGDSKYLDTAKDLFEDMTGGYHTPCGGIFWSKAATGIASISNE LFLSVAAHLANRVGDGEKENYKNWAHQEWDFIWNSGVINGDNLINDGVDMGTCKNNGK PIYTYNQGVVLAGLSELAKAFSDGGFIDHAYTLARASLSKLTTNSILAEPFPSPLDEQ GSMFKGAFIAGLLTLHANEPQADFAAFFKTNADSVWEKARNGDGVIEDLFDGSGNANA ASHASGIDVLLAASRS CC84DRAFT_1195345 MVTKRLLVALFALLPPTQALLRFSCSQLVVERLDPLVNPGLSQS PHLHQIIGGNAFNISMDPTVAPPDIATCTTCTFAEDFSNYWTATLYYRAKNGTFKRVP QKGNVNFESSNGGMTVYYIPKNVNGATPGAVTAFKKGFRMIVGNPTYRTEAQAKQFRQ LTYTCLQDSMTRTGETLYFPKKPCPAGIMVNVRFPTCWDGVNLDSPDHMSHVAYPSSG TFESNGPCPSTHPVKLPQLFYEVIFDTTKFNDKSLWPDDGSQPFVWSFGDKTGYGNHG DYVFGWKGDALQKAMDTNCNINCPQLKTQSIAQANKCVQSQKVKEDVDGWLSELPGGM PVG CC84DRAFT_1117006 MKRVTRGSAAKEHTAQSPAIATEHDAQLSSVPATPDKLPVKTSK PVSTRKKTSVSKALPITPNSTRKRSRGPIKDEEDINELPHNLGKIPQLSHSNETLDSE PASKKPRKSAPKKTVAPKKESAQDVVDKATGIADSPTKESKKNNNYGVVPGTTPYPDW PHPTHEECEQVNRLLSSAHGEVKAPEVVPPPSLEVTGCGEVPSVLDALIRTLLSAATS GANSRRAFQGLVKQFGILEEGIGKGSVDWNKVRLASQKEVFEAIKSGGLADSKSKYMK GILQMVWEENQNRKAKLAASSDSIPGSKNELQEEMDEEISKAENDILSLDHYHLLSTD EAFKELTKFPGIGAKTASCVTLLCLQRPSFAVDTHVFRLCQWLGWVPPPGHPALLPPG KKGKPGQPTRDRTYAHCEVRIPDHLKYPLHQLFIKHGKTCPRCRANTSETYGDWKKGC VIDHLVKRTGVRKGGDAIPGKTTEGMKARRQAKQKVWEHENESESSDLSDLGSDDEEA SLLAEEDEDLE CC84DRAFT_1204630 MAGRAPIVVPALKRHTATVIVAHGLGDSGAGWLFLAENYRRRSV LEEVAFVFPNAPNIPITLNMGMHMPGWYDIKSLSTLQDREEDEAGILKSRDYFHSLID AEVAKGIPAHRVVVGGFSQGGAMALFSGTTYKEQLGGIFGLSCYLLLQKRIKDLIPES SPNQKTPIFMGHGDSDQVVAYKYGKMSSDALTEMGYNVDFRTYKGLVHSADEEELDHL EAYLTQQLPALGDKKPEQSL CC84DRAFT_1241947 MYPFLGDGPETPPPGGPFAARIISAFFTGIMNCIINSIAYLSSI LYKYMVDEPFWFAFDIITSALVFIPGACAGAVLRAFGFMRVGPATRNWAARMMSKINP VIARGWYPTCQSAQMGGYGVAIVKRRYSGALSSCYRGSAMC CC84DRAFT_1195348 MTASEKQDAVEHLEHGKEARLPAVDADFDVNDEKANKRLNRRLD IRIIPLCCWVYLLNFLDRGNIGNSKVLNAETGDDLLQQTSMGTGGYALTVTLFSIAYA LFEVPSNYVMKHWVRPSLWLGFLLAAWGVLTIGFAGVQNFAAVVVLRFLIGVFEAGFF PGIVYLITIWYRHDERAVRIALVIAFCNLAGAFGGAIAYGVGHVNGAAGLEGFRWLFI IEGIITVLSAFLVWFFLPDYPTRAHWLSATEKKLSIERVAARGGGYHQSSASRHEVLA TCFHPRMLLHYTAYILDVVPQGSFTFFTPTIVTGLGYTSIHAQLLTVPPWCVGFVVAI TASYLSDRFNARGAIIVILSTLGGVGWLTAGLLPATSYVARYGCLCLAAVGAFPAAPS LTNWVTCNTPSFLTIPLAIALNNSCAGLGQIIAQWIWRADEVGTGYPTGNFVCAGCSF AVAVIAVVLSVWYGRMNKLGQLDAGLAERAWAY CC84DRAFT_1241953 MPPLQGLPPGFPGALPTATPPTNYGFPPPTNQGQIDLSAIKPSS SGSVSIQDALAKARGFAAQKGLSYEPRPGSFDMHHSATPPSTYAVPAHQDDPRLRGRD FRDRSRSRSPQRDNMRDSYNPYREERRGHGYRERSRSPPRRNQFSPQPRGYGGRDRTP PQNDNSEVILIDSSLVGLVIGRQGESLRRIEQESGTRIQFINGPNTGPTRECRITGGP GARITAKREINRIIEENGGNPARETGRNARPGAAVKAASNQPALRDGEEQSQIMVPDR TVGLIIGRGGETIRDLQERSGCHVNIVGENKSVNGLRPVNLIGSATAAAKARELIMEI VDSDTRGNDGPPAAAQQQQPNRRENFDPYSGGAGKINDSVTVPSDAVGMIIGKGGETI KDMQATTGCKINVSQASGADIEREIGLVGTRQAIDDAKRAIWEKVEQVKEKNAGRRGG GGGGGGGGGGGRDNGGYNDYSQQQPSYGQPAAQASAPQQAAQGDAATVDPYAAYGGYQ NYLNLWYSSLGYQAQQQPGQAPPGA CC84DRAFT_1117018 MEDPKPTARPESSHSSNGDADSFEDATETTPRPTSASRSRSLLS RRESSASADQTPDADVPEVPGIPHVDGALEEKEPVPVADEQKTHGIPPAETAAQPSGD DAPKSPSLTSHRISVTSMDDVSLEEEAVQSTDLGGPPTLPPRDSTSSLQGLSGKMSPV KFPPPPPPPASEKHTSLPPPPAAPTRKLTSPFSWLSRNSSSKKQPESPPLATADRRNT TSSLATIGSNTELGRIEDEDTIKGNRSSQGSLRDRFKFLRMREEAGITIDEAGEPGQG GAPTGLGIGLSSPSSAHGDEPTSPLPGALAKQPTVNPNLAPGTAAGFAAGPAEAAEPV DWDLWQNVVNEGPAAVARTSAEELNRAIASGIPQAIRGVIWQVLAQSKNDDLEILYKE LVARGTDKETTKVKTPQLPNGSDSKEKDSIASSSSSIHSDYSTPATTTGSAPMGSPSL PSDNHDDMAKLQLKLAAEKQKSTKQEIAAIQKLEKTIKRDLGARTSYSKYLMAAGLQD GLFGICKAYALYDEAVGYAQGMNFVAMPLLFNMPEEEAFSLFVTLMNKYGLRDLFVAD MPGLHLHLYQFERLLEDLEPALYCHLRRKDVKPQLYATQWFLTLFAYRFPLQLVLRIY DLILSESLETAILRFGIVLMQKNAEALMGMKDMATLTTFLKEKIFDVYIDKAPSASSI LESGFFGSTGGIDKEVYRADVLVKDAVAVKLTPEMVKQYTTEWKEQQRVEKERETELQ GLKDKTAMLETKVRTLERRAEQSDTEHVQVASELIKTKVENDTLAEENETLKTKVEEL QKIVDTQPAEVEERMRTEMDTVMQKNISVHNENRMLEENMAEMEKDLVDTKMKYADIN QQYEQLKQKWNNVTQMMTQ CC84DRAFT_1241955 MTLHVPCEISIPACAALLLHAVVLRRFEVDHFTLRLCVTSLAVH IAFAFAFGLTTATTTAASFFGTLTAVILIYRAFLHPLRQFPGPFAARLSKWWTVGQIL RSDFRYHKSVVPRLGRKYGDYVRTGPRELLVFDVAAVNAVLGFGSRAGKGPFYDSFER SLHLTRDRTWHRQRRKVWDTGLKQLLADFEPRLRTFAEELVEKIRRVGAEPVPLNEYC MYFAYDVMATLAFGTPMGFNAGVASEEGKRVLAALAPVPWFLNTVAGATQELGPLAQW TAWNRARLVERQVMQNPKPDLMQYLLDVTPRGPAGDLDLLGECRLIIGAGSETSASTL VFILVLLGVHVEYQTALREELDATEAFSARNAHPLLDAVITETLRLWPALLWATQRVA PPEGLRMNNIFIPSGTIVAIPGWSLARDERNFVRPEEWIPERWTSRPELCVNRAASMP FSTGPYSCAGRQLAMLQIRSVVARIVREFEVVLPEGFDERAFFDGVQDRITAAVPKVQ IKFVPLDV CC84DRAFT_1241957 MGTTTEATPNGHPDKTVVASDRSKYVQGNVDDAVWRARACLERI HTQSAYISVLATVLREYRIVPGGGPDAKLVKQETDNPAAGTATLAPVLSVELALKKQT DVGTG CC84DRAFT_1241961 MAPSHPTARSERETKVKSVCAFACTLADRLDNSHDAKLTEDLQA QVCNLPLPAISALIAKQDELENFGTELWNVSTRLRRDLLHTNGKITEESARRNREAGL LRVFSFLLLDSAGSQAVKGRERKNCIRLMKVALKAAKWCVENKEVDNATKVLERAAEY QEILGDEAGGERGEAELGERLRVEYYALRTALAWRSDRLDTAEHMFMKCQQLSRFLTS SLAESVADLLYEIGKDMLSKKEYEAAIRWLERAYDILGDQSPEMLSAEAGELKLSIMQ SIVQAYMKLKTPDARTKAWHMLQLLEADHKGKMSISLLRLELLYSEDKVDPEQVYLVL HRMIHFVVLNDRNFKTIMHYVHKLKDQSAATACKALDEVISTRLFREEKEAWIDKAVI TRVWITCTSFVVEGTLNQLREFFDDVLRNAKAPLSAHATHAAQTLLWKQVEATSSRGQ HKEAEAWCGICLHALFNLAGEINKTKIARKIIMCALARHDYAAARGIFSKMSGPGQED RMTRYLMYKVALHGNDPAAECLDSVCRQSSKDTSLLYACVIEAQQSGNKREGIAALEK LLEKYSDHAPAGVNLPALLRMTVRLLTSELVKDAVLQKDVFEQICRTFDEASIHAKVS RRRPRNSGREEFNNWEIEWLSKNSYNLAVKYCAEVPPNSLVRLLSACIELIKILKDHH HAQDTADDICLRLMFCHYLLVCTFTTLARAEDSMQDCIQHYVQVAKHGREFRVLGANL IDKLSLSSKADMVAKHLQVVKLELEASLKREQWDDLESLFSECWKYGGPTHYDTLADL VLIIYSSMAKDKVDSKYQTKVLSALQKIINASGKGASFDIVKMSRWIRCLFQLALTYD ETVSLKCLDHAIRIASMRKGVTLIQTSPNLPKTPPPTSPASMDLDRPMTDEHVKEPYH YPATELEWLATTAFNHAVDYYVQEKDDKCKLWAEKALMLTEWAEKGSGLRGALIEKYS GLTWEEGG CC84DRAFT_1143234 MTDETQKSNGSAAASATASKSTDHGKNTDRSTVAKSASPSKDRG TSAPGSDIPTKTPKKRRKVNHACIYCRRSHMTCDLERPCTRCIKRNIGHLCHDEPREG VKKSKTDPESSNGDNSSSKHEATPADGAVNPMAQQTTAPDAGLTLAPPPPPVPPPDRG ANAAALAQPVPVSAPQLPALTSQSPSLLNYNDWNAPSNNSFQDMHQFHPSYNFGASEI SNEFNLLNDFLNSNLMEDGNMYGSGDFHQLFSDASLMNPMGTLTNNTPFNPSASSSSQ LLPPPAQTASGNSMQKPTSAFPLEKAREKYYMTAADPAGTDSPEERMNKLLKAKMDAG LLKPFNYVKGYARLNQYMEQNLQSISRVRILRQLDRFRPKFRERVQSSTDVDLVRIEI WFDRSLMEYDRVFASMAIPACCWRRTGEIYRGNKEMANLIHVPMSRLRDGNIALHEIL AEPSLVSYWEKFGAIAFDNNQKAILTSCSLKNPDPNSKDPEIRCCFSFTVKRDTLNIP SLIVGNFLPMLEPNPPPTT CC84DRAFT_1117023 MAPTRSGTGKPAKPRGRPPIASNAKVTKHRDRPPGSHNSLPMTK RGPKPNAAKVASNQKRKTVTQEVAPQSGTKRKTAAGEEQEGKRSAGGKKRERPEQVSN TGAEEEEEEADELSFTQAEAVPTTVRGHKQARKEQASSLKKRARPSRNRKDESLVRDA DEASAQQNKAQDYAQLAPRTRRIAREVIETWPRVSPQILDQILETLRDAKKDIVNTQR DEQRAVAADETLNSIIRMLARQLSGSRIPPQAKDIHFNIDKLTERYAHLFRELATARH SQQLLTEQVKVAQHLLKKDEESLEQLRKNARDWKTTWKQQEKHGRMHPLLKDLENEII DDGPDDIGWKQCKAMDVSMLNTPDSDLAPQLEQLQRSLESMQGNHEQVAGIDVGMRNA QVALDDVLFKHASAEQYAAL CC84DRAFT_1163271 MASAFSARSGNIPVAFDPNTNHRSAPGLSGLPRANPAQDRLIVG VDFGTTYSGVAAVYSASPEEIDIVKSWPGGNGITSDKVPTEIAYFTPSDPSKPNVKWG FQFKPEETRLRCIKLFLDRNHKLPHFVSPLETAAQLRKYEKTVAEAVSDYLAQIYKHT METLTRRYGETFMSMTKVQFVLTVPAVWSDSAKDATLKAAEKAGMGSGKDLKLISEPE AAAVYTLKAIQPNNLKIGDNFIVCDAGGGTVDLIAYKITQLNPLRVEESAVGTGGLCG SAFLNYRFEDHVKSRIGAERYTMMREKKAKTWNMGLKYFEEFVKRNFNEDEHAEVNVP FPGLPDDEEAGLDSGFLVMSTEQVKEIFDPVISEVISLVEGQVQTIRAKGGLVCGIVL VGGFGQSNYLYGRMKQHFNSAPPPPYTERPTHAVALSAVPSVEIFHPIHAWTAVVRGA VLRGLEGSMVVSRRSRWHYGTSYATVFDEAKHPIEDRYWSPLWERWMVSDRMQWHIAK GAQVSESSPISFHYTRNFRPGQSLVVEDDLIACDADTAPDSFRKGLISVCTLTTDLNT VPKSLFTRLTTTKGVEFDNLDFTLDMRIESAGLVFELMVDGVRYGGVSAKFH CC84DRAFT_1163273 MTGQKGSDISSRLGVRRSKTTTAKPSKTSDPPINAPPKAALATA RRINDMLHPRSSKERERARRVSDSAATRTEATVAALSEGDVPSEDGPSDPGAKRVAEL EQALATALEEQNTMKEELAKLREHVQVYRETIEEYRRTLASTYVQSPPAAFQHDPRPA SARSNSYSDDSAPRRLVNPPREDLSEQNDHLRTKVAQLQEQLMTQEGTYQSLLEHRRS RAEAEWDELTARLHLTEKESGERLQQLLSLKTAFSSLTRVESQVTDSELSETFSQLSN RVREWVISNYRRAKLNFIDLPQDTVQVLQATFPSYMTIDPTNRLALFQNIVSNTLMHI FEESLFIGLPETGPFATLRQIASLVQKTDGYQNWRHSTIRCLRESDTKATVNEERARQ IDRLASEILHHLHAVTSVALPSEARSALETILSTTVEFQNTLLLQRARYRVDFFREQG GINPSFDDQRMEPINELDEYVDDDGDVVVDRVFAFCVFPCLEKFGDEYGEHTEVNNVL VRARVCCSVR CC84DRAFT_632503 MSSTSGFEREPGWTWPEDILREDEPERPSSARQRSPPQSSSTAS GSAPQDAPGANSSKQRHWRPRQCRICLDTVQPTFDVPSENLPSFLQSTGNVKYEDENG RLIRPCMCKGSAKYVHEGCLQAWRHADPSYGRRNYWQCPTCKFKYRLARLGAGKVVGS IAAQIVLTIGILVTVVFILGFFADPIINLYVDPWGFLSPWSSPYDDHYYEDEPATWSE HFAKGLASMGVLGFLKALLASPLQLFRIGGGRGRNTARDRYEQVSWVIIVIGVGTFLL AIYKGVRAWSRRTLEKAGERVMDVQGDDDDDEE CC84DRAFT_1216020 MKLSIVVSYAFTACLALARSHAHSRPNGTLPKPTHRLTRHFNAD ILASNKDWKAASCRGDRLVELMPSSDKAAGPKIESTKGNNPPSAQSEWQGDLKQELKT WGWHEEYVGDVYADMDYLDVTSVVEALGMNGKSQRAGGNNIPYGFLKSPASAAKHLWN QKPTGDELPKLQRLSDIFWGYWVRDNPAVANICYFWMVDIANEDTAKIMARALSEAGK TISKWPGVTFNMDSDAEKAILGSANGAVFAWFLIRHKAQLGERWIPMVTLFLNDLGRS WSAAHLLFYVEVAPDREK CC84DRAFT_1174896 MDEYPRIISMPALQGIAVTFALLALLLTLTRLVLTWRTSHRFHL DSLFSATAAFLVIPYTIGTLIDDQVQYEMQAYSVGWESSLPSLNRIERAFKTEVACTV FFWLIIYSSKATFLTIYWRMICERRAARNIWFIISSLTALFFGIIFLSVFWVCGSPGR VEACQSMGEAAATRLLTTWCVLNLVDFALLILFPLAIMPTSHTQHGKRQMAVMSAMLL LAAFFTSLDILRTYWNLTISLSDTTNLILLWRVLQPIIAAMLAALSGLANWCRISYPA SDVPEDDRSWIEIGDLGKVSEA CC84DRAFT_1088961 MGKRNKTEYNDFLDGEKLRDNNEIRTTLQGTKSLERNVSPPSTR RYNTARGKKSKGGPKKPQLTHFLCIPLVNPHTRPQLEAQLSKLKGELGQTGFLPVKAV RPPGTLHLTLGVMSLDDEQLSIATRYLAALDVQKILQNVTAQSMAESAAASGTVSENL NAAAMPDWEALSIDLKGLVPMQVPHKTSILYAEPVDASGRLQAFGEKLRGEFTREGWL VEDKRTLRLHATVVNTIYAKGKGQRVTKAPRIKGGEDADAVDGKEDHDDGVSTAGSAI EDHDELDGSTGHGPDAKSWLRFDARELIERYSDVVWAENVSIDRVQICKMGAKKMRNE SGEVVAEEYEVIAEKVIGA CC84DRAFT_632558 MKIPGAKDSIDEATFEQILEMDDDEDEREFSKSIVYDFFTQAES TFEKMDSNLEKKDLKTLSELGHFLKGSSATLGLSKVKDSCEKIQNYGQKKDEKGEKDI TEEEGLKKLETTIKQAKQEFHDVEKVLRKFYNDEQ CC84DRAFT_1163278 MLASTRTALRQAASKQLTVKAGARSVSAWSQVPQGPPVSITEAF KADSNPEKINLGVGAYRDDKGKPFVLPSVKQAEQKIVQANLDKEYAGITGVPAFTKAA LELAYGPDSAPIKEGRVVITQSISGTGALRIGGAFLERFYPGAKTIYIPNPSWANHNA VFKDSGLKVEKYRYYNKDTIGLDFEGMLADLKSIPKGSIVLLHACAHNPTGVDPTEDQ WKQIATAVKEGEHFPFFDMAYQGFASGNTDKDAFALRHFIKEGLRPVLAQSFAKNMGL YGERVGAFSVVCETAEEKKRVDSQIKILVRPLYSNPPVHGARIASEILNDPALNKQWL GEVKDMADRIITMRALLKENLEKLGSKHDWSHITSQIGMFAYTGLKPEQMEALAKEHS VYATKDGRISVAGITSGNVKRLAESIYKVTG CC84DRAFT_632680 MRPALRQTRRLLNDRPALQTSIPAFLCPTLYRSPVAHAPRRIQD LPSSRRFSTYCPLSQEAVTATTRDFAATPDLSESTQSPNRIRRPLPRACPGCGAPTQI YDKKEAGFYRLTRNAVAQYLEYDPEAKASQKEDDVYTKALKQMDPSVLEEIGLEPNVL QEGREIDAGAPKTPVCDRCHDITNHRKGVPIYHPSVEAIQQTIAESPHRRNHIYHVID AADFPMSLIPTLQSSLRIPKLRTKNRRAKHKGWVANDRIAEVSFIITRSDLLARKKED VDALMPYVQNVLRDALGRTNRDARLGNVRLVSAKRGWWTKVVKEEIWERGGAGWMVGK VNVGKSNLFEVVFPKGRGADYKDVRKIRSAAEREAMLAAAHSIEELASVQKQLAEEDA EIERQNQNPDGKEREHQHKPPTAPEDEQIDEEDADLDPDALLPPAQPFTLYPVLPVAS SLPGTTASPIRIPFGRNRGELIDMPGLARTAPGLETFVQRPAQDDLVMKNRITASRHV LKPGQSLILGGGLIRITPVTSDLIFIIHPFVPIDPHVTRTDKASALQEQVSDRHVESI LAPDAGPKMRSAGRFKLRWDVTKKLAGPLTSPVAAKMRPENLPFRVFGTDILVEGVGW VEVSAQVRRPSGWKAPGMVKKDPNAARAAKVAAQKKEAEELRLKREAKMRGEVWVKPR EEAEDVEDVIEFPEVEVFSPLGKFVGQRMPMCASVVLGPKLISSRERSARPRRSMKSV KAQRKPAEK CC84DRAFT_1163279 MPPRKTSRPPPYAARNQSSLPIPPALKNLLRGGKLRTTVVGILG FLTLIWLLRRTGGDAGANIPKVAVGSGPPVVIVTTIDPRADAAWAAKIRKNREDYAKK HGYLTFFPENDKYPLNKSPHTWAKVPALRHAMTLYPGSTFFWFLDSAALIMNPSIDLQ SHILKPSRLEELMITNEPVVPPDSVIKTFGNLKGDRIDFIVTQDKEGLVPTSIIVRNG DWAKYFLDAWFDPIYRSYNFQKAESHALEHIVQWHGTILAKLALVPQNLLNSYATGPF NPKFGKYKEGDLVANFPGCAREKPDCAAEQQAFFDILDKGD CC84DRAFT_1204639 MVQKVYQLKCQCNQYPWGKQGSNSLAATLCSKTPGTDFKIDENT PYSEMWLGTYPELPSYVLESGEDLQDVLDKNAEELVGRNTIKKFNHSKIPYLPKVLSI AKALPLQLHPNKELASQLHQRNPDQFTDPNHKPEIALALGDFEAFCGFKPLEDIERLM KLAPLQPFMPSVKKPNFDDQTLKHIVKAMLTASDEAIKKTNDALRGLPKEQFGEDSYI PELILRLSKQYDKTDNGTVVALITMNYLKLKKGDSIYIPADGIHAYLSGDIIECMARS NNVLNTGFCPRADRDSVDMFTSCLTFTPHSPDDCMLKPKTSEHSKNGKTQVYAPPLSE FSMLATELKDGEEEMISAIGGPSMFIVTDGEGSFKADGKEHKLSQGYTYFVAHDVDME FKATKDLQIYTAYVE CC84DRAFT_1258228 MESNGKRDSMDAATDVASKLEETHLHSSSQASTPSKKHPPNLTT LPASVRNKIYAHLLDTELVNIDQPNVSYTHTIKDSTLHFAASRPPFPVHTALFYTNKQ LSKEARNYFYSTNLFVKFEVYSADARHAKTMLEDSGVLFSVARPGSVEGCTMHAMELK IVEKDSAVKRASVLFPAQYLPRLVNFMEQACKASGSWAPNHSLILSVVNVYKLEKARV QGDLLELFRLLSNVGRVEVKGKDLLAGYAEALQRSMMAAAFEADTWLKAVTEMTDRAE QALDKKDYDSAAQQCQAATISLTYAYLTRAETLHSQAEGFNKEIQRLRWRTELALATA LHSRHAAAISSTSRLVSASTPTAAQKQIAIDLLAAETAASHALSLSTDSPSPASNPWF RSLPPELIPPNKSEWFTDNERGRSWYVLGLTHLAVGECLFAAGDLERAVGLLGEQGDQ KGIEEVEQAFARARQEIDWDVRPGVGLRKAACIARREIE CC84DRAFT_1174903 MSSPTTHDLIDVSEFNSNLADISSAASHEVQSQAGPSDANAPLR APTGPKFTSGYSHGHRVMRNPPCPFSGIPSPQTMHRHILHKKEDKKCLSITLMQPPAM PWSPLPSNQNMETRTCFYTGSRVHKLDCGHTVVVARDAEPCAANCKPPMCMISSTSSA LPAPYAAPINLVRASTLRILQSHVEFLVDAGDALAQAKFAAHAEILSKVWDAQDKTLA PPKDLISGNFSCALCGTVGMRASRAAAVVKGAPYHCVLVGNDTCDVAIIMELEKGVQP SASSPFGSFNGNLRNQNKVPPLKRLGVANDRGHRRSSAIAGERESAGDVRRRKKDAWQ SVVRRREKEVVMGKLREECLMEKLGGMELER CC84DRAFT_633250 MHLSQSPATPPHTVLTCLHSASGGSLLSCIFSWFRRAASCSALV FGLVCPRGPFHPALSRSLHHRPFSPLAFTARALTASPRLARLAASPRAVSRRWTQLAR FGAGAAAMAGHTGYNRSISRRLFRGCHPRLPFFGCIFGPGCWLAVDPRCKMCC CC84DRAFT_633354 MASTANQQQNFPAVPPHLSAGDYEMRGSYATQESPRPPLNQTPY LKPYLGLRARLSQIWINRWTILLLLVLIRLLFAIASTDSSLASARREALSACTQVESM GSSMASMPHFMADGINEMTAAGVEKAVNGLMKMMELSVTGVEEIVIFVIHMMTSTYLC LITLAVSGSLHAAVEIGEAISKNLNETIEDVTNGIGDATKKVTDGINSILDKVNFPFG PDFDKPSINLDDQINKLKSLEAPPEMEQGLQKLNQSIPNFEEVQNFTDNLIRLPFEEV KKLIQGMDNFTFDRGLLPVPQKEQLDFCSDGNSIGKFFDDLVVMVYSAKKIALGVLIA LAILAIVPMAYMEIRRHRRMQERSQLFQDVAYEPMDVVYLASRPTSSTIGLWFGRRFG SARRQAVARWAWAYATSVPMLFLLSLAIAGFFSCFCQYLLVRGMQQKVPELTDQVAGF AEKVVDSLNNASMSWAGGVNGAVDKLDSTINDDMLGWVNTTTVAINSTLNGFVDKMSG VLNDTFGGTVLYDPIKEVLNCLIGLKIAGVQKGLTWVQDHAHVNFPGVRNDTMSLGAL AKVSDSSSAAELLANPNGKARDEVTEAVNYVVEKLLSGIRTEALISTALLLIWLAIAL GGLVYAATHMARRDTPAGTAYVIDPATDNAPQTRDYPGDAAPPYEYPVNKAAPYTLQP RPFQVFGPNDNALDAEKVGQVEARTINDSARPGHLRTSSYGQFTDPSPLDEKQNPFAD SQRREKTPFADR CC84DRAFT_1143268 MDGGDLSAITSSRFWKAGGHFTPSSNAGPEHSSPLAPRDLRPLR PRNGYGNGYGHGHPANPFAGNGYRSSRTWVSSEAQLHQEFVIIRNAMRRLFKNSEVAK WKLQDYTAHKEGILASKKAALDRALKQKEIERAVGAIQPDPKRDFSISKLIPESNLCM EGNLSRVLGMKTIWCKDWKNGKDEVADWPTLPEMKWEGDDRAKTACGRFLPLPREEGA PGIPWGQLQVIEQYPLDQVQCIPTMEDIYLPVDEIEEGDIPQLLNQELLDALDESL CC84DRAFT_1117056 MLSAFTARPIIELKPRDKSKIESILAYGNRVLVGLNTGSLRIYR VNETTEDDEQPAQNGEHNGSDGAEPQAPRVKPVDLLREEDKFSRRPVQQLAIIKEANI LLSLSDNHVSVYDLQTYALQEKLEQTRGASTFAVTSNIVKDPDTGIPTIMSRLAVAVK RKIILWTWQDMELSGDAAEITLVASVKSLTWATGTKIVAGMDPGFVMVNVESQEIQDI VKPNPLGEAGGPGSTRFGAVSSSGMGYMGMGSWVPKPLATRLQEGEMLLAKDVNSLFI DSDGNALDKRQVPWPSAPESLAYSYPYMLSLQPPAKGGLEIRNPDTLNLLQTIALPNA NFLHVPQPNISLAHAGKGFLVGSDRCIWRMGAQSYESQIDELVANGRFDEALSLLNML EDTLLLDKEDRTREIMMLKAGALFELRKFREAMDLFTDAKAPPERVIALYPKSIAGNY SSVESVKDDESVVDEEETTNGDRAPAESKEALSTAANTIGRSMMGRLVGHKKVDSDTA SIRSSMNTSKEDTTDAASIKGKTSETILPDKTLEGKDLKLAVHALQSYLAQCRVQIKR YIDIDGTLKEALPQQSESQAEEYKPPFHCFIQKTTPLAHVDWAAELLKAAQLVDTTLF RAYMLASPGLAGPLFRLPNFCEPGVVQEKLYETGRYADLIDFLHGKRLHRQALELLAK FGKNDAEEEVSSALQGPQRTVGYLQQLPPEMIDLILEFAEWPLRTDPKLGMEVFLADT ENAETLPRHRVVEFLEKIDAKLAVKYLEHIIEELNDLTPDFHQKLVDLFLERLRTHDF SDDEEKQRWRVRLQTFLKTSTNYNTMRVFRQLPADDADYYEARAVVLSKMGNHKQALQ IYVFDMKDYDKAEEYCNATYLSTVPASPIHSSSFHPSSTPAPAPQPLVDGDEPSIYHT LLSLYLSPPHPHAANWPPALALLSRHGARLPANTTLDLVPPSLPVKDLESYFKGRIRS ANSLLNSERIVARLRGVEKVDVEAAVLLGEGGADGKGKGKPGGLNRRVVITEERHCAV CHKRFGGSAIRVYADGGVVHSGCLRGSVGRKGTQRESVGWR CC84DRAFT_1258232 MLSLTATYAASSTPSSEPPFTHELPPLPAANDTPARTAYLAALT SATKALQDDINAFLTQKMADDKSAADAKEEENYGEEVRRNMAVLASDTDAERHAAASS PRRPWQATSNCADSQRMPGRPSLARTVLPIRFLGARGFPHHLPAMTRSIPHAMP CC84DRAFT_1089157 MAAENFIRDISTYLTAGNFSDLTLQFGERTWKIHKAIACCHSKW FHNVMTIGFKVSTCTCESIQETETNVITLEDDGEMADALDCMVSYFYEAGYDTSKYST PGTLLHAQVAVVADKYDCPSLYKLASASFAKQVPSVKSDDWIDIAALIYDYTTLELTT HSELRSLVINSVLSRPDMLTTILEKDTMVDILRSNADLATDLLLARPKTRDTSEHLFM CDKCQYVHAGPRDCAYIESRNGAGMGRACPNCGVKDHGPLSKRYYHKVFLAQGFPCSS CGGTHTTEPAPEPQSSMMDVQQ CC84DRAFT_1089808 MYTQAFLTLALTALAAATPIEKRATGSTANEFTQGGCKDVMFAF ARGSTEIGNMGTICGPQTSDGIKAAFGAGNVATEGIEYAAALAPNALPGGTDAKSKQA MATILNKMATQCPDSVILAGGYSQGAAVSHRAIESLDPAVQNQIAGVILYGDTQKTQD KGQIPGFDTAKTKIICAPGDLVCTGSLVILAPHLSYGANADEGAAFLVQKAQAAMAAK KAKRAEVAAKREVGGLAKKMAKVAVGMTA CC84DRAFT_1163282 MVSFTLTAGIALLVSAAAAIPTQSLVARQATLPDECSDYCSVSA GCVCIRRPTNCVANYLVQSGDNCGTIVSQYNNFTAHDLLAWNPEIGKECFGLRAYVPV CIGVPGYTYPGPVKGGDIWTPEEIPVPVMPGIVANCSKFEYTDKTGVPTLANILAENQ ISKQQWNSWNVPTQDSEQDWAVWAQYFSCVEA CC84DRAFT_1143277 MLPPVNSSILERNPKFDVLYKDLCARKLNPDGSTRDVKKQRIHG EIRKSLATYRTNLHTSQILTRTLSTLPSRSPTLPQDLHSPVELVTAQLTGQFPPSDRD VLAADTQFFLSNIDIISSALSDQLTTAATLLCKIADSKRPPSIDEVHLKAEEIRSSAT LDLPKELADEKVHLANTAHTLLILHLSLLQTSILILERTQHGALARANSTRADHIAAR ATLLGLQAKIHTHTHPPPAEFVRALKNFRAQQGSSEGKLKDREGLARRTLDLYSRAGE RGMRDLAGRKGVLLGEITRMEAEIEGLERGS CC84DRAFT_1186110 MLWRVFLCVGVGGVYAQFSQGLNHLRFGCSQLTIERLDPLVNPG MIGTPHTHQIIGGNAFNATIASTDVSSLATCTTCGPADDRSNYWTANVYFKARNGTFK RVPQIPNRFLFNDKFTTQTDGGVTVYYIAPKKNVVTAFKPGFRMLVGDPMRRTKKYKM QSCFRCYSGPNFGGDNAAPCSDAKLDFEGFPPQPCPGGIRSNILYPTCWNGKDLDSPN HQDHVAYPTKGPANFLSTGDCPASHPIKIPQLMLEIVWDTTAFNNKADWPADGSQPFY LSTGDNTGYGQHGDYVFGWKGDALQRAMDDNGCFSATCGKQVSQDISVAKQCKIPKTV KEDVDGWLTQLPGNPMMN CC84DRAFT_1087936 MADGNSEVLEGIFALFKPSGITSGQALLHLQSIFSRSTLFAPLL ASTRLNRAHQASLLPSGQKNKAEDEEANGRFFRMGHGGTLDPLASGILIVGIGRGTKA LQNFLGCTKTYETVVLFGKSTDTHDVDGVVVAVKDCAHVSEELVSAKLAMFRGTFRQV PPVYSALKINGMKACEYMRAGKELPKELEDREVCVDECEMIEWWDGGKHEFKWPGDNV AAAAPAVRVRLTVSSGFYVRSFASDLGIACGSLATMAELVRTRQADFTTEERVDGQTL EAVTYADLDSSEDTWGPKVARQLRAWVQQNPPPAEYTHVDGRDPNTKKRLNEEEKTKV RQRFRGDWAGVSRKERRRQQKAAKEGMNGQKASNESINGHKDTTS CC84DRAFT_1186112 MPGQPGCLCSLESGELVERIWAVESGSVAPGVIGSNCGCWDRHG CCQPASPRHATSLGVTDEELSLLEHELSHRWAQPWGLCSTSAAVQGMDETVVNGAQLF YASQFGIRSDDCRSTWQVGLVNSAPYVCCAFVFWQMWAAFGIMLGYASDLAFYSVPDK PNTTGLNWRLMMGSAMLPAIIVCCFVFLCPETLLCPESPRWFMPKGRHAQAYHTICRL ELWTVPRNRRAMLASEIVMFMQQFCGVNVIAYYSSRIFSDSGFSDQSALAASLGFGVI NFFFAIPAMYTIDTFGRRSLLLSIFPFMALFLLFTGFSLWVPSANARVACIALGIYLF GVVYSPPCPFYMRTIGISLATTTMWFFNGLLSITWPSLQRAFKPQGAFGWYAARNIIG WVLVLLFMPETKGETLEELDQIFSVPTSVRAAYVLRQIPCFFKRYLLRRDIQPEQLYA TEE CC84DRAFT_1163286 MADHRPLIHDDSTPDPAETTNTPALPSLGFNNTSSSLGFTPIAS SPPLSPRFSRPGYTRLASDATAVGTPPTVVEEDEDIVDSFSRRSTGGLGIESTPAQKP APNRRVSVQSIPRRPVGSVRSPSIKSPALTSPPNTGDPFLGGFPRSAASTPDLRRERF SPKHDGGEYESFRPDGLHHTGGSNTSLNNDYQQFLHASKGDAPSIKSAYQTNFHPVHE CATEKPFYHSRFTWVSVSIVTICLFSTVFSGIFLGLALRSPRYGRSISSQGSFQPSDA ILLTTVFAKLIELSFVTSFVAFLGQVLSRRAFMKDHGRGVTLSELSMWRWVVQPGTLI THWETAKYAGLSILGFLSLLSAILATLYSTAATALVQPMLKDGGHYTPMVYAGRVKTG FTNVNYVKSICETPITENMDPASGSTCLQLEHAGQGYHNYQRYLSAWKSWNRYGNGSS DQHIRPPGFGLLHENTTVTGQWIDIINTTKESKKYGRAVNQVSLAMPHAGVFSAARYG ENDIMQPEELNSEGTYSLRASVPSPVMHVLCANVNETELAPIVYDVWPNNETVTITNW VSEGVQANATTKNTTVLDELFGWTKKDNKTFNDYPPVFPKLPLPFNTVMNHTTPVWGR ESIYLLGAGANALNGTYMVCKIHVTLTPNCSTQYNATGSGGSMEALCEDRDPHMAYTY SNSSEKSVPLPDWRDIGFDWSNSMSLNAGITDGQAANARLLTELMLQAGTDGNFDLNP FLPSPAEALAVMAGCTLLMSAIDAPYVMFWNYTTEAPLLEDYTRQYFNGSVKAQQYAS GGVDDASKAWMLILFLVFLMNILVLAYFLFHKGLVTDFSEPPNLFALAVNSPPSHLFA GSCGGGPGGKQYVVNWFVNSEGDHLYMEPGQKAAHAHIEHAHPHVHAPPPPPPVNMKP SSGFFSGAVAGFQRLRERGMGLGKMQRPNQERMRPASVAQTHRNVELEDAATRTQRDY HKLSKRTSVL CC84DRAFT_1117071 MSTDAESARRTAEARAAVVASLAAVGGSVDNEMRTRAADLHKNC EAIAKQEKDLAKATNALAKESAQWQKLADTSTKKLNEIGDIQNWAEMLERDLLVLEET LRLVDGENVPDSASGTTG CC84DRAFT_1258239 MKIKKQATSRHESTLSPMIADFVKATESIPLYQIPAHLASFPKL WPFPRGDTYHWIPVLNRFDRILELFVRIYGLENGPQTQPFQRLLLQQGDAEEGKTAEP ATADILDKLHTAPDGDRELVEQILNFTRLLLENCGNRSLYSSSGHLDKLLNSTCVSLV KATLRLSLRLSQRYYSARMRLAPATLHPTLLASHYNINLEKMQKLAAPFPKGPSLAPP LFATPAGKGKDRALGDRRSDNDRVYAADMVGLFTLPEGALRQEFGGVSISYYEASSTS EEIQSSSTDASGTAAPTTPTPVRRTSNMNPQQTPRQSRDGPSTESPITPAFTPGDFGT GRPTGAKSFEKSPETLSSSGIHELVQQGLKELPENVHYELLHKVRSAKTLVDGQSGRE DAAGIRMLAIANLAYVYGEKDFHAKLGQQDAEEPRRLQWAHQLSELVHPPSSGAGAVS LELQTYALSALEALAKHKSKSSDICTALSVNVNHGVLFYIVRKLVAELAVEDGSEVDF QQEDWREALFSLLNTLPTSQARTGDGMVSAGLIEILVDVLKLRTTKAERNHPKILNFL DTFVHNLREAFQALVDAKGLDIIADLVFYEVTTSQQLAEAGNGISKEYKTQLTDYQIP FYNQQTLRWLFKFMNHMMSHTGGGNFDRQMRNLIDSTPLQQGLEIVLSNANVFGSTVW SMGVNILTTFIHNEPTSYAVINDAGLSTALLETVSGEKISETSTDATDGNTVSSADSA SAGQNSSPPRPARVYPPRDPDVTLASGILPVAEAISTIPPAFGAICLAEAGMKLFRSS AAMKHFFEIFESPAHVKALDMDPEIPTIIGNAFDELVRHHPPLKNPVLASLSEMVARV VRICFDKAEKEGAGTKLYYENDKDEKIYVCGGRPALSGLEGLIHKQLSQRASSEGDVL MADAHDTSSNPLEPIALSDVIEIEDRSAGPSTSQYISVVCRFLGGFFTNNVMCAAYIE ADGVETILDLATLPCLDARFNETQTTSEEFARVVQVLVEQKPHIAVPALLKRTQFALD RLEPLMHHNYNDGKGFFASFTGVAPDPDPEQRKIRDQGTQYVKSLLAVHTLVSALTTT FQGQMFNTRSSHNVSSQVNLSDMYARLVDSLGRLHRSCVWEEILLQKNMPTEWEKETR VKSSGFGAIEADSVFNLGNNDRPTEPTAGPSNDETAGSASGSSGDVPTPSQNSARFKN TQILRHLLSKIPPGIAPFFQSLGKLLLFRRTLEPYQKQGATIVGDQLAQAAIDQLRFE APKAFASAEDRYAYWIVILTSLSQLMIDNNLDRMSPQALTLILVSFRNLGGLAVLADI LNTFYESAKDVIQTQGEQPSEEYQRSLNLSLGGVKIILAFYAQIINAKVINEATQTAS MQTRPDRERERPDYFQAPQFIVELRHAVTKPVQNIWNSDLIDKATTSIVKTSINILKT VLDGEGENAAPKSVDKIPHRSKPVIKPWKPRSAEHIQRLMEGSQYPESLVEEALFRCC DNFNTAREYCQNQVRDVRASRNPIPQYEIEARRAPNASPSRTEVVVPEPAETASNSAS EDADEHDGWTAQDSQSVDMEDAEVSQNVQEHPSEAPVLEQSSAAETSVALQGGLAYQK RMVTGNLAEPVAVDDLDKERANIRENLVDRSLDILNSHNDVTFELADLISAAVAKATE PGALRSEIGSTLIQSLISLQMEDDFRDQGKKIAASAHLLALIIQDKDFYEAVVEELKE NFALFLQFIKIFPNQAAEESSSWIGHVLVILERLLAEDQLPTQISWTPPTESDNAETP VQLPPEPIVGLDEKNQLFDSLIEILPHIGKDESLALSVMRVMVMLTRTRKIAQRLAEK RNIQRLFLMVRQLAGITNDGLRSAFMIALRHIIEDDTMIRHIMRSEIQQMFDSRDRRQ TDTTGYTRQMYYLAIRAPEIFVEVTNEKLQLARFDPNQRPQTLILKKDEPAIEGEDLH TSGTSNEVPENDRLKETSEAPKQPSLERTKTSDLKLPVVENPDGIIHYLLCELLAYKE VEDKPTPPKVSEKDEEAPQQSTTNAASTATSETANPSTEQTKSEKVEFKAENHPIYIY RCFILKCLAELLQSYNRTKIEFINFSRKADPYVTTPSKPRSGVLNYLLNVLVPVGSLN HEGDLAFKKKLATSSCAIDVIVSLCAKTGEQGVSRPSPNESPYVETESELLFVRKFVL EHCLKAFKDACASDEVLDMKYSRLLGVADIFSKLVSQRPNGEMLNQNADLTPTLKQMA KIMYEKNFITILTTAIADIDLNFPNAKRVVKYILKPLKWLTYVGMDLSTRYDTSSSPD STDEYEISSASDDDLMDTTREETPDLFRNSTLGMFDPGNESETDEDEDEGEEDEDMYG EAYADDMDFDEELGDNDEVISEDEEMEMELGDIGPIEGLPGDVDVEIELDDDGEGMGS QDESQSDDDDDSEDDEEDEDDGDEDDDMDDLEEIEDMEDMEEITGDDENASLADDRED SWSGDGAYPEGGSALNFVLDRPQQLLDQIRQGDLDDFIDDEMQEDEDDEEEEDFDEED IVYDPGMEDDDEGMHEMGWDWNEPAPPSRHLHRLSPWMFPGGPDNRVLVPAYRSHRPG AGPRMADDGVNPLLQRSGRSAGRHGDPSSANNSDWVHAIEGRGPRLLPSDTSPVSFIS NLLNAMSQGTLHTQNGTVHLSFNNLPLGPHGFSPPFDPTFRRDIRTRDNPLGRSARED PQSSVAFLKAYTHQRWQEEARVLYGPSAIEKSQRVVNSILKLMVPPAIEAQKKRQAEK EAELERRRKEEQERREAKEREEREEKERQERERLEAERVAAEAAAQAGETVGEVVPTE GTDTTQPESQEMEGVEAAQPAQPVEPQQRVTVNIRGHDYDITDLGIDLEYLEALPEDL REEVLLSQIQEHRAQQRANERRAREDRNATQQAQGDNQAQSTSEQAAGIDDDFLAALP VEIRNELLQHEAQERRRQEREEQRRRSQANAPAQAEDIDPASFLASLDPALRQAVLMD QDEDMLRQLPPEISAEARSYGGDRRLNQFDYLPSGFRQTTHRGADRDVQLQKRKARPC AQMLDKAGVATLLRLMFIPQQGSAKASLTQILRYVCENRQNRAEVISILLSILQDGSA DVNAVERSFAQLSLRAKQTQQQPSEKTPKISKKNGAFSINSDVSPLMVVQQCLNTLTQ LTEKNPAVWTFFLTEHETGVGFKSRANRKGKAKETKATKYPVNALLSLLDRKLIIESS SIMEQLTTLLRIITVPLQHLKKEKEKAGTEAEKKDETSAAEHSPTAEADQNREQLATG QQQAEDVEMPTASQNETAAEAATDGGDSSSKPEASKTEEDKKKHRSLTPPEIPENNLR LVAKILAARECSGKVFQETLSVISNLSPIPGAKEVFGQELLGIAQELARSILRDLSDL TVQVTNAESPTDVQGLALSKFSPASSDQTKLLRALTALDYLFDPTRDNKEQSGAVALE PAQKDDILMSLYEDSAFAPLWNKLSEFLTVIRQRGNMLNIATTLLPLIETLMVVCKNT TLKDAPLGRLANKEFALSSPPPESKMENLFFNFTEDHRKILNDLVRQNPKLMSGTFSL LVKNSKVLEFDNKRNYFSRKLHARTPDRQQHPPLQLAVRRDQVFLDSFKSLYFKTADE MKYGKLSIRFHGEEGVDAGGVTREWFQVIARQMFNPDYALFIPVASDRTTFHPNRLSG INPEHLMFFKFIGRVIGKALYEGRVLDCHFSRAVYKRILSRPVNVKDMESLDEEYYKS LLWILNNDPTDVIPETFSVETERFGETEIVDLMENGRNIPLSEENKHDYVRLVTEYRL TGAVQEQLEHFLKGFHDIIPSDLVSIFSEQELELLISGLPDINIEDWKANTEYSNYTQ NSPQIHWFWRAVRSFDKEEQAKLLQFVTGTSKVPLNGFKELEGMNGFSKFNIHRDYGS KDRLPSSHTCFNQLDLPEYDDYESLKKALYTAMTAGGEYFGFA CC84DRAFT_1163289 MEDKTIVLITGANSGIGYAASKIISSASSNYRILMAGRSLPKVE AAIKSLKSEANIKGELTPLELDVTSEASIAAAAKKVEREFGHVDVLINNAGVAQSSEP SYKAQINAITETNITGPLLVCEQFMPLVLKSKNPYSIFVSSSLASLGLATTPGSHYDS DMWQVYRMTKSALNMIAVTEQHKWASKGVKVFPMCPGLVRSNLRGTEEENVSAGGRAG SADVSGETMLSIIEGKRDADVGKFVHKDGVHAW CC84DRAFT_1258241 MNMDPDSAPPLPPPKDENSEQEHTIAFPEIAHHAVSRPEHKVPP TPPSKTSSPSPGPSPALKPVTYSAFPQSRNGSPAPGSSRHGTPTPEPTGAAQFIANLS EKQRAHLQFAVPKAESDRLSHFLEEPPRKTPVPDRFTFEALDSEARSGRELNSKVRRG DTKKSLYSYKSTKSNGNRSNRSSKSSRSASGSRNGRDESRSAGRRTPGTPSTSRGVSF ESIPKSRPQLKKEVRHFKGVALEVDWRFYATGICLALVNLVMAWDATAISIALPTIAV ALHGPSVNTFWLGISFLVAATAVIPMFSAFAEIFGRKAMLLTGLTLFIIGSLVTAIAG DMGTALFGRTVQGVGAGGVFVLSDLIITDLVSPLDKRRWSAVLGLVWAVGALTGPAVG EALAEQSQWRWIFWLNLPFCISSLLVLAFFAKLKSTKYGSKVYELKQIDWVGFILMTG SLVAMLLGISWGGTTYAWSSPRTLIPIQFGLIGIVLYCVWSWFAPFQSMISIDGFMNC TSIGMYIGTTVQGCIIGAYVFFMPLYFGVAEAGMKDVPAGVRWFPWTIPLAIIILVTF VVISKWNAWIYAIWLGWLLVLFGVAMTTFYTRTSADGKWISIAIVSGTGIGILFPSLH TASELIASRERDDERQRRAVTNSSYFHYLGKAFGVGLATCVFQNRLLHQLDASEIYHN FAKEYVVESVSLLVRIRATPGGPGSAKIQIADMYVQSLKSVWILMAVLAGVALLASCF MMPKIPKEEKEAEMKNLDGSYVV CC84DRAFT_1117081 MSSSQKKIVNIGLIGCGEVAQVIHIPTLLFMRDSFRITYLCDVS ASALQHCSQSLPNKHQTTQNPGELCAAEDVDVVLVANSDEYHADHAILALQHDKYVLV EKPLALTKRDVESIIEAEKTSKGSVMVGYMWRYAAPFEDAVKEIGGIDKILYVRVRDI IGPNSAFVGQSATFPKKFTDFTPEDARDKDERAKEMVKTALEGEIGGITVTPESTQMW RLFGGLGSHDLSVMREVLGLPEKVIGSSLGFPFWNVLFRYPDFTVSYESGIDNIPRFD AHIEVYGATKSVKLQYDTPYVKGLPVTLHISENVDGAYKETMVRKSYEDPYTQEMKKL WGLVVEGRSVKTTAQDALQDLEVFGMAMKHFYDS CC84DRAFT_634242 MTDIELPVLRWGIVGCGLISTWFVSDLVLSRSEAAANHTITAIG SSSLEKGNKFASKNCPSQSPAVYDSYSGVYNDPNVDVVYIGTPHPLHHKNVLDAIAAG KHVLCEKPMAMNAQEGQEMVVAARKKGVFLMEAVWTRFFPVTKELQRLVHEEKAIGDI SVAWVDFGIYTPIQSKDPGSRTASKKLGAGALLDLGIYSLTWASLIFGTASSASPDIS ANMVFSDHEDPNDRVDEQTAVILRYPEQRAQAICTASLLYKTPEIFARIEGSKGSISV GGVAASKPGFLIVKTKDGEEKKIDFPVEGRGFHFEADAVALDIQAGRLENNTCSLTTT QSILSYMDSIMAACGLSYSN CC84DRAFT_634243 MLTTPCNMPTTRTSSRKRARSPSIRTLEHEESSRRAMPRLTPSN KRRAVESSTPGRSTPRRVSVRIEVSASPNTAELAQTSALVPTHDDPPPLQTCFVCRRR GGLYAFTCIFEEGSDKCTRCVKEKKRCREATTAEKAALDARCPQCKSRGHARCRYPDG RPWQKGNGGISCDVCIRRNKRCGAPVRRIANSNTRAFLQITFGGSQSQEQNADPSHES TESGSQKTSGGDTLIDADQQRQASMSEYATVPSSSRGRRSGTGASTIDIGAEATTGIS RKGTHIESSEDHATMLNSARVIISTATRSSLTATLSAVKKRRVLQQGTWTPEDSAEET PPIFNLDVIPDDSDAAAHKLLTEAGGAALPSPPSTESETEDTMAALAYSKYIEMDEPT YPANSGPSDKHSSAEQRQHRNSEPERVNSRARHSTANYSARYTPPPLDLSDNQSTEEF SSYEGNDGDEEAGSDDEEEVEASSADELLSGEDNLGENMLSDLDVEPEALAEPMKART KPAMSSRPARKGIDLSLPPMHDNETIFADLTSRAVDLGLADALKKIDRAINVATMCSG TESPLFGLIASTAALENNNQPPLRFRHVFSAEIEPFKQAFIERNWAPELLFRDIREFI AEGATAATTAYGAIAKIPAGVDVLVAGFSCKNLSRQNNHQKTLKDNGESGETWTAVYE YSRRFRPSVVLLENVKSKASTWDDVVSQWSDIDYEAGWLYCDTKRYYYPQTRERMYMI AIDRRGSGKKASQAVENWKQTMRKLERPCSSSYETFLANFPRGSVDYSPLTSEWAWEL CKLRYDHMRSELGLGVKRPCTRWSENGTKQPFEHADHDWFTARSSREWEAIEVAYLRA AVINGIDALYKEIIWDVSQNPDRFHENLGLIGCITPKGQLFSTNWQRPLSGMELLGYQ GLPLDKIHLARESAAELQDLAGNAMSTTVIAASQIAAIICASQFLKGSSSAQQQRMDK LAPSTNTLVRSGQLTAYAPNIVEPQTINVKVLAQHARYSSQLCSRECDERICEAVIQA CAACGHTACALHAGNPKHVYIDTVERTTHLQNPYTFISEWRPKLPSRVSFKNFSGFSF STTLQARLPRDELTEEFQQRVHDVDIESKQFYIGGFTRHPGFWKVLYKADQAYLELYI GKKLQWLLYVQSPSELPGDSALRALLRTPVARGSVKDSLLSAEWDIYVPSVYNIPLHI RGSSETSKSFKNRLALRDFQTETIPATLHIRVDDNRPVDLPDDWIDFTGEYEHLPHCG TASHSLYKRSSHPALYLFLDPDPLGRGKDDSFYFSFDCERLRSGDHRQSVAHLRPSWR PENHADTNDNFVEAISFGSWERADVGTIDLASDLLSLSAKVLTKPNFFIEEKRACTNA MSVLEVEALEVLPTKNLDAYLWALDNVSSAPSSPSWQPFGTSSDADCTCAPPFPGLLW SVDKAGVATAYENPKAAATRERAIKTRCQIFHIESEIVNKTTRIKFGVNINSLAHRAA RTLLRTRGLAPAEPVNVSWRLLTDQRRVASVRFPEFRLQSNATDKPFSKRLRIAYELR GAQPRALEWMRAQERGISFTITEVEEAVHIKLGWRAEARAQAKTVVRGGVLADRPSFG KTVITIALIESEFEECREGASVINKNRLIDKQKLALIDTAATLIVCPPHLVDQWQEEF EKFLDTKLYELYSIVSIKTFAELHSLTFEDMQNSRVVIVSWAILSDPEYIAYLAGFSA MPEPATASTKGAPNRRAFNAWMERVSQELPAQLTKQQHMDPADFSANTESLLRERLSR DEFNMVLPLQLRQGSQYQPYNVTQAGKKISEAKANSKKGKTRIFSVPLLHLFCFNRIV VDEYHYLNVHQKDQKKIHDNLVSSVGIKAIAAHKRWVLSGTPALGSFADVDNIASFLG IQLGKYSPRSNIGKQTQIEDMQSEAQTKVERFLAQKETMSSHWHQARHQLAQDFLDNF VRQNEPSLEHISCSESLRTIDLDVAHHAVYLELSQHLISQRMALKRMKNTEGADRTNR LNASLNGSSTGEDALLKCAMLYDSNDGGSGLRALLQTRSREYQETVADLKRLLRGFEG QRKRNFEGLQKSLQEEGCISQLYMYFGKDVCIDNWLGDDKATRKIRALVKDAEANPDC TELIIEGNRIDEKVKNIKKALSKLRTTANQLAFRIRSARFISNVQSLLQPLCESSNLT RHCDAPECQGSATIADLYLTSSCGHLSCERCLADRTDNEVCVVPSCNTTVQTMNLVKA THLGSQKEIESGRSFGRKLDAVAKLIAELPECDQCLVFAPDNRTTSYLKELCDHHNIS QLAPNEKNPAKAIQAFKKNPNVKALILDLTSETAAGVNLFNANHILFVAPVLVKSQYE YDAAMEQAIARSRRYGQDKHVRVYHFAALHTVDVDILEHRHKRKDGITDITAPVSLPH TKLKQRERTKLVRNKTGRMALVPMSWVEDRRARGIMGVEESPQSFTSLIKFSEGFGD CC84DRAFT_1062954 PEPYEVPPSQEWDGNDGSWSTFKLTIGAPPQEFRVLASTQSGQT IIVVPDGCIAGHDPSDCAESRGAQIFNSAQSPGFLTNTSSTWDLIGQYNIDLEERLGL NTTPGIFGYDMVGLGASGSNSVISVNSSLVGGVANMVYYMGHIPLGVTKSKFGSQSQA VDPLIQQLRKSTQIPSISFSYTAGAKYRLKSVTGQLIFGGYDPTRFEPNTNEFSFSFS ADPSRLLTVGVDSVIATNSLKGTYSLSTSTHFSLIDSTTSMLWLPRDVCDAFEESFGL TYDPTTDLYLINTTMRDQLRARNPSVTFKLIDSLEGAATNFTSILLPYSAFDLQASYP YYENATNYFPIRRASNESQYTLGRTLLQEAYLIVDYERANFTLAQAAFPSPLPRASIV AIPPPDTQATASPSPSLSAGAKAGIAIGSLTLVSFLAALAFWFFRGRR CC84DRAFT_634279 MMRRSVLLDVALWVTLAQTTPYQRRYNTSIATTTTQLPPPPPGY GCSGCVVQALAPLTVSLAQEELSSWTSVVQQVKCLTRYISHYTGTPSALQTVVTEIET LTQHTIFTDASHQIITYTTPVLTVEPTPGVTLELPSGTYIFYDKIFGGLNQLTTYPGR PQNPETAIYLPPYPAPTAPGHALFRLQEVGGYPTCAADVKTFEDAMPTRTADWRSFYH SVTGTLPDPGVITPLPLPSPLLGYFNRDPGIRSSFHGINLFSCTPIHESTIGGGIQFS TAPYYSAPHPSSEGVIPIKPSFATGPSKSQTYIQTTYQSVTSYSSVHGPLRGDISYTT TPKQTLVQNSPGDADRGSPNPAKPNRPGGGQVVSIGTKTYDIHPATPSNQGQGSNLGQ GVVVGTVTLNPGATTTIDNVIVAVPTEGGGRVVVVGTNLYHVLPTGSPVISVGDAILM PNSHGLYVIGTQTLTPGGPIITVNGYNLSLNPEGSLVVINGVTQTLGGSPLVTGAPVL TVGDQTYPATLIDGTIAFILGHGQTLLPGTTLTISGTTYYLPSDASPSVIVINGVIST LGIAPLIPAPEITLAGRTYTATVRDGTTEYVLDQGTTLRPGDVVTISGTTYSLDALNT ALVINGQTSTVARSSVPASNFATPTASAEITAGGKFVETSAAGSSSKGSARSVRKGGL DVWLEGCVLGVASWLVMLL CC84DRAFT_1163293 MSSTMDKLKDKLHIRRKSQSEEAAFPSGSHASDVQRESFDEELA ALPLEEREAYLKEFEEADKVGEHKKGGLIDRCEFDLLRSPSYVLSFSTALCRSLEARY TKVMCMRASRADTRTQ CC84DRAFT_1089231 MGLLNPSPHDNTRTAGFVRAFRHRWIAPPQETTESYEGRIVIVT GASSGIGREAAAKFAQLGASKVILTARDLKKGEKAKEDIMTRIGQTDRLEVWELDMDS YDSITAFAQKANNLDHLDVVVLNAGIHRGKFEPTRYGWEEDLQVNSLSSTLLAILLLP KLKAPRHPSRKIPILEFVNSGAHQFAIVSKEVQEQDSVLECYNKTEQFNAWRQYSVTK LFQMYAMTMLAERVSSGDVIITSVCPGPVSSDIGRDYTAKYPTISAFFMFILGYLFFH IPSTGANPVLSGTTQGEALHGRFWKYDTIMPVAPTLKGEENKQLRQRVCEEMLQALEK DGVRVPPQAPGWMPKPFRRKPSAYGTSSLLRYLFPHTSQRARAQLSKFRHETLPTFKH RTQSRIYKYIVYRQSRKLIKPGILQRLRGHTRKLVGNNYLEHEARLRRQKLTKTATSG ESQGKAMSYQDSYAPREPGQRRKKLAGYLKAANELRQTYQQQYAPSWTRSEGTYEYED DTPGAFPDAAIVRSGDEEMILFPSYARKHVKKKPEAEPGTIQETPGDGRDVRDTTGAG DAEFWKQQWDNYEDDNAIVDVDVRGWIYSPHKGHMSRKQRLFVGLARQLVGISAPAAG TQSSSASVSPRPSREPSPGRLTHHERAQMKQAQQDEQLAAKEAEELLRRGEREAEAAA KGAYSEKPSDDADSIRDVRTNNLSHRTSNNSLNSLDNIKPLQKRVSWNQPSEMSPAEA AEAHARLMARLRHFLAIPMANTPISVFFYNEQISKQRTVYTNPSGHFSVSAALDFVPT HVRILASEQLSATEKVIITESQGVSVISDIDDTIKHSAISSGAREIFRNAFIRELGDL TIDGVREWYNKMADMGVKFHYVSNSPWQLYPVISKYFSLAGLPNGSFHLKQYSGMLQG IFEPVAERKKGTLDKIARDFPERKFILVGDSGEADLEVYTDFVLENPGRVIAVFIRDV TSSDGGGFFDPSVDPMSRGSLTRSSEQGNGNPSSRSQASSEDNDPEYRAAIAASLREM EKEEERKSRSLFPPLEEDHPALRPQLPPRRSDPEAASPPPMQNLIDLESDDEPKMKPT IRRQLGRLSQQSSNASTGKPPIAPNPNLQSQQSYAGMARDKLYSVYNNLPAASSYLQS NSSESDDTASTKKAPPPPPPPRRGLTASPAAAAGYVGTKAHAAWQHAPPIPHHSTRPN ASTTQPFSTNATNQLPHRTNTGSTLGANGYGEAGRVVDKREMLWRQRWARAEQVLGEQ GVVLRSWRVGSDVEGIAEKVVKEAAEREKREGSGSAERSR CC84DRAFT_1163294 MSYDPIHPQGGPAGAQPNMNISFNQMLQRSQQDQRPQEAPVEAP GHPAPAIGYRGVYNATPPPQQLPPNALGGARAPSSQPILPVDLPPQAFLTSSMLLDMV DKKVDVLLRDEKEYIGILRSYDQFANLVLTECSERIAARNPEASTDTPTPKWLICDVK LPGLMTIRGENVTICATVDLDREDEPKGVKFAPEEEVRELANAQRNQKKASEARKAKA FKAAGIEAGFGMAA CC84DRAFT_1216054 MSIRINATVNEARAAAGHSKEQWDRFYFITKDEAKQLSEAHPDW TRWILIPANEKDMMLQRINGRLTAEGIPPVEMIILKWRVSQLLRDIQRKYAEGSMISG MGSSTSSSQAQAESSTQQAQSPQRSESLPSETRPYDPIRDV CC84DRAFT_634442 MSRDSDWFWSVEHQDYYKAVYNADGSLVRYVWARETTNPTPQVT TQEIESAWAWSQDHRDWYKAVYDADGSVARYTWGRDSTAPTTQSAPQYSSPTPTSGSA QYSSPPPTYTPDAPRPEHPSRSYSAPANTSQVWKRNHSKIDSLITRQLVK CC84DRAFT_1242060 MTTLPPPNGYTKLASLLGSHPDLAIFRRFGSLNAKNLLYMQAEL VNLEGKLQRAIEADTASGHVDRVIYDRDWQSLAESGGAPDGCGEQWEVVLRIREKLKE YNEALLLQTMLAKQSGPLDRDMQFLQEWIKRPSMGYVYLLGADADVWEKPDLADLVVL KRPERQSTASRVVGDFVVLWWNRIFRKKKQPVTDSNYTNTVIYSDSTLAKASAVVGSA CASTLPVLAIIILYTVKSMSRRLAVITLLTPLFSAALGIFSSGRAIENFAATAA CC84DRAFT_1258251 MATPSISRSALRAVVRATPSLRPQSTRAASRCLHQRATPISSSP LHQRPRVQQWSPSAPLAGTRQEKRTMFIQTESTPNPDALKFNPNQRVLPDTISSGSLE YLSPRSTLAPPHPSPLAAQLLNIDGVTSVFFGVDYITVTKDSATPWAHVKPEAFAIIN EYMVSGQPTVNTVEDRAGEAGQGGTETDSLAYSEDDDEVVGMIKELLETRIRPAIQED GGDIEFRGFTDGQVLLKLRGACRTCDSSTVTLKNGIESMLMHYIEEVQGVQQIMDEEE EVALKEFAKFEEKLKQQKGPDAVKGTVGKGSLDFVE CC84DRAFT_1143319 MSSVTKGEIPDPTADLHWSDFKGPIHDIFAANARRHPDRPCVVE TATSKTPERSFTYKHISEATAILAHHFVHNGIQRGDVVMIFAHRGVDLVVAIMAVLAA GATFSVLDPLYPPDRQCIYLEVSQPRALVVIDKAIQEAGPLSDQVRSYIAENLDLRTE VPGLQLRDDGTLVGGQKDGKDVLDGQQQLKAELPGVLVGPDSTPTLSFTSGSEGKPKG VKGRHFSLTHYFPWMAETFGLSENDKFTMLSGIAHDPIQRDIFTPLFLGAQLLVPSKE DIQHEKLAEWMRKYGATVSHLTPAMGQILVGGASAIFPSLHHSFFVGDLLIRRDCRRL QNLAPNVRIVNMYGTTETQRAVSYYELPSATEAPEFLDTLGEVIPAGRGMNNVQLLVV DREDRNKICEPGKSGEIYVRAGGLAEEYLGLPDQTSTKFVDNWFVDPSRWVQEDKKKV EAQGTAEPWREFYKGPRDRLYRSGDLGHYGPDGNVHCTGRVDNQVKIRGFRIELGEID SHLAAHPLVRENVTLLKRDAYEEPTLVSYIVPEMKRWYDWLEERGVTESSSDETDMVA LLKRFKYLRDDVREHLKKKLPAYAVPSTVVPLIRFPLNPNGKIDRPALPFPEPAQLAA AGARRPSQLGTALTPTEKLMAGIWADLLSDRGVTADTISGSDSFFDLGGHSIVAQQLF FRIRQQWKDIDVPMSTIFQYPTLRGFSSSIDQAQDPIGLRLDTAEAIEDEPEDEDYSA DARDLAKQLSDFKTKEPLKPGQEIHTFLTGGTGFLGAYILRDLLSRPGKVTVLVRAKD ADAALGRIQQTCQAYGIWDASWQSRITALVGNLESSNFGLAPDVWNQLADSIDVVIHN GALVHWVLPYSRLRAPNVESTMTALSLCAVGKAKNFALVSSTSVLDSDYYVKFSEKSL AEGGAGVLEEDDMEGSRKGLGTGYGQSKWAAEYLTRQAGKRGLNGTVIRPGYVTGDPE TGTTITDDFLVRLLKGCVQLKSRPDINNTINMVPVTHVARVVVASSLSPPASPIGVAQ ITSHPRITINTFVGVLEKYGYHVPQVSYAEWKKNMEAYCADQSGAKEEHALLPLYHFV TGDLPADTKAPELDDRNAAQALKKDAEWTSQDWSAGGAVTEDTVGVYVSYLIELGFMP KPEAKGALELPQARLTESMKEGMAKVGGRRGV CC84DRAFT_1163301 MPVQRTLFGQPLALRLPPRRFQLLVVFVILFIATIYAFGAPSAD RIPTYEQVKDAVKDPHLPDLPDLSDIKDHIPKLPTELPQLPKLPNIGDLPVPDIFSPP AHKPPEQANSTASSSYGAIKWLTDFKWHTFFSKEVALDESRVVLPPLANRPPIYTYYE PKSKQDKKVTEAENRLILAWRRAWWAQGFKPIVLNHKDAMGPQYEMVQRMKLEPSMEL ELFRWLAWAKQGGGILANWLTLPMAQFDNPMISFLRRNEFPKLSRVESLQNGIFFGDS AAVDEAIQQAINNKLLQDVPANKDKIAKLAVQDGGMVVNLLSADVAVDKKANGLAYYN QDVVNNKYKTVRDKLSNTTQVEGLELLADLINSHLHLTFQETHPDGIAIVKPLPEHTT ALTYTAIEIGRNLTQCPSSPMPQSCPPNRQKCKPCDPNKPFPFKLVPGFKNATKQYSI GSVPHPYTLASLHYTREDIDAKFLTDEAERDLWLTALTKELLGPGHSALYRVLFFKEE VASLRKASHSLWLTAERTTQADLEWIFGFNLPQSASPNKEPSSPSKDSELIIFPRPAE PKAIEGVGEQEERWIRKEEERLKKAREVLSQSHKAKKDDPVRGKVKEVEDWSLADTEA WRFARAFSARRRNERKDWEDQEEKFSGSERKAGVKAGGGRWSDRFR CC84DRAFT_1163302 MSSSNAQRATIHFPPAYPTLTKPSLILFGTIEASPTSNWATDLA DHVSDLPIQILNPRRDDWDSSWVELPSCAPWKEQVDWEMHHPAQVSLIVVCFKAGSLC PISLMELGMYAALYGEKMVVCCEEGFWKRGNVELVCKKFGVEVVRTVGHLEGVVEGRM EALCGLDEGIGEQ CC84DRAFT_1242080 MSTLSSPRAAQYHQPTTHPQSTPSDPSVHPSSIPPFVYRVHRAR SQTAYDFSTGFRAKNQTTIINQLSHLSRFGLAHLNQQTNISSPFISVYRSQVHAEEVA RYFARLYDEDTWVVTIDTNHLSRGPVFWAGNLLEGQEMTASQTWLHEGEYLCLYRISP QAIRDQTRVVRKEARSYGVIGGRC CC84DRAFT_1163303 MAYFRITLMRSGIGMPKKVQGVLHALGLKKRMTTVYYPVSQSVA GQIMRIKELVDVKEVEKPMSKEEMRAARRPDKGYYVEKRAEQVEL CC84DRAFT_1088499 MVSSSSTVAHGTPDIPVRLSERDLRPIRQYAYRPLESLRHTRLL HLLPASESDQPLECSIRQKCLSDAIASYEAVSYTWGGPNLSCRLRCIDDNSELHITKN VDTFLRRFRDEYRTRVLWVDAVCINQQDHQEKSTQIPLMGQIYRFASKVLAWLGEGGI EDGAIPLIQSLSRNQVGYHAVLEAWPSLPLGYESLTSLEIDSSERRQQQAVLKRFFQL PWFRRRWIIQEIVLNAESYLHYGSHQISWFRFMTTIKTQLFWKDISTEVHSVMRVFDL WQTWSFMSSSKDLVRRRGNSNRSMDDTEGSLLSYLVSFDEYKCSDPKDMIYAL CC84DRAFT_1163304 MAPALDLKAGQVVEVRLQKPEPITHRGTIRYIGEVNVPGQEGIE FVGIELSSATGKNDGSVQGERYFQCPPMHGLFAARDKVTKIIQQARPVAPKVAPPKPA TPGARPRPSSVGASQTTARPARLSSVGGAKPTTLQQRLAAAAKPSSPVKGAPARPPST TRPPSATSRASITPSAQSRRVSTVGSSQPPTTRPTRKPSLSSATASGTRSTAPRETAP PPTKAPTERTRQIPEAEYRRLQKQQEDTSQLEADVLKLRTENERYKEAIFPKLNEKVQ KYGNENASIKEEIALLKAENDKLEKALQERDTIVELATIDREMAEEQKEQLEAELENE RAVIEELRLELEIKQEEASFIDDDMPEDEKQLAMLRQAQNERDRYREGIVKLRDMTRE QIKELEARNRELEAQVAHTETIEADYAAAKDEIVTLQGYVSDLRERVDTNNEMEEMNE ELIDKIATLEDSISRLRLERKELSTMVEVSNETVNDYAEHADDLEAELETRDAQLADV SRERDAVEKDNAELQDRLSKYQTVAMELQSQVQELRADKATTEEEVKDVTGRFNEVME LQRRLRTAGVKDTNRQIDTSLQHLAAKEAQEQRDMIRHYLTESAYADFNGSSVQAYFR AKSISFKANLTASVIRTSTTDQFGGEKGPEQVLDALMRHDVVGHFDYIHTYTEQIWTA MASCTLDEFVKAGHLFQDFESVVRTVEGCMSSLKRDELNLKDSLESARGSYNVLGAMY RSNTYLSNARPDSTIILHVSVIRASLERIRSVFDALKTFVSRVEPVYENVEDHVDLGA FTEPTQTTTETLNVVTKFSAALKALQKDSLYPSLPDGIEALVSMNESLDRFANKAQDN AVAFIRNVLSKLAKEPEGVDATMMTAELDTLFKKNLLHELHSSVSDAKLRIGQWNEYA SILNNCLEVQLQPAPWVVKAQQIEAEKKQSIESEKKLQLISTELHAALLQVRERQETI DTKELEIEHLKARAQEAAVKAGTIETLQADLDKARSERETYRSEAETLQAQIARLERE GVSRPDDISNAVPATPQPTRERPEPVEAPQATVSSSFATLVKALREENQYLRQRENAD ILSHDLDAVFAKARTERAARAKADARHKQALAAEMLDMAFTSQLMGMQGSVVRPLELW GGELTAEGGEKEGHAVPWQQTQKQKGGPSFPLMLQTGKMASPLAYVDDLSFVDLSPAA EAFEVMGNWP CC84DRAFT_1143331 MAVLSKFAHARTHLSASSLKSLVNDFTRIYLQHRTKISRAVYLT LFVALINRIRSAIAEQKAAALRAKALRKTSTAPSEGEATGRKKVELNREFFKNLLRLL KICIPGWRSKEFRLLISHSVFLVLRTMISLYVAELDGRLVSSLVRGKGKDFLWGLVWW MTVAVPATFTNSMLSYHQCKLSLQYRTRLTNYVHSKYLSHMTFYTLSALDDRIKNADQ LITVDVAKFANSLAELYSNLAKPVLDMVVYNYSLSRSVGGEGLFFMSLLVQLSANVMR ALTPPFGKYVADEARLEGEFRFQHSRLIDYSEEVALYHGHEAEKDTLDKGYFTLIKHV NRILRRRFYHGVMEDFVIKYFWGALGLLLCSVPVFFKVPGTGINMGDRTESFVTNRRM LLMSSDAFGRVMFSYKEITELAGYTSRVATLLDVIDDIQAGHFEKKLVSSADTEENAA VLRGRGEVTEGEDIMFKDVPIVSPNGDVLVRKLSFAVKPGDHLLIVGPNGCGKSSLFR ILGGLWPVYGGTVRKPPFEAIFYIPQRPYLSRGTLRQQIIYPDSLREMRDKGITDADL LAILEVVEIEGIVDRPGGWDAEQEWTDVLSGGLQQRVAMARLFYHRPRYAILDECTSS VTLEIERVMYEEAKRLGITLMTVSHRRSLWKYHEKILQFDGQGGFVFTKLDAERRLEL EDEKDEIDLQLRAVPDIEERIKELELTSQ CC84DRAFT_1163306 MLIPTILTFLTLFTTALARPTAELANVYVCEHINWGGACVNVLY AIDSGDCVSLDGTASSIRPDKGFSCTFYKNGSCRTFDGSASLKLQDPGSADLTKEAGE WNDAARGFQCFRL CC84DRAFT_1117122 MARINLPPLTRGLLASLVLFTLLNFALRPASSWTERAAKPVTAV GNGVPYLSIVPGVSVVYPWVFLLATTVEQNVLGLVTTGLTVFYGGRYLERAWGSTEFA KFILFVSMVPNLLSFLLYVVGYVLSKNERTLTTTISGGIAIQAGFLVSFKQLVPEHTV AIAKGVIRMRVKHFPAIFLLANTISGIALGTETAMFLAWFGFLTAWIYLRFYRISPSL SSAATGDGSVIKGDASDTFAFAHFFPEPLQTPIGTVADQVYTTMVSLKVCTPFSAEDI DAGNEQASARVEGGLPSIMNPNSRGGKGGQTRAEAERRRALALKALDQRLHAATARPT PAPAQPAPAVLSTPAGSSSEPAT CC84DRAFT_1174934 MTVEPLSSNVVNYLVWRYLQEAGYGNAALHLSRCWIRDPQTLPF ARDVQPHTLVNLLQDGLWFDKLQAEAANVDQRYHFGRDHGQPYSVRNGALLTLDQGIP AHELAEQANGAVQEPPPKKSVKSRRKAKPNGVAPEPRAPEQVNGDAMDIDANGANHVA PSVNSVRAESEAVASEADSPTVAEIPISTLSIGQSTDVQTEVITDLAPDTIFIPNLED AERVVEHTSWGPPDAPVLLTAGKSLLNIQVIPRDADNITATAVPPLALKFPMDKYTVT ALCWISGEDIAVSAREEIANETGEKMMIDKLVKITEAGDDYQVISSTAGLVNTLRWNR KKEMLLSISTDGERGSIKIWKNHDDSIPAWAEFTDTVIFDALWISDSAFVVCGIELFK IYEIDEILKVQRTLDTRVQWETLKFEPCSGIIAALGTVERDTSVLGYINPRSPHDLQT HQYPDQYATDLDFRKRTDTGGVGLSSPGATSDSTVLLTTSSMTGPVRIWDANAPTRCL KTLPTTDQRETFKIAFSPDGNLLAAAGPDAVTIWDVDRRDVPIATCRTREWGMDKWNP GVDGEFSLGWDPDSSRLSVALGNQIAIIPVTR CC84DRAFT_1242093 MSAVPSLPEDTPLITKDETTTNGVATNGATHDLANGATEGFKLH DGPVENFRPMKVIVIGAGYSGIYHAIRIPERLRNVELVVYEKNAGVGGTWYENRYLGC ACDIPSHSYQYTFEPNHDWSSLYAPAHEIQAYLERTAKKYSADRFIKLSHEVVECRWD DAIAKWNVTVKDLATGETTHDQSDVLISARGNLNTPAWPSIEGFETFKGEVMHSARWN EEYDFTNKRIGVIGAGSSSIQIVPSLQRISGTHVSTFVRSKTWISPSFGHHLWEKHGF EGFTIPPDLRKRFAEDPEYYEKFRLSVEEDGNGIHAVTIKGTPLQLGGKQMFTEHMKQ RLASAPHILDALLPSFSPGCRRLTPGPGYLEALTQPNVAFITSNITKISPNAIHTADG SAHEVDALVCATGFHANTFPPFPVIGSNGLTLREKWSARPTNYLSHSISSFPNLFTML GANSAIGSGSLTCMIENVGDYVIKAIRKIQKEDIAAMSVRSEREEDFTEYVDAYFQGT VFAEECNSWYKSKKDGKIVGLWPGSTLHCVEAMRSPRWEDYHYIYRDELPTAEELAEQ SANAVRRRANRLKWLGNGWSANELEKKDLAWYLYKDFLQQPVAPRPEENKMYKARAFS Y CC84DRAFT_1258261 MTVKRRLQDVIRDVGIHPVSDRQPPLPAPTQPAINASEEDHTLA RQLLVEQRVKDPDYRDPGKKLKSVFRSSEKKKKDQDTSQWLFTQDELDRALSAAVENP ATSAGLIQAYLHLGAKVNFVEVTDQKSKANKKSAIADRRRSTVLQRAATVRRADTLGL LAASGADQTTLDEGLKAALAANNHPCVQELLRHGADLNKNPNALADAVRSNDQNFVRL LLRAPKALRPDIISSCLPAAVQQNSQPVISLLIAHGADPNFDNASAITTSIARCEYRT SVALVAGPIPLSLTSLQAAFETVMRMTSAQDLYQFLELLFCCGLPPANPRLGSLLTAA SQRNDLRMAEMLIAYGVPTTVNHAECLKDAVVRSHWQLADVIIGTPISPAHASMALDA VPDDAPKPERLHVISALVAKGGSGRSLERWLVRAVEDGDADLMDLLLNAGQALGTGND RAIQAAVARKNIRSLRSLLASRPSPQSLSQVFPLIRSGYTAPERLETVHLLLEHGARG PQVDQSLVDAIADTSSTRDVALITELVRHGASIDHDDGKAVKLAVSQANLPILRLLCG AEVSTHSKSASLPLVFDTNGARQSTTFAMLELLLAGGVEEVPATHALEIAVKGGANNL DIVERLIAADARLISCAFQTAISLSSVSQKEAILKVLLDKGISQETLDQALITEIQHF RDTSDPTIAQMLLQHGASINYNGGDAFVASAATGNSSLVKLLLSGKDTPWQPTVTAAF RALFDPANLRGLDRTVPGPASVDRTVHALGRKDLTITTLGNGPASGGYVEVADQLLPL GVDRNAIDIALRAVLDPENGFDAINPIVDRLLAHHADVNAANGVCFVFAAKRSPKLFA MLLKYQPNFATLLPSLIDSDLEEDILLSLMDECFSHGCTADDLDLSHPASLVLVIQKY PRSEALVKTLLTHGCNPEASLSGVMNSAAGEEAIPALLWALAQPQKRVSTSVIIALLE AGASPTRVAPVSEVAPISLAAREGRSDIVEVLLKHGADASIRDKWDRSALFYASSSSG TSVVEKLAPHALKNDGSLHEAARSLQLDAVALLLKAAHDPNFPSRHHGGRNALGELCL NVEITNSSQRSRARQVLRLLLDSGANPSFKARNERSAVILALDNAHDPLKITETLLET EVWEQLNDEKHLFRDGQGLWYSPYSYVERIPSPARTAQKQHLLDLLRDKGVTPTFYSE TEDQPQGATGMPASIARLADRKKEHQLSLRLAKEAAEHARHLEETAHLDLLRRKKEQQ DAELAAASAAAAHAAQLEQRQHENAMQKVREAERMKRAEKVAWHSLLTQQEGESAAQR AQIEERKNSQALEAERRMIEARRGEVEHRAGLERRALKEKDEHMSRNLAVQMQIQDRV DESAKLHAGLNGRKQLEWGTVD CC84DRAFT_1163310 MDTSVGNLSREHTKGAANPKHRIRPRIVLAYDSVRRKQPVRRRC ASRMLTGGAIHRMFRRVGLEKAMLWAAIETRDPEPWESPWAVSGGCDCHDSCVNYYLH RTVQYAQRKWWLGGETVPADFALEDQTRHSRNSRCSRQITWIVECHPSIYDSFLRLLL RHRQLMLQVTGPRIDGKCRVVGLEHNALLEKIILQILRDSMKQRRQVAVLC CC84DRAFT_1163311 MFSTLIIAAVALPLALAAPAPYRLPESVFRRQLNDTCGLSTVQQ PPSKLTPPGDHNQLVLIALGQGTQNYTCTNETAIPASIGAVANLFNASCAVSQKTSLG SVAEDANAVGQHFFVDNTTPEFDIIGLGNTQLKKAEADPAPNAATDVPWLKLDATNTN TAVRSIYRLNTKGGVAPATCAGQAAGAVVQVDYEAQYWVYACPDAMAARRQKRSLGLP LN CC84DRAFT_1117132 MASSESLLREVNILGALNDQTKHILSKDVAVFLALLHRTFNERR KELLQRRVIRQAELDKGNLLDFLPETRHIRENDAWKGAPPAPGLVDRRLEITGPTDRK MVVNALNADVWTYMADFEDSSAPTWDNMINGQLNLYDAIRRQVDFKQGEKEYKLRTDR TLPTLIARARGWHLEEKHFTVDGEPISGSLFDFGIYFFLNAHELVKRGFGPYFYLPKM ESHLEARLWNDVFNLAQDYIGMRRGTIRGTVLIETITAAFEMDEIIYELRDHSSGLNC GRWDYIFNTIKRFRQNPNFVLPDRDSVTMTVPFMDAYVKLLIKTCHRRGVHAMGGMAA QIPIKNDPAANEAAMEKVRADKLREVRAGHDGTWVAHPALAAIAAEVFNKHMPTPNQM HVRREDVNITANDLLNMNVPGSITEAGIRKNLDIGLSYMEAWLRGLGCVPINYLMEDA ATAEVSRSQLWQWARHNVSTAEGQKVTKDYTLRLLREQTQKLSEKAPKGNKFSLAAKY FETQVTGEQYDEFLTSLLYNEITNVGPPRGASKL CC84DRAFT_634613 MHILKPLISLVAVKISSQSSDNKSLTIEQGTRMIRHDLLFARRN ARTAVEVRISRKFPRQLVLRHPFHQARGILFVVAPPQDGSSAVCEPNRGPLPSKGPSS GVIASHSPRLPIPYIFATVSLRPRPEYCFAHNGGAETCTRKSMVYRHGLLERTLLA CC84DRAFT_634629 MSTITTTLAIASLWLQSVSAALTACPVAETSWSSGNGPTYLICP NTDYQLGGRSLQVVQNVASTTACAKICATDTRCSKAVYDKGKRICHVKDPKSGVRMPW EAYANFDTIRIDTVQLAEGAFLARCPFPQIDYRTSRGSTYNVCRNTDYVGASVKIVKQ VTTVNACADLCGTTTGCNKAVFDTKNNVCHIKGAEPATSLFWVKNQQFTTITLPKQLK PAQAGQWSDLVRLPLIPVAAYVVPQFPQADRLMFFSSWGTDAFGGASGMTQFGDLNFA TGKIAARQVANTHHDMFCPAISQLADGRILVQGGSNAEAVSIYDPSTNAFTRAADMKV ARGYQTSTILSNGKVFTIGGAYSGPREAKNGEIYDPKIQAWTYLPGTEAKPILTKDHE GIWREDNHAWLFGWKKGSVFQAGPGKDQHWFGTEGKGSITKAATRDTMDAMCGIFVMY DAIAGKILSAAGAQDYTNSAATAHAHITTIGEPYKPSTVERIPDLAFPRGFSNAVVLP DGSVLVTGGQRKSLVFTNTDSVLIPELFNPKTKTWTQLAPHAVPRNYHSISILLPDSR VFIGGGGLCYVNKIKGSTAGCDKTVDHADGEYFSPPFLFNADGTPATRPVVSNLTQKP VKVGATLTFTASEFGSASLVRMGSVTHSVNSDQRRVPLNNVTRNGKTVSVKLPTDSGI LLPGFYYLFVLSAKGTPSMGMTVHVVL CC84DRAFT_1258265 MKYIQKTREARKAFQSISLDHSTAPKPTHEHKLHTHPLFKRNTS RPPAPTPDLLTRSSTLSLRGKRRDHGPKAVVDKSPLGDRQKPYLMELLPDISERKATN TDGIPQRPTSVESVFFRSLYNMLEDQSIQHLISWSSTNDAFVLSPSSEFAKALLSHFH HTSISSFIRQLNMYGFHKRDGPGAALAAIESQQCKEDDLREVDPETLQSRREVSSPEE YALPNLGSLIAGWQATLPHNQAERDSGFHEGDMVDVPQSWTRGIEGDDIDSTDDLHSA RSSGSSPIGNPKLPATVENAIQRLILPELIVLNDEQRIHGDHSVIDNTEENVVESRQT AMGAQPIVDHDQLRQNSNTRNSQNHRTEVPSGSASILNGIYERALVGKATSSESSKVG AVANSGNSSPPQSHPSPLRHLRQSPFRFDQILRSFSAHNANGSRESLLSSHDESECAS DTSSASHRDVDIHNALDSAMSVVKGVMLQKLVGQALSEATEMSGASNRASGDANAFES FQPSRSTTTNNGGKRARGGGRDPDDEGDDDSDQEDDNRPRKKGPTQRIPQRRLKCPFY LRQPEKYTKAACRGEGFAEMGKLKDHLKRVHMHPLRCHRCHEEMSEEELEAHMIMDNA CAIRPAPQDDRIAPQKLWRLDFKRAPFVNARSTEEKWKMLYKMLFPADAEETIPSPYT RNALSPDLARALSEALEEELNKELALFMEPVISRIKERIPAIIERCRTTLATRAINTI EETNAKTPLPETSQSPLVTNQSGRLREKLNSSAQILNDGSRLYSQPRLRVRAVRRRQP QYSPVPQMTPSRSSEGSEETSTVSASASTDNIAHMNTLRSIQYPESSANITGVGDWNT YDSISAPMQPGPDTTILGFGVPPLYPPCQGVEEQNPASRPYHNNPYLYGGAAFGDNGV QDWSTMANMDRKSLQAYPPAPEQLLNEDYLSMQCTHWQVPPHDHLP CC84DRAFT_1117139 MRFPGALGSLLSLAGLTSVALADLRIAADNHSFGGVNFPQLQFL EPSYREEVIRAIVKTNARVIRLFIRGDEHHGDPEPEVGEFDRALLNQFDDTLAAIHRI SEGKTKVIIAPHDAHALRGSNNVPCDGYCKKLDGAFLDFYSQEEYRTYYKTRLEVFFR HYASKNFGGRPWSELSEVILGVDVQNEPWSGIWPIPAGEAWLCDIATHLKDVIGLGDN NIAVITGGISGPQTVDGIQNTPDSAWNCPAVDVIGIHGYFAQGEEETAGTPWTKMFLP GNTLTGRATDKKLLLVEEWSYMNTDLGLNYKKQAIFDQGNALNYRGIPWIYSHLTFKD EGTSPKISITRESNYAIGALSDVLTRASRSRSNFNWSKYLPAPSGGLSNLTTLPLNLY IPEQASCTFGCEGHLCDAADGCRPSLICKNSICQQPSDSQPGKIGDACNSKKPCLSHL KCASGSCQTCNARATIQPWDRRPDNSYNSHNPFRRDPIAANSPAAQCHPDTANAFLVP PRPICSSPASRENPCTNAQHCNADQYCDWGLCKACTEGCLGMKCRSNNKCKTGFCNTH GRCDYAGQKKVLAGPGGQGRSTTRNRKGAGYNLGAPKGQGSGPNKVWDVPMKVNIPKE EVVATGAATA CC84DRAFT_1216073 MPSTEAKLLSDFLVAPASLRDFMTLRQFTDIFPKGHRSNPAVKE LHRELCTLRERDIDAVRQDIALEVKRSKQLRRDYARERRQVDEANVVGLDPVALRMEQ ELSGAHGRKPHTLQTVHASIEEACEALEAQIADIEQENRAALLEVEDTISALSDLRKG SFPQTASGEDIGQEVLATLKRLEAVCLEPAG CC84DRAFT_1163315 MAPAPTVEAQFKKDEKALCFHHELMYEAKIIEVSSKEEAEKKNG YQYKVHYKGWKNTWDDWVEEDRLRKLTPENRELANSLRHAVLEQQRAQRAQAAPVKKK TQSSTRGSEERQTPVQTAPPRGQKRMRDQDLEKEEAFTTRRAVRILMPDRLKSILVDD WENVTKQLQLVSLPAKFPASVILDEYFAHATGSGMRTSAEIDILQEVIHGLKEYFNKA LGRLLLYRFEREQFFDIYSQITQPTGDLAGKAVADIYGGEHLLRLFVSMPELIAQTNM DVQAVNRLRQELGAMTHWLQKEPQVTNFFQSVYESPGSAYIEKVKTST CC84DRAFT_1163316 MPDPILLLGISGPSSSGKTTLSRLLRDAFPPNQLTILHLDDFYL TDAEIPVKNGIQDWDCIDSLNLPQLQQTLAYIKEHGRSPPDFVSKEDQNSVGEHGVGP DAVENAKVRIEKVVKEVSWKRRICVVDGFLLFSDDMKAVRELFDVRMFLRTSYATAKR RREARSGYVTLEGFWEDPPGYVDTIVWPNYVHDHKFLFVDEDVEKELDESVCRRIGLE GMPRDAEENMERCLEWAVGVLEKVIRGEGPKS CC84DRAFT_1089200 MEPSPLTQVSQQSFQPKIIHLYETLFREEDEDVELSEGFWEEFF LHRPDHAGFKRVLGRIPPDEMLHLQEHSQALFRRAIARIKAGSAPSDEIALETLTVFL DAALSKKYTNPSSDIISVLAGLHDADAVMAEFVGALDMVIRNGRNIPLRLKAVRTTLS ITAAGFLTVLPSYFTHRDLFPSLMKYIQDGGDHNQALPAFYLLGLLVNYNKFEFQNPY RLRLDDFVNDAIIQKIVVSFGETCVTLRDAYVAVQDDMPEGWSLGSTLNYFSLGLLAP ASRPATPTPSAEESKSLFATLPGPEIGVLLSTYDFANANKVFCFTLVSAEPANKGDTS PMSAFLSLTSYLFQHAHRSQRAALYTYLSLFVLQILVEDQSLVKRLCSEESKLSVRLC RQRQPYLPLVRGDRTAASVIIDLIIDGINHNLRRKLDINFYTLSLGILLRLLTYLSRA KVRISYHWSELWRSLLSFFRFLTTYVSDIRALHRSTEMVNALTSLLAFALSSGENFLP DPAAYDDLFYKLVETGDILTKFRDAFDLSSSSSMQTLVNVSGHYHALLEGKGASGGGS GKKNLSPKEVSSVIKQGYETLSIEAGEGLERWERYREAEWKGVLKRVARVVVEDAKAL KDER CC84DRAFT_1216077 MLFSVLLLLTSSSALPSPNFPNPPGVPGLLFASRIEASNLPPDP WTGTRLITEASNRSWPNGACGPGDAYSALDAVRQCAISLVSEIPHWRCPCSDRLDGPG VYHCMIMDGGEPAAVVKSWSGTNKSQSATCGEVGQAVIWVLDNCSRNDRQDTAGWKSV PGNENHLVGVTNWR CC84DRAFT_1195399 MPSVTQAQALEQPKARAPLLEERFAVLKKSLVKPENKQKVIESY DRLIKILENEANFIAKNGPSMVPAIDFNEVRMNGGTLPPDFAKLVRERGCVILRNVVP EEQAVKWEQDLVDYTNRHKNSGTPKFWSLYWTPPQVQIRSHPKVMEAMNCISQLWTVT DPSIPIDLTSQVVYPDRFRIRLPSKEAEYVLPAHLDSGATERWEDEANRSNYAAIFEG NWQEWDGWVADKRIDASSDLYHKGSSCSCWRSMQAWISLSHCNTGEGTLRLLPSLKAS VAYMMLRPLFENDVYDDTQPTFPGATPGLTQFKPTTELHPHLLMEKSIVGIPPVRPGD YAFWHCDLVHEVDKYHPGKNYSTAVYHPCVPLTPYNIDNLVHVRDAFSACDVPRDFSG SQSFNGNEKEHADHGARRENILSNEGLRAIGLKPFDVEQAGLTEGQRAVRQLANEKLG FA CC84DRAFT_1143372 MFTPVETTIGAFLLHQATSILLHNNGTILGASEYMRRVVSKPTF GVAAFFAGMIASFPLLRLTLPELLTRYPPSPSTSGSAVMTVAMGLLVGWGTKAAHGCT SGHMLCGLSRLSGRSALAVAIFFPVALTTHHLVHPSLMTSVCTSDVPCYTATYPTQAA TTSLIALAAVTIASGRLIPRIVARLTKNTKNAGDKSDDTSLARHATELFAGLEFGLGL HISQMSNPAKVAAFLSFPRLEVWDPSMMLVIAFGILPSLLENLSKGFSKSPRFTTKYE LGNKTFADTDWKMVLGAAAFGVGWGMSGTCPGPAVLRSIAQPAWGLLWMGGFWLGGMF LSEEPEGGMCG CC84DRAFT_1089997 MGRGLNFLDAVDGRVITPRKRLELSKSSEISKHPEPIYSPKSLA HGESRVYEIPSVLAGNRFTEALGDSGARHNFMKEGFAKRSGFSINRSVTIDVKVGSGK KVATTGTIETSYQFLGESEKHRLVFHLLPTCVHDVILGNPFLKFTKTLTRNLSRIKEK IVKGFVDRHFLYLGNSAPRFSGLLNGTPQEVLADSGAKVMVMDEKHAQNLGITIMRDK EYRTRLRFADGSTAKTSGMAHGVEWQFGPGGIDQKYQLDFHVLKNAPAKVILNDSFLF DTKAFLNYDCYLTDDEDEDEDAYFFVINVDTRYQRNGPSSANRAHTELIRRAEEEDRI ENLPLDEQDDAWILERERRTQWRRQQNLVQNGSTSPLHSSSNPATSSQSIQMQQGAVA PNTRWRTR CC84DRAFT_1216081 MNPPPNISDIELGRVRDGYPALAAWIARDPDGEAFVFRKFDRLA ARNILHLQCRLIALEHEIDCLDEETRTKGDDESKQSLRRWETLITSSKDTARPENKRL AMLDELKGLLEEYYETILRQSQITNLKGPSGRVLETFRDYVDGRAFKHDASSAPTEDS IAPMRLISGRAKHFLEDKFDLVALRRGEEEDQLSRLLQHHWMFQERKTDDPFDRTTIY KHHHVVRTVAAISMTLAAILLIVAIISLYSVSNPKAKLGLVAMYTFLFAVSVALLTNA RRAEVFAATAAYAAVLVVFVSGDIGGVKEEQCLVQLENGIFKMIRCPG CC84DRAFT_1143376 MAPIATQHHHRSTTKQPQKGFKSRHASKGALKEQSKGKVESLEK GSRKTPHQQVLSKFDRRNRAKQMRINKDHEHAKATNVFNGKDGAPRVVAIIPLCSDVS AAGAVQSLNASLDINEEVPDAGWTRVNVDRFKQKIQYLVVQRDLLASLDACRVADFVV FILSGQEEVDEEGEIILKAVESQGISNCFTVVQALDKIEPAKRRPQVVSSLKSYITHW LPTTERVFSLDNLQESSNLVRSICTTTTKGVKWRDQRSYMFLEDVVWPGAKSAAVEDG TGEVILTGVVRGQGLKADRLVQVGDWGDFQIEKIVAAPLETRKKAKGDAMAVDSEPGE EVLDRAGEDQDDLADLAPEETIMDDVTNYAASVAPTERKGVLLDDHHYFSDEEEVDAP KRPRRLPKGTSAYQAAWYLDDCSDSGSDLEDFDMEDAEPTEDGARAHPADGIEGMDID GKAMTEAGPSEYPQSEMFLDPAPEDEAAQIEAYRKSRKNDAEEDLEFPDEIELHPNVD ARERLIRYRGLKSLRESVWEKDEDKPYEPVEWPRLLEISDYKRTATKFMREAWAGGVK PGTRVNVHIRGVPLSLQSSRPMALYSLLRHEHKRTACNYSILLSSDYEAPIASKTEII AQCGPRRMIINPLFSQAGNTPNDVHKFDRFLHPGRSAIASFIGPITWGNVPVLYFQRP TTPAPEGDSNAQPTATEPSTSPLKLIATGTSLPPSLNRVIAKRIILTGHPYKINKSIV TVRYMFFNDRDVKWFKSLPLWTRRGRSGFIKESLGTHGYFKATFDGKINPMDAVAVSL YKRMWPRTAKMWQP CC84DRAFT_1163320 MSLPGTTRAFARSSTSTFAKFASPSLAARRCPQCFRTFSVAAPY RTSSKRQLQTASVYHPSSLQPELPPRNFGVPDTSIAGGKPELHKSLPPRTAAQEQRNT AATQEQPTLSIPDGEAQKSQPAPSKPLRARKYNFGKRKAVMKLSASAVSHLRELLEQP EPKLIRIGTRAKGCSGLAYHLEYVDKPSPLDEQVEQDGVRVLIDNKALLNIIGSEMDW LEDKLNQRFVFKNPNITEQCGCGESFSVG CC84DRAFT_1163321 MAIIHLVFFAWKSDVSKETITDALERLQALELDCVHPISKKPYI KSLKAGANNSPEGVSDPYTHGFVVEFESAEDRDYYVDQDPAHTDFKSFAGPHLAGVKV VDFEPGKF CC84DRAFT_1163322 MTAAMDLPEQLVYLIIRFASSIPDLPLAVRSPRTTTTLSLKQLI RPHLPFSNAASRLRLIYAGKVLADTTPLSSSLRIPPPPPPPPRRDEAHSEHGSDGKGK GKEPVRDVLHMLDTADIPPDAKRYYIHCSLGDALSDAELASEASLAQTTEASLESQHT SLASGRRASRASIHSASDHGPRRRSSTATNTPAAPQGFDRLLSSGFTPQEVATLRSQF QTNLSYTHTPDSMPSAAEMRALEDRWLDSTATDPSAALAGEGGETGWGAGFAVEEGGL DDMLWGYLTGFFWPLAAFHWGFREEGVWARRRQVAVVMGVVLNAAFGFMRWSQ CC84DRAFT_1216087 MTGGSVVGSVWYGLGGEMRKLGDMLDAEEASWAQEKASLQTRVK KVVQECDALKNRNDELEKEGEGNDEEIERLKEEARRRG CC84DRAFT_1216088 MQMLNEKERLEKENSELKGYKLITTNVETENPSTRQRARKAQRH REKLASMIKARDTSIQNLKEYRQEQTATIKINDETVKWQDDAIKRKDEDLETQDRTAK RQDGIMETHEEMIKRNKHRIKDLKETRSLEL CC84DRAFT_1216089 MSAHKVPQWPPELNYGPNPPRPRTATTSVAQLDATHNQEATKEA VPQKRTAEELLEQKRSKLQKQHENLNAYFNAEKEEYKQISTLLQQEKLTSFNTIKHLE QEKDQLRSELDSKTKLTTSLDTDLQRVMKDLKSWKEECETRDAEMKEANAKMDRLEGD NYQLKQDLAKNKKALEARKSFCDKKEAIIRDMTDNANNCRQVLAAKEKEMQEKESDKV HLKERLRNSDLALESRNKEIRQKNEEIAGLSAIRDSILKVAAEAQKTTAGRAEKSAPA LPPARTVTLPSTRAANSAHSRK CC84DRAFT_634751 MFCTCAKGHVDNDNIWTMKCATDGTTTMTKGHDRRGTKRWPSPL LHSGGFPWRMASHRFVICGGVLGATRSNDSLLGIAEVLSATAPRHSRRRRTPGQISIQ DQRRATIKHMKTKSEAPDGNTGTLTLGWSILHAHELFRCRGFFEMPGPLA CC84DRAFT_1174956 MRAMHTVSKHQLASISQQHLSYCKGPLTLSASKPLDYPARYEAR VRSGTTAGSSTSEGHGRRDGRPSALASERCAPPRAAGPNRLAGRRGLTAAHVRAPLAA GGRSGSAGRVREAPGGRRRCGRIAAQRRQCHGDAATPCSRLFAGSAAIASARARSGVV ARGGAIRPAGGHIAHSSLSSLQFRAVGRAKRRLRTLDVDLAAACAPWHGSPAAADGWL HTSRHHDVIARHHHGALCAQPSRSCAPSPAPRPRPAPAERHPRLPASLAGAPRA CC84DRAFT_634784 MAMSTNAIQAQEAQKADTTTTNTTNTAAAAAPRKRRRRAPATGA SEDCFACRKRGVKCDRRRPYCGQCIELGKECSGYRTTLTWGVGVASRGKLRGMSLPVA KSSTQNASTTRDSKTQTTTAATVTNQTVTAAQQSPTRIEPRGSIDYGVHSPTSPASPH SFSGPQEYHYFGPTSPIPIPSPSTPMGFALPGYGEHVDPFHPHSSKLRRPHLNQGPLQ RLHTSLAIPYEDNGLSASSASLGSYGESDFPSPGEYPRTPAEEYPFMDSSSMPRFSNV SYHDQQPIHAMDHIRYHSNQHQLPMADDLSSSISSDQSVQDFNEVSSAQQNMGPPAFP DIFIEGEMSSSLGGLSQHGFHYLPSEGTSSYGSGPLSIDELALTTFIPQSLTTVSHLS PRMLYLLDYYDKFISRVLVAGDGPTNPYRMHVMPLAMRSEGLRNAIAALATNNLRMRG GIEGRRLSYAQDYGLLPEHAFSQMTTWELREMHGEPSDEEKHYKAQSITHLNQKLVDP LGAQDDSVLATLLILCLFHVCDSGFTKFRTQLAGVQKLLSMRDRTVRSDFIDWIEFFF TWFDVLTSAVNDREMEVQGESLGMMNLTANLGAAEHLSGCEGRLFKLIARLGRLNLLS QNRPVRENDTTPRASPRLKRRDTYAFAFNSIDGNGWRPSTVQPEATSPGSGTEDHRTD FWEEWHDVRVRLQEWEFEHPLGHAPSGMLSPADTAMLHMSESFRYAALLYTERLAHPT LSSAALNFQSLVSQGLFHISQIGITSCVNKFLLWPLFLIGTECVQNEHRAVVRQRCVE IQRESGFFNNLSGLEVLEKVWQEDSVDGEQLPDGEGEGWATPTTSFAKPPQAFRWRKA MDRVDGEYIMI CC84DRAFT_1163324 MGYHTARDRIGDAPAITGGPFTLSNTPCGPGHVVRVSPRSLMGS TVDTNGDERQSHAMRSKHGEQESGPQACRPSLAHACLRADLPARARPYRSRPRRAFGT SKVQDERENGRMQERAEANAHYVIGCAAVTVLTRGLVGSLSCFGDAAWL CC84DRAFT_1163326 MARRRQSSGVAAATTSSSDGPSRSRQQTKVPFPANVAHLHDAEP HPTPAPARRQSSRNISSHASTNPNTNPDVVDGITALRASPDNVELASIADDLKPDREI PRQPTDATPAAPNALTEHSSIDVPAEAHGQSRGARSRRPKNGSAPAPETAAAGVPEQP PGKNKRKRGANAKEHVKVDSAAANGTTDAGSTTATTASSGPAVEKDVGVTVDPEADED AAESEDEIKEALSRPPPVNSDYLPLPWKGRIGYACLNTYLRNANPPVFSSRTCRIASI LEHRHPLKDPSQPEHATKNRPARDQPADVARGQRYVEEFGLANARDVIKMVRWNDKYG IKFMRLSSEMFPFASHDEYGYKLTPFAADTLAEAGKVIAELGHRVTTHPGQFTQLGSP RKQVIDNAIRDLAYHDEMLSLLKLPPQEDRDAVMILHLGGAYGDKPAAIERFKENYSQ LPQSVKNRLVLENDDVVWSVHEILPLCEELNIPMVLDFHHHNILFDSTQIREGTKDIV DLFPRILETWKKKNITPKMHYSEPCPEAVTARTRRKHSPRVMTLPPCPDTMDLMIEAK DKEQAVFELMRTFKLPGFDFFNDVIPHVRQDENRPWKPKPKKAAKKSKKKAEGLEELD AKVEEEAEEKPPPVVPEEEVGMGGPDRRVYWPPGMEDWLRPVKRIIKKKDDADGAATP AKKKRKTAAGAANTDDVPSINGDTSAEKKRSVKKPAPKRATTKQKGPGKTIPAPSPSD EGSDPLSSLGEDDDGDEGGGAPPNKRAAPAPARKRSGRVSQRVSYKEEEADD CC84DRAFT_1174959 MPSRTSPVPQLTSVDKLRSIAMSKRSSEPEAEVGRMRTQNLILK SENRSPMSEGASASPMKNDTRNRTISLRKKTRRSRRRPEFENKSPEKRLRTIIRVWRT EQVRHLEQKSTLCPLYGNCDSSCRSVIRSATTIGKNTISLRLYKLSAKQCDVIAAPAS REVDASNCSRPEDPPRVPSNGSALSIHMPTPCPREVQSKTLAGATNSTIR CC84DRAFT_1163328 MSGWFTLFTNARYVLDGELVEDHLVISDETGCILKREGYIGGDV VDLDDNIIAPGFLELHTNGANGFHFTHFEDERSYAQNMDKIARYYATQGVTGFWATIP TVKAVEFQKILPSLAPRDIPTSASLLGAHTEGPYLHPDKKGAHNSSLFQICETSPSII YGASNLSSSVKLVTVAPELPNSAHLIKTLTSSGIKVSMGHSTASYAEGLAGLDAGATC LTHTLNAMPPFASRIPGLAGLTSLPSTHKPLAPWYTIIPDGEHLHPATVSLLYKTNPK RSILITDSIELASLPDGTYPGHSQIPFEQTKSGTRATIAGTDTLIGGCIPLQEGVRNL MSWSGCGIAEAVGTVTENVAAFMGIDGIGGRGVLREGRRADLCVLNENGEVLQTWVAG HKVWDKEEEVGRREADGEARG CC84DRAFT_1143397 MEQQQQHKPGGHYSGANPVPTVKKFIENLDKDKAERDRKLDEQQ KERKQKAATGEATPHQVHKAGIEGTQKVVTDPTTGREVTIEDVNKSMMDQVENPMLSV PNANLQKPTPIKTDPSDSLADYKHNQDVTAPPDPVAEGTTSDVPIHGEKTNILFHPTP SVSYEPTFVALEKRATVLCVGILVATVVVGKLFGGALLGLVPLGLCLSSGVFLWVKEV IRSGREVEWDSEKTRGQMATANLLPESVEWLNTMLGIVWGLINPDMFVGVADMLEDVM QASVPGIIENVRVAEINQGSNPLRILSLRALPDTHMSEMKQAIHEENKKTKDPQEAAA DEEGGDYYNLEVSFAYHAQPSGKRASDKARNMHMQLVFYLGIKGLFGVPLPIFVELQG LVGTVRLRMAMTPEPPFLKTLTFTLMGAPQVEAGCIPMVEKGVNILNLPLISNFVNYA IKAAASMYIAPKSMSIDMRAILQGDSIQKDSEALGVLWIRIHRAVGLSKQDRRGSKHG GSDPYITLAFSKYGKPMYCTRVITDDLNPIWEETTALLVTPELIKANEYLSVELWDSD RHTADDIVGKVELSLQKMIQHPGKMYPQTSKLAGMDADSEMPGELHWEVGYFGKPKFR PALRTDGKNRGLPEELKNESSLQDEKGVINSETDDAVMHTPPDPLWPSGICSVIIHQI VNLELDNVKGTSGSRKGREFEPAKPYGEATEETGGDLPTSYCTILYNDELVYKTRSKA VSSQPIFNAGTERFMRDWRSGIVTVTVRDSRNREHDPILGVVPLKLSDVLETSSQVTR WYPLDGGIGFGRVRISLLFRSIETRLPPTMLGWDVGSFEFASDRILAIGYSQAAKLKL RTGGSTGKIGRSGAQKLDEGDGYYWDLKADNGKNNVRLPVKHRYRSPIVFEFHIANKR KAVAYAVIWLQHFIDNEDADINIPIWTTSSPARLTQNYITEDNCKNEPGLEDLEEVGR LQFRGRFKAGMDESHEHFIVDNPTRETYETWEACLTEGVRTRKVEKELPDRIQQLHNE SLTEGRDILKNADPEEKKKWLAKDGVDWSGAFGQDPKAYLDTKGKKRREPGRDEPLHD PFHPSDDEDNDDDEGSYSDTSSDLGIEDAETKQNQSSNGNEPNGGIKHQATDLSPTAP RISQSTSRTDTTASSSYSSQSSKDINKQNKRTEERKQRGMMQWKPARNAKFAKDQAKL GVQKLKNKVTGDLNGRQPGVETETGT CC84DRAFT_1143401 MSFLVDHARNAYNNKQTHVVIFSSTAIALYGYDQGMMSLINTNY DYLHTMGIGESSPIVGVIVAIYYLGCAVGAVLFSKMSDKIGRKRSIFFSLATASLGNL LMFLSGFGYTKGALGVMLTGRVVMGLGVGGIDCVIPTYSSELSNDDARGKALAQEFQS NIFGLLMAFGLNLGVTVYLGKWNQWAWRIPIIAMQIYPVLLMAFVERLPESPRWFIYN GRQTDAQAALNDIYGNEGDSKLDELLDAHEKEKDQEIGYLDMINPSHPQFHPTVVTVM GQINQALTGYGAVSVYGPQIFELLGFAVRDAEYLTLGNYTSYFLLMTVAWLLIDALGR RKLLIQGSFILCVSFLLLALFGGLAENSSKFDIPVIVPGILGTIVLFIATGAFGIGWL STVWLIPTEIYPTAARSQGTAISVIVWGFANFAITFLTPVMFNNLSYFIFAVFAASNA LAGVWTWLYLPETGGRTFEENQTFFTDAQEAGTWRVSKVAGGEYAVFKYPDPEGEGVV DAERVPLLQRVEDQVPSVE CC84DRAFT_1204689 MALPILPVAGAIAGLTTAAAYLDAKFHIRKDLRALRGLNSAQRD FDAACKKNGRSLWYFFEAQAHQQPESSEAIWSRTACYTWGETYANACRYAQFFLQNGV KPGELVSLYLTNQPEFIFAHLGSWAVGSAPALINHHLAGDALVHCLKVAGGKLLLVDE ESEAQARIEAVRDRIEGELGMTIRILDKHTKGEILRMEPKRPEDEYRAGVMGTSPIFL FYTSGTTGHPKACPFETQRAGVLAVGRTRDLGMKPGPDGDRWYVPMPLYHGTGGTTAL SCMLSGVTLCIGKKFSTSKFWTDIRDSRSTAFVYVGETARYLLANPPSPLDKQHNVKT MFGNGMRPDVWHRFVERFGIEQVAEFFNSTEGVLALMNVCRGPFQATAVGHQGAIMRW KTHDLYIPVEIDFETNDIARDPKTGFAKRKPYEEGGEILVRLPHESAFVGYYKNPEAT NKMFVRNVFKKGDLFYRTGDALRRDEHGRWFFLDRLGDTFRWKSENVSTAEVSEVLGH FPGIVEANVYGVQVPGHDGRAGCAAIYIDPALLPKFDFNALLEHARAKLPKYAVPVFL RVLNDITTMHNNKQNKVPLRNDGIDLRKLQERKDTESAKAGLATDSIKYDTIYWNPSA LNNVKGAGGDNDGFVVYTMEDWDNLNRTLPKI CC84DRAFT_1089994 MHHCALCSNGFASKAAYNQHLANHEEPGKTQWPCPVCSFTFSDS YALETHKAQTGHSGEKYTCDKCGSVFPTQSKFKQHTQFPSPCYADTSRTLTNPTQTYG QAGYIDLDTSTLVLRYDSSRDAPSRSPTPSDVSGVYCHDCKRTFTSMALYNRHCLSCT VQNRTAVEIGDTTARAAARPPFRSQAELGEPKMNSRAVSGQSPPVSQFIQSRPAPTAP VAPIRAARAQAPVASPSVGAPQNAVSGGFVCGINNCSRVFRSEAGLKQHKIDSHSVGG RGLDLQGRDSWMLPQSSRNQLQNLGVCRPLGPAPKPSRGNRHDVPPSIVGVARHVQAP IAARQPSRNPVHVPLPPADAPNGLPIGSPADLDQANDIHDKIMRMLITADIAIHHDGK FVYDGVEWTRISVDRQNEVASRFDELVHLKRLSKQGQARQHVTRPIAFQGESVGDYLG SEFEQLPDPWDGSVNVIVLCCSKVLLENQCEEVAKIAAVDVLTGRPLMSYLVCTSATE KVRDWRASLTGLSSFQDFEAARAQKFKILKGWTAARAALGKFVDQNTIFLGCNLRGDL DALRIRHGRCVDLIKVIEKAAENRPLSKGQLHLEALLRDLLEKRLPTDYFGRDCLQDA FAVRELALFSIKHNEKFVRYVRDKVREYGLLNPTRQ CC84DRAFT_1163333 MPDPRVFIIRHGETEWSLNGRHTGTTELPLTPNGESRIRATGKA LVGADRLIVPGNLAHIYVSPRRRAQRTLELLDLGCRERYPWKADAEEKTDEEVRTHAP IEIVEGIREWDYGDYEGLTSKRIRELRKEQGLPEDWDIWRDGCPGGESPSDVTRRLDA LISDLRARFHVGRFGSGAGWKGEDKSGPNDVLIVAHGHILRAFAARWVGKELKDNPSL ILEAGGVGTLSYEHHRVEEPAILLGGAFVVDVVEKEEERRKT CC84DRAFT_634914 MKASLFALTSLATFAVSSPTPRANKVQYAGVNIAGFDFGCTIDG TCSLTGTNKPYDIVSGANAVGQMNHFVKDDSLNAFRLPVGWQFLVNGQLGGQLNSNNA GQYDRLVQGCLNSGAALCILDIHNYARWNGQIVGQGGPSNAQLADVWKQLATKYGKQS KIAFGVMNEPHDIPDIKAWAATVQAVVTAIRNAGASNNLILLPGNGFTSAESFVSSGS AAALAAVTNPDGSINNLIMDVHKYLDSDNSGTHTECVKDNISNAFQPLADWLRSNKRQ AILTETGGGNTQSCQQYLCSQLKYLNQNADVYIGYTGWAAGGFASSYELNETPTQNGN SWSDTSLVKSCIVGVWKGQ CC84DRAFT_634913 MAPQSHRDIEDYVVCNPSDDEIEVQHFGRDDENEDDERRINDRE YLFIDDDEESPGSRAKSPLQEDVFPESVEVPSYRLENGSIIRPGDTVELIDRTERNPD LTLSGDFLRITAIVEDLKTHESKLNGYRLRRCSYLRPLFDGKLNELFMLIEASEDDHR PTMVQGLQSIPLTEVLKKRNCEFTDKCYDAYDVRMANRWAPAGLKTSMEIKDWIFRHG TLICRYVHTVVFDHNSVSYSGEVRKLYRRETGHTIKTPSPPICTSSQYSSTSSQSSST GFHSRKRRPSIEQIDGASSKRRSPPAKAARYTFGDAFCGIGGASEGAGQADLFVKWGL EKDALAMHGYAANFPSAESLRMDAHDFSAIAKRCEHGVDILHMSCPCCYWSEAHTNEG KNDQENMDTLLTVGPFLRAVKPRYATLEQAPGLLKLKKHRLWFRKLVNEIISMKYNVR WRVTDQSEYGLPQRRRRLVFLCAKQGLPLPAFPKPLYGPKDSGLPYWVTVGDALDALE RRGARADMHDEYHQPAEERILARPREPVDPRTILAKCITTSGGANIHPSGLRKYTPRE IAQLQGFPLDYMFTGSRGEATKQAGNAWAPVANTKYFLLLAQFLEAWDMGYIEADDDV EDLYNYIEDKGIRVPSTTRYLKKLSKPIVSRKKHPLWGSTYQVDRAPRQRAPRIAPPA LPSREQRQVRDAAEIARQRGELIDVD CC84DRAFT_634908 MGAENSKPSSDVSQHVFSSDTPVRFSNGLVDSLQKNTQTDSTRS KQQELQYQQRLTAELEKLREKEAQNLSDLSKTLHVEEPSEPTSLTDRLSDVTEKISDA TSSSATLAEKRRQQDMSNSSVTKEIEALRKKLDARKKLEQADPQVNKAKDDVVACLRL HDRRPLDCWKEVEIFKKEVGRLERDFVEKTIR CC84DRAFT_1163335 MPLARRVQPPASLSEHNHAPTQGRASEPAPKRPPAPLFSTPPLP ENVEFAPLTHELIPSFKRILSLTLPVSYPAVFFTESMDEPFHSITLMALWHPAPVNGG AHSSIAQEPRLVGAIRCRILPSANLYISTISLLAPYRSHGIATHLLQKVAAEAVELHG VRCVTAHVWEANEEGLEWYKKRGFDIVGKEDAYYHKLKPQGAILVRKWIGVADLLAHP DAVSVVDR CC84DRAFT_634948 MLFNELALLSALSAGCLAVQADRLAPRQGDGSIIFTTICLGPRC SDAPAPTTAPPKTTLRTTTTSKKTTSSTKEPTKTSSPSQPTKTQTEEVGPSSTRCPVP LYYQCGGYHDGKPWTGCEVCVKGAKCIWQNDWYYQCVADDGSL CC84DRAFT_1174969 MPLQKPPPKSRRVADQKVRADKQIKNQRRKTLQTISELAPHHAT TQGSTGSSSWSRNDVITHDSPGNLGLKHSRNSRRRSLPVQGLASQRDCTGFSERSISS SGVSTLQRSGTALNNQQGSSRNNPRRRSMPTSFETRGRSLSPVAEGELSRQPIPDDLL GSAPSRSRSGRQQSDGPSRGTDQQGGGWNARRKRDLIRREQALMEANARRTKEHLSLA IGSSAALPTVSPLPASRDDLIAQQSRYYSQLPSLSQPAGANSVRRNSRGRNGRQVNGT IIDGRLFLDMDPGNAGSSPQTSGLRRSVSATSDRHWTSHREPANRTAVTAIRSVSSTS LLGSALAERQLVEPRSSSSLQTSSNSTRSSSTKSSVSSSTTKPTQRSSSFTYTRLVDH PLRQNPVTRTMGSNDCGPALTQANLETSLPPPLSHAFLGSQLVTQTTYAHSVPASPKS VASRQRPRSGSASQSRASSVHVQKVTDSNDIIYRENPDKRGPATPDSRNGSRRYSREE TERQVAREKIKERVRRANELEEKKERELVEEEQKRKKAKSRGFICGLFGKA CC84DRAFT_1163337 MPPSARPPSAAGQARGARPAPTEQRSTARKSAHQMESPRKESAQ SAHAGAGAGATGLRPPSLKHRAHSAPMVPKSHHDASDDDDGTASLGEGTEDWEIAGDE FFQRYHFPEAVQPTKLEISSSEVDSSSDTEGPLSPTSLKGRHPLQMDIRAPPSPRSPA PSISSGISDSSAMQDINIAVLGARGSGKSTFIRHALNLPTAAPGAVRTRKMTIDGGLY VVRFLEMSINDVQVRDQNCVKWPDTLEDLATPRIDGAITIYDVTSEQSLARVPEILGL LSRSGLPFVLVGCKCDHHPAHRKVDPAVVEKKAKTFLGEVNTFQTSEASPDAYRGCLS VITRAVISSKRPRSQASMARRRANSSAVRSSTARDPWDRKHERANSEFSARLRPSSAE VKGHRYKASETNKTFFNFEEESPTYESNESDGQADDGDALACNLPSDENGYTFDQLVD RLLAQPTDKKDTKFGHMFLALYRKFATPGQLLEAILKRFEALKRRSPPVLRIIAQMRY LTIMQQWTSHYPGDFAYPSTRRTLRRFATALAGNREFAVAAAEILHDYEAITDDDDTD WACSDRLRAQNEQIPTFHTVLDEDSDDDDFTKALGHMSMSSEQRLSIARSSMTGHSRS TQGTTGTNSSTQTLLHQVELNERLARHLVPNPIKPLTKLQWRQFMEEPEEAIAKELTR MDWIMFSSIRPRDLIRHVSMSPEEKKRFKSLENVTRMTDHFNHVHFLVQHYILMRDKH RHRALMLEKWMKVARELRKLNNYNSLGAVLAGINSTAVHRLAATRELVPQQANLDFMK LEILMGQSQSYKCYRLAWDNSARERLPYLPLHRRDLVTASEGNATFVTDKTKQPEVFG AHPGTSVSLGAAGNRNSREAPPGGVTGKERINWKKFELMGDVIVRVQRAQGTPYPTWR QNDEIKNLILDIKIMKDEEDLYDRSLSLEAAGAGEKKKFASWWRER CC84DRAFT_1204696 MFAWEGQPRCIVCHRHPDPDTAAIPASSRFSNEPPIESKHSTPL CELCPHSELSGPATAHPAPSAIIMTRPPPTLTHHHSTRHPTPTAPSPSPPHSPYASLI RARSSHRTHFIIS CC84DRAFT_1163339 MSDEETETKPFKFVTGFDARFPNQNQTKHCWQNYVDYHKCITAK GEDFAPCRQFLLAYRSLCPSGWTSRWDDQREKGIFPCRLDQ CC84DRAFT_1258292 MFKPTALRAMQPTRMMFMRRSAPMYMRQTARMMKPVPKEEQSAH GVSQRLRQLKKIPAELIPLGIVLAIAVFAAIFSLGRKLVVDKTLRLKRQSGH CC84DRAFT_1258293 MAADSSRLKATVFVGGLDHAVTQQTLYHAFLPFGDIVEVNLPKP DAPSSSDPHRGFGYVEFETAGDAADAIDNMDRSELFGQVIKVAAAKPQKDANEGLGSK TAIWEQEGWIAKHAVSEEDRQAAQQAPEAADDGPMDPMQGLEGLDEAGPKPA CC84DRAFT_1195418 MVRLREIPRTATFAWSPGPRQPLIATGTKAGAVDADFSNDTTLE LWELNLDSAEQGIELQPVATVNVESRFNGIAWSQPSESYPRGIIAGALDSGALVLWDA EKLQKGESDALIEQIDKHTGPIQAIQFNPFRPNILATAGAKGELYVHDLDDQSKSFRL GKAGANPDEYTSLDWNKKVAHILATGSSGGFVTVWDVKQKKENLTLNNYGRKTVSSVS WDPEVPTRLVTAIPTDQNPLVLVWDLRNSNAPEKTLQAHDQGVLSISWCVQDSDILLS AGKDNRTIAWNPHTGEYLGEFPVVTNWTFQTAFNPSNPNLLATASFDGKIAVQSLQNT GAGAEQTKSTAQALDGEDFFSKTHVEPQGASFSLKAPPKWLRRRAGVSFGFGGKLVRF GIAEGKSKVSISTFEVDSDIGAASEEFAKTLEQGDLAAICESKIAKASTDEEKADWKV IETLISDSPRNHLIEYLGFSGVEQDEEVEEKKESAGTDGAEEEGASFFDQSDEGGNFL SDLAASKGAKTNNPFSIYSGSESDADKKITQALMQGSFETAVDVALKENRLSDAFMIA ICGGDKCIAKARAAYFKKQTDGPKYTRLLASIVGNNLWDVVYNADLKDWKEVMVTLCT YADKTEFPDLCEALGDRLEEAITEETESYRKDASFCYLAGAKLEKVVVNWAQELQENE AASVENSTGDNSFSIHAKSLQDFIEKVTVFRQVTQFQDQEQTKEADWKLGPLYAKYVE YADIASAHGKLSIAEKYLDLLPEKYPAADVARDRVKKATRKAAPQAAQRQTPAASAQR ASRVVPTYPAQTPVSAIPVAAPLQQPGSQYAPPNPLAPQAQKPAPSYTPAPSRNAYTP AGYQPSAPSVPQYGGYQQPQANPLAPPPRNFSSSPSVAPAATQKNIPNWNDMPEGFIA PPKPASRRATPLNAVNSPFAAQQGPPQPFGGPSPTYPPQSRPTPPPPPKGPPQGPPRI SSPPTSANAAPSPYAPSAPSNAYTPPPSSFAPPQQPPVPRGTSPYNPPPSSAPPSNRY APAPGSTPSGPPAGMPPQRQVAPPPTQFGGASPYSPAPSSYAPAPGAQAPPQAAAPPP GGPPQGPPRAGPPPGGLFQTGPPRPDSRPGTASSQRAAPAAPKHPAGDRSHIPDASRP IYEILNADMQRVKAKAPANFKAHVADTEKRLNILFDHLNNEDLLKADTIQLMIELAGH MQARQYDEAIALFTDLMTNKSDEGSNWMVGVKRLIQFSKSTPH CC84DRAFT_1204700 MPSSKGKPTDPKLREKVKEEVKNETNKDGGGKGQWSAWKASKLS KEYEKRGGDYENEAGSKNEPKKGAPQPKSGGKKQKEENKAQGDDAASLDDEEQVEEDA EEDAKEDVQEDAEEDEGAKHKANTAKKAKPAKPAAAKKTGSRPKKEGAEKKENANSKM KEEKKPAEGTRKSERNAGKRKDYGEEGGEKEKKKAKK CC84DRAFT_1204701 MASVSSMPSLDGVRLATPEDLARIATVAAAGFFHSPTFHYQRVH YAAYPDDTLLRRHEECGANLGPQGCSSYWSEYDRAIRDGTSVVLVAEDTLDETEGNDV YPALRDATAYTPGASTSGSKLVVGVASVDIKPGSSYIGMFQPPRSTDQTDGRDLPANA QRDLCEERVRAYKEATTPAKIRYLQGQMRLSTLAVHPAYWNRGHATRLVGYITRLADL DGVPLGISAVPQGAIVAARAGFEERELVRVKQACVHKETNVAEVELWVAIRRPSGTPV SGESLGDNAMSPESQ CC84DRAFT_1088500 MATAAPSATTPAVYEQLPNTAPLWPAEPAPQDYAHQQHILTNPA LPEINTPRGKRSLVKNTVAKVPAAFVARSLKSKVSKRKGPLDEQARRKTHEMRKQKSA CIRCRFYKSGCDHGDPCQKCQKVAGGARSFNMPCCRIRLEEAMLVRHCNAGNGRSNQD EGEFIGYEWLPNWNLLNMDIIWNLPGTGPIQVQPMRITIGQYRPKRPFLDAATNEWST NQGRVVVEQPPYAVYDTHSLVPLLERYITNLQPAVERWIFSRVSHDEIALLTYQEVVR LRARLPPGSQNLLDLCMRIQCLSVVSQGYGTVWSNDVPGIQEYDYSKLGRSQYEAYDR SSRDRPLPGAINQQVDVAALKLLKKLETACHKLVNNKIFKPKIKPWYELFLALYVIFW NLEYIHRSAETYIQSKNGTTVESHVNNVVSRQIEKWEYSFEVLLTYWKTAIRDYVPFK VARENPEELRKKGHLDAQGFDYVMELVSIIDRIGEIGQSTAPHTGLRSTHNSLSSKWI RRLLETSGA CC84DRAFT_1186171 MSVSSLSAKSSAESLRSISSFGSAPDKLAPSVEGSMVWKNSELD PTKYVVNLSAAEVSEVRSAVISIKLQKSAPEQISKDNFPLSKDFSAKLAAVSKQIHEG FGVAVIRGLDAARFNDEEAVIAFVGICAHTCPLRATDSYANQTLSMSPFICHVLDATH RKVPHWAKDIGLAGSKITAKMDFHNDRFSGDVLAMHVRDDGGAGNGGEQYLASFWSIY NELLEKDPAVLETMAEARWPFELKQKDAAPYLELGPTLLFSKGKPICQLVKAPLLGGL HIPRDPSMPDLSKEQMHAIHAVEEVAQKVCTKLDRQQGDIQFINNLSVMHARAAYGKT DEPSTRHLLRMFLRDPQNAWDKPDAWKHNFDDPFTPGRRQEIPIRDLDPWRKISGRES HG CC84DRAFT_635170 MKGERSRPCDSTCTSACGALSCDTVSIFRKWLEVRTDLLGSFVR LAKSAAQNSQAEAMLQSNLLSDRCPHSGGGQWLHSRFVASTIPRHVQQQLKVGNYGDL HAMQYAICNGQTLCSLWRGLRERA CC84DRAFT_1117220 MSSSAPGPSGRKVAIPRLQRTNQSQPSKERRRVGRACTACRSHK IKCTGDVPQCKHCETTGRECVYIMPRKDRLKTVTERSGQMIGLLKKLRAFANDDDGAR IAELLEAAEEDMFETRHTPTISNPDTNEDELHRPGGLFEANMGKLLERLNTESLDLVD ENLHETDQARATGFVGPGSEVQWLRSFLLLERGDSDAATSKRGSSANTTNNEQVSTIT FYLDNKNVDPEFPVEPYELPTADVAEQLLNIYLEKVHDSFPILPRKLFQDQCQKYFEA LNHGSALRLSAKWQAILNLVFAIGAKYSHLVKANWQADERDHLIYQARASAFAWNENT LGQHPDLPQIQVAGLLAFYHLSVGQVSRAWVVIGVALRFATALGLHVRNEDPSASGAK REVLVRVWWSLYYLERQLSIITGRPSAVVDSHCSVPLPVPFSEQQILENINIVDSLRR SSVTAISPIAHSHSFSEPHPTSGGHSSRSPPYFGLADANSGSYFKAVVQLCTISQSIL TSLYSAGTMVRSPSDLQQDILQIGKRIDDWAANLPVNFNFQTPFENLTTPDNSTFRQR TMLAFQLCSAKILLTRPCLNSLAKSDGENKEGSSASFLRRMAIACVEAAKMEVDLLPD QPQPRFVYEFGPWWILVHHLMQALAVFLLALSYSSTMHQNNEVLAGYCAKIIRWLRAM GDSQAERAHQVAVGCYDVIAVRLSLPSTKLWIDPQVPDSGIRQDDAARAAFSAYHHPI AAEMGYGSDLAMPAYPGIAGDSAPFLYAPDPSSYYPQQNRNLCDGNYFHQLP CC84DRAFT_1216113 MNCHTCSRRSLGLLFRSVFDLESAILPTTISGRHPAFSRFFSTA RRQSQLEKAPTNSAKPLESQKIPESSDAAEAEKERPAWMVEKEAVKRKLNGEAWNPRK KLSPDTMEGIRHLHKTQPTRFTTPVLAQHFQVSPEAIKRILKSKWRPSDEEQEDRIRR WDKRGEQIWTNLVELGVKPPKKWREMGVGRAQNGKKPRWKTGSRNAVVVKDSVSGGDF GWAEEDLIPIVDGKSGAPSSRKAHMPFSDRMGV CC84DRAFT_1258301 MALFNPFRSRTENTRYCWGYEFEWTEGHLTEGKAKVLKQSYDVL AEQCLDRLNEISPPSSGALPRREDPAPAAATATDEEKKEKLPHPQRDLYILLRDNHEA DPKLHELWDEVNTVPDWVDWAQIQRGQDVFYRYGGPALTGLTYQSLLGGMGAARVVET LARTGGFSTKVARRRLFETTQHILQCTKSLESIQPGGEGWASSIRVRLLHAAVRQRIT KLAKERPSYFNLEEWGVPVNDLDQIATIGTFCATLIWLSFPRQGIFLREQEKKDYVAL WRYIGYIMGTPHEHFETPEKAKAIMESLLWNEVHPSDMSKVLANNIIHSLEGQPPTFV SPDMLVASARWLNGNELADELGLPRVSYYYWALMAGQCLFFMGVCYFYRAIPSLDHGH VERLKRIFYNVIVNAKYGLAGIETTFEFKYVPEYDTVTDMGKPREIKLKSSGVEMRNL RALGIFFGVVAIGAYAGIRVASSLVKTIL CC84DRAFT_1258302 MASILPLDQANYGRSDVDLNTSLLFDRHGNVCGCPQFWGLCTDL KNVEPSLPDQPSDHLSSGCPQTGNTSHQRHAPLPLGAATEVVMRANMSKYAHTPRLHP MSTAEPHAQPSRELKETCIFWYHGNCRHGADCPFEHELNHNWPIFRPHGYSHKGHRRC NLQFCPFRTDLEDFMQQYYPHGLRVDEILKELDVKDEEAKQTSMTLGDQNDKPNGDET DDGWIGFNSQSNSKEKKNDAINEGSVPDITSARLSDGLQSQAPSVQAAPLGPPKVVNL EEHLSPHAEHLDAEDTALEREIDSASTPTNGDHPNAHFEVHPERQKKYKKTHKRRRTG KLRSARQPDQTSTQPDEEQLDVGSVVEYAEEINREGDTKRPDAEGSNNEHYEAGPAST SADEDRLDARLEVDAGSQMERKAGSKKKPGKKQRVHLRTSAEAQKMGSAPEEEVLVPL PTAIASTTVDSSALVMPQLANTGTVAILSSSPTLPPPGPPHTSLPSTSISHADTQGSK RKTTSPGKWCSDQASASGDGG CC84DRAFT_635322 MNQIPDVLYADRDSTTWLILGASRGIGLEFVRQLLQRGERIIAT VREPYASHASALWGQAGSDHGRCQMYICDVLSEDSIIKFVSQLMGIRNLKIDYVVINA GVLRYPNRATELSFDEFAFHLHTNTIGPIICAQKLLQTNIPIGTITFMSSDSGSHGNF REMEDGFAAYAASKAALNMAIRHMAAELKRKDDDTIILAMHPGEVATDMANINVSWEV QGIITAEESVTKMVDVIQSKGIQHSGTFWTYENKPYVW CC84DRAFT_635293 MGFEQGDAKKGANLFKTRCAQCHTLKEGEGNKIGPNLHGLFGRK SGQVEGFSYTDANKQKGCEWNNDTLFEYLENPKKYIPGTKMAFGGLKKPKDRNDLITF LQNETK CC84DRAFT_1163352 MSWKRSERLMDTIKHYSNFPATSVSLRQMVQFGEKPSTGTLFRA SQFLSEELPIRLAHRVQELHELPDGLNEMPSICRVRDWYAQSFDELTNLSRPNISSEV KNRLLRPAKKTELTSKGSQNPSIKPGQYRSLPETANGNGNGHGREVKGAATARRYYAA ADDGQEWPVELAAYNTKFAEALEKIKRRHDSVVTTVAQGILEWKRKKQRMQIDHNIQA FLDRFYMSRIGIRMLIGQHIALTDQRQRSDPNYVGIICTKTNVRELAQEAIENARFVC EDHYGLFEAPKVQLVCNPDISFMYVPGHLSHMLFETLKNSLRAVVETRGQDKEDFPVT KVIVAEGQEDITIKITDEGGGIPRSAIPLVWTYMYTTVDQTPSLDPDFNKSDFKAPMA GFGYGLPISRLYARYFGGDLKLISMEGYGTDVYLHLNRLSSSSEPLQ CC84DRAFT_1204709 MPSARRGRTGRSRLCRTLCCATYLHLTVAMAQTVVASQTDVTAV AAEMLAASGVDLIPSDHRIAFIASALKTSTKFPTGLIPSAPRTSSIVPSEIEERFFPT GLIPSAPRSSSTVPAPITTISEGTPTPAHTALIPSAPVSSIPEPTTLSTITFPTLTLP APTNGTVPVGTTNVIPTFSPTGMTSTQEGLHPIPAPSTNPGDPDGHHEVPEPNFTITV PCRGCSPVVEITATGWGTVPTFPIKIPPAQEVETKASSSPVITLSVGPSNVVIKPNPS GSDFVIGDSTTIKPGQTVTVGGSPVAVHTSNGHTDVIIGGTQTMPLQPPTNPPVATVT VGGSPIAIKPAPSSGAFLIGDSTVAPGQTLTLSNTPIAIHTSGALTQIVAGTQTIPLA PADAQITDAPVPIPIALPNGATLTPLPIGADGSSPQGYILASQTLLPGGAPIVVSGTT YALALGATALAINGQTTTLRPSYGALLTTVAAPPLTLFGTVYTANRAGYYALAPGTTL VPGGPAVTVSGTVISLVPEGTAAVIQGSTSAMQPLTAVVTVVTSGDGVGQTGSMEGAP LPTVGKHSAGMNMKRGGAWIDEVLVLGMLVVAWLGIRL CC84DRAFT_1258307 MLHFYPKRFEIDVHVEDLELLHKKLQFARLPIGDSESTWGEDNG ITVAFMRSLIEYWLQEYDWASEKRKINELPQFNVKIELGDWGIFDIHFVHAPCEKKTG IPLLYLHGWPGNFTEVQNILEPLRSAGYHVVAPSLPGFGFSSYATKQGFKNWHSAELV HRLMIGLGYERYVVAGGDWGAMIASSMVRLYPEFIQALHLTNVFVKPPENSSALEYSK FEQHSLSKMVWFQQGQAGYGQIQSTKPRTLGFAMHDSPVGMLAWMADKLLMWRDDYQW TKDEIITWTLLHYFPGPSTAFQMYFENLSLATSGEIPPGTERYVKVPTAVSAFAEEVF MVPRSWAEKDFNIVEWQEHPKGGHFPGYEQPKELSTDLDKFFKAQWKR CC84DRAFT_1216121 MSLASQVSDSKCSSRCVLCYIMCLAFPIGTCYFCRYEDSQDEQP KISIDEVHKMYDDVTAVTLPDHLCEMLQGNYKADTMPICTIDLSHSENFLQHVTGIDT PEQLCTQVHGSFVNGNCTLNLSQAGQATLDVKQAACASLDGQWADNHCALLG CC84DRAFT_1242218 MRWQSTFVTLVAISSTALAHWNYESVLLNGKDTGAYQYVRSTKN SNSPVQDISSKDMVCNVGGNDADVIAKTKTLSVKAGDQIGFRINSVFGHPGIQQVYMS KAPSTAATYQGDGDWFKVYSLTTSNLTNDPIFWAPFPNNVGISDFSFTLPTSLPNGQY LMRAEGLALHGASTVGGAQFYIGCAQLDVTGGASSGTPGPIIKFPGGYSTSDAGILVN MYWPPLRSYTPPGPATWPNKCEDHSPNLLGKTSDGDCTPLAKGATG CC84DRAFT_1117236 MTSKVFHGAIVHSISLGELEVIENGTLIVDADGVIVALEKSCDE AVSIPGAEVYHLAPGEILIPGFVDTHNHAPQWPMRGLGQGLHILEWLDQVTFPVEARF ADAEYARKTYENTVSDFLRQGITTASYYGSRHAEATRILAEICLEKGQRAFVGKCNMD RNAPEYICEQSAEVSLRETWDCVAHIRQLPGCSDPEYALVKPVLTPRFAICCTPKLLR GLGDMMNADTDLAMQTHFNEAQQEIDATRELFPDLAARSEADLYESFDLLGRRSILAH CTIMTDYDKERLKALECGVAHCPIANMTVGGGFMVAPVRDFMRRGIKVGLGTDSGGGW ASQMLSVIRQTMIASNAQEVMSQGADRGLTLEEVFYLATLGGAQVLCLDDQIGNFKVG KQFDASWITTTTGLQSAMTPREEGDSVRTIFEKYVMSGDDRNISHVYVRGRRVAG CC84DRAFT_1204712 MSHWTCYTDPATCLEVSGAVPTSGADTEPTDVFFPNPTNGAGDE GKPKTTKTTRTADDGKPVQTDDNTKPEATKPLESSSIRHVTVLATTVSGSTAEPTIAV ETSVPSVAAGTANGNNGSGGGGGMSPGAVAGIAVATAIVGAAIAFFAAFVLFKRRRPS RHGHSESSTNFISKGEQPSYVQISQVGPPPVLAAAILPTNRGVDLSDLSHSSDFLAAV LPPAADEQAVKSRVTAVFGQILQHVNDFYRNVHATLTPSMGNDLKKFCDSSVNLAEEL EHSSMPTIAIKHALVGYLLSIIAPEAEQQSTLFPAEVAGLRQDERSFESPDDQAAYIL YKRLAAHLYAPPISSLQSRQSDIREAAEHFALTFFPWANPAYADQDKDENLVDVINNA LDLSLWLFGQPYLYEWVWEDVGRRGMVVSPGLVRVTDERGKMLDRPRKVVDAVVATS CC84DRAFT_1258310 MAAPQTVQAVRRWILTGSVAAITVTGALYGADLKSSYEKTQAQK RIATISADEKIAHYQSLIEEWERTKKELERKLENFQAKKRGEQSKLHTTGFYVAEAHL VLVAKTHRLGNESGSVNIRFHR CC84DRAFT_1216126 MSNLPRSQNSSSSRLSTVPSQKPRQISHLQAQLAQLTANMADLE SLVGMTARQAQDMRGLGGYAGAMFMSASKVLGEETVKGSDESPKRGDQDK CC84DRAFT_1163361 MPQRLSWSRLPLPLALAAAPLLSSTCSNTPSLDIDLGSNWARER KMLLELWWMLEDGKGLSVYSVSSNTSQRPA CC84DRAFT_1088777 MSAAWSSLGSPLQPSPDHDAILEGSLPLSPRAAAARQGVLADPI FPALKNDAYSAHMESPEEMQRNDPLGTQIWKLYSKTRTQLPNAERMENLTWRMMAMSM RRAEHERNRGYECRHREQMRPAPMAAPPRPMLNTAPSGIAQQLRRSVDQQAHAPPPPD PNAMNLDDFIQPSSVGSPAGLPPSPSPSQDMRASAATPQTAIPIRKAHQHHEQDLSLA HASAPSVPPALHRDRDTEFGYVQRRVRKTSIDERRPPKRRAEASPQVPPVNSTMIPSN PDEEAALHHYSLDQSSLQPPSFSTPQPHVPFNIDTSNFHMSEDPIISSAGPFQQQFGF SPVGSPLMSQNASYSNLFNGTSMASSLNSTDYYSPPSSAFHSTVSTPQPLQDEGQMYF DRNGMDIRGNNAGHSFGPHRPSQLSNSMQPQYIFNPSSMGDSMFSAITSAPSSAPYSA SSFDQSSHVDPTQVLHNTLPYRQEHMPYSRNENMFTFGADSDNEDDEGAFADRTIPNQ ADYSSPMEEGSVDFNAGFQWETDLSNQFNPIPARFPAGPPRKTVTIGPTEMMPSPQDH WGASSSLGRTHGSAASVSEIRNRGNDPRRQKIPRTSSTPNAAALALQGMHGHSQSSPH TPPESGLSSAAPSRPASPGGTKQGEQGSAPTTCTNCFTQTTPLWRRNPEGHPLCNACG LFLKLHGVVRPLSLKTDVIKKRNRGSGTAPVAGSSSRASKKSSRKNSLAQTPVSTPTS VKGTDSESPKSTGSAGHGGLGNSVNMAVSPAKPGGVVPIAPGPPKLPPNSLSSATQGR GANVAPKRARGQSKSGSQEFEMADADDTSGKAPSGVRKKESVVTPHMIPNQNLGSLPM GAMGQGLSSASGPQEWEWLTMSL CC84DRAFT_1090094 MPKVLILVADGSEEIEFVTPYDVFTRAGFEVVSAGVELKENYAH MTRNIRIIPDFPSLLSLGQQALHEQHDILVLPGGGPGAKTFATSAPVLDLINAFRDAG KYVAAICAGTTALVAAQKKHGGEKGRVTSHPSVRAEVETKGGWEYSEERVVIDRNLIT SRGPGTALLFALTIVEALAGREKRDKVALPMIVAETL CC84DRAFT_1242229 MSMKAEAPIRSEMVERADRHHGLPLLPHPSEDERDPLRWPRGLK LASLMATSFFNFTTNFAGAGLSVATVLLEHQFNKTPNQVNSLLTVRFTYHIRLFNWLM EQFNFLLLGVGNLFWVPVAIKYGKRPTLLISMVLLFGILIWTAKEPTFAGLLAARCLS GFVSAAGESIVPGVVSDIFFLHERAAMMSAYTLLISSATAIGPLLASFITQYSPGSWR DYVWVCAALAGANALVIYLVCPESNYNREIMTPTHISLLNTRYAEDISEAGEIVRMDT TSWHHVNVVKKPWTEIWTSFITVDHKVNLLVAFWRPVQMLSRPAVLFAIFLYGTHLAA QIILIFAFPSLLMSPPYLFSGIQVGLMQVAALVGLAIGCFAGGYAADLITAEAIARQR GDVYPEQRLIALLPGCIVAPIGCIVIAFACAQKLHWVAIAFGFGMLSFGTIYAPNIAI TYVVECFPSSASECLVTINVFKNLVAFLFLYTAVDWVASQGWVQVYMVMFMLVTLSIF LAVLFYFFGRRWRIRSDNTI CC84DRAFT_1242233 MASHESPLTYPSQSLQFLKSLNARRTNPKVAEPVLQAHLKFDIV VVGAGLGGLAVAIALARRGHSVQVLEQSSVLAEVGAGIQIPPNSGRLLHRWGVLEESN KWAVQPEAIMFRRWKNGEVIGHTALDNVFKDAFEVPYYVAHRAHLHDALHRQALRLGV IVKLGCKVVQYHEQGSVTLCDGSVVKGSLVVAADGIKSVARESLFPDCNHEPMFNGFA AYRATVDVKKMHNDPEIAWILEQTAMNIWIGENKHVMSYTIAGGESFNMVLSHVDRSD PDTWSEKFAKEHVEAEFKGWDIRLTKIISLIDECMKWPLKVGRPLKTWVSPSSKMVIL GDAAHAMLPYMSQGAAMAVEDGAALAVALNKLSSLDELPFALRSFERERIKRSSDMQN ASTINGRIWHFPDGPEQKARDASMGPEVLGKPFASSANQWSDPVTQWWAYGYDAEEKM DEAWARDVTQLIASSGKRAINL CC84DRAFT_1117246 MSPTDSAAPESAGESSGRPAKRHRIPVACTWCRQRKSRCDGTRP KCSTCRDHGYECEYSDAANLTRVSASKELSAIESRLAILERSVAGLDGRVSCVEALSD GVPDSLALPHRSAEPSNAGGEDVNLEARDPTDGIGSMVFTKEEEAGFFGPSSNIAFTR IIVRSTTSILKATMTAESPLSPEDAALRSHMLHVSRQHSPARDQFSPANNLPVTFDPF SLPPESETKSLIEIYFETTGVLFPYVDKPSFVQTYQQLNAANIRAARRSWLGLLNMVL AMATSASHGRILSASERATNSDVFYRRALALCEKNIRHGTSLEMVQMFLLMSQYLQGT ERSIETWNIHGLAVKAAYQLGLHSPHALKRYPPLEQEIRKRTWYGCVILDRTLSMTLG RPSSIPQNFVRLDLPSPQPLEVNPNDSETGKDKASVEFYSATILLYAITGEIVDVLYD NNLGCETAENVFDIASQVLQFEQKFLTWQHSLPATLALVDPDFLTLENSDPDTLRYRF VLTVRFLNARILAHRPVLCRYLEFFSSSKSDDHQLAVLMQIGGSSLRICVQSALKMIA LMRAVLSPVEPPRHLLGAWWFSLYYTFNAALVVYSTLLVRHQSQSLHHVSILDGVDLT ANSLHQSIECLSLLFKGNRMTEKCTRYTSSLAQLYYNICQSCYGQPTDTAGNLQSDAL RNIQIPGTLEGSYHQDLFDFPDLNDIHMGMSLDNIFVTGFDTERHSI CC84DRAFT_1089174 MSSVAVTIATTTQPAHDLEDNKHATNELLKDASEQHTKPLWAQM ARLNPPLPNPKCQPHVWEYDRIRPSLLRAGELITEKQAERRVLMLVNPARDAPYTTDT IYAGLQLVMPNETAPAHRHTAFACRFIIEGNGGFTAVHGKRIQMQRGDVILTPSWNWH DHGKNGSGPMIWIDGLDLPQFVHYPVHFVEHYASPRYPASDVDISASLIVFPWTRMKS QLDAIDGDCADSRYLKENGSEISRTLGAEAIRVNAGAFTQAIQETASSIFHVIEGAGS STIDGKIYQWKKGDTFCVPAWHEYQHRASDGQTVYLYRVHDEPMLKALGFYRRSGQDV EALVSE CC84DRAFT_1242236 MRVVWSRLIRFIATDGRVLRGDPIMPTPDFDLGLTTEETGLQAR VIEGDDIYDTSGKAKVSDEIVTVGKLLGPLTAQDVDVLRCVGLNYAKHIREAGRIPPP FPFIFFKPTTCLHDHGADVEIPRVAQDDQADYEGELSIVIGKDAKDVPLETALDYVAA YTVGNDVSSRRLQRDPRLAGNVPQWGFSKGFDTFAPHGPALIAAPLIGNPRELLLQTT IDGEVRQKESVSDLLFDCAYLIHYLSQGTTLRKGSVIMTGTPGGVGAGLKPPRYLVPG TVMEVSISKIGTLRNGVTFV CC84DRAFT_1117250 MAGHFRAFQNPASFPALPQFSDFMKPCRFEGEIQHLEVYGEIPL EIDGTFYRVMPDPQLPPFVENDPWFNGDGNVSAFQIKDGRCHFKQRYVRTEKFMRERQ AKRALAGKYRNKYTDAVEFKVRTTANTNIVYFNGRLLACKEDAPPYSMDPRTLATLGL EDFDGQLPSLTFTAHPKLDNETGELLCFGYEAKGDGTPDVCYFSIDSTGRFTETVWLV SPIVAMIHDFAFTKNWILFPIIPQTCDLERMKQGGEHWQWNADVPFYVGVLPRHGASG ADVKWFRAPNAFPGHTVNAFEDDAGNIVFDLPLTDRNVFFWWPDAQGRAPNPEEISAQ LVRFTFNPRSTNLDLPPHEVIGTDDCEFPRIDDRVSGRKHTHAFFDLMDPSLGTDFGT ILPRMGGGHPPYNSIGHLDYHTKIMTKYFPGPTHLVQEPIFVLRKGTEVAEGDGWVVV LVNNYASMLSELHVVGTKDFTKAQAIIYLPIRLRAGLHGNWVDAQDL CC84DRAFT_1163366 MGIKRSTEDQDVEHMEDLESASLIPNKDCHKCSSAAKGSRTRHV FKTIVLIALAYICFLETNTRLAVQSRETHIHLASGIPYSPANDVVQYAPRRIWQDGDW SRYDKKPGPELDALWNELLAGQIIRVSAAEMKLQGENLTNRVQLTNGDYLGTMSVWHS LHCLERLRKVIHMDYYHDKIPDYKGDMGIWTKDHSDHCIERLRDDIMCHPNTAVYIPE WDETHTLPDGFRMISQGQTTCVNWDALDQWARKRALKGGEYTLKPNPFGDKHGVHTNH CC84DRAFT_1216135 MSTFQLDRDIFNPTLYKQVTNIWLAGADVDGKRLDDTIVKKWFS GDAELDRICNDNFAHVLDTIGPERLPEPSAKPFLHELEAMHKEDKGDGGSQAAWTALS MVILLDQMPRNIFRTNEGLKKVYTHYDRMAFDLVKSLFSASSPISRPDLHPQWQNSFA HKMWFYLPLTHSEDVKAHDILDDLVEPVSKSVEKLEGYEATKRLIEGFRTSEKSHREP LELFGRYPHRNSALSRTNTEQEQEFLKDGGATFGVAQ CC84DRAFT_1163367 MDKLYTAYPLDHKKEHIRLINIDLGPWEAELTSTFSVVSLRDSP DFVALSYVWGDNSLSDHSAVNIEGCPVPVTPNLYAALRRLRWHAHEVGQGNLIVWADA LCISQNDRIEKQHQIPLMRRIYSCCRNTAIWLGELSIRDNSASIPLSPHPSESIAVTD RLIQDLQHDQHLDKIEPFDRDETDSLFVDVRQVFERLSYSTWFLRRWVLQEAVLAATV KVYFGPVLQDLDTLSQAMENYAEHIEQACCTTAKPGHYIMRQRIRGFLQEFSSLQDLR DRRSERAHILHLCREFSGRQTSLELDCVYALLGLTNPPATIVPDYTVSIDSLCTEICT DYIGKEHSLDFLHLASSSIGQAQMPTWVVDWTAFVDCKASKRAWNWLADLFHPTAGLS QKPHIRDQYFLEIIACPIDRVEDTVKLPMTGNITDAIRNFLAILLDEVYSDVDYPTGG TWLMAWLRTLSADSCWGANSARRLSKEDCDFYWSSIFTGSGYAPGQKVRLAGGRLVLA DAHLNDIIRMLRLVQEDRRIFYTNNGYIGVADDDIEPGDVVFLVPGCSMPMVMRSKDS AFYLQIVSACYLHGWTDGEIAIAPKRYRAVYIE CC84DRAFT_1163368 MDVTLESEMSPWLLMNDPWDNDSLVSSKCSEILDWEDDWWGGCP CCALSTRSFYVAENQANDLFAADFADESHMNAAGRTTMHPYRDLRSASYARKRSFKKS KPRPRTRGIAGRKWEHAYCRRENKRNKKETLRFEIYANQRREKVHWWSSENQNSIEFV IWDMNLEEDFDQYIAAMWDGVVGYEVLHKHAEVFENSELYTETGETVSVWAQRRIAEM RAVKDTQTRTQAAPRRTRIGVGRFDYQINCGSPLTTKLSTNAYAAYGHTQLRAALTRP TQARQMARFNLKIPPSPRIHNYNWFGEYTWRWHRKASGCWQMGYGDCGNFAMPCPCSE SGCFCYFLRCYCEKFEGQVVPEEQQRYSLLEWAGAEGSRFIEADKAWEQAEGPMRECD TDVATESDGEWSFVNEDCGVRSCSSVASSVELSYSTRP CC84DRAFT_1186189 MAALFATLLGATAVSAQYVPVPWSYTQPEPPVKIVVNGAVRHQT MMGGGCSGAFGVACDQTGSQGLSPANQQLVSDYLFNENIGGLSILRNRVGSSPTEGIL GSCPTGPGQKFNYTSLGANESTADSCQLKLTRQAKIANPNLFIYADAWSAPGCFKIDA TDINGGLICGVRGSNCTQDWRQGYADYLVQYVKFYADKGIKVDMLGAYNEPDFNPVSY ASMESDGYQAADFLKVLYPTVKKYRKDLLVSCCDATGARQERDILYELDSAGAGHMYD VATWHNYQSEPKEKFNTLGQPNMQTEWSDGSGTFNTIWDVTGQSAEGTGTITGSDAVL VRLFNDGISVSRRLYAFAGFFRFARPGSVRLDAKSSAENLYVSAYENKNGTVAVVGMN AAHFERTVEVTVEGCGASNRTVKAYLADNTHNNTMVASYRVQAGGFEASMPARSMVTF FLD CC84DRAFT_1143473 MPSLSTIQSTISELNKGPPITVALPGGTTGIGSYIARALATTYA NHGNKLRVYIIGRNATRAENVLSECRKTSPGSEWRFIQTPDLALISEVDRCCAEIVRQ ETQAPFHGGKARLDLLYMTYSYPDGTKPGQFPVGCPTDAAYGVGAVRKHASFMKTMIF EELAKKHAGNLRLTHIYPGLVDGPTFLGPDSPMWFKILWRVSKPLMSWYMTAPDGERG GGSYSVGQKADASKGIMYEKVRQADTKEKIWRHTMETLERAEKAGRLSK CC84DRAFT_1163369 MAETSEDIGSPERKRDKFGQFLQKSYNKGEIALKHAIGLGATEN AIPVSQPFTNGPPRTVEVGWHPVGGFAGKWFAEKTGLGKMITEKINKYPDPTQHWAVL VGEYAHQLWMDENFDIIYTNAKVDPIEWQTFPVGETRFNDDALRRTGEAVIHNIRVTR PGYNLISNNCQTYVLQLLDAIQVSQDKEFGTTLAVYERLFGSGKVADLFPENDTTMHE PGQLDFSPPHSALELGVVGGTGGEGHVPFHRPEDVLYNDQTGSGTAPQTSVAFAQQVM DANTTQLDTEEESKRHLMEEDHAEKEKGGSWGEKTSSFFRKWKK CC84DRAFT_1186190 MSRHEESEDERIESPAPENHVAIGEVIEFARIYNLSHKLDIFRK ASLSIQGDALLEDVPPITDHETAAILGETKSKWRQPLLMYLTVVATALGAMGQGWAQT SMNGANLYFPKAFDLDADTPRNNLILGLINSGIYLSNGLLGAWLVAPLNGRLGRRGAV FSATLVSLLANIGGALTQNWQQLLFFRLVLGCALGIISSTLNVFAAECVPAAIRGGLA VSWQMFCAFGIFVGFVANVAVYDFGPNTWRLQLAGPFLSTIPLLTIIYICPESPAWYL KHGNRYDLAFSSLARLRNTELQAAREVYINYLQRPEKVSTHPSYLQQVVQLATIPRIR RATLAAYTVMISQQLCGINIISFYSSTIFADSNFSTFGALVASTVFGFVNFVGAFPAV WTMDTLGRRSLLLLTLPFMAITMLAAGLSFSVPNHNPAHLWLLATMIYLFCAEYSPGM GPVPAAYTAEVFPLSHREIGVSSAVAVTNIWAAALSLTFPALLSTLGSQGAFVLYALL NLIALGLVFFFVPETRLKTLEELDEVFSIPTRRFVAYQVTEYLPWWVRRYVLRRKKVE LKPLEGSGVYQELYQDEE CC84DRAFT_1216140 MEVAWQYRYNSRDDATRRPDALPSRERRNGCKLSLIADLSGDAF TRGALAVGAPVAEKRQTLNVLSPDVVDLLNRLGLSGLSPPAGGIVDTLGSDLKKRQIL NDLFPEVVDLLNRLGLSGLSPPAGSVVDTLGSDLKKRAPVGGIVETLGSDLKKR CC84DRAFT_1058974 VVAGFAAAANAHATWQYLWKNGEDLGSTCVRKVPSNSPIEDYSS TALQCNVNPADAEGNCEFAAGDTVTIEMHQHDSRSSTEEGIGGAHWGPVLAYMAAVED AAAATGAEGFFKVYQNTWAKAPGSTQGDNDFWGTKDMNYNGGKLDFKIPADLAPGDYL LRAEAIALHAASASGGAQHYVSCYQLTVTGSGSLKPTDTVTFPDAYTKTGPGLGFNIH APLDSYPAPGPALIEGGTEATP CC84DRAFT_1143481 MRTSLALAAYISAVLAQAPSLTDLIGSQPDLSTLGTALSSFPDL VAVLGSLSNITILAPTNSAFEALLAGDASLESTALSSNNTDAIQALLAYHVLNGTYVS SDFSETPAFAHTLLTPSGQSDSLTNVTGGQNVGLQLIGGNATIVSGELKGSNVVEADI QAANGVVVHKIDSVLTIPRNASTTLTAQSDVEVTSILSALTTANLVGTIDTIADLTIF VPNDAAFAAIASTLANASVEAITSILTYHAVAGAVVFSSDITNTTVKTVNGAELTLAV GTDGNAYIDNAKVVLPNIILSNGVAHIIDSVLNPESETPTPGNGTSTSAPVPSPTMSE FPGAAVKVQGAMGAAVVGFAVAMML CC84DRAFT_1143484 MANPATILNAYDAFPLWDTEPITSAFTLTYPNNHAVVTQVSRPW LFHFAPPPSASNGRAILVLAGGGYTQLMVGREGIAVAEWLSSLGFAAFVLVHRFPNAQ SGPQAPLDDARRALTLIHEKGIAPNGIGICGLSSGGHLAAALLAAYPASWTTPAIATS GIVPSLTFAIIGYAPISTNAKGRTIVSNKAPLDPPEKQALYDALQPDVQLLDHAPPTF IVYAGNDEVVPVVNAYRLAEALGTKGTGVELHVFADAPHGFGVDTVGKPVEAWPGLCE GWMRQVGVLE CC84DRAFT_1204726 MPAMASIRLSLLVAVAFVSRSLQAKDPISDFCRRWGHQTAHVDN KLYIDGGQVVWNPISTNPLNYTNTWLLYSDLNSTTQGFGQPYQYANLTKNSTVPSVSG GVLWADDVNKCFYQFGGEYQSNPSDFSFWTYDTRLNLWNETDYKSNVQSLQRVAHGAG TQAQDFGFGYYFGGYMNNLTSPNWKGGQIATSNLISYDFTVGQLNNNSGPPDNYGRAE GQLVHLPASDGGLLVYFGGIEDPTRNGSSQAANMSTIHIYDISSNKWYTQQASGDIPG ARRQFCAGATWADDQSSYNIYLYGGFAAEGPGGYDDAYILSLPSFKWINVFSTGNGTT PFPHGACSANVINRDQMLIIGGWFTNSSYTDCDAPNSQGQHNMNLGYNGEKNVLWDKW DPSATKYFVPTPVISVIGGGPTGGATLTKPSKWDNGDLSVYFGRQATFSARSATRIVP SGTALPSETGSSKKTNVGAIAGGVVGGLAALILILSLILFCLHRRKKSLKAKEEKNHG PSPPLPVELAATSPVHEMHSPGAGKYMSLQQQPDPPMQSHSRSLSDDYLNPNVVSPYH PQFPSNSPTSTATHPSPYNTEFSHPSYAQQDQHAAYAQYPNTYDTQSYDPNSYHQPPG IALQREHSYPTPTSPSHPHAFVPVTQQVYYPPPPDPSTRTRSHQSHHSQTSYSDRVTS PEGTQFSGSDRHTRSPPSTTQTPAQFYAQPVPVRGPHVMSGGNLAPGGFGDEAGSIND SGSVRSWDSRKRPVRGRFVEVDDL CC84DRAFT_1258326 MRFTAALLVAGASAAAAATTSAAASTPTDFSICAQNIVDACVEQ YDKQVDACGGNDYICLCDNYTNKLTCYNNCMGSEDRPPVQNQVTQFCLAAEPQRSASS ASLASVWKTAGTPSPAPTTSSASATGSSEATQTSADGFSTQKTGAAVPVEIPAFGGVV AAVFGLVGLL CC84DRAFT_1216146 MGVLDATVLACWADTGSQRRLVTTYARFSTPRTLGSASTNAPPS RRPNPPLPATARQGSRGAAQQQPPPVLLAAARPVERLPSAAVVCPAGRLRNAARICRV PPAAPKIGSWHAHARCAALWRTARLVASASAEFAGVQSLQRYQRRDHRVRLQFPQVRR QQQGQAAMRAPVCGYEALAHLFSTSQPRRAAVGPSGPFLAPTAIDPDSGPLYTTLSDA KTLVCCITSLVDPSGHLG CC84DRAFT_1204728 MVHEALEPSRAPAVLAVTAATLACCSVFVFFRLVSRFAVVKKPG WDDYTIILAWILAFGTSFSICWGTTKGFGRHQDTIPENELTPMNKAAYAFSVLYNPAL MATKSSILVFYLTLSKTHKVFRWATISTLVVVNVGGLALTLLNIFQCDPVSAAFYTPI EPPHTCINIVTIYLSSAPLNIITDLAIFFLPMPLLTGMRLPRKQKVILVITFGFGIFV AVVDVVRIYYLQDAQRNNLRAKADGAGGAHSSDLRNDSDFSWYAAFSSMWSAVEINTG IMCACVPALKPLVKRFLPKWVLDQTSMDRSGSRTSDSVVIPTNITAATRRSNPQSAPV SPTTSKPPPALGGAGGPMGMMDFLTTPDMNEMPEMYRNETYATTTRARRGSAAYFDFV DMQKKKNITLRTNEEAVYPVAMVTILFFIWGFAYGLLDTLNSQFQVVAQMTDGQTVGQ HSAYYLGYIIAPFTFGRIVFRRWGFKACYIVGLMVYACGTLVFWPSAVLTSFPAFLIS NFIVGMGLSTLELSANPFIALCGPPEYAEARLSMSQGIQAIGTIVSPLLAKKVLFKAS ADSLIDVQWAYLGISFFTIMLAVAFFYVPLPDATDEELENATSRMPIPRDATVGSSNV RVVWVSLALGVFSMFCYVGGQEGTSTSFAEYLTRVAPSLDTANFQAIEHTGFAASRLM AAFLCIWIKPRFLLAFFFAGVISFAAAAMSGGTGGTWAAVVVMGKFFEGPLFPIIYAQ SLRGLGKHTKDAAVLLTAAIGGGGVWPPIMYAVAKSTDVQFAYCVVVAAYVAGAVFPL WTNGPVSAARKIADPVRDEQTRRESEIERERRESMGMSEKHRRWSKFKHRWSKDKDQL PSVEHREHGSWPDAMVPPAVQAFRQETSQRRESLHVETPVFLSSSNSISSDPVTEEVT SEKTSPAKLRRDSSQARRPALRSETSSPSSSASSSSISSSSDSEEAVDLRAEVFSRPD TAYASPTRALDDPSIGWAG CC84DRAFT_1117277 MAPSKKAPVYVLGVGMTKFIKPRGKVDYPELGFEAGVKAMLDAQ INYDEVDQGVACYCYGDSTCGQRVFYQFGMTGIPVYNVNNNCSTGSTGLYMGRNMIAH GASDCVLVIGFEKMFPGSLQTFFQDRANPTGTTNLVMKETRGITKAPGAAQLFGNAGR EHMEKYGSKLEDFAEIGRINHAHSKNNPYSQFQDEYTLDQIVKSPMIHEPLTKLQCCP TSDGAAAAVLVSQAFLDARPHLKQQAIQIAGQCLATDTPNLFNKSAIELVGFSMSSLA AKTALAEANTDPKDVKVCELHDCFSANEMVTIEALGLAPVGKAHELIRKGGITYGPNS QVVINPSGGLISKGHPLGATGLAQCAELVWHMRGWANNRSVKDTKVALQHNLGLGGAV VVTVYKRADGSAATDVSSEEVGKINGLGYNPAVVAKGFTREQVDRVRSRKARSEWALQ DTQQKVEARF CC84DRAFT_1163377 MSDEDVSERRSWRKSKTLVVFTATLGLFTENFLYGFIVPILPYM IEKRLCLDPAFTQRFTTELLFILGLISIPAAPIIGHFADKTTSRKIPLLISLVGCTVG TLLVALTPSVWAVYVGRILQGISGTGAWIVGFAMLTDAAGSKHLGKALGFSGSFITAG VLSGPVISGAFLQWFGYWAAWSVPLALIAICFVARLAIVEERRPDQLKTSTISAEAQA HNETSPLLSPEGSDQDEAAASSQEEAKVPPTRGFYAIMFSKGATYAALLNVTAFSMII SGFDTTLPLHLRQEFGWRPAPIGSIFLGIQIPSMILAPFVGWLRDRIGLRWPTTLGWA LSTPLFWFLGVPGKDNFLGVGDGAKGQAAFVAATIGVGIIWSFVRGAGTFQLTTIMHE LKAQDPNIFGPGGGSSRTFSMTETSFAIGLVLGPLITGALADAFGFYYATSALACVSV VASISSWMFFTHKAPVREPEADGGL CC84DRAFT_1195444 MDGFFRTTPFGQLLRLCSKNSLLRYPDEVDSTLWKAFAEQAKVS VTSPNEKIGSEDGAVPLQSSIPDGQSQTLNNEGDIFLVGRYGPHDPENPHNWPYKCKL LTLSQMFVLNFCIYIGSSIYVPGEASIMYEFGVSETVATLGLSMYTVGYGLGPMLWSP LSEVPVIGRSSIFFWTLAIFVILQLGVGFAPNMATFLIFRTLTGFFGSPCLATGPIIG GYIAPVKGWTWTIWIFTWLCTLVLLTMFFLLPETSTSHILHARAARIRRFTSCRRFKS QSEIDTASNTPRDHLHVLSRAFTLTFTEPIVILMDLYTGLAFLGIFVGSVIAAPLLII WLRGSIVPRINSPKFTPEMLLPPAFFGCLAFPACLFWYGFSSRADVHWIVPVSGTALF GVGIVTLFNSVFNYLAFSYPTESASVFAGNALFRAAFGASFPLFATAFFGRLGIGKSN AVLGCIAAVFVPVTGGLYMCGGRVRGWSRSARRDW CC84DRAFT_1258332 MPAPGDQYHGNVTNPFEERVVNGFTALEIATLQSRLNKQLGPEY ISQRPGNGGGRVAYLEGNKAIALANEVFGFNGWSSSLGQVQIDYVDENTQNGKVCLGL SIVVRITLKDGTYHEDIGYGSIENGKGKAASFEKAKKEAATDGLKRALRTFGNVLGNC LYDKTYLKKVQSMKVEPVKFQEDNLHRHPDFASKVKNEMGMVKREPHQTPVRTTPMLR TRTDHLGASVSGEFDDEFDGNLFDNVDLAENPGEEISFDSVAAESVNGLNSATPSRNA PAPNGGPSRQPNPRTQNAPPARGPNGVQQPLPQNNMQNGASRPAISPPNARAPQTPVQ PNNNRADLNRQRMQPPTVDIHAVPKPQNSNQNAQPAGPTPPNQPLRPTPPQPQQQQQS KAAAQSGAAPATNPPSTHKPPLGFVTSRAAELFQNPDPPAYLTNLPAFNPNVESPIPK EKRTPGVDHMSSKPIKRDAVGAPAPPPPAPGPPAGAFNRPGPGPRGNSNFVNPHQDAN RRIGMPGAGMSPGGMNRGQYKPPLKRPPLQDVSNQTAGGGSGEPEAKRQRIEAPGAEN KGEVVGSL CC84DRAFT_1117285 MVFTTAPSRWRTALLGGLLASLAWLPGGAAQGKTQADYFVHSLP GAPEPLLKMHAGHIEVDAEHNGNLFFWHYENRHIANRQRTVIWLNGGPGCSSMDGALM EIGPYRVREGGKLEYNNGSWDEFANLLFVDQPVGTGFSYVNTDSYLSELDQMAEQFMI FLEKWFALFPEYEHDDLYIAGESYAGQHIPYIARAILNRNKTGPKHQWALKGVLIGNG WISPVDHYLSYLPFAYQSGLLKADTPEAKRVENLQSNCLKVLNEGASDHVDNAVCESV MTTILQETKIQGGDPRQECVNMYDIRLRDDGSCGMNWPPDLSTVTPWLRQPDVIAALH INSDKKTGWTECNGAVSSHFRAKNSKPSIQFLPELLSQINVVLFSGDQDLICNHIGTE SLIDGMTWNGGKGFEISQGVVAPKRDWVFEGEPAGTYREARNLTYVVFYNSSHMVPFD YPRRTRDMLDRFIGVDISAVGGAPSESLLNGEKGPLVSVGGHPNSTKAEEDKTKQLKE AEWKAYYRSGEVALIVVVILAGAFGFFIWRDRRRRAASGYKGVDGDEGRESLIMGMGL DNFRRRDRSRDVEAADFDERELDDLVEDSEKPLNGRANGRGEKERIPQNDSTFSLGGA SSDGEASGSEKDRKLVAREKS CC84DRAFT_1186201 MADVKPPGKTFVVEHLDDELEAWSSLEYAAIASECHATGAQFLL SSVPTSLKLPPNLQQAKGLNVETRSIEEIYADKKDKVCLLDPAAAKDLGPEDGDTFDI FLFGGILGDDPPRDRTSELRKKGYQGRRLGPVQMSTDTAVRVTRMVVQDRIAVDKIPY LDHPELKINKNESTQMPFRYVKGENGEPIMPEGMVELIIEDSAKGLNDLL CC84DRAFT_635971 MASNIATQKLSPDEARLFRLYGKLPNKKDLLQNKLKERKYFDSG DYALSKAGKASDIGVTSIGREHPVPEKIPHIAPPAHNSQNGQTQTQNGQEKGHTSGSP VKENTFLHRETSLNRETSAEDFERGDDKEDAAQA CC84DRAFT_635985 MSKQYLTLHTLPDAHPSDVFALAPTNRSILSASGSSTIRIHDTT QADFPLLQSLEGVHPLGIHHLATAREARRAASAGFEGKIKIWSEGEDGTWKADGEISD QLKAGDVWSIALSTDGKYLASSSINGKINVWSLAEEGNPKIRDYETKGSFGMCVDLSR DGRFTASGHENGSIYVFDNDTGRLTHSLAGLVKPVRSVAFSPSTTLLAAAGDSRTIAL YDVKSGEQIANLAGHGGWVLSLDWSDTGEYLLSGSHDGKAKIWRIETRQCVATHSHGD KPLWTAKWLPKSTRSEMFALGGGNNAISFYREAAG CC84DRAFT_1089372 MAPTNTSAISSHRPIVISGPSGSGKSTMLKRLFDEYPGKFGFSV SHTTRSPRAGEQNGRDYNFVTKEEFLDLVEKKGFVEHAQFGGNHYGTSIKAVEDIAEK GQVCILDIEMEGVKQVANHPSFPKPRFLFLSPPSKEVLEQRLRGRGTDKEEAIVKRLK QAEAEMDFANSPEAPHEKIIVNDDLEKAYKEVKEFIVGADA CC84DRAFT_1143516 MALFGSSAPAATSTTGDISKDVEVKDGMPTDSISDLSFSPTHDF LAMTSWDKSITIYEVNNNGAQGKWKGTCKTQQGKEEIPLCLAWSNDGTKIAAGGADGT IFYYDLASANPGELNPTATWQPHSLGIKGIRWFTYQGKQVLITGSWDKTVKYFDLSAA DPTQPMGVLQTQERVYSMDVKNNQLLVIATAERHIHIVNLQDPAKIHKTISSPLKWQT RVVSCFSDASGFAVGSIEGRCAIQYVDDSNTSLNFSFKCHRQQDPSNRDIARVYSVNA ISFHPQHGTFSTAGSDGTFHFWDKDAKHRLKGYPDVGGSITATAFNKDGNIFAYAVSY DWSKGYAASVPGQKLQVKLHPIIGDECKPRPGSKKR CC84DRAFT_1163386 MGSRLQPWMQASKHVLLEVLQTIIQTHRIYIPDPETRGRFQDVH KNAFLLSVLLWMEETLLFPIKGARRVALLDDIRSLDMLWIEMTVRGPADVSDKLTMIK DAGERCARQLFKNQGFDDVCVRFESEQLLKRGIWKPKRMEISRMLSVVTPKLEE CC84DRAFT_1163387 MSATIPELDATVRSFYEGRGETQKQAQATLNQFKENPDSWLLVD QILSEAQYPQTKYLGLQVLDNVIMTRWKVLPRDQCQGIRNFVVNFIISLSNNEDRSQI DRTLLNKLNLVLVSVLKQEWPHNWPTFINEIISACHSSLPICENNMAILRLLSEEVFD YSEDQMTSQKRRELKQSMCDEFTSIYQLCSEVLRTATEASLIKATLETLLRFLNWIPL GYIFETPPSGSSLIETLRSRFLDVPDFRNITLKCLTEIAGLHTEAAYDDKLVQMFTET LTAIAKIIPLTLDLKSTYAQSNGRDQEFVQNLALFLTNFFTMHLNVIENLMNRDFLTH GHFYLIRISQIDDREIFKICLEYWTKLVSELYDEMQALPITDVNPLLNMGIAATNGGA RDPAMLANYPLRKHKYAEILSNLRTVMIEKMVRPEEVLIVENDEGEIVREFVKESDTI QLYKSTRECLVFLTHLDVNDTEQIMSEKLARQVDGTEWSWANCNTLCWAIGSISGAMN EETEKRFLVTVIKDLLGLTEMKRGKDNKAVVASNIMYIVGQYPRFLKAHWKFLKTVVN KLFEFMHETHEGVQDMACDTFIKIANKCRRHFVALQPGETEPFIDEIVRNLRKITGDL SPQQVHTFYEACGYMISAQGQKSMQERLIHDLMALPNAAWDQIISQANQDPTSLQDGE VIKIVGNIMKTNVAACSSIGSYFYPQIGRIYFDMLTMYRASSSLIDEAVKREGNIATK MPRVRGLRTIKKEILKLINTYVEKADDLEMIHNNLVPKLLEAVLLDYRDNVPDAREAE VLNMMTTIINKLHSLMEDQIMNIMDAVFECTLDMINKDFSEYPEHRVEFFKLLRTINL RCFPALLRLDARSFKFVIDSCMWASKHDNREVESAGLSMCFELISNMSETEPQTRNGF FQQFFSTILQDVFFVLTDSDHKAGFKSQSMLLAKMFWLVESGKLDGPIYTPDMAAAGT SNHEFLRNFVGNLLSTAFPNLQTAQIASFIDGLFATNSDLARFKTILRDFLISLKEFS GDNAELFAEEKEAAAAKAKEEERQRAMKVGGLLKPSDMEDDEL CC84DRAFT_1143525 MNSLNAFSPLIRRSAQAQWTCHACLRRQVPQLRNGYATKAKTNT KPPPGDSGKSSKRRRRLLIVGGGFAVAGAAVTINDDAKHAYTAVQRSSRVLSTLFINV KDYRNTLKKHGDDGYEETLKACHLRCAKRTLRTLEKNGSIFIKLGQHLSSMNYLLPSE WCDTFIPLQDKCPVSSYDSIKDMVMEDEGTDLSDFFSEFEELPIGAASLAQVHRAVIR ETGQKVAVKVQHPALDEWAKLDLALTRFTFETLKYWFPEYDLTWLSEEMEKSLPQELD FRQEGQNAIRAREYFSHVHDAPVIIPEVLWAKRRILVMQYVSGHRPDDLEYLDTHGID RDEVSAALARIFNEMIFGTNAPLHCDPHGGNIAIRHNPAPRRGASNFDVVLYDHGLYR DIPLHLRRSYAKLWLAVLDADEPRMRKYAYEVAGIDDRHFPLFASAITGRDYSIIVRP EGVSSGRSDEEKKVITEALGDGMLESLIQLLGKVPRVILLILKTNDLTRSLDENLHTR QGPARTFLILARYASRTVYEEQLENLMGRSLFWPANLWAFLRAWANHMRVEMRLGVFE WVLWGRWKLGMRAVGV CC84DRAFT_1163391 MDGAGQPNLRVTIIAADGLYKRDVFRFPDPFAVATISGEQTRTT SVIKKTLNPYWNESFDMRVTEDSVLAVQIFDQKKFKKKDQGFLGVINVRIGSVIDLDA GGDEMLTRDLKKSNDNMVVHGKLILNLSTNLSQPISQGGQNTNRPPISAHSSVNGAPP PVASTQHQSTQNLHPDMYPGQRPALPSQPPSQRLSQPTPQPPTAAPPSMNGAPAPAQN RGYSAFEDSQGRLPPGWERREDHLGRTYYVDHNTRQTTWIRPGAGFNEADNRTQMAAQ TQQERTRHQNRMLPEDRTGANSPTLSERQPSPGGTSANAVSMMATGATTAGTGELPSG WEQRHTPEGRPYFVDHNTRTTTWVDPRRQQYIRMYGGQNANNSTIQQQPVSQLGPLPS GWEMRLTNTARVYFVDHNTKTTTWDDPRLPSSLDQNVPQYKRDFRRKLIYFRSQPALR IVSGQCHVKVRRTHIFEDSYHEIMRQSAADLKKRLMIKFDGEDGLDYGGLSREFFFLL SHEMFNPFYCLFEYSAHDNYTLQINPHSGINPEHLNYFKFIGRVVGLAIFHRRFLDAF FIGAFYKMILRKKVALGDMEGVDADFHRNLEWMLNNDITDALELTFSTDDERFGETVS IELKPGGEDIEVTNENKKEYVDLITEWRIQKRVEEQFNAFISGFHELIPADLVNVFDE RELELLIGGIADIDVEDWKKHTDYRGYTENDEVVQHFWKCIRSWDAEQKSRLLQFATG TSRIPVNGFKDLQGSDGPRRFTIEKAGEPTQLPKSHTCFNRLDLPPYKSFEQLNGKLT IAVEETVGFGQE CC84DRAFT_1175024 MRSSALVALLALAWKYLAECALISTYNSINFFDKVDFISVSLPA LSSQSASTASSQTHTLQTSEQYNSGFAQCDTEDATVAYGKVYLGVDAQKTYGENSTGC RSVHIPSKAVFHNGLLVADFAPLPEAGNGMWPA CC84DRAFT_1175025 MAIMDRFRGSTPTPVSSTADPEKKPQYLHISVKDHFRPRIILMA VLVSMGGFIFGYDTGQISGFLEMPDFLDRFSDTTDPQTGDPAFTHGRSGTIVGLLSIG TLIGALIAAPVADKFGRKYSICFWNIIFCVGVIVQVATTTTWYQIAIGRWVAGLGVGG LSVLTPMYQSETAPRYVRGALVSCYQLFITLGIFTAYCINFGTNQERSARAWRLPMAI GFIWPVIMGFGMLTLRESPRWAYRKGRIDEARKTIALSYSVAEEHPEVERELHEIKMK LNAERAGGGKHPWYEIFTGPRMAYRTILGITLQALQQLTGANFFFYYGTTIFGSVGLP NPYVTSIILGAVNFGTTFPGLYIVEKFGRRPALITGGLWMFMCFIVFASLGSFALDQD NPQSTPGVGYAMIIFACFFIAGFAMTWGPIIWAVVGEIYPSRYRAKCMALATASNWTW NFLISFFTPYITGAIDYKYGYVFAACCFMGAVVVYFFVCETHGRTLEEIDTMYILHVS PRKSKNWQPPEGEELPNLDNTYLTPGARGINKRQEARAPEQMMQENTPEPGYFPESSG ARR CC84DRAFT_638599 MTGLYNSEKQLGEVNTVKIKVVQEVHDADKDDIDKRIVMEKTFT VSKENITAASSFFTATFNGSFDGCIELHDTDIKTFEEFLVWLEERGGKEKPRVSDAQS QSDYAELGPNTANIAVKLYVFAHIYEVPRLRDDATDRLYSYFLLCDRASGEFCITPKS VELLCEHTHDYSGIRDLLAAGYHERYHPVSRPLAEQDLKMCSAEFLADVLESEIDGHA SLHGTLCTYHGNEGGTSWRV CC84DRAFT_1216166 MSAIHMPTPSQPLKLQEQDLAGKIALVTGATKGIGRAITIELAT RGASIIGTYSTPPSATLFSDLAKQLTALYSSTSPAAAPPKFIGLSCNIAAPDTTGEVT TICEALTTHFAGKLDIVVLNAAVMTLAKMGEGSARPDVVDLTFAGNVKFPVMLVEQFL RKRAFRREGRVVAVSSEGVRARRPDGGAIYAASKAALECLMRKWADELGTRPGMEGTT FNSVSVGFTKTEAYNKIPPEFRDKLEASDAAEVAVAKRIGEVEDIAGVIGLLVSEKAG WISGSVVDASGGKAKIL CC84DRAFT_1089451 MATMIQAESVSTTQLKEIGTIAVQEIPSSDKPALLLLHGEAEDD IVGIFADVLGQPWETTTSLEDVKSGPRGTIYGMRSSLAVSQAGSWDRSRILINTHCVD GPHPRDDSITESCDYEYLYTVSTFFRRDVARFLSLILGQISPHRDLTKKARTTLISTT FPDVHAALPNLDILSVGADAIEIRVDLLEEPLPDGGVSRVPSLKYVGEQLMLLRQRTE LPIIYTTRCTKENGRFPMDDPNLFFKYLTRAIQWGCEYVDVELWLPDDIRRKLAERKG CSKIISAFHDFSGNFKWLAPETQTLFERGAVYGDIVKMYVLVNSMQENMELEYFRTTI QTKYPHPPFSGLNMGPTGQLTRTLNKIFTPITHPLLPMIAAPGQLSAAQINSALHSMG QMPKLDIYGIGSFRSTSQAMFFEKCFNELSLPHQFTFAERAPKASIEYILRRPKFGGA YISPPLVAASAPYLPALSNAARAIGQVDTVLVRQENGQQVFIGDNATWKGIRATLSRD FVPSAYSRRAALLLANSEADASASIFALKSLGIGPVYTIGFKAKGSLSAEVEPVRSVE DMKRLEQPFVIISALPAEKSLLVVPLLKHYRVNGKNGVSNGGHGMKVSGKVFVDLASG PRKVDPLGIATSAGWTAYGSADVSAWTTVEMIRLLVGQNTPYDFVQLASGVGRGLF CC84DRAFT_1088799 MSTQVLQSPVSQMSRPQIGIDRLPARRVSNEPREAMNCKSCRKR KIKCNRTRPTCEACQVFNCPCIYDAVPKKRGPKTDVLEALLKRVDGLEKRLHSEGKSE SAIEDEPAGQEGELERKYSAASSQSRPNLEIPPNNASAAKQLMSPIEPSIQTPSLAPD ALLDTYFARLHGKPYHILDETTTRQRLQANQLPSYLAYAIYAVSARFTPHFGGYNNAI RVSDEYARRARMELDIDEPSIEALQALMILAQANFQLGKGKKTYMLLTSAISMAFALD LHRELPLALKVSQGEREGRRRLFWSCYLMDRFAAAGSKRPSLIADEAIVLRLPSWQLH PGGMLLEGDYFPNGSNLQYMAGGRAGQGSMGMLIGIAKILGITNRYLAAGGVRGDSHF PWHSLSNLSKIRQELDIWASETQDTFTSIDALFGQPDSTILVLSKLVYHLIHCLIYRP FLPVDLAELSGSSQHQSWQIEATNLCFLHANAIAELVEIGRASSIIDWPAFVGYCVCT AGTIHIHGVHYRGQEGEVFSVSPEFLSREMHQLSELRFVWASVTHQRETLQNLYGCHN ELVKSLATNPMRFSSVFQLEDFFDRYPGHVFDGSHVIFTDTPVEIVQESLPAYNVDQR INLYMNTGMGNQNVYHPSPIVPSSNTHSSGHPNKKRRSNTMSSVRGSQAVPAPLPTPT SATHPVHSDARQGGSDAAANRARSPASAPSFSPPPRINSTNGTQSSSLNLPFSPNFTF SPLPPIPSLAPTPTPGQTQAHPPPPQPHEQSHSAFDPMLSMPTPYDQQATPGATSTSG GSVHTDPDKDPFLSLLEQLAENEVSRGGPSELDYFLSGQTG CC84DRAFT_1216169 MAPVTQTEECDYLVIGIGSGGIASARRAAKHGAKVISIESKRYG GTCVNVGCVPKKITWNAAAIAETFKDARAYGFGGYDTPTFDWPTFKKKRDAYVKRLNG IYENNLNKDEIKHYKGRAKFVAKDEVEVALNEGGTQRIKGKHILIATGGRPKVPDIPG AEFCIDSDGFFDLETQPKSIATSGAGYIGVEMSGMMHALGTETHFFIRGQTLLRTFDP MIQDTVTKEYERQGVHLYKGSQITKVEDIGNGMKRVTYQETESKKESTLEVECVLFAT GRSPEVDALHIKDLGMKLNDKNHIMVDEYQNTNISNIYAIGDASDSGFELTPVAIAAG RRLSDRLFGGKPDAHLSYENIPSVVFAHPEIGSIGLTEPAAREKYGDKVKIYKTEFTG MYYAMMEQGEKGPTAYKIICVGEEEKVVGLHILGQGSSEILQGFGVAIKMGATKKDFD NCVAIHPVSAEELVTMT CC84DRAFT_1163401 MGGRLSMPRGADGMSETSKPTSSPTPARLTRSRGIINGRTVMAP LAALTMAGLLFVYARTSIRAAKLNAQKHREADGGQISWHKESMRRHGQLERVGSDRST LKEALQADFTRSKKEKLTSSEEPKVRTERSPEEKRLRKMMGKDD CC84DRAFT_638403 MPERGPDRTDASSANARPRDVQDVSRAVLRSEQAKRWTKRYRTE VAASSSSLLSTFVAYPLDSVKTRLQAYQFKSFTDCVLHTYKTEGLHGFYRGVWSPLAS ITLVRTVSFSIYQKSKYAIDDWIFRTTGSSPLVIANTKGALPTLSTVACFGLSGATAG AAITAIACPFELTKLSAQISVLMADRKDGGGTNDAVRKSYQNLGTFRTAQNLVKHRGW MGLYSGFHLHLLRDTIGTGIYFVTYESVKQILSNARGSSPTSPLAVVVAGGMCGLVSW ACIFPIDTAKSIYQRNCLVGGREKAERPRIHFFNARMYRGLGVSMSRSCVVNAIFFTA FEFTKKRINGMTYDEELLREHGA CC84DRAFT_642197 MDKTSTQPAEMPSRSKENMAQMASVGVRDYGEGTSPTQDNTQAR TFLEGASQMHLRSTQSLPCGAAVLLEPSIRASGLHSLVTMHGRPTANTTPFTNFSIPS LGPPSEECTDGTRATLAVVALSDGPSQSVEPADEPFLTASHTIISLPTTASPLPSTLF LPYAYAPPAVGKLAPPGRGAWFRTTWQRIVDKLSQSSNRQLSRATHMPAGSYPPPRFE RTIDLNLTQLNGEIYKCSARALIDIHSPCNLISASMIEGFPPLVYESTERKCYARTPA GLAYSNGRVKARWHCEDRANFDPTFEEAEFWVMEHDIGCDIVLGEPTIREHRLLQPES WIPLAVPMGCHTRQIQVERSSISSRDASAEQVRQNEYRLMGQSSSYEVRCQWLLRCLA DIQPQQQRHAYAHGQAHNYVRMAYDNLYAARYEEAKREFDKLSYRGR CC84DRAFT_1089552 MTPVATTSRNSNNASHEKPKGYPMLAAQIEQRPEMAIFRRFGAL NAENLLYLQAELVLLEDELRKQQAEDHSSSIEYKAKYALNWFHLRNSRSNGDSKQLDL VHTIRETLWQYSEYCNLRTTAGLLLIYRIDEALIQQSRVLSYPEPDRYDLEYMQRFLH SKAHMDLCLLGPDAAIWGSLSKPDSHSPDLASLCPRKKEDPFSNWVVDNAITKLVRCG CSCFLRSSRADMRGIIGYEDIKVMQITYWMTSVIASLLPIGSIVILYKVQSTAARLGI IAAFNVLVSLCLSAFTNAKRAEVFAITAA CC84DRAFT_1242420 MCTSISPAKHRLICTREPMATLHDLHCVPYATGPPVLQPELADF LSLAQPGRCGGTRFSSSSPHTPHIDPIEVARYAPVSKRRMFSCFPIKPRTKSKHRRAT TQRTHTIEINANSDSGTHIWKSAAVSYDASTDENVVSRYLVTEVLKKPIYPVNEEKRR SYRTTKDVSGYTELVWCVDNSRHVQSTEFFVSSEYRPRYDVVFGRIGTNPSQIASKKA Q CC84DRAFT_642227 MCAFTKATAVWRLPIMCVCGEFLYLGTGLAVRVNAGSKWMDVDG CVRCDIILLFASFFTSLCVFLSIGSRTSYPW CC84DRAFT_642228 MGLPVWRAPSPEAPSQALKDPTSLSRSPIRRRRPTRSPRARDFD VQTALRSRERPARPYPPAPNVPARNPQRREEHAPQDDGSSARSYFSLVIDAAERRTGG RRTLPALTPNFAPAIHVEHRRSGPVLRRTGLTRSHTLRHAGDRSPVADQDVPAEADED SSDNAVGFPPLRRMGRRTIADGPLPSSSLRESWSPATTFDGLGDRERSVSPVVDDHWE TMLTSVAPDPLAPTAESSFASAAASASFSTSHPSSRAGSSNSNTNSATSSRTHLTIPS RRQSWSADFLRACDTSEDDSASDTEAEDEVLDSNSMRRRHTAGTTSNGPPPTRGPYSR AIREQSRESTAYVRSFYGEVPFEHARRMEEQLAAEERAATDEEMPLDQELRDARVLLE RLARREDVSDDFWASVGLRRPVADRVERIQQRERL CC84DRAFT_1216175 MIQPGLERIGRLLQNVQFPWKAVHVAGTNGKGSICAYTSNLLTR RQLANGRFTSPHLVNRWDCININNEPVEEQEFLRIENHYKQLSERENIEASEFELLTA TAFTLFNEKQVEVGIIEVGMGGKLDATNILNNQVVSVVSKIAQDHQGFLGNTLEEIAS HKAGILRPNVPFIVNPMNEFRVHEVIENYAKEIGAGPRILVDTEELRNEIYKTKYWKQ FSESLLPFQRDNAILALLAYFEVLKSLGLNVKTINTLKMLDKMRNKVTLPGRMQMVYV PVVFAKRQGVLVDGAHNPDAAQSLKAYVDQKMRHKQDDRDAPITWVLAMTEGKDPRQV LQILLKPGDYVVTTAFDPVDGMPWVRSMDPNELLSIAREVCPRITGLAVPKRGAYRAL CTAKYLARLTKREQIVLTGSLYLVGDFFRDHEVGRQSFKYEKGFPAIREIDLDEKARV NEFLEDVARGAPGAGSARLNELSEERRWSPFGTNLQKVNEVHSSPATSVSSSPMQGES ENLRKLRIEIAQLEREMQSFQVVNSKSAPSTPPEKDKFFQDFGDFRAQAEDFRPRKDP QVEHLPWQKGFDFAEITEKPKERRFKPVGDAPIREATPLKIRKFANADKKDPHRSLDQ MIKDAEEQFNPVKDSTEATLAWDQIVREAELQADGASGKSRRRRRRADSF CC84DRAFT_1088471 MLFIPVFILLGAVAAARGTAKRDNSSLVVTKVPDYVRPYVVRAY TLDGVRIGSQVYRFPVTGPSSDNAFTLISTAAPASSELGVLPHIHQAHYENFYALRGR FALWASKDNTTAGRIFTPGDYGAVPPNTTHSFQILEPFTEMVGVIQPGGFEDLFYFLA SANYSSQTYAPFPQGNFTSPGGDAETISKLQKFDVWAQLQFSPPMDFDANGTSGDEGA VWHNGINELASDSATPFFVANGYGRKTLTPASADNASYAVVEPFITATQSEGNFTEGT ITLSRLPSSSQPQAWKLPGHTALEVVDGLVGVKVDGYEEELNLSVGDVVFVPAETTWS FWGEAAFSKVLYVGQGRDTVDARLREQGSEWGSVVWPA CC84DRAFT_1204754 MSAPLLRIFKFYFKLLRTYHSELATQGTSGLVTVKIASDEKSYT LYKDMLTHYSKYFKKALKGEWKEAQGGAVVLGDVDSVIFELFVNWKQQQKIHLDFARA SLKNTKDDWSTAPAHEDDEDDEEEDNNSTTPESIEHDDLFGLSPFDIDLVLLLQGSIF ADRFLMSDFKDALLYAFVDEIIAMPPASFKFIIFADKKGLLPGSGPVLDLLADAHCKS WRVDSSEDDVQLIDDLPKKFTRHLIFRGGLLNQGAGAPGDELIACDYHGHATQAEFQG CAARKSRVLVSGPDIV CC84DRAFT_1258355 MLPEPTSILQLIMDAELSLVYSREEKRKQTFGWGKKDGDLPDDF WARVINALACDNLWENMVHLVIGSEAKPYRFFKNVLCAHSPVLASLIDQGEGGIDCVI DMKKWSVGSFRIYAHWAFSKRLPEDHREWWHVMLQDHKIGELCLLERLQACVFGREMG MHAFANACYNSYVTAARISKLPPSYEAIAYAFENISKNDNLLSFLVDMYVFHTRVTRS QASGGSSGTSNDKYTGTHSATRSQTFAKAMTPKDLPKDFLVRVMQKTQEMAQGTFAGV MYACAYHIHPSDEERNECPSYILDIKDTPFRLLGDAVVKALAETRQKTTHGLADAVFR VAQLVTVPANFNNGQLLVD CC84DRAFT_1204755 MHHLEYFKKALTGNWKEAQEGILFERGKPDHYYYDCGCCGDDEE HYQNPSKCLPSIQAYVLANRLVAYSFRRDAGELANNLLNSRMFTLSNLYMMVPYAFEH IPPTRPILQHLGDRFCGMKRKPEDIEKLVEVETKLPVAFTRKVVRRYQELVESSKTGN GIRRCYNAHTDSEEEQCGRLHMKRNDKTELGHFLKRP CC84DRAFT_1163406 MQATRVSSRAFKAVAAGSRQSRSLHMTGPATFSNLLTSERPAMN HPQSLAGLRYECQVLKLPTTGSKEELQSRLSAHELTNGARTFSTAVDDSKRPLVGAPV TGRSFRHFNTSRELKSPNDSSTIDFAFIPDFDPDLGAAPVNIRVPILPTTITPNPSYT FASAIEAAEAEEEYSARLPRIHTVSSHHVHAPAAMSEVHDNNTIDFQGMAFEAVNKLK RPVEEGASMAKQIWGGLVDDVLGPKGKPA CC84DRAFT_1204757 MLYEVRVAANKGLGLFAKNTIPRGTRILAEKPLIALRLGQRPTD VLGYAKRLDLEEKKRLLGLSWHPGNGVKRMGRWVEALCWAVRNRATDGKSARAQGNDT GKISATVTERLSELVESLGEAVQILSIFRSNSFNLAASEILSSGVQPSSTSSPKTSNP PNALKKVIGNNNLRVEEISPPSRPAIELALFPSIARINHSCNPNAQANYHPLHQTFNV HATRDIPAGEEVSINYLPEHGQLRAQRVAKLEDGYGFTCNCPVCDLDTKAGQKGEQSR REMQQTMKRTRALFTDSTGVAESRDAGYGADGMPESLSSTVSDKEQRQIDALRAMPEE DRQTWLRERELKVLNKMLAMYQTQGIVGREVSSMHYAIARLQRSTGSDEQAMASAEAG LELEKACLGVDHPAYLEALAMVEGLRVDAARAQLVSTKSK CC84DRAFT_642865 MAPPNNLLIDVRSPLEFSTGALANDLYSATNIEYTSIASLPAVY AALGTQVAKTDNITLYCRSGRRSDIALQELRALGYVNVRDIGGFEEARAVLMMEETER RSIREVEGMKRRDGKERVEGRKKAFGALLEGLKECEQG CC84DRAFT_1258359 MKFAAVISAALLAQQGLSHPGQSHAEMQKEIQERREYLRTHKRT LADCAEQLKARGNDALLQARRSAKLDAMRKKRSISTDKPLLKARTFEDVLNTDHHSNL TVTPETATPDILFTGNASCTLTPETTEGPYWVAGELVRENIVEGQAGIPLTLDIQVVD VNTCEVVPQAYTEIWHCNSTGVYGGVVAGGNGNQEDLSNINNTALRGIQLTDDDGVVA FETLFPGHYTGRATHIHVLTHVGATLNDNNTVTGGNITHVGQFFFDQALITEADKEAP YNTNTKPLTANVDDFIFAEEAANVDPVVEYVYLGDTVAEGLFGWIAFGIDTAAARNVT PAVYLTENGGVKNPNAGAGGPPGGGPPPTGPRPTNFPTATASASAA CC84DRAFT_1063988 SLFTSVKSYFASLGLSTNTLLTEHAECTHHEDLVDGDENADVAT TRTPSPITETIAPGRLRSLFPPSNYGAVVPGSVYRSSYPQAKNFDFLRSLKLKTIITL VPEEISEEYREFMKSAGIQHFQVHINANKGAVRVQSCDINRALNIVLDRSNHPILIHC NKGKHRTGCLVATVRRIQGLDIDATRHEYHTYADPKARFWDEVFFEHFDVNTVMWLAR KENWVLPEVDGTPISPPPS CC84DRAFT_1089430 MDPPRSRSTRRSYPNLNLNNLSLAPLSKQYPLDASAPPSPDEDR IYTPRTSYIAQKSAPTTPGILSLSQSRSNSRNGRRKTKAYAYDGYFMNPDVPVRDAGD VPKAKSTTALLPGVSFVDQPKGRHHARKGTAPLPLRPPHVRHHTSESANEWFHRAGMV IAGETRDSKGQGWLVRRESSTSLVHQSDDYEEHPSHDGRHMALLSGEHLADFEYSTFT PRYSRAGSRAQSRVVSRVPSARTSRRGSRVGSRADLVMTASGMRTPGGRRSLELDDDS MFEESPIEADFVEADEENEGDEEEIARLARERGFGLGTWVDRLMGWTLFSVDEDGEES SSDDEDEDETPRPENMTKEELKLRREVDAKRRKLEREAIIAAAAVQAPNSKNNAGEET DAAGDKLPPGEEGGGWADAAWLVSVAAKVLL CC84DRAFT_1242458 MPASDTHTATQEAKTGHAPPSRSSTSYRDFALGPFALLLAFRIV NALTLRTFFQPDEFFQSLEPAWQLAFGQPARAWITWEWTAQLRSSLHPELFAVVYKVA AELAELCGLGPPAKAELLLAAPKLTQAVFAALLDCYTWKIAEKAYGRGCRTAYTTLAL SVCSPWQWFCSTRTLSNCLETSITAVAVYYWPWHAPGPASHDKKQPQIAGQDSPLHSS AQLRLSLLLAAFACILRPTNALVWIVLLLPTWWHASTRQRYILIREISLCGVVTIACS VLSDRFYYQAWTFPPLRFLYFNIVQSLAVFYGKNRPDYYLTEGLPLLLTTALPFALVG LWHGLSGKLSPHSGHTRDAEAQTLLSRLAWISLLVTAFLSLIAHKEVRFLYPILPFLH VLAAKPLDTFFPSHTSIPRKALLVFLLTTNLLIAGYASQVHQRGVIDVLAHLRHEHEA RNYPASGTGAVTRPTNSTTAFLMPCHSTPWRSHLVHASLSAWALTCEPPLSIPPSDRA AYLDEADQFFVSPGPAGWLAEHMEYVDTVRASGSRSSRHWGRVDPNVQRANRRSWPQH LVFFAQLEPVLKEYLKESRYRECWRGFNSHWHDDWRRRGDVLVWCLD CC84DRAFT_1143559 MDANEASALAVHDFAPFHANAQQNTTVEHGDRPAEGGEADSTPR SEVPSVSDAVNETLRHHTSSLTAEPSYFSPQPLRVLPSKIPHTNPSTEPSPTGEDAAL NPPRSSSFASSWRASRPSPAPSSETDRPPFQRDLSSASTASAATVRANSVDASHAYLP PEPRDGPVYPNQSYAALQLQQHPNQTPYILRAKSSQPSHHSGYSMSHISTFGLPGEHY RGGLMDTGSRTVGNSPASSPGLFSPNEPRLRHNEQSDDNLYSGPWLHYTHRQAPKETH VADVDVDPMSGRKIINHYEILDELGRGMHGKVKLGRDLQSGATVAIKIIDRYSKRRRL GKNTSHEDKIKREIAILKKARHENIVGLLEVIDDPSKKKVYIILEHVSLGEVKWRKEG AKEICLVEWRRIQRESEGIFDNESAKMEDDAIIKLAHQKLQRQQRRQARKRRLLKMQE TGNEAWSLELGGDSEDDYSDNEYSPRASAREGERPASRLACSDRQGSSISQDAAMETA FRAGTPSANAREESSSPTGLEGTMYGAYDTEAFRGRTPSIAGSSSSHLTNAEEEVPEH FHYVPVMTIEKARAAFRDTVLGLEYLHFQNVIHRDIKPANLLMTSLGRVKISDFGVSY LGSAPRQDSTGDQSESDAPEVDEAIELAKTVGTPAFYAPELCNTELDAEPYKIDKAID VWALGVTLYCLIYGRVPFHDQNQFFLMKRITDDEPYIPTYRLKPVDDSPNSRPNSHGR MFLSSGDKRMDHDLDYEAVNEDLRDLLQRLLIKDPRKRITIPDIKRHPWLMQGIDNAD KWVKETEKQLEGNKIEISKEDIEKAVVPFGALFEKMRYTVTKLKDITRSLTRRESKPR NRAQSTTSGQDGPPSLSANSSSSTISQEGRRPSLGPGMSIYEALSKSRESEHPLSHSV TASPDTSIRSRYFDGANSRTASPAHSAESREHPSPLTFGGRPRLPDRAHSTLSSAASI RTVRLGEWSAQGFPSSPGIPPALPGTPTALDTPGGSNLSGIYGGMPKRVVHDTRPEER RLIPPREVSRAKSIDRMFGSSDDPHGMASLAVSTDFASGTVDQPDLLKELSPTILGTS PQLSDLYTASRAERASSRQSSLSSMSSRLHKSWAANNELEVGPSLDLAPIIAAPPATQ ETSEDRFNRAKDEFLRRRLREAELERQRANLNSSQRPPSAQSQIECPPSPDDEIFLQR HHKVEEFLSQQHVQQPPYATSPVTRPVMTPAQMRPIASSSSEEQFTCISQSTSNPSVP SVASATSSLALDEHAMSKMTPAASGSSIHINQFNTPTDDPAGYDGDHAVESEDEDSEE EFLVMGKKKPAPKPSRSESITNAELARANVRKELGYRRRSNRSGSNGTVKKVPPPGID CC84DRAFT_1216187 MSLPSLPDRQPMDHSDGSSNSSPDTCPEIRSRSNSREESQQPPP QPRKPIPRKGHTKSRRGCYNCKRRRIKCNEKHPECNHCIKAGLRCEYPANIIQTTQRS QLSPNPQEMVNLRSTPGVFTMADMRLFHHFLITAYPHLPVGADKIWITVIPSFAHNYE YLIHSILALAASHLDAVSSANVAEEAISHRILAVKALNEALSVPPKTRNERDARMAAA LALAFQSSHLQDGLLEFLTMVRGCNLIALEGTLDTVDSAFHAFREDGHLATMRTRMCA SQQNFVDRDDLDLAALSIHDIELLNMTDWERMFWDALIRTVENAYDRPIQAYTTFVQL YNIPSRWTHDEFQSFIDPNNSVAQILLGHFIALQAVLTPILMLERVGFQGIDAPTSVL GWVDGIYKNVPPGLRHYMEWPRQVTRYPMMRFYGQKHLGYYE CC84DRAFT_1258364 MLCATCIGMVLKPSFEPQPHHASFDVVVKAAQAGCKICKSLLLW REQFGPDEAGEKETESFNTYNCSGMDNITFQGQASWLGKGTIVLQRLESQCPQETPPW WIDLLKDVKTDLNDEPWRVRPDQFLGRNIAPNTGDVEVSRQALDWLDKCKNEHSFCQQ VESVREQNFLPPRLIEIPQDELSGCRLVDTKPEVGAYKYVALSHCWGKNPSFLNLTAS NEAQLRQCISMADLPKSFREAIQTCKRLGIYYIWIDSLCILQSGSGSNEDWQYHTTLM GEVYANCELNIAVARASDASQGCYVDRDAHFLQTAYIYERSGVLPDTKDPLDAWIQAS QETRVEASLTVVYDAENDGFAGPSSHHPLNRRGWVFQERLMSPRTLHFGMDRVIWECL DKACNEYFPTGVPNYRYTFPGREVHKPSLARLILKSGPSIYWDEDEFDSMHYRWNKLV EAYSKTDLTYPTKDKLAAIAAIAKRFGQFLPDRYVAGLFLLPSSSSSQLPDPHPVDYD RAISPGGPRYDYRSTPLFRLAWHTLSETSKRYREDDETWESVFIDTGPYSLIQSPSWS WASLNCEISIAGYPYTYDLARIDDISVELANPDDPYGQVAAAEITLTGPTSEIDASAW QDHLRNQSQNNTYRKEKSMQQHNDETLTAMFLTSGGSEGYNATCGLLLTRQDAETWRR VGYVELMDREGKCLDQGTVTKTMRIV CC84DRAFT_642624 MFRRWLWNTLALLCVFIPVEARSFPKRTHSSDVLEYVNPLIGSQ AGGNVFAGATLPYGMVKVSPDVNGENTGGFSTDGSQITGFSALHDSGTGGNPSLGNFP IFPQICSSDDVNTCKFPIGARAINYTNGSIIAKPGHFGVTLENGIKADMTVSEHAALF EFDFSGNSNGNGSGDPLIMLDLTDLWASRQNASISVEAEDGNEGGRVKGHGTFLPSFG AGSYVAYFCLDLSGGTVKDSGVWVNNRAGTEPKDLHVTRGFNLFYLEAGGFVRFKGPL SGPISARMGISFISTEQACRNAEEEIPGPDFDFDGLVSKAQDAWRRKLSPISIESGGA DESMLASFWSAVYRTMISPQDYTGENPHWTSSEPYFDSFYCLWDMWRVQLPFLTIMDP LQQSRIVRSLLDIYKHRGWLPDCSMSTCKGWTQGGSDADNVLVDAYVKNLTNIDWDLA YDAIVNDAENEPLEWSIEGRGGLASWKRLNYIPYLDFDPNGFGTNSRSISRTLEYSYN DFALATLAKGLGKDTYAKYIGRAQNWQNLFKADQTSDINGTDTGFTGFFQPKYLNGTW GYQDPVACSPLADFCSLTSNPSETFESSAWEYMFFVPHDIAKIIELLGGEETFLKRLD FFHTSGLADIGNEPVFLTVYMPHYAGRPGLSAKRVHYYIPSRFNSSLAGLPGNDDSGA MASFTLFSTIGLFPNPGQNVYFIIPPFFESVSITSPATNKTSTIKNTNFDKEYQNIYI QSAKLNGEDYSKNWIGHEFFTEGWTLELVLGSEESEWGTKMEDRPPSLSDATGSIGYL T CC84DRAFT_1163419 MASHKLPEGFLSGPAPALKKTTIDFAKEGIPAYAGMYAVVLDGL LSSEECSQLISLAEATTAGRWERAMINVGDGQQELYEEVRKCGRIIWDSRELMEKLWA RILRDVPEILEIKDWPDVTGWGRRDVTWVATRLNERARFLKYVGGEYFKAHEDGMYIT PIGTERSFFTLHLYLNDAVGKDGKAQLKGGATTFHNRFMDPELDLHVLPKCGRVLIFQ HEGLLHSGQDVERGTKYTMRTDIMYAVEGELGEGPEGGW CC84DRAFT_1163420 MATPKPITRVTLFKIASSSDAEILLSHYRGMPTNAIKDGKPYLL SVTAGPTFEDPRSQGFNFAAISKFKSKEDMAYYDEECAAHAALKAFAKGVIQGPPQVV YLEDGTAE CC84DRAFT_1258368 MAEAPRPGGRKLVKRRTGSASPSRNRAQSGNRHSQQDTPAVPPI DLEATPMPQERGTSPSGKEKRSKWRLSNPFHAKDKDRKDSVAVTAGEPASAPVQGLDT AERPPPARNSATAGDSAYFSSEHANSSNDTSGHPSFSSDVRRHSRGEQLQAPSATLQP PTTSVTPPTPSASLSQGHATQLPPQPSPSVQAQTDDVHRESYTDQRTGNVVTTTTTTT TTTTTTTGPGGSTTVQQPAGHDDAISTQASVTGTSGPSPPSPAPPLPQERISTPPKQS GPAVPGSSSSPPIPNRNNMRNRVELDSVSPGIERPNPLVSPITPSSPSGHNFSYPARA PPAGVPRQATSQEFNSQHPFDHSHSPFGPPQQSLDHSQHIPVPPPQQYDHLQQHNAHQ QAGLGDRGHPAPLRTGHQHQPQQKQSTLANLKTAAAGIHGAGEALRGTLNQTVDKRFA KPDSAVHAKNQAVIEKGRSEVETLSFRPQQQHPLSQPPPQFQQPQFQQPQFQQPQFQQ PEVQHPQVQQPVPQVPPKVSHGSVGPQNEWKTPYNGPPISGSQIEGKSGKLSGLMKKM KSGPMASDKGN CC84DRAFT_1117355 MAEVVGLVASVIQVAGAGLKLSETLYQYAESVASADRRIKDIAT EIKLTASTIKELGSLFQQDATSKLLSNSALHTANETVRECSKVFEEISILLNKSKKNT LGRMILPFREPKIELFRSHVDKLKITLQLLTSVLIFAHQTAAREHDRKMLASHREEIK ELLQHRKRSTQRYEESVKNYSLSEDSTVLDEEEDTENTNQDPISATSIMMATAAIKST ITVETLNTCVQHVRSLLSNIEALQVALETQKHGENHSEHQQRLIGTYFHARQHLDSAF LGNPQDQPIPNARCEIDSAPLRPGYHHETEGEHEKLKIDRLRVKEDSELKHEVNRNRI EVGMELERAFDEREPLRHFTLDEKTLERASYISQLSRVKECNNEKNKAAARDKVVAAE SPNRLAPQASDLLERQTDRPLWGDRKSVHDPIPVKLGLIERGSLVESELDKVGTAYAF RKKAKAAGAFNAGGFMTRAGNAAAKRLEPDEQKFDERRPYSEALADDPSTSDLQVPYR NTSHSTPKLRPPLPPSLQRSTSTRSARSVSSKGFLSDPVSAGVNFHSTPTDDFHNIFD HVDPCESQVDDALFDFDKNTQTQSPNPSLVLERERRPYSRSPGRSDTRENEWREKDEA AESIPKARKRTKTGCLTCRKRRIKCGEERPTCANCIQSKRQCYGYNQRVVLKPPTEDW PNDLGRSDIQAPPSPQLARQDYKDENRERTIRGSASPFLSKPDSYYSPPLLPHMPQLA PIERFYPQLAAAQQSPQAIDTQPGDLSSNRPIMNDFLFTNKCTPWSYSSSEEIAEKRK PEDIDPCSRKNQNSSRPNAKRHLSDIDMGDMLSVARPEHSIALAEPAGDSRTMAELDS RGEIKTRLPSSYSDTSKFRQKSRYESDELDKEVDTLLRDWTTVL CC84DRAFT_1163422 MQLTLMSITAILAALTTAVPAPIPNPFHEITVQIANDITGAHAS ATVLSDGLARNLTDLFGGSAIDNHGAIVGTSAMLTQFTDGTRCFFQNYNHIIDMNGRE KSYVDLDGDRSKAIPVYLNGFNLQCPE CC84DRAFT_1163423 MADIYVAKKIAAILPPPAFSDIAKSSNDLINKDFYHAAAAQLEV KLKAPNGVNFTAKGTSAHDGPVSSSLEGKKALSNGISITQSWNTANLLSTKVELNDTL AAGLKAEVLSNFKPDAGNQGQKVNFFFKQNNFHGRVFGDLKASGAVSAIADAVLSHEG FLVGGEVGYDVQKAAITKYSAAVGYTTPIYNAAITATNSLSVFSAAYYQKVNTAVEAG ARATWDSKSQGAVGLELAAKYKIDPASFAKAKINNLGIASLAYNTKVNSGLTFGVGGS FDTQKLNESGHKLGTSFTFEG CC84DRAFT_1258372 MPLHPRTFLPRPQTSALWTCASCLARTSCTAYALPPLCRRSNRL LLPSALQIASFHASACRLAPEPPTHYQVLELRHDASAGEIKRQFYTLSKKHHPDHNAS DPDASTRFVAISEAYHVLSIPEKRAQYDAQLSHAHSRSSRWGSHAATPRGSYSSASYA GSRPASGLNKKRSTFRGPPPSFYKAGGYGRHGAKRAEHAQHHHAGHQTEQNANTSGGA DSYGRFGEGMGPGQAAYGNEVPHFDDRKHKQTHDTVYEHIYARKRRERDAEIPQEFDR GGMLANFVIVSGIVAIAAAATKMFSNSTEGNKKANA CC84DRAFT_1258373 MAAKAAEALDSLNLDESSTKAVNGAPKNGTQNGDVDNGDSDDDA EADDGAPETTAEGAAKKKKKRKPRKKKKAGASSGPKVQTAPPRVPVHEIFLNDSYPEG ETHEYLDENAYRTTSEEKRHLDRMNQDFLTDYRRGAEVHREVRQWAQKWIKPGMTLTE IAEGIEDSVRALTGHQGLEEGDALKAGMGFPTGLSINHCAAHYTPNAGNKMVLQQEDV MKVDFGVHINGRIVDSAFTMHFDPTYDNLVNACKDATNAGIKEAGIDVRMSDIGAAIQ EVMESYECEIKGTTYPVKCIRNLNGHSIGRYSIHGGKTVPIVKGGDQTKMEEGETFAI ETFGSTGKGYVRDDMETSHYAMREDAPNVPLRVNSARTLLASITKNFGTLPFCRRYLD RLGHDKYLLGLNNLVSAGIVESYPPLVDIKGSYTAQSEHTFILRPTCKEIVSRGDDY CC84DRAFT_1143578 MAPVSFSSDNSISSHTLSAGSAQPSPMDLNATATANPAAKLLAS PLAFLQPTTQLNGAFLAAAKQFLDPIAAGVSEEQAQRQQELRKKRKRGEGYDGDIRVL HLKKVHLQGFGVNQVFEQTRKIVDAAAEEIELALPQGDEDDTLAIGEADDDEISEGSG AEVDEDEDSSDIGEEGVDWEYDGEDVSGEEGLEGEDDEDEDASDGEDVDVEDDAEGIF SDGGSDLDEPAETYRPDPNGLNDGFFEIDQFNKVTDFLEQRDNQGEAADSDEDEINWE ADPYSATASKKGATGDDEDMDDESDDEAGPTFGNVDLNAPEGESDDEELQDGEMDGMD EGANANNIMYADFFAPPASKVGKNKKKRGRPNPHNFPGAEDPQNTKPDEDDIQNVISR VHGDLFSDEDDVGSDDDLSDADPSDPKSRRSTHERRQAKLAEQIRKLEAANVAKRGWQ LAGEARASDRPVNSLLEEDLEYERAGKPVPVITQELSEDIEALIKRRILAGEFDEVRR RRPDDLATGPRERRGLFELDDTKNTKGLAEIYEEEHLKAVDPNFVDARDEKLKKEHAE ISELWRSVSAKLDALASSNFKPKPVAANLEIRVDAPAITMEDARPTAGGDVAGASMLA PQELYRPGEDKDGKKNNAEIVTKSGLPIAREEMTREQKLRRRRREKERIKKAGLHAVE KKDEEATGREKKAKERKQLLGDLKKGGVQVIGKKGVVTDVEGREVKEGASRGAGSYKL CC84DRAFT_1117368 MAPTPDWVKQLKPSGPQGSELLAAERGQSDIHVEKLQELLLTKD VIERRNKILDILKSEPVFDKSSNYFNGRMERFERALAREKKLQLLRRKHNWTTDELRT ASEAVGEPGPYALHESMFKITIGEQGTPEQQEKFLKPATDYKIIGCYAQTELGHGSNV RGLETTATWNPEDKTFTIHSPHLTASKWWIGSLGRTANFACVMAQLYIGGKSFGPHPF IVQVRDLKTHQPLENIHVGDIGPKFGYNTMDNGFLLFNKVKVPHFNMLGRFSRIDPQT NKYVRQGSPSLIYGTLTWVRSNIVMQAGSVLARGVTIATRYCAVRRQFQDRDAPPGEK GENPVLNYTMVQIRLLPLLAATFALHFTGKGMMHMYQENQKRMNGSGKGRESETRGAG PEETQAGSDILADLHATSCGLKSLSSSIAAEGLEVCRRACGGHGYSSFSGIGSWYADY LPTVTWEGDNFMLTQQVARYLLKSARSVLSGAKPSNETTRILSDFRSRSEIGCAFDIL GSDEDLVSAFAWRASFLTFEALKHRDDNKKPWNDLLVDFYRLSKAHSQYLVVRNFYDA LKHGNIGREVDATTHDVLWKLFRLWALHTLEQEGSEFFASGAASRHQISLARTNAVMK LLKEIRPHAVSLVDGWGFDDWVLDSSLGRFDGKVYEDMFHRASELNPLNGVTVDPYPE NEVLFKKVEPLAKSKL CC84DRAFT_1216200 MGQTLSEPVVEKKSQSSDGEDLIFGVSSMQGWRISMEDAHACIL DLQALVEGGKPTPADKRLSFFGVYDGHGGDKVAIYTGEHLHEIVAKQEAFKEGDLKKA LQDGFLATDRAILSDPKYEEEVSGCTASVGIISKDKIYVANSGDSRSVLGIKGRAKPL SYDHKPQNEAEKARIQAAGGFVDFGRVNGNLALSRAIGDFEFKKSAELPPEQQIVTAF PDVEIHEISEDDEFLVVACDGIWDCQSSQAVIEFVRRGIVAKQDLASICENMMDNCLA SNSDTGGVGCDNMTMVIIGLLHGRTKEQWYEDIAKRVANGEGPCAPPEYGKSEDPASP ASAGSD CC84DRAFT_644322 MLTCQAEATASFSSVTGRKLPLKLPMPTCLTTMTRIRTSMPRST GPTVPRRTLAKRRLGHKARKRPPNHLLPSRQKSQRSRLQPRRTRLCQRRLLVQVKSNQ RNLYSLARVSCLDREALEHALYPPVVLVILASRWVGPGTASASGNIVHVMTLMRWVMD WMLSAFLGRGLGLIWQAYAGMDKAKSLTGSSYPKRGSLVHEI CC84DRAFT_1216202 MDPHNPNRESRSTPQYGLYQRENFWKSSDEVPPFNAEPGKLEEL AQKKPTQTRWYYASSTAGYSTQTPARPRTPSGWGDAASAPIGINKTCNEAGESPVARV AGDLNLSYCLSTAGSQPIEDVGAANDALAPNGKGGVRFFQLYMPHDNALTRFLLKRAI NPSFTACILARHVAAGLACSQTWTSSSA CC84DRAFT_1186232 MSVILCTAGYDHTIRFWEALSGICSRTIPHPDSQVNRLCISPDK RFLAAAGHHTVKLYEIKSTNPNPVLTFEGHTSNITGVAFHCEGKWMVTSSEDGTVKIW DTRSGSVQRNYTHGVPVNDVVIHPNQGELISCDRGGNVRIWDLGENKCSHQLIPEDDV SVASVTVASDGSMLVAGNNSGKVYVWRMVQRGEVTSLVPVCTFVAHQTYITRVLLSPD VRHLATCSADHTARIWSIDTSQPHNVTVQDNLPGPADRDANAFPLETTLHGHQRWVWD CAFSADSAYLVTACSDHYARLWELNSQSIIRQYNGHHRGAVCVALNDTAVGN CC84DRAFT_1056687 MADAAAEPLIAGSPGVPREYDEDVDVDVDESKLVKAGRFIWVLT FCAGVSGLLFGYEYSLITSATSFFALLVSPLSGVLADALGRKTVILVADVLFVVGALW QAWTTSVWGMIAGRGVVGAAVGSASFVVPLYIGELSPGPWRGRMVTISSLLITGGQVV AYIVGWLFSTRLHGWRWIVGLGALPAAVQFGLLFLMPETPRYLARVGQKEKARRVLMR VYEGSEGVDAERLVSSVLRRVEREIREEEDAAGGRVIGPAKHGWAARIERVQDNLGQL IGIGGNRRALIIACMLQGAQQLCGFNSLMYFSATIFSLVGFRSPTLTSLSIALTNFVS TLAAFALIDRLGRRRILLLSIPVMAAGLALCAVAFAFLDLPIESNSHDHRLTIREGEE AGASMFFPLLILASMLLYVSSYALGLGCVPWQQSELFPLSVRSLGSALATATNWGSNT LVGLTFLPMMQAFTPTGTFAVYTGVCVGAWWVVRGIYPETAGLGLEDVGKLLENDWGV QESLRRFKRGR CC84DRAFT_644542 MQPQQQLSQIEKSVTHLLVATKQLLETLTLWSRGTATESEVSDV YVRLGYEFNIASRAFNAIGVDTQDLGNVPELLRGILEETLSQEASQASLDNFLPRIRD IIINLLHGLKKKQQRLRQRNGAANGGSPRQGSVGSVGEVEEPQQARAASTRLPPRQES DLISDGPDLPPRSSSVPGRASPSKYDALPSNPRANRSTNASQLSTDSSMSSTTAQQIP VIAPYPSEDPMPKSPEPRNQQDWPANFPPPPPPPPQQQDALAALQRGGELERRASRRF SAYQIQKHLGTNGIPMPPVQNSPIPNRGRDVRESMNAVRVRGSVLHNRQRSNVRPAVE TQFEKPNDVPRRISEESSQSSAPSFQPSQEPAPDDSPMAKTPEDKLGASTYPEGDTPE LGATLNGPLVEPAGIPSESPIKEPRKPSSIPARMNSRRMKTPSPSPQPEQFVPEQSPQ AGKELTIFLQYKSKIRKYVFSDGADLNPGRLQLAFIEKFAWDTHRNGELPEIHIQDPV SGVRYELEDMNDIKDRSVLVLNVEQLDEVKNHIDDKFNGLNRLVESIKSVVEDQQSAI QRVAERQQQTSKELAGIAAAPTLSSARASALLTPSSPMPTGGSSEVSFSSAAQLSEVQ SLRRDLAVVKQTYSSMVADIEASMSTIRTKAAAVKSTAVKASLPTLEGDTGRAYINDG KKTLQEDSEKMVTRVDDIQDLIEDLRKDVVTRGVRPLPRQLEIAAKDLSKATSDLKRL QELLSKEKPLWTKIWEQELQTVCEERDLLSMQEDLAADLQDDLEKAAETLELVEQATK QQNLQTEDGKGQGTRSASGRNLLHAVVLDKAVDPRQARDGVLGEVKALQPNHESRLEA IERAEKARQRELANRDGGEFKKELGEFVSEGKLKKSGGVEEVERLRKAKDERARKENA ELQVARAQARAEKQAAEAAAAAAAATNGDGTEEKEAEVAPEEADQEAKEERASTPEGG AA CC84DRAFT_1163435 MYTKLYLLASSIIVGLAQARAVQNGGLTSREECRSGEAGGFFIN TVEPGQGPWYPEVNSNRTYTASEASGESTCLKMDTILDDLAGSQKKSQRITNISPIAG GYCQLYESNDCTGEAMKLPNPKNWELKYPE CC84DRAFT_1175065 MRLLVCALSLSGLVGLSHSLAIRSNLPSSPFAWSTDCPGTGEPG GVYIETTGHGKTKGKWRNASEATSCILKVDLLREVNERHSSTCGLVYEQRVTIIINTF NQRQHFQYVATYKYRQAHRVRCIHTTILSKSTYERDTSVQHNLPAHTYHTTSTTMRAL TYIILGSSLTFVSLSGTVQRRWRSPSAEPHNARTCPDTGEPGGVYIEAQIGKDGLHVS YHGEWYSAEQGTKCVKKDDLLQKVVGTERAPDSSIYVIGPDRGGHCMVFHSDDCADQT GMPLDNPEGLPLVFKK CC84DRAFT_1175066 MSWCQTVVFARRIHDSKRPRTLRWAWEGARERSSAPLPSAANLC RCRNGDNAGNLDALQGARVAGPRPADTALLRRSLPKGAKSGARTGAAQGVRPFFWLGV EPSAEFSAASGPQRSSRARGGVVRAACKTLGGKLWSQQTDRQLLNGNEGASVTATDAG CMARRQQTGALYGGLVVQHAPVRVRLCCCACALLHWLRALSCSVCDAMREAIRRRVHG LQKNPSRPMAFPAPENGPKRMTRGRGAGCKQATARCTQAAEATHARCLSTLRHLSDSS TASPASCPASSAIRAAGDSTPASLSSAWALGARGRRRIELREHTRLTQAAQLGTRPRH ARLVQSEVLSPLARHLDDWSLRDRAIRDADSDPQAVRSTENRGQEGPTSGSARHLPFH LQFNPGQGPLLTAGAQHTCSCFTPDLGHRPQSPALASLSASTVARENFHGTRQRR CC84DRAFT_1216208 MASNMEWSPDFCLSCDRQIAEGGAYCSQACRLADLEKAKSPSQL SSSASSTGSSTNGFYLPPAVNFSAYKTPSPSRGFDTYHYYPTNNGSYFAPAASASQSS QQQRSLTPSSSRSSLASAHSQTTTGISAQAASQLNYYARNFDQVREIKRRMT CC84DRAFT_1163438 MSADTPYREELAHALRVVHAASVLTKSVLRSLKNSVSAETKADD SPVTIADFAAQALIISALHAVYPADAFLGEESADQLRKNEGLAERVWQLVQQAQFSDV QQRENAHARLVFPATKEEMLDVIDRGTNAQLKGRVWVMDPVDGTATFMTGNQYAVCLC LLVDGVQQVTAIGCPNLRVNPQNPVNVKVHEELVDESGFGVILSAIRGEGTSVRTMLS DGLGEPCKIEVRSSTGGKEIKDLDLIESALGSTSLSQAEHKAVAEEVGAKWPGTVIWS QQMKYIALTLGATDVMLRLPLDKARYTHVWDHAGGQLLFQEVGGIIQDIDGGSIDFSH GRRILGDNNYGMIATQPGVFEKVNEAVKAVLARRT CC84DRAFT_1216210 MASRLVLVLGDLFIPDRAPDIPAKFKKLLAPGKIGQILCLGNIT DKETYEFLRAIAPDLQIVKGDFDVEAPNLALSKVVTHGSLRIGFTHGHTIVPPGDGDS LLIAARQMDVDILLWGGTHKFEAYEMEGKFFVNPGSATGAMTTGWWEGEEEPVPSFVL MDVQGDVLVLYVYQLRTDANGAENVAVEKVSFRKNGGGVS CC84DRAFT_644752 MRFPTTILALAAIASAVAVAAPVAEAEAGWNSGFKRLPGQPIGK RDAEAEAEPWGSGFKRLPGQPIGKREAEAWGSGFRRLPGQPIGKREAEAEPEAEAWGS GFKRLPGQPIGKREAEAWGSGFKRLPGQPIGKRDEYDE CC84DRAFT_1242502 MTKSNADPFSYAAVSWKSGVPSSDPCIRVLELAPGVDSMNKNPS QSPLHCSLFWTPLQSPATVPFKALSYTWGTGGFTSTLCINGRGFKITSSLEQALRHLQ HPSEYVTLWVDQICINQQDNKEKSKQVALMGAIYQAAEETLVWLGPTESNSTLFMRVW REVGEDAEGFGMMDYYTTDLFPILTRITNNIDPDDPQTIEFNAIRHRATSRFTLEFLK AMVEWHKRPWFTRVWVVQEFSLTSKATFVCGHQRISAEQALLARQIFDHCTRNMLEKV HDVDRPALLNAFSALRNNPTQAFFATRQQKKKFVAENNAGTTLYRLLCNLHVDNKLQA TEPCDFIWGILGLAVDAKQLQIRPDYEMKDRIELIYTETAKAIIKKDGLDLIALSQFP KVIMNLPSWVPDWTANPRQSFAAPLDDCVNQFTAFKDSPFRLLSATDVGILGLTGFYV DRIERLGDEWHIEITEVEHTSYQEKWLALLTEVEYLCWRSDIMNHDIYPSNARRAEAK WRVPIGDIQYTEVFDPTRADPSYVQAYKDCMFELNFLKHGGSMGSDDFHRQQRAYYES TTRNRGGRYRVSMQGVRNKRPFLSNLGYVGMGPLHMRPGDHIVVFKGAKIPYIVRHLE DGKYSLVGECYCDGIMDGEIVERRHEEDFYLL CC84DRAFT_1258387 MAVIESAPGVQVQVVVDGNPLEEYDDPDSSRAPDAVTVYIEPIS GKNFEIRTILDKHLPTGHDVSVLVSVDSVHVTGKHIPRHKIESSRISTVKGIIDIIGG AAIQSSFRFSDVQTFEGAASARKDAYKVLGQIEVQLHFSKNARKFWNRLDRIRSSGIS QAAISAKHAGRESLSHRTSLDEPTKTKCSYWKTERVDEKAFSTFIFRYRSVQSLRSLQ VISRSPPPTKAPAIEMPKSEHIDGTSSDRSPDPTSRPADPSRSPQGVWKALSDEDIKA LLNHHTGQTSTRDGLRRTELEALLNHHRSTDEVIESGTTKIKRELDQGVDNDDFKVIG ERKKKRKDVPGKDHRIIELD CC84DRAFT_1163442 MRVTRAASRAEHVHHADDDANDASIPHADQDRVPLGEVSANTVF DPDNTDAPAKKIAAKKGKAKSTAKKGAKGKKAKTTQEEEAEDVDTAPADEDQSQPAER PVSDAGVDERAEDATADAAQAPVIEEPPATSPSRPVRMTRRQLAKQEEDFSKSLRARD PPELEAVEEDTVAAAQEISSFKVREALKDKPEENIALSSQEQTEAGEEQVVEPQDAVL EPPQEFTEQLTQVQEQPATIPQENDQDPATPTVEEQALTNADVPEQDVGTPSLEVTEP EPKVLASEKPVDEAATPATSVTPSRAISRSSTRSASRTPMRLEESISAIDDLEEALEN VGRSMPSFDQLADDKSPRKARFSRTASPGKPPRQTGPRTSMSPKVSRNPSLGPRSMKS TGLSRASSVRAPLKERTGSGETTDYLASKRRPISMTFAPPPPPAKSNKAPTTSDFQLP GERIAAELKAKKEERVKRMAEVGPAKARPISMPPPPKSTKPPTKSDFQLPGERIAAEL KAKKEERLKRMAEGDASAPRQVSLPPPPKSSKPPTVPKFQLPGEKFAAEMKARKEERL KREAEEAEAAKKTAFKARPAPARKPVAAPVRQTAASQARERIMSKENTSGPTIQPLQR SSSVTTNKRASIVQARSVSTSSSNRNSVIGNPGAAKLAPADAVVFKNKGREVFNRDRL EKEARENERREKEEAAKRARLEAAERGRIASREWAKKQLEKRQGEARRARETTS CC84DRAFT_1258389 MVPLSEVVTPGDSGIAAKHPDRRPRLPSQSPVLTYRISPDSEHS PHCPSRTAKLAAPRRVLGALNSRLYPSRSHSRAFNSAFVTVAPSRPTSRLNLFSGHLD SRPFLELNTPFSTERSAHLEDDQGNPAQHPPRPEEAIQQEPPKKMSSQPPHPALLIPG PIEFDDAVLQSMSHYSESHVGAPFVSTFGDVLSNLRKLFQTTDPASQPFVLSGSGTLG WDQVAANLTEPGEEALVLHTGYFADSFADCFETYGVKATQLKAPIGDRPQLAEVEKAL KEKKYKVLTVTHVDTSTGVLSEIKALSELVHKVSPETLVVVDGVCSVGGEEIKFDEWK LDVVLTATQKAIGCPAGLSVLMASGRAIDAFKARKTKPASYFGSWKNWLPIMQNYEAK KPSYFATPSPQLIHALDTALKQILSRPLEERFAAHREASQKVKKAIADLGLKQLASKP ENQANTMTAIYLPEGVTPPDVVPSLLKRGVVFAGGLHKEIATKYIRFGHMGVSVTDPN RGDLTLAIKSLKESLAEIGKA CC84DRAFT_1242512 MRYANYLVAFAATALALPAPPQEELFTIELEGGERREVTEIEKF ALKAEGTNFFDVTYWPEFTPASSDIQKRQAVTYPTTLTQGTSVKALTAKLSSTNIQTN LQTFSNYNNRYYRATTGQQSSAWLLSKVQSYIPSGSKASAKAFSHSWTQSSIIATIPG KSSKTIVVGAHLDSINGQSPTSGRAPGADDNGSGSTTILEAFRALLTNSTIAAGGAAQ TIEFHWYAGEEAGLLGSQAIFNSYSSAGRTVNAMLNQDMTGYTAGYTSKGLSPKFGVI TDNVSASLTAFTKKVITAYTSTAYADDKCGYACSDHASATRAGFPSVMIYESEMAYEN PYIHTAQDTIDRIDFTHALEHARLVVGWVVELGFATL CC84DRAFT_1163444 MSSSISDLLASKTLDLEPYEQLYKHYHSHPELSNQEKETAATTA KHLSKLADFKITTGIGGHGFAGVLHNGSGPTVLLRADMDALPVKELTNLPYASTVTQV SERTGEESPVMHACGHDMHITCLLAAAEHLYALRSSWSGTLVVLFQPAEERGTGAQAM VDDGLYDKEKHNIPVPDYVLGQHVMALPAGKIGSRVGTIMAAADSFKVTVFGRGGHGS MPHRTIDPVMMASNIVVRLQNIVSRETDPSDMAVVTVGYLRGGHTENIIVDHAELGID IRTINTATRERVIASLKRIVHAEYIASGAEKEPTIEETRFFPQTYNDEDMEGKLAGSF GEFFGEAFDPQIARTNASEDVSILASSQGKPSLFWFFGGTEPEFYAQKEREGRLIEDI PQNHSSGFAPVIQPTLKIGVDALCVAALTFLTRK CC84DRAFT_1258391 MKFSIIASIFALASVGMAAPTQDGAVKTLNKRGPCPEAGSGNID PCCFDGGPDENCKSQSNCYERCGPSGPNDQGGVLGCIAGCASVCPSTPEC CC84DRAFT_1175078 MRFTAGHAVVALIGVSNALPQYGVAPSPAINACASASTVTATVV APLGQSSPGTGYSAGGGQSGQPTTPPAESTSSPDAPEENSPEYGSGDDTSDSPDAPED SSPEYGSGGDATSATPDYPETTSSPVGGGAGGSGSIGGGAGAGGSVGGGVGAGGSVGG GAGGEAGAGGSVGGGAGGDASGSIGGAVGGGLDLGGLISGLLGGHAGAGVGAGAGLGA GLIGDLTGFLSGGGHLDSSGHLDIGGAIDSIKGVISGLPGGADLGKICDELKGALSGS GHVDLNGLVAGLEGLLSGSGKLDLGASLGINVDTLIAGLKGLLTGEAHIDIGATLDQL EAYLSATLGGSISAELKGCIDGLKGLLTGSGSAQLDINGLLDLLTSFLSGSIDLNGFI SGCVGLTGGSDFIDLCNQLYGILGGLGLDLHGILAQLTGVLSGVASGSVSAGGSLLGQ GSLDLNGLLGLIPGLGAGLSGSVGAGGSVSGGASGSGSVGAGVGVGAGAGAEAGVGGH ASLGGSVSGEGSGHAGLDAGVSGNGQAGVSAGAVVNGNAGVNAKVGGGGSLGGWFSGL FGGSH CC84DRAFT_1175079 MRFSVATTVALAGLSAASPLGDLNRRADVVSVLSDLYANVQVYT GAINATLATLTPSSSLLEKTAAVPKVGESLNSITKAITDATGSINDLAASKSTTEAEK RGVEAASHPVEKRQVDTATVLLTLIIVEIFATIAGAIAILGLAALLIYINPLTGAIAA LILAVQLLLNVVLASVTLLLNTLLAGLALTIGGL CC84DRAFT_1143610 MLARSAFRATAAPSLVARRGFQSTRAQLASPYHYPEGPRSNLPF DPLKKGFAFKYWGFMATGFLLPFGLAGEHLTHPPISLRTYLTFTAQIVGRSLLRFSGE DPLPLAATAWDAASNASICAFGPSESRATIELKRLHDGSDGAEKRLETIASWDAPCPL PSLTHDSVLSLHHFADSSTSCLVLAGGDIILVREQPEDGQDLVEIVGSVDAGISAAAW SPDEELLAIVTQAETLLLMSRDIDNIASVTLLPEDVNASNHVSVGWGKKETQFKGKRA RALQDPTVPETVDEGVLSPLETHSVAISWRGDGAYFAVNTVEQKRRRMIRVYSREGQL DSVSEPVDGLLGTLSWRPSGNLITSIRHTIEKFEVVFFERNGLRHGQFDLRLRPEELQ NLSIPLTLSWNSDSSVLAVSYPEKVQLWTMSNYHYYLKQELPFPEQAAATVHCSWDPE RPLSVAFYTAGSLQILEYASTVAAGSVAPPHDFGVVASIDGLSLKITPLRIANVPPPM SMLQLPLDRNVVDVAFSRSGTRLAVLSDKDVALFAFDLQKRPVTRPSFLWSGDFSEGH SPRQVTFVGEDQLCVLTDVWDEDETFVWTNEDEQLVNRGPILEPGRFSSIASDVESSK LLVSLRNGEYTSVVEMRTDETVKTTPLTNLPSFAPEIKTATLEGEPVAFGLAKSGALY ANERVLVRNCTSFVVTPAHLILTTTQHLLKFVHLTSLNELEVPGDEPQTDERCRSIER GAKLVTVMPTTYSVVLQMPRGNLETVYPRALVLAAIRRFIEAEKYDEAFLACRNQRVD MNILHDHDPERFLTSVEKIVTQINRVDHIDLLLAQLRNEDVSETMYRETLKAKDLTTK KKLSSEQVESKVNRICDALLAVLEQERYKNDHLQNIITSHVCKTPADLETGLQLIGRL QSTQDPLTDRAAEHICFLADVNQLYDTSLGIYNLELALLIAQQSQKDPREYLPHLQSL QELSPLRRKYQIDDQLGRRTKALYHLKDLEAHDEVQDYAQKHGLYPAALSMYQYEPAH YKNIMRLYADYLSTTNKNKEAAIAYEYLSDHTSAWPCYLSANLWREALSSAILAGISP SELEQLATDLVDGLTESKDYFSASTITLDYLSDLQGAARLLCRGAYFAEAIRIVTLRQ QSSLITEVIDPGLVERSADMTEFLAEMKSQLLAQVPRLRDLRTKKAEDPLAFYEGTED ANIPDNISLAPTNTTSGGTFMTRYTNQTGTVNTQTTRKTSKNKRREERKRARGKKGTV YEEEYLVNSIERLIERINGMQDEIQRLVEGLMRRGMRERAVAVEAAVMDVEERCREAV KEIYPPTEGLGAVGESLITSGVAADSGNPAEPAIRPSGGDATLWDSLAEVGKRREAPP VKTFERLSLLG CC84DRAFT_1143616 MDELFDVFEDGPQAAKATAPKSKPKQTKKRQANGEVKSPVPDAS MQDAPAPADEQATYQKRLKRDLEPEPVVTDAFETEQSREVAASAGLQGQTQQDGQAVV LSHQVRHQVALPPDYDYVPISEHKPPEEPARTWPFTLDPFQQVSIASIQRGESVLVSA HTSAGKTVVAEYAIAQCLKNNQRVIYTSPIKALSNQKYREFTAEFGDVGLMTGDVTIN PTATCLVMTTEILRSMLYRGSEIMREVAWVVFDEVHYLRDKSRGVVWEETIILLPDKV RYVFLSATIPNAMQFAEWITKTHKQPCHVVYTDFRPTPLQHYFFPEGADGIHLIVDEK GAFREENFQKAMASIADKAGTAADDFLAKRKGKGKDKKTNKGGNKDQSDIYKIVKMIM LKNYNPVIVFSFSKRECENYALAMSQLAFNDESEKAMVSKVFNSAIEMLSEEDRSLAQ IQNILPLLRRGIGVHHSGLLPILKETIEILFQEGLIKVLFATETFSIGLNMPAKTVVF TSVRKFDGVSQRWVTPSEFIQMSGRAGRRGLDERGIVIMMINEQMEPAVAKEIVRGQQ DNLNSAFHLGYNMVLNLLRVEGISPEFMLERCFSQFQNTASVSNLEKKLRELENEREN MAITDETAIRDYYNLREQLDNLTKEMRDVIQHPKNCLQFLQPGRLVRIKYNQHDFGWG AVVNVTARKPERGEKFRDQESYVLDCALVVASDTKYVPYSNNGLPPGVLPPPVGDKGK VESVPVLLSAMESIGHLRLFLPKEIKTTENKVHVQKALDEVKKRFPDGIAILDPIENM KITDEVFKRTLRKIEVLESRLLSNPLHNSPRLLELYNQYAKKIAIGDKIKALKKEISA ALSIIHMDELNARKRVLRRLGFIKDGDVVQLKARVACEISTGDEIVLSELLFEKEFNK LTPEQCAACLSCFIFEEKSKESPSLREDLAEPFRKIQKKARDIVKVSQDAKMPLNEDE YVQSFKCELMEVVYQWCKGASFAEICKMTDVYEGSLIRLFRRLEELLRQVAQAAKVMG SEELEQKFTAALELVRRDLVAAQSLYL CC84DRAFT_644915 MRTHSVVLGVSLVLVSACALPTNDKTVDLTPLSANHRTHDIEHS SRNIGVDHLPRELVPRTCTRNGCKCKKGTKQGQYCGNDGIWTYDVTDLGMGGKRTDVY ECAPSGDCCRYGPSKKCIPPIG CC84DRAFT_1143619 MNVINSSFAPPPHLLPNRLSPTRNILSPSMSNRKRKADDEGSGG DDDRMSASPSGSPAILNRPLAKHSTKRMRTNISGRPLPLQRLLETLSADDMRNVLQAI CDQHPDIGNKVLSTAPRPNIQSTLEVLARYESQFQAAFPFGGKPSSDYAYNRVRHQLI ELLEALKDFTPHFLPPNETQPATSLAFLDGATQYIHRLPDWDTYQHNRHKEEAYEEMA KAWAAVFREAAKKAGGIQLQYGGWDEKIAKHNEMSGGRMQEAVNELRSSLGWMGNEVQ QPAAGQHGNMSVRDQLFNGTYGMGASARVGAW CC84DRAFT_1216224 MSGTFGPANRVSLAATRCSHCGGLRAGGRARRWMSSTQTPTKRP KTAIFFPGQGVQRVGMIKPWLEAFPRTAKPILEEIDATLGVPLTKIIESGTNAELNLT HNSQPAIMATSILILRVLEQEFGFKTSDRVDITLGHSLGEFAALVAGGYLTFQDALRM VRKRAEVMLRCTKEAVEQEGGEFGMIALVCESEAHMKALVAGLREFLELSSPNYQNDS NDDVPAVEQVLIANINSKNQIVLSGSIERMQTLLTNLRQFGGHDPRAVRLKSDSPFHS PLMKPAQETMKRILHREKSDGSDIVTWPGIMPCISNVSGRPFQSKDQLKDLLSRSCVE TVQWWRSIKYLHEEEKVKRYIGIGPGKVGRNLVGKEVGMKGAVKGGGVWGITTPQDME EVVKALDDTEICEDE CC84DRAFT_644934 MPYSLKGRNVLVTGGSAGLGETISISFAKEGANVAVNYFNRVEP AQNVVQACEKQGVKAVAIKGDMCDTSECRRVVEETIKQLGGIDVVISNAGWTRFSDFA DLDSMSEDEWDKCWAANVKAPLALLKAAKPTFESNPDGGAFISSGSIAAVSQAGSSMP YAVTKAAQLQLIKCLGATQGPKIRVNTVLPGLLLTEWGRKYSDEKIQWAKNTAALKHE TDLQDCADAFIMLAKNTSITGARIQVDAGLNVQGT CC84DRAFT_1163449 MDSLVKEWALFLDRCLENRIDIDLFAAAATQLHTRSPLPGHKLA ALLVKPRAAGLNSVDPRVIVYAERLLALKKVDASDILAAAFQFSKDRPIQSSDNTNPK DPSRWQNPSELDEILFHRLHKAFSGERPERPASNAEGTRTVKAAIRWMSAMVASHTND SMLQAMAGIQQQPQQQSINVREGLGMLVVGLIENVKILQLLNRDELKDIRKAFARALT TFIPFLSQTSIQIANRLEIYQKEHDFHDKSSAGQTNENAGLEVAALQLEAVIDLPQIN TRAGLYIFLNALLIARPLTDDIIINNYLHSFYKMDAQNMATDLVTASFDILANAMYRS ESTQTMTSLKSFLVNKVPTLLTQLTAPLYAMNPELCITQAFSRIDPNAFPAFSQGFDD MLGNNSSLADVRQDFLNACALHNLIPANTVERLLGEAPMQGPPATRYVKQDLLKQCKD NIDKATAYIEELENLDGNAGAIVAALVDFVAHLCETQMTMYLKTLCNTLSKKTTALDV ILQFTSPASILRPLCQFLDEWHYETDQEYQPVYDEFSAILVLVLAFVYRYELTYNDLG IGHDSFVARLLERGHRSISSNDLTEEQGNHLGGWLKGLFDADKEGLSNDVFASCRPQE FYLIVPTLFNQTAMACSVDVLSLDSVKGGLEYLHETFLLPSLVGGLTWMASHALTQTH QDHDVMVQIFHKLIRSAPTSGDAQAMHSTILSIVSARLETCFRTLKRRYSGYSTDMDQ LLEAIKPSLHYVRSVSSPTSELEQWTTATNNTLASSLRHTVQQLSQWATNASIQPNPP SYTHRQLYTCIDLLGTQQTLRAIIDEVKAQTDAGNGAAALDIGVSLICAPMITDSVLP VEWAGCSAPAPQPPRTQSNLREMLKDEFDNAASFVSTDPSAAEAVVRLHRRVEAQLAV IAQAGLSTANVDLSNVGMVQAPSLTTDLDKAMNDAAAASIAAVGADLTDLNKQLQQNI DQELDLGDAGAGLDLSGMVVDGNTGGISADMGNLPGLDLDMGDMSMNMDMEGDDDWGL DFGGM CC84DRAFT_1163451 MSNLHGFATHFPAGYPSFPPRGGPFPGGASSSSGESGSGNAGQP QFDIFEWHPAYQSCQRYFLDHAQHDNGVQAVAALVNICLPFQWTSTPIVNSAGPMPNA SGPGAYNHPWPRQGPVSNARGGQPVPTWVSLVPYIRRLVITGMDKDGIMHGFFGEDWR KGVGPVHECERRNYLFTAKSTEWAEVKHHYDMSPHESVPFLKPLQDAHLAEIEGAEQT WSQWLAMQDWMVGPRAPNLDGEAKNEA CC84DRAFT_1163452 MSYSLASRTKLANGLSMPTIHLGVYLTSGSETYQACRWALEAGY RGIDSAQMYHNEKESGKAVSDFLSSSDNTDSLKREDIHFTSKLASNSSYDAARKSITR SVKGCGLGYIDLFLLHSPHGGKKARLESWRAVEDAIDDGEIRIGGVSNYGVKHLQELL DSKPRILPAVNQIEIHPFNTRFDIADFCQEHDIVVEAYAPLVRALKMKHPVIASLSKK YSCTPGQLLVSWSLQHGYVPLPKSVNKARIVENGEVGGFSIDDSDIKKMDALDEYLVT DWDPTDTA CC84DRAFT_1089383 MAEPEFEQAYKELVSTLEASTLFEKNPEYKKALEVVSIPERVIQ FRVVWEDDSGKCQVNKGYRVQFNSALGPYKGGLRLHPTVNLSILKFLGFEQIFKNALT GLNMGGGKGGADFDPKGKSDNEIRKFCVAFMTELSKHIGADTDVPAGDINVGGREIGY MFGQYRRLRNQWEGVLTGKGLNWGGSLIRPEATGYGLVYYVEHMINYASGGKESFKGK KVAISGSGNVAQYAALKVIELGGTVVSLSDSKGSLIATEGKGFAPEDISKIASLKLKR QALTAYEGHSFNYIEGARPWKHVGNVDVALPSATQNEVSQDEAEALIAAGCKFIAEGS NMGCTQEAIDAFESHRKSSGGNAIWYAPGKAANAGGVAVSGLEMAQNSQRLSWTTEEV DAKLAGIMKDCFENCLQTAKEYITPKEGEFPSLVAGANVAGFKKVAAAMHDQGDWWGT A CC84DRAFT_1163457 MGKLTWLEIHFVFAHVLCTDLAQLHTSQCFDAEFLAHPSQRAEV NNKIGSQNSVSSLDGESTRPGKRSSHSKAVVEIGPNVKPYRVSKDLITKNSEFFRNIF RKSWAEEQDQKVYRLPVAKWEVLEAVGYPRHKLPHAGPLHLDSYETRETVEIYFVKMI ELGSYFGAEELIKKLHNGLSIGPSRHRSVLPTAQ CC84DRAFT_1088178 MKSSVTTATQQPKQPAKRSLTVKGEAQKTEQTAPQNEHDHFFWT YTEEPHRTRRQAIIKAHPEVTKLCGPEPLTKYVVAFVVSLQVFCAYLLRDKPFLSWST FLTAYIIGATANQNLFLAIHEISHNLAFRSPLANRVFAVFANLPIGIPYSASFRPYHL THHKSLGVNGLDTDLPTAFEALFLDSVGGKAFFCTFQILFYALRPMFVYQLPLTKIHL FNVVAQLVFDYALVHIIGGKALGYMILSSFLAGSLHPCAGHFIAEHYVFEKPNKDAMN AANKIPIPETYSYYGVLNFFTYNVGLHNEHHDFPAVPWTRLPKLNKIAHEFYDDLPVH KSWVNVIWQFIWDKDVSLWSRVKREEGGRRVGGGSGWNEEDLGHNEKKGPIPGVL CC84DRAFT_645359 MCGITPLAVATKSGHECAVRFLIESGADVDFSAWWSEQRDLSQH VYGGRVREPESRSMQGAGMQISNYNPVSSWHGGFSQRVVKGVGL CC84DRAFT_1143635 MLMPKSYSWKAARARLPPSRQVLHTLRSPRTWALFGVVAVLALL WRSMGSAAGEMQRFYCWGPAKSPLHMTANENEEWYRHRQTPVIFNPHKSIAINDSSIN YVNLNEIQSTVDAVKKRERVLILTPIREASKHLPQHFDLLSSLTYPHELIDLAFLVGD SEDDTMAALAKELERVQGNPEVAFHSTMIVEKDFGVTLSQEVHDRHSFEAQGPRRKAL ARARNYLLATALRPEHSWVYWRDVDIHDSPPEIIEDFILHDRDILVPNVWFHRYREVN GKMVDIEGRFDYNSWQETPESLKVVANVEKDKDLVLAEGYKNLKIFGLRNHMALQGDW REDKDAEIPLDGIGGVNIIVKADVHRSGINFPCYAFENQAETEGFAKMAKRAGYGVYG LPNYVVWHVDTDERPGNA CC84DRAFT_1175092 MGYQMQNPAPHHFKLENDTIKLEPSPIDSPLSSLSQTLPGPLPS ISAWYDDNNSTRRSSTSSLSSCTLISELPSPVNPIGDLALNERASRRLSQLFGQLQPP AAAPSTARSTCDFISPYSSPGSTPGPENHVVRKSKAKAKTRAVRGVAKAADDLEPKPV ELAQKEAKALREQDARFDQSVSHADLWQECKKTNPNFIREGVTRDGRRGLRQLKKNNI DHRIDRPNEINKEHLIDLSTICHRQFREIIIGEMNNHRFNGRYAEAKVLEEKFREVGT RL CC84DRAFT_1117426 MSLFRVALPALAVVGSAYAASCSNSATSTIQNGGDATAIASCTT YSGSIAVATGLADDIALDGNLKKIDGDLVLESNSDIKRFGSSSLQSISGKLTLNDVSQ LAALSFPALTEVDELTLKGLPNLRALEFNLTKADKLDIENTKLQNLDGIDLDEVSSIF IANNDDIASIEMQVTNITEFITLSFNNAMVNVSFPKLESANNISFRAIGSLNIPVLSK INSGSFGVFESDNLESVSAPNLTKIDGALVIDNNKGLKNISFASLTDVGANLQIANNT NLHQIDGLPKLKNVQAALDMSGNFSKVETPSLDYVKGVFNLQSTGDIGTVCDDFYNPL KAKGKLQKGKYVCQGKLEEANTAGSSPKSGSGSGSGGDKGAAVGLAVPSISLGLVGLA AVLLL CC84DRAFT_1175094 MRPRKSCCLITRCQCLSLLGQGFMAYHSTLNTLHEALLIQKFSE LVAGTFALCIGHNLGANIGCNLTVSSFLLSARYKLVEQFATVKEIGIDIESPRRFVIV DFQPTSDLPIAT CC84DRAFT_649061 MSISRCNHRSPRMPLSCDSSDFVASQPLAAPLIVRPLLQSSLPD PASRAVLLMNYQMDCGKMQAILHLTRYSRSVKSKSHSATAAAPLRCSNHSCYPGHIHI SHPKHSLPLIALRKIIDKPSGTWSFATGASTISDRKRSDQTCSRGEMHTRRTWSERYD VKGQFQTHDVQSRLACAMRTELPAGRRHA CC84DRAFT_1186256 MSLRRSARISTTTSTVQEPAAYKKVTPKPSPANNKRKSRASTTT TSPPKLSKEEAAESIFAPGAPPVFAVPELPATPLPKRRKAAGESPFKPPPFTPTPSGV GLLSHTHPEDGKHPLESPINSKPRPAEPHATNAPLSTPGGSRVTAYTSSPSKPSTPSA SQEAPGASPAKKRKAKEVAPDVGVLNKPTATIDTLLKDAEEFLCKVDPKLRPLVEKHK CNVFSPEGLREVVDPFTALSSGIIGQQVSGQAAASIRKKFTALFPETHPSFPSPSQVL VKDLPTLRTAGLSQRKAEYISGLAEKFVSGELSASMLISASDEELIEKLVAVRGLGRW SVEMFACFGLKRMDVFSTGDLGVQRGMATYMGRDTSKLKAKGGKWKYMSEAEMLSIAS GFAPYRSLFMWYMWRIEDVDISVMDTT CC84DRAFT_1143644 MSAPSLAPYIVKRPWLQRWVAPLAKWYGNAAGYRQLGLRADDIL PEENDVVQLALKRLPPNEAYDRVFRIRRAFQCSVSHQLLPKNEWTKPEEDTPYLSKII EEIEVEMSEREDLEAMVVQKRKANASASH CC84DRAFT_1186268 MPAKNWSSPYSSLALKCASLPNSSVNAACGAVAGIASGIVTCPL DVIKTRLQAQGSWRPRHQGRATRVVYQGLLGTARVIWTQDGVRGMYRGLGPMLLGYVP TWAVYMSVYDWSKDFFYTRMENKWLSRISASVAAGACSTLATNPIWVIKTRLMSQVSA RASEEHRPPWHYKNTLDAFRKMYANEGMRAFYSGLTPALLGLTHVAIQFPLYEFFKMK FTGLEMGQTTSTDSNMHLAGILGATILSKICATSATYPHEVLRTRLQTQQRTIPSASH EDVAFRGSHQEARYLTRGPGTASSDGMINIPRYRGMLRTCATILHEEGWRAFYNGMGT NMVRAVPAAVTTMMTYETLRSMHQKLKQEGLEMQGEA CC84DRAFT_1087803 MHVHRHTKREGGIDIAQLAQRTTETIQEATRARLVPRASCTNDT DEGCVKPTQTPTLFIVLAVTLPIVIATVVLVFLHRRNVKKLAAEDKDDKYKSLDFGVP TPGRGKKGPEMKLSDVEKSHGRGLSLEPDLSSPYLLPAGLHGSRESFHSLSRSTKDSY DPYGPVSFGGDNASVRSGSRAGRDGNESVYSASTRTSDKMKNSLLKNAQRMSQSVPLR TSPSPERATEKSIPEIRYPEQAATPLSPLNPRFADPLPPAPAPPVQADNVVEQPTNAY VAYAPPSAANPPPPPAPPVQDNKARATTTPSPPPRVQSQNALVMESNTMSMLSDSSYG EGFQITPPSPRESQTEPPAPLAPQPRRPVSIVQNGGNSALAVDDFGHNTNRLSMSLRP LPPANDDPNEDPEQRANRIRSFYKEYFDDSKPEPAGTQIYNDYYEDYTSEYLDGSVFD PQTGNFIVAQPTAPFAQPVTRRAMTPPPRAPPRFRSNTNGSARGPGPHMSQGSMGSGF LPPRNMSSMSGRLPAARKPLAPPTPLTSLPTPGKLQDDFGIFNAADFAPPVSIRDLQN GRRPDSPLGAPRPFSPTVRPHTPLATSFDELAPMPSPHMLRNSSTFTALDFAPPPKFH DPYGPSNGSDAGSIRSNRSGMSNTARMAVRNGAYRVSRIPKEMVGTQDDMATTLRPTL NMVQRA CC84DRAFT_1088876 MGILGRRRRSTAGSPDSTPTVVPELSKAQIKRATRTRKIWALLT SFFLFVTVIFLILVEIGGIKNQKIIQDWYFIRIDVSNIIPASVPNFALINTIAQTLGL HDFYQVGLWGFCEGYVNEGVTYCSPPQTLYWFNPVEILSSELIAGASINLPSNINNIL DLIKIASQVMFGFFLTSACLSFVLMFLMPISVFSRWWTLPIAILTFINALLCTAASVV ATVMFVIFRNIISGVSELNISADIGNYLFGFMWTASAFSIFAWLVQTGLCCCCASRRD VKTGRKKGSEKAYQMAGDTPTNRVAMRGANGASQEKLAEKRKFRFGRRKE CC84DRAFT_1163467 MSGTLFTSTTSGALFGAALASSGVYAPSVIISQMHLSTFHMLKV FITASASSAVIISVFDRLAISKSCPRSPSPLGFFGRYDGNIVGGLMVGVGMALTGACP GTVLVQLASGVRSGWYVLAGGVLGGIVYAWPVSAFVKTRTEAARRKDKAQGQEEANQK LTVQSRLGVSTPAGVAGYVALCLSVLGVATAVDPTRSPLGLPPLLGGLAIGAAQAATL LLTGNAVGVSTGYEVAGQYFWRLLNPSSKSKSGPAPPLRSLFFAGGIIAGALAYNYSG NGPLAGVAAGVDVTASSGVIGGLVMVLGARLAGGCTSGHGISGMSMLGISSFITVACM FAGGMGAAWVMG CC84DRAFT_1163468 MPPSRAATLGRPTSLACLGCRESHLKCDGGRPACARCASRGAPC AYTPSRRGRRPGCEKPLLNAEPTNTRTSPYYVPDLSRWTPTSISSPGESLEAVHALHE QIPDTQTTLPVSLDGVLPQEREQLLQWSDNEHLVNLYYLNFHAGHPLLVPKNLYWNRG YPRFLKAMVEFVGSHFSSVIESDTLREAVASELERGDQSTPEMVQALVLYTITLLGRN EIDEGQKMLELAITKAVEMGMHRREYAGLHADNNQVEEESLRRTWYELYISDGCNAAF QRKSSFKTHTINADVLLPCEEAVYGNEVQPIPASKRDFQASVFADGEVTFSSFSYRIE AVRLLGRVLTITRAHGVQRDQVQAVDNALAAFLLHLPFSKSEPEIVDTYGELDELMFQ AHVVIQYATIILHFPRGDLAFPDTSTTTIPGASSAFLCSCTRQHVHSIKAVDASKILS MLAAFRLPVQRHSPFLIYPLALAAIVQLSISAAHVRSSRQCIEQHSDRVKLILGVLRS FSRHWPIAGVVLRALNKMALVVFQPPRNGRSSPTELDTLIGNGIDSGQNATVAPGSPW MESFDVQDLQELFGLDFGNFCLEC CC84DRAFT_1089173 MDAQPAPITLQNPTIHSLFEARTGTWQYVVADPSTLQAVIIDSV LDYDSATQSISTQTADAVLYLISEMGYKIDKILETHAHADHLTAASYLQQQIAKQQGN KPSICIGKRIRQVQELFGKRYGIPAEEYDGVFDELFDDNYVFSLGALDVTVLHLPGHT PDHVGYKIGDNVFCGDSLFHTDIGTARCDFPGGSAISLYESAHKLFELPEHTKIWTGH DYPSGDRSAPVPYLTVRDHKERNKHVSQNVTMDEFVSRRRERDATLAEPKLLNPSLQI NIRAGRLPWPTPLGHRFVHLPLKVTSSKW CC84DRAFT_1163470 MGASLIQSLPMHPTLQSIRSLATTHAVRNATRSHKIVVVGGGSS GIALSHQFLRKGNFAQDDIAIIDPATWHHYQPGWTLVGGGLKKKEELRRPMESLMHRK FKFYNTTVSEFAPTQNYVTLADGDRISYEQLVVVPGIKVDTDSVKGLSEALADPDAAV SSIYQYEYCDKTFRTIKKFNRGSALFTQPAGIVKCAGAPQKIMWLALDHWKRAGLYHA SGKSAINVSFATGLPAMFGVPKYAAKLDELRVERGVEGLFEHDLVAIEGNTAIFARPE QAELKKHFDFLHVAPKNRPHAFVKNSPLANEAGFVDVDDATTRHKFYPNVWSIGDASS LPTSKTVAAITSQAPVLVSNLMQALQGKAPVSTYDGYTSCPLLVGNKEVLLAEFKYGG QPKETFGRLLGIDQAVPRRAFYHLKKDFFPWVYGNFHVNGTWAGPKGFTW CC84DRAFT_1163471 MSGATILPQANNSSDQVRTTATHHRLSTYLEESLCLASRLLELE LFLLALAIGIQDAISFPDFHCFASNQTGNTVLFAVGVLLDDPSGGEPPMFAISTLAVS LSFFIIGVFVTGQIANRLAISQTRGWLFFSGLGQTVLVIIAGALQLRYSELVGPNTKL GRIIIGLLAFSSGSQVAVVRGLKITDITTAMATAAYIDIFIDPRLFAGFTENRGRNRR VVFLLMLVLGSFVGAGIGKAANLGVGVLVSGGIKTVVSVMFLFNSKEDNEEEK CC84DRAFT_1175105 MSSNDTYQDPLNSRYSSQEMKYLFSPRNRFSTWRSLWIWLAEAE KELGLDISDEAITQMKEHKVIQDEEFKIAAEEEKKRRHDVMAHVFAFGEKAPAARGII HWGATSCYCTDNADLIFLRDGLDILLPKLATVIEKLSTFAKEYKSLPCLGYTHLQPAQ LTTVGKRACLWIQDLLKDLKNLERARDDLRFRGVKGTTGTQASFLQIFEGDHDKVEKL DELVTEKAGFSSAYIISSQTYTRKVDVDVLNALSSFGSTCENIGQAIRLLASFKELEE PFESTQIGSSAMAYKRNPMRSERLCSLGRKLRNLNADASATYAAQWMERTLDDSAIRR MALPQSFLSADASLILLNNIASGLVVYPAMIRKRVDAELPFMATENVIMALVKKGVSR QDAHEEIRVLSHEAGAEVKQHGRDNDLIERIKRTEFFKPILGELESLLDPSTFIGRSP QQVEAFYEREVKVALKKYVDAGQLKLGETAELNV CC84DRAFT_1242628 MSSPGSSDTDTSPYTYFARRTPRFKNYAGEADPHDSLKQDATYK GNPQESTVDERTRARKKPRQRTGKDAIPKRLRPAKKTPDSRYRSAPILDDDEPIRGQN PCPSKALQPPPAAVNRIRLQRANGSGPQVTDANNEANHAEDAAQENEPIQNPQQNNIL RRLQSSVENPRRRPPRGPTQAS CC84DRAFT_1258420 MDVSACAPATPVAGGLLQITVVADGDFVIQLTPAAKAITEKTTN ATPKRARVVQFRVSRNVLIKSSSFFQTILGNRPNLANVTFENKGNGFGYALEIWLRAL HNGVHTLPNNLKATHIERAWVAIGVGEQFGFAPHQIDKIKPWFLECYQIALNGGLSPF VACCLAFPCNVFDHAEGFMKLTKYLAYHTNGTIFTHNPSSFQALNQDARILDGPLTTA RAHIQSILDKELNFALDKLHQATCSCRKETAFDYEDALRALDCWPIDRAVQKYPLAEI IDELDKFEFTPKNINCESGACAHDFKNSVNIAMRKAKFAFDGLCLDCMERSGIDHVEG QTRQAFVAKNSPFKGCWDIDCRYGHGRASWYYSWMGPSSVRRDILIKYREEKKALGIT KGGRRTRRGGRVAKDNAAPAPARLISMSIKTQGSGNNNGGLENDDRDADDDDEFFDAE DASLGGLIATDNADMLDHDAVN CC84DRAFT_1143669 MATAEPTSRPNERQARKRPFAGFMKRLANLNKSSSDSPSASSKK NQASTPSKSKKSAGKNNPYPESGHVSGNGNTNGNRLSFSSPVTPRSAESFTSVEEAHD ADQHRALAKSNKSTAPTVATNPETVHSGRSKADTGFSNLGNNSTFSSPNHSERSLTTT LTTIQSTAPSNALGAGNAQTTQPANGPPVQFSHQFPTSPPPSAIPPHLVPQQSHPHSY QAATANNILTDNASILTLASSSKRRRRNSLDTNASVRALAPSSVWGGSRESLPLSVLS GTADTIYSPASRPGGVTGFANAERASVYSSSGVAAPALPSERNSYYANKQNTDGMSVR SGLLGHGRTDSISGSIGPNPASPLASPRETPGPAKLSRRSSDWQDGVEGSVGGDEADV PASPVSTEHNDKGNGNAA CC84DRAFT_1163476 MQRHALEDASKRAPGTSARRSAILPLPEDVVAQIKSSTAIVSLT GVLLELLKNALDAKASKVEASVDFARGGCTVEDNGLGIAPFDFREEGGLGKLYCTSKY LSKDECLGRNGTFLASLGAMCLLIVTSHHHEYRSHNLLTLHHAKVVDRQLPASSQHEI HGKHGTRVTVRNLFGNLPVRVKQRGIVAEHKTEHDRLWEVLKGEVTGLMLSWGEPVSV RVRDSDGKTSISLSNLSQSDDSSTKRSSGFQSPLNVLTQASYILHADWPSWVPVSAST STICIKGAISLDPAPSKRVQFMSVGVTPLSTNTGHNELYDEINRLFALSSFGTVEHDA AIDNEEKVRRLGDNRCKPNGFTTKQLKTRKGVDRYPMFYLRLSLTQEDKLNRAETLFS ADNANLSTVMDVLKAMITQWLAVHYFRPHQTLSKQVHPSSSTASSSNTESNKTALSAR QDRSLRPLFQPRVASAPPTLNPPETDSSKRKISQGALSRKDSDRSHHLAFSTWSRIKS GNPTFLDSLGTKRDVRTSDDAASFAGIPAASYFGKFSAPPVLAGALDGGGDPNSPPTD TCCGDGNYDEAIPWTDLKTKQTYFLNARTGCVLPCPPARPQTDPLPKVRVNTLRDSNQ SLRLQKLTTPKKEPNAWLNDMLRAWQNPVFLPSEARIQQAVPSQDQNVQHCAHCHHLD TGRGYSDGAFDTVSTLNASKLSKEGLEKAEVMAQLDRKFILIKMRTLKDDVKRSNTDG EVLVLIDQHAADERLRVEALLAELCTPLPNDTRSYRSKLGYESRVAVSILDKPIQFTL TVQEQQQFTSHASRFAAWGILFDVGNVSSSSPKLQPLLSVTALPPVIAERCKADPQIL IAFLRTAVWKYADADYLPHPVDELETRDVSSSNSAAWVRRISSCPDGLIELINSRACR SAIMFNDELSMEECRALVRELCKCVFPFMCAHGRPSMIPLVDIGTVLSSETSAQQTTG GSFVKAWKRWQTK CC84DRAFT_1089420 MLIALLTLLTFVTPFYIIYKPPSFLIHYFQTRWPDVLFHADNVK EKVIALTIDDAPSYYTNQILEILKENDAKATFFVIGGQVDGREGELTKLVEAGMELGN HAMHDEASRSLTDEELKAQIKDVESMIANAYAQSSVSGEPARYFRPGSGFFSARMRAL VKDLGYRLVLGDIYPHDPQIPYWRVNAKHILSMLKPGGIIICHDRRSWTVPMLRKVVP EMKRRGWRITTVSDLLEQAESEFQARIIID CC84DRAFT_1163478 MPPPSLLRLCTRLPTSVATPRRPLTCTRFAPRPTNTRPRFGLSR TMASSAAPLQEWLVIAPDFEGALEKRLAVRGEHLAGLKKDDESFWLWGGAMLEEPITE GQAPKMKGSAMLIGASSREEVVERLKKDVYVKGEVWDWSKVQIIPFKSALRKAL CC84DRAFT_1258425 MFVHKRDGRKERVQFDKITARVSRLCYGLDPDHVDPAAITMKVI SGVYHGVTTVELDNLAAETAAYMTVTHPDYAILAARIAVSNLHKQTKKQFSSVVQDLH DYINPKNNKHQPMISDEVYNIIQKHAEELNSAIVYDRDFNYQFFGFKTLERSYLLRIN GKVAERPQHMLMRVAVGIHGEDIEKAIETYDMMSQKFFTHASPTLFNAGTPSPQMSSC FLIDMKEDSIEGIYDTLKTCAMISKTAGGIGLNVHCIRATGSYIGGTNGTSNGLIPML RVYNNTARYVDQGGNKRPGAFAIYLEPWHADVFSFLDLRKNHGKEEVRARDLFYALWV PDLFMKRVQENGEWTLFCPSEAPGLADVYGEEFEALYARYEAEGRGRETIRAQKLWYA ILEAQTETGNPYMLYKDACNRKSNQKNLGTIRSSNLCTEIIEYTAPDEVAVCNLASLA LPRFVDEKNGVFDFQKLHEVTQIVTRNLNKIIEVNHYPVPEARKSNFRHRPVGLGVQG LADTFLSLRMPFESPEAKKLNIQIFETIYHAALTSSCDLAKELGPYETYEGSPVSQGI LQYDMWNVTPTDLWDWASLKEKIAQHGVRNSLLVAPMPTASTSQILGYNECFEPYTSN IYSRRVLAGEFQVVNPWLLKDLVDMGLWSDNMKNRIIADGGSIQRIPNIPDEIKALYK TVWEISQRNIIQMSADRGAFIDQSQSLNIHMKEPTMGKITSMHFAGWKLGLKTGLYYL RTMAASAPIQFTVDQEALLVQDTNVAKSLAGKRRLLGASTSPSPTPIPQPMFASKTAN GAANGVASPNGVPTPSATPPISSENKQLNGTAKDALANKPFKADVPEGESPKVIAAES TGKLPDDEALPEAASTQEEDGKESTDREGDIYSDAVLACSIENKEACIMCSG CC84DRAFT_1117472 MAGGHMKYRHLSRSSSHRQALLRNLVTSLFKQESITTTWHKAKE AQRLAEKLVTLGKKNTEATRRRAHQIFFEPNELVPKLFGPIRERYLERPGGYTRVLRI EPTKEDQAESAILELVDGPKDMRFAMTAKTLSNLPETTRMNELTAKNVKKVTQFRQDG VEHLRNMVEKMREGKKKRWDTRTLLGPRRVYLLEERQVRDRHLPEPVNDGELPNPVKM LHGGVLQKGTKIWNVRDIVERQKNKSKEGARLEAEKAQKKQQKQPKALQSA CC84DRAFT_1143688 MSDSEENSVFGVSEDLVPPALIKSAGVSEVDFDGLLSPPLKLQE DLKNGCGGQLWPAGMVLSKYMLRKHKSDLNGKEIIELGAGGGLVGLAVALGCGTDTIL HITDQEPMFELMKQNIVLNNLTSKVSAKIYDWGQPTPSGLPKYPDIILAADCVYFEPA FPLLQETLKDLIGEKTVCYFCFKKRRRADLQFMKVARKMFDVKEVEDDPDKKIYSRQS LFLYRVTKKA CC84DRAFT_1175114 MMLPSLLALPPTILLICATSATAEPQWPHNLPKHMKYFPEDKVH VKRSLDIQEKIRREKPIGVKKMSSDAGEMFMFDNWIFASDIENNEAAERSNTNRRELA NITGQFEAALRPVEEGLHLDSYLRFRARDMLLKRGFKCPTGTNDCSSIGQANSCCSTG STCIKISDQGFGPVGCCPSGESCSGGISCNTGEGYTSCPESPNGGCCLPGYSCSGVGC VAVETSVTYVQPSSTSATSAASSTGVIVIPTTQSSSASSSPSPTPTPSTSSTCSTGWF SCPASVGGGCCQNGRTCAAGASCLGDDTSSTMAPSAPVRPTSDSGSTSAPPASSSPND SVCPTGFYVCSAYYPSGCCRVGSDCQTTGACMPTASTTVVNTNGVVIVAPSGASVASQ GGSCPSAWYSCAASLGGNCCPNGYSCGDQCTATAGSSVADKMAPSAAATIPSVISIWA IITGAVGVGFAMIML CC84DRAFT_649951 MLKSFLTLSLVVLLATAALTENYTCPQHLYSVQIFSEDPLIIYI PDFLTTSEAQHLESVSAGRFTSSQIADQSGQQQLASTRTSRSTSLHSDEVVRCIEERA LQFQGYDIPRTHLEPLQAVQYSLGEKYAPHTDWFTSPLQTTSEYGGNRLSSFFVYIST SDDIVGGGTQFPLLDAPNDERWCKYVNCDAGWEDGVTFRPISRNAVYWRNLRGGKAGK GRMGDRRTLHSGQPVQRGKKLGMNIWTRETELHRMYRSEVI CC84DRAFT_1089320 MIDCDIEVNLCRQYDVNEYPAIRLFQRGKAEDRFTGEEATLIRY RGRRTEHAIRSFLIKHEYAILSAIGNGEQLSNFKKVDDVAIVAYLSREWSELTRVFYS IAEKYYAKFVFGYTQGEDIAGQEGITIPSIVCYKNTDGDHKILKGHFTEEDVEVFLAT APKMVIRDFSERNIEAYMAPGKLSAYIFAATVGEATSVRHELTPLARKYEQYVTFGVA DAIEYAPMATNFGLANGMFPALAVHAPMNDNVFTYLQGRKIVAEVVENMLLTVLQGEA TSGQVFGSDAPKFVDAEAEAEAERRARPRDEL CC84DRAFT_1216256 MVSYDECGKSYQVQGFCRDYVTSRRHPEYESEIRHIYEETANCL AADRATKSLPVFVAESFFIGGWLIALLKAALSEPSSNNWPNVEVHSIAFSGMYLWITS AVVLGSLIGASQTEGSIPRLLQAFEYQLTQVHGQLSRRPSATHREESGWCKTGIERAI HGGLNNWRPRKWSSRQRSLDISNWQMVSYVMVALIALGASFLTAIVLSNFVPPHGMNC RHIPQTVMLTIWLVSFLLECGFELWLPQGLFWAVYCKDMIAALMNVEAGVEYFNAIAQ VNETFSEDCLTLNIWSKNVGQGRRTGVLLFVPGGGYVAGSANAKTYDGAKFVDQEDIV VVTFNYRVNILGFPGAPGTGNLGLLDQRLAVEWVRDNIAKFGGDPSRITIAGQSAGGG SVDYYSYAWTGDPIVAGFIAESGTVQLVEPLPEDLAAENWFNTTGLLGCGNATSNRDE VLRCMRLKNVSEIMGATTQVSIGSVLFTPFVDEVTVFKDYPARAAVGNFTKRPLLIGH NDFEASLFDLIQRLKGVVLPSFWSDFQSARFFCPVAARAKISTQYNIPTWRYRYFGDW ADMRLSKIVPTGAYHGAEVNVLFDTVPHGHGIPGSTQEELKFGRYMRGAWANFVADPI HGLEKYGWPAYSSSTESLVRLAYGNSSTTSVAYPSIYDSSCNFPA CC84DRAFT_1143690 MRHLDMTAVVLDLPKIIFAAPKSSERVTFEANDFFAQESVQKAD LYLSRMIFHNWGDKYYSQILQNLISALRKGSRIAINDHVIPSPGVLSPYKDWTTRVVD LVMKEYFNAKERDISVWIKLLKKADGRCEIRNVIRLEGS CC84DRAFT_1258428 MTTPIPSPPALPLVGNLLNVTGAQPGESALKPFERLADEYGPIY CLWLGGSERIVVANYALFEELCDETRFLKTAGQALEGLNELRNTGARGMFTSPSEKDP DWGQAHRILMPAFGPLAIRDMFDEMHDIASQLALKWARLGSEYKIPVTEDFTRLTLDT IAICAMDYRFNSFYQDEMHPFVQAMIGVLSEGASRLKTPKLVQKFMYRRNEQLRIDSK YQMDVAIDLVKRRREHPSEKKDLLNAMIHGKDPKTGEGMRDDLIAANMITFLVAGHET TSGLLSFAFYYLLKNPATYFKAQREVDNVVGQGKVTVDHMKQLHYIEAVLRETLRLEP TAPAFVRGPRPENTDPFPTIGGGKYALKKDSQVMCLLGKIQRDPAVYGEDANEFRPER MLDEHFNRLPKHAWKPFGTGMRGCIGRPFAWQEALLVMAILLQNFDFRLDDPRYDLKV KSALTVKPDGLFIRSSMRAGITASGLQERLSGSSDGSKPSPSPDDISKSLRGMHVSAC SRRKMTILYGSNTGTCQAFAQKLASDAHARGFDAKVADMDSGVNSLPSSEPVVIITAS YEGLAPDNATQFVSWLEFLDDVSALSGVQYSVFGCGHKDWSSTFHRIPKLVDSELEKL GATRIAERGSSDASQGDMFTDFETWEENTFWPAVTANFGAAPASAQPIRKGLDIEIST CARAVQLQQNVKNGSVLKAWVLTAPGESEKRHLDIKLPEGMTYSTGDYLTVLPLNPDA SVHRVLKRFSIPGDAVITIKDGGPVTLPTDKPVSAYDLLKGFVELLQPATKKDLQICI EQTTDPQAIKSLDMLHTSLYHAEVLAKRISLLDLLEAHPAISLPFATFLSQMPPMRSR HYSISSSPLRDPSVCSITYGVLNTTSLAGTSHFQGVAGTYLSSLGPGDAIQINVRPAP PAFHLPASPTIPILMFCNGTGLAPFRGFIQERATMLSANPDARLAPALLFIGCRSPTT DALYYSEFAQWAKMGAVDVRYAYSREWGHTDAQGCKYVQDRMWKDSNDVSEMWRAGAK VFLCGGPGMVEGIKVSAKRIVENSMGSVDDEEVENWFKGLRNERIVVDVFA CC84DRAFT_652033 MSSALSSSAADGVGDTTLFIATADSYTSDGPTLPIIGGAGEHSD WVAPDPEDRQTEKDALPRPAKKQRAKDDLSKDSTNPEATSKKSKVQVEGLYQPWTLLP VEVLQQVEKRVGREWRAKAEVVVWTKNQNVKSGINRLKSLLGFEEAPETGEKSGREVT GNRKDKLIAVSAYGEGTTKLVGIVEMTKRVVDGATKDSSGVTWFMYTVLSSTTEKDVK NKAPVLTVWLSPTRIPELNVTFGEQTFKVKRTTGVD CC84DRAFT_1089433 MDIRVLRPDDIPHVQLANITNLPENYFCKYYLYHAMSWPQLSYV AVDVSRAPKTPYDPPKIVGYVLAKMEEDPADGIPHGHITSLSVMRTHRRLGLAEKLMR QSQRAMAETFAAHYVSLHVRVSNQAALHLYRDTLGFKVDKIEEKYYADGENAYSMRMD LGDLKAQLRLKEDGEESAGKDEGEAVGDEKGEPEKEKKRLVKVGRQLGVGDLVERNEA AAA CC84DRAFT_1088627 MAEEPLPSAAAAQQSIFSRRPSFFGGRHRHNPPKTPTASQQLPP PTRTAASPPTINLPPVSPIGNADALLPSQYEFPQKPPSTPPRRKHASRHSISSTLGDI GTTLQRSRSASLRTNDSSGTTSNASTSRTSSHKRTPSANLATSLSPEKPSSQPTNAAA SRPALSISTFARSTKQRSAENVRPDTAVGAGSRQGYDKEPLSAVDKPKTPFGRARFPS NNNDPPPLRRQDTGTYGGSTMNYNAGGLGPAAPIPLPTGANPNIIFQHIQEMASKRIS TLDYLRKAHEGRIYWFNTLLFSRTDLTRLPSFTPRNLARRATHYLLLGFSLPTILDLN SSNPTDYLKALLSLLMEFEQYQSIHPADGSAPSLGRGRIPGMQMFKRVNASVGGKGRR SSSAAGTDFAGLNFNEPTAGMDSPPDNDLLLPNESYLYLQTPSLPFDPDFFSTFATLC DVLIDCYTKILSLLSTPESIVLAGGGVPSTVGELFNKADAKVRKVILAGVVREFEEGC RGGVRAEVGGVGKVVLGGLM CC84DRAFT_651927 MMRRQSAKGEWIPSEGHWPVDPQEDVEVDKGRIWVDGCFDFTHH GHAGAMLQARQLGTELLVGVHSDEAILENKGPTVMRLDERITAVEACRWATRAIPKAP YVTSLPWITHYGCWDVVHGDDITSDSSGQDCYRYVKAAGRFKVVKRTPGISTTDLVGR MLLCTKTHFIQSLEKMLAGEEGPGGEDERKETGAAMLQRIKDYATDATGLAPGCDVWY WHASRPAKYRRTTQSSSADPQRPGESRADSNVGSHHHAVKEEKGKFRQLVEGKGVRPG QRIVYVDGGWDLFSSGHIEFLRIVTQTEEELAKERGWYTDEARQQRIDQHGGDYGPSF LVAGIHDDEVINTWKGINYPIMNIFERGLCVLQCKYINAVVFGSPFSLSKAFLTTMPY GTPAAVYHGITSFMPLSYDTYAPAKHLNIYREIANHNFQHVNAGEIVQRIMKSRAAYE ERQRLKGEKGITEEAEKRRQELEREQKRREVERQFGI CC84DRAFT_1163491 MTGDIGNQGTCTTCTFSEDFSNYWTAVLFFKHTNGSYKRVKIME NDALPAGINGGMTIYYTQKDFNSNGNQKITAFPPGFRMTVGSPTQGKDGMTKHKGLRF TCLDTKSTRFPEIDDFPKKPCKGGIMTEHHFPACWDGKNLDSPDHQAHMYDTQPTGFA AGAACPSSHPVRVPQLAYETLWDTAEFKDMWPKDGSNPFVFSYGGQDGHAYGTHADYM FGWKGDALQRAMDSSCMFTACENGKPLKSQSVSQMNACKAKEIVNESHDGWLKALPGM TA CC84DRAFT_1089935 MATGSPAKRQKMDGPLIGTHSGHFHADEALAVSMLRLLPTYKNS PLVRTRDPEILKTCHTVVDVGAAYDENARHFDHHQREFTTVFPGHSTKLSSAGLVYMH FGKDIISEVTGLQPGADLDILYEKIYTDFIEAFDANDNGVNVFDPKAVESAGLAKKFE DRGFSIASVVNRYNYSTSKGDDASKSKEQLQQEEDDRFSRASQFVGEQFYIELTDRAS AWLPARNNVKRAYEERLQYDSQGRILVLPEGMPWADHLYTLEKESSIPEGVAPQVLYV LFPESTEPDTKWRIRAVSKENGGFQNRKDLPDPWKGVRDDQLSEVSGVPGGVFVHAAG FIGGNKTFDGALAMAKKACDF CC84DRAFT_1087884 MWLTRVLSSTCFLGAIIFTIPLAFDIGGRTCGLAFSLSLSAYYF VYSVLRLATPANSRFRWALGQLFAWTQWLMIGTLLIWSLNKFSIDADNSSGWVERTFG GKRSDDTSVYEWIFGAEGLLQSATIGAWDSVLRYSTPVFQILEGFCSLLVIQASGQVT RWVVNGERGDSWMIGLLITSASIISSSVYFLWRITTFPEISNVDAILIGVAITTAIFL CAWGIVSGKGNPVESSLLFAYVTLCIYQIFTDYQPAPGSVAADAPSDPALPPLPPIIM ASYTTLLHALGSLPTIMHTAYNLMVGAIMTISLSVIISLAYRVFVFYAAARIIPAIRE SGARALSEEPSLDDEDDTTKILGFLTWFSPSIIIAVYTSLLMQHFASGNGDANGSAVQ GEWWKSQGGETGGNLWRWINLGITMAMYAIELKMSKEDDDGRLTQHWKTD CC84DRAFT_1186289 MRLINAHTKRFEEFLGEIPPYAILSHTWDAYEIPFKDFYSLHVH EEYPQASQKIYDTCDRALEDELDYVWIDSCCIDKSSSAELSEAINSMYSWYSKAQVCY AFLNDYLASDCRWFSRGWTLQEMLAPQDVHFYDKTWSYFGTRKELASTLSSITGVPTE VLDGRKEVEEFCVAQKMAWAAKRQTTREEDTAYCLFGLFQINAPLLYGEGGMCAFERL QEEIIKKTNDLTILAWMNPGPRMNGITSIRRVDIHRYEYQGSIPRANHHPSGPT CC84DRAFT_1088921 MSQTTETPPGLEALANHLGYTFVRKESSSSASSVVDESTAKVDK PDASSVKKDENQEAKEDATKSNVRPGSLTSSANIYRGSPDDSWTAKQPEDTEPAEGKD TIGHAVVLRQQKSKDSRKGYEIHSIVIQSQALKTALADILDGYPGVYCNLDRLVFKAP FAPFIHRWGAILKYLENDRLDQVTRDHTTLLRDILQKECAETIKALENYVDHGVVTYE HAWTIFQPDAVVVSSTGIEEQIAFRLKSGSYQETDEGNFFNLKCQSVDWNGKSFGWVT ESVKLPEFEGIQRIAELCILPLDFHPKKEYLKHALTERGKRFAALSGYHYRSYDGPAI ERTDDGNILVHNKGRIIIDTDSFCKQPYQCKVYTAPLNSKLNTALSLKKESGVGPAKL TDYQCMLCTPILRGYSLTVKKWLSFYVDYVQSIKWNTEAFDNLVLPAAQKKLVLALSK TQAATANDFDDVIAGKGKGMILLLSGPPGVGKTLTAEAVSENMKVPLYMLSAGDLGTS PNDVEYNLNNVLEIVAKWKAILLIDECDVFLEARSVHDLARNRLVSIFLRLLEYYKGT LFLTTNRVDNIDAAFQSRIHVHMKYANLTSRSRRRIWSTFVGQTGSRFEAEELDQLAE VPLNGRQIKNLVKTAQLLALEDRNSLTKDHIDMVLAIEKGFGEDEQED CC84DRAFT_1186291 MDKKLPNHLFCFPCAKWHVRTTPGLEKLKPPRVLNPVYDCPNST NNLMPPPRLRICDSRWLPFTFVQLYKRAWEHGPGYGINVHSLARRFKDVELGWSHETM FHIHPANGHVLMRVKSQVFVEGGMQPAAKRLLLFTRSDYTPYFSVCAHWRKGLLTSIP KCALDHIPTPEENVYMATFNKMRHAKLVGPTALCGHCQPMRRCAECPTEYLFELKLVE DKTVQKMSPERFRQVLLVTRWSDLGPARSPRDPEWASVVGEGDGYDSFREIGKRAVSG IFESAFTDTTPRARILSLNPAGLQDGGDDGDWY CC84DRAFT_1175129 MCSWDTRESESVLGALDESQYNGRRDTDLPRLEVHDYSWDWGAS VSVLVKCHDVNVELPGQAGWPSLDYSRSIRYRMHRVLKLFTVVHRFPGLGSVELQGAV DSPVIWHSTYKSVLPKASEFESHQAYLFEAYLAVYAHTSRVTTPTLFFQTIESDHCSS SRRSRLPNRADQQKLRPPGQKHGIHGALERNTSLRFDHVSRQASRSVNPAGPPRSVNR IR CC84DRAFT_1186292 MLALPQRRQHLPQTHSSATLPSPTSPQQLSFDQLSQQLSAQQLY DQKLLNDYIESQRTFADSNITVDGVSNSFMTGAYDFNPSIRIQQSTPTPQLPAAYPPS MNATGATINNNWNAFQNMSGNTQSLQAPAQSRTGKTHQRASSSSSVGSAGSQYQTVGP TASYPYVALSENLPSSSALLDSSNKADEYLRAFSTVSNHLPTPTHTPTQDSFMGSHSF NNYTPTSTGMDSTMAAHMSMKQALMDQHVPDEDVPGFAHSARQSVSSYGHDSPATPHT SHGDDLDFKIPPNGEPLRKVDSWLFNQFIAYDDDVDLRPVPKFERTYTDVAADYSFDP TSVTQTQSIPQAKPVANALLSPWRNSNPNDIVQRSLQAAQMARSQSPSSTASRGDSPF RRGSPYRQPTNSFNSPRGGVGTAAAAREQKVEADAAYAMKSRIQSNEEAVKTISPKDA LLDYREADDESKVPLFPDGGASEYDHQYNGGDQYRNATQSTFDTTSGQSYRRDSWATP QFSANFPASSAGTSQPSSTFAFAAPSLQTNYTDMSTFAPTSQYRTASSMQGPPDSTPE FPAHLTSMESSASEAGLEPGSQSSDRLVKPADSSADSGTYTCTYHGCTQRFETPQKLQ KHKREGHRSSATTMGSSMTSAALLERNSQAGPHKCERINPTTGKPCNTIFSRPYDLTR HEDTIHNARKQKVRCALCVEEKTFSRNDALTRHMRVVHPEVDFPGKHRRRGGNHD CC84DRAFT_650232 MDDHELQAINPREVEVDSDPNEQNLPRVDGGKDAYLVLASVFVQ GALIWGFVYGFGVFQEYYSRQPQFAGNVSGLAAIGTTGSGIMYLTSPLVYGFFQCYPE WRRTVSLIGFAIMLSGMVGASFANSVAQLLVTQGVLYGLGGSLLFFPVYSYLDDWFVK RRGLAFGVMIAGDGTGGVVIPLITQWMLDRWGFRTAMRAWSIISFLFVSASLIYLKPH PNARNTAAPVSRGFDMRFLRSSAFWILQAGNICQGLGYFMPILYMPSFAAARGWSSIT GTIAVSLCNISIVVGATATGWIVDRYHVTTAIMISCVGTMMAVFIFWSFSIYQPMLFI FAITYGVFAGGFPATWSGCANPVRRKYPVENGMIVALFTAGKGIASVISGPVSGALVE ADTWKGQVGFAYGSGYGYMIIFSGITASFASIGWIGKRLGVV CC84DRAFT_1163498 MSSNDRFLDRVFAAKSKDESRQLYDQWASSYDADMQTYSFTAPQ IVAEMVPKYLKVSPKDAVVVDAGCGSGLVGVTLHQSGFKTIDGLDLSEGMLKVAEKTG AYRKLEVTDLTQRLNIEDGTYDGLTCSGTFTHGHVGPEPLPELVRIVKAGGILVATIL ESFWKEFDFEMVVKGLEERGMVEMLENNLHSYRKFGDEESGGLVLVLRKL CC84DRAFT_1044804 VCYFSAGTYEPWREDKGLFLPADKGKKMEEWDEYWLDLKSSNVK SIMEARIKRAAEAGCHAIDPDNIDGYSNDSKGKAHQDGFKYDNQVYIDYVRWLSATAT KYKMVTGLKNALEISSKVLDVIEFAVNEQCHEVGE CC84DRAFT_1163500 MVLIPGVLPPSHSTWQAACTLFQYFPLVTSLQWVLDWYPMGKTS DTSRFNVSGKWGWAITESTGFVTLLYIMYTLPEQQGIKTLPWGNWTMAGCFVIHYLYR AVLSPLFLNPSMSPINPILCLGMALFQIFNAVSIGGWLAGYGPVTDQDWAGRYFSMEV GLIIWAWGLLGNAFHDDDLREIRRSADRKQRKEAEKTGKPIEGVDKVYMIPKNGLFRY VLYAHYFCEWVEWAGFWAIGGWKCHPARTFLVNEIATMLPRALQGKRWYEAKFGKDKV GDRKAVIPGLI CC84DRAFT_1163502 MRFPSTASVIALGALFLNASAIPFQQGDSLGAVEASLPRGITTS KVDVIPNDKRGVTTSKVDVIPNDRRGVITSKVDVIPNDKRGITTSKVDVIPNDRRGVT TSKVEVLPNETRDAAINSVPRVRVRSGRTRANSRPAPQ CC84DRAFT_1089431 NPTNSAASPTSSAASSTDPASLQNPQNKHETGGLSPAGKTAIAV VIPVVAVALLLLAGLWFWRKRKSKKSAEEARRKEVEEYGFNPNEDPTLPAVGMQSEMA EDQSGYRGWGNTATSSNRKASTTLTSGMTYSDSNSNPGDVYANPGSPTHAYSDAHSAD PLVNGRRETLDSDGIGALGAAPVAGQNQAGVARGPSNASSSYSAAERSDHSGDYPLPM NHPQEYYDNNGYYPSSGPYDNSYGGGQQPIIRDVSARRNTRIENPSVFPQQGNSGIAQ NF CC84DRAFT_653852 MKMSTNESSVPINRSKRSPTGSGRLLCVAVSLASFCIAILLGSI HTSLASGGSSLMRIENALRGIPRVLNILTCTSHSTPGAKSSQLEHFLVSGTGQIPHAA F CC84DRAFT_1117518 MSHTARRKAQDGQFSSRPPPYTGRPPPEIPVSRNSKTDGFEKPG QHRSSVSRASERHTTKSFSHAESASHDQNELPAQDTHPKTCGFCDEQRSPLWNCSYCD TSFCDPCWDLQLPHRPGKKGPDGLPHEKANPTIVKRLKDILTPSQDHYEQHALHMEDE DTTWFGLDRDSQNRPIFQDYGRYSAIMVESNNGDYRSRYPQLVSFIGQTGAGKSTLIK MLIDQQERKSATQNWGFPSPVAGSLANGGSVPTSGDVHLYSDPKTHASGYPMLYADCE GLEGGENTPMAAQYRSGAPVLHKEKKRDEHAPREHRKRNKITKPLHCTQREIKWANSP ETLKRQYAVTELYPRLLYTFSDVIVFVLRNPKVFESTVISLLINWASTSLEKSLNQPT LPHAIIALNATDTKVNQGEWDPEYATELLMSSVSGAIKRDPDLRALKEHWSERGKQIH TTKDLLECYYSSITVVRIPGEGRYMMIDDQVEKLHDEITRRCSESFNAKRRSRMLSNS ETLNTYLQCAFDHFAQDLHQPFDFMDISFKINPIPSHFGGNILRLAVAMQSRYRDDSR NMFKDLSYMVASCILLDCARHNLQGPAEQILENHYLPHCDYALEDYCAIFCPCTYRNK RGEQCVNVKERHPKGHQNKRGHIIGTGPYEAYFTFDNFMEDWAHHLRASLTEFQNTLS SRRLMSPSVDEIHIVSKIHHGNLNKFYSRLGGAQRFISHTTCFCCLRELAEHALPCGH VLCTPCIKGYGKPHSDLSGSYTIASCPLHEIETIFAEPWDVHFKPPLAGVRVLSLDGG GMRGIVILEVLNQLQMELRGMPVQDFFDLIVGTSTGGILALGLGIKNWSVSHCINLFK KMVDKAFTPRFLGGVSFGKPTYRATPFEDSLAECFGEESMFGGAPETLIACSRKVAVT SATETGEQAVIFTNYNRADDDEGNYATSAAPTFFKPFKNPRTTEGFVDGAIFHNNPVR IANYESKLLWPDVEDRHADILLSLGTAHYWSDKDPSLQATHFDRRRMHIRRVFSSVKP SIEEKRSMPALRAFGEMESWVTIFKKRIESVLDAELTWKEFRKEIRGSYSDIAVERYI RINPKTKDRTPKMDDKDKINILHDEIKGFLARNDMQIKVKSIAYRLVASSFYFEKSGP CREAGGHITISGTIRCRFAAGSGNLRKLGEYMRNHQGGEKFQPFFHVQEVNHDESAHN IPITAQAIRDMIERGRFELGKLAIPVTSEMSTISIDLHLTDEKARYFVKSGFPISGFP RSLADGEVLKRIPSDTSSILERPSMASKRQSLREKRHNRSRISLERPSSDSNISYELQ GSSKNSNSSKSNLNLSFPETPDDVSSWSQRRTEASRPPLTPVQQMTSGGYYHMALPGP PQSDGLFELASEPVARLSELGQPNDNDEALAQVLERSRYEAQPLQRTNTGTDEEELAK ALSLSMQFQ CC84DRAFT_1143733 MEEQVDRLVKKTWESYQNVPPSKRLLIAVSGIPGSGKTTLAAIV SNRLNELHAQQSPGTYSSNPLSAFLPMDGYHLSRRQLDALPDPVSAHARRGAEFTFDG ESFLKLVKKLREPLCPETQTLFAPSFDHAMKDPVVDDIAIAPSIRIIIFEGNYLSLDK PPWKDAAAMMHELWFVDVDREVARKRLIYRHVRAGIADTEESAARRADENDLVNGKEI IENRLDVHEIVTSKDDDGWKPE CC84DRAFT_1089506 MHRLRASRPLETIDLDDDIKSDLIADIDRFMHESRSKWYGNRRM PYRKGSIFYGLIFYGPSWTGKTSDCQALAARFNYSLYAMSLNEAESECHLKKLFQSPQ KGDILLLDDIDSAGIMRENMRGEEKMPNDKDVEVQLKKEKEVNPRISLAGLLSAIDSL PDGIVLIMTNNKPESLEQALIRPGRIDKQVLFGNVSQVVAKSIFVRKHQNDSNAPGF CC84DRAFT_653960 MATRARGSRILRPLLYTTGAGLAGGAALYSIYRPRDIPGLEAAQ VPPPTYGEGGVFRPPKFPNAKSREDQIADLKRSSGVLSGAANKVKGAIGWGSGGAGTA EAAEKSADEPYDLLVIGGGATGTGIALDAATRGLKVALVERDDFSSGTSSKSTKLVHG GVRYLEKAVWNLDYNQYKLVVEALRERRYFLDTAPHLSQWLPIMIPIQKWWQAPYFWA GTKFYDFLAGSENIESSYFLTKSKALDAFPMLKKDNLFGALVYYDGAHNDSRMNVSLA MTAALYGATVVSHMEVTGLEKDASGKLNGARVKDCIAELNGKKAEEFTIRAKGIINAT GPFTDSIRKLDEPSVEEIVAPSSGVHVILPGYFSPANMGLIDPSTSDGRVIFFLPWQG NTIAGTTDSATTVTRDPVAGEDEIDWILKEIRRYLQPDIAVRRGDVLAAWSGIRPLVR DPKAGKTEGLVRNHLVTMSPSGLLTCAGGKWTTYREMAEETVDEAIKEFGLTAKPLTN APRVSGTEVLDEAPLDGTCQTHRVRLIGAHGYSKTLFINLVQHYGIETEIAKYLCTAY GDRAWTVASLSAPTEQRFPVRGKRISELYPYIDGEVRYCVRHEYAQTATDVIARRMRL AFLNAQAALEALPVVIDLMAEELKWDSKRKEAEWKNSVAYLGSMGLPKSKLALTRKDV EAGRVGKYADEEYHLYARHDKPDELLESDSKIPPGHNPVMGENSKANK CC84DRAFT_1163508 MKYGDTLRQRSTPEWAHYNIDYDYLKDLIKHQTTSGTSKALSIP GQGESSEKAFGGTFYRDLKAQHDRINLFVRSKSGEIQRRLEHIHKSLKRLQSRRAHGD QLPASIVEKFAKIDADVNKAGEEIRALSRFQVAQRTGFRKILKKYKRWTKDAELERRF RSEVTSSPTSFYQLDLGYLLDQYIEVLGALRAHFDAANASESSNGVKPSSPTGRIAQT CRDGSELDFDVALSLTPLGSRGNRATYWIHPDHIVEAEVLLLQHMRLIAASSPPATRG SPEANPLRRISSATTDRFLGSEDGVGLLVLDHPESFAIKQNASSLGSGEDIAGTLQVN AAGNARWTSSGDAALALDLEKSPEDVLTAKLDRKHLPDFLDTSVALAELNIPSLQESD SSRPITDNSATVSTARNWLEGHKGIRPIVGISSKRTRLMGLHNNDSGGMWATLDRDVF MNSSLHKHLGNDDWMSAGRAGSITFPHAILEIRKEGAHASALIQTLDRSHLVERVRGF SLQAQAVWACCRPTAMSAPIWIPLLEKDIRKLPPTAKRERRKANSTTGSSRHSTFGTP GASTGSHSSPSTPLHDTSATSAPEFVEGAPPLRAFRKKRKPSAVPEQPQPEQQRYWNE YDNPEDEDEGYYIYIDPDAEVKFPGQELFEGLARQTRRLFGIKEYAEEESSVSSAETS DDEDSPVKPPNGYGTFGSSGSTPHTQGYFSGLFRKYRDPQQDVEALLSQRRETAREHR SLLRELEVRRHKAETTKLYFYTTCLAMALIIDALLGTMAMTSRKKEVGVVDFVVSLGT IVSLILGVTAIVSMQSRRENLGWAHRGVVYVTFTANVVVDILFFIWVFRGF CC84DRAFT_1204846 MASRRAVQALPLRRLLNPQCANGIRQSRASSSSSTQALAYKALH RRIAPLPTEDRPAWSAQAAVSSILYETPLPSQAPPKRHILNCLVQNEPGVLSRVSGIL AARGFNIDSLVVCNTEVDDLSRMTIVLQGQDGVIEQARRQLEDLVPVWAVLDYTQSPL VQRELLLAKVSILGPEYFEELLAHHREMAHMPEIEEGVPLEELSEEEREVIANAAARE TLKKDYHPGNLPTSQALRQKHEHLKDITFLTHQFGGKVLDISTNNCIVEVSAKSYRID AFMKLIAPFGILESARTGLMALPRSPLHGPNEVEEKDAQDVVDTSTLPPG CC84DRAFT_1143741 MSDSPRPRSRFDKTESDRPPRSRFDRRSRSRSPSSREPDSRRTR TRSPVAREGTDSPAAAEAKKAKATDAAAKAAAAAARINAQIQAKKGIQHVDVPPIRAA PSPPVVRSPAVNAERNTSGEIFQQDGDYIKDIEINDLKNRYTLTKGAVQKRIKDETGA DVTTRGEYYPDKAMATAANPPLYLRVTSTSKEGLEAAVQQIQDLMNQELPDLVDQRRF RRREPEQFERDEFGRRKWPEEKLPVDLEPITGFNLRAQVVGRGGDNVKYIQQETGCKV QIKGRGSGFMEQQTGAESDEPMFLHIAGPKPEGVEKAKELCEELLNKVRSDYQSFKEN ASQGRFGGGDRYGGGGGRPGYGDRGDRDRSQSYGHGGTYSGYGGHNGHSDLHSPVGGD SATNQAAQNYNEQWAAYYAAQGQQGQTGQQAEDPYAAYGGYEAYMQMYYAYYQQQQAQ AGTHQSPPPGTAAAPPPPPGEPAPPGAAPPPPPPSGSPPSGYGAVPPPPGL CC84DRAFT_1117533 MRSPVMRAGQAANCRSLLHASLRTSPVPLRRCLATTHNVPPSQK FDIVSRTPPYQKLLGRLEEVRRVLGSTRQLTLAEKILYSHLANPEESLLSNTNNGKDI RGKANLKLKPDRVAMQDASAQMALLQFMSCGLPSTAVPASIHCDHMIVGEKGADTDLP NSIAGNKEVFDFLESAAKKYGIEFWPPGAGIIHQSVLENYSAPGLMMLGTDSHTPNAG GLGAIAIGVGGADAVDALVDAPWELKAPKVLGVRLEGELSGWASPKDVILKLAGELTV RGGTGFIIEYFGPGVQTLSSTGMSTICNMGAEVGATTSLFPFSPAHVPYLEATHRGSI AQEALKIANSPMQRNLLRADPEAEYDKVITINLSTLEPHINGPFTPDLSTPLSKFKSV VEEKDWPRTFGAGLIGSCTNSSYQDMTRSEEIVKQAEAAGLKPKAEFFITPGSEQIRA TLDRDNTLSTFTSAGGIVLANACGPCIGQWKRNDGPAKGEANAIFTSYNRNFPGRNDG NRGTMNFLASPELITAMSYSGSTTFNPMTDSIPTPSGEAFKFSPPRGSDLPSAGFADG NPEFFATPGIPDPSVEVVVDPNSTRLELLDPFPAFPNSELKSLRVLYKVKGQCTTDTI SAAGPWLKYKGHLTNISENTLIGAVNAETDEVNVAYDVDGRKSGIPDLAKRWKENGQE WLVVAEHNYGEGSAREHAALQPRFLGGRIILSKSFARIHETNLKKQGVVPLTFANEAD YDLLDSCDEVATRGLLDVLKSGGKGEVELVVKKKDGSEKVIKTKHTLSEDQCGFVLAG SALNLLARSAAEAREEVTRQSELSD CC84DRAFT_654141 MFPRRAECVIFRLHGSRRLLLPLKSRYFSNGGVTLTLRGMFIVL FYCVCCSDTLAQGIILRASLQIRAAGMSAQAHSIWRPKPRQVES CC84DRAFT_1216282 MKDHYDYAVDPRDLTKRLNRIQKSHSTPNDGDHVSRLPRHPGRA EGTTASSPTASLSQLPTFRKSATMSTTSSASTQFSGMRACSSAHESKASAEVRRGNFS KPRAGSARRRYSGGSEGAKSVPEFQYYGRHANSWLFNDWSLSDSVKKGWGRVFKGRE CC84DRAFT_1090151 MAARPVIEYYFSFISSWSYIGSRRIRDLAKKHNAQVIYKPVDLM HLFSVSGGLPVKDRAVQRQAYRLLEIERWTKKNNLPIVKHPKYYPTDPSLAHRLLLAA VSEIGSDKNSIHEYVLRSQDTVWVNETDLADPRVVTANADASGLDGARLLERARREKE FFEQEQALTDEAVTKQYFGAPTYVYQGEPFWGQDRMDMLAEVIHSRRKPIPFPST CC84DRAFT_1258452 MAPIADAIFAREDPAKLAAALGIPPNVDPRPILLALPGMMGDAV PPGADRTMTVDSPDQNWFLIVAILCIAFAGIFLIVRVYTKLAIVRSFELADYFLFMTF PLILAEVGIGYQMVKWGSGVHQWQVTNDQLFHQLYWANLGQIVYCPLSFFVKMAILVQ YLRLFAPSRSVNRAMFFGAWGTIISCIILYTVLIFWTAFYCHPRKAIWDKLTPDAKCS DVNDITLAQGAFNMASDIIILVLPTSGLWSLNVPLGRKIAVTLLFATGLLACIASAMR IVFTVKIAPVITQADVSHNALFIGLWTETECTLGFVVACALCLPKLIQAKGKKLKRAI SKASSPFNSLRSGLSNMSRSGTFNLSRGEKSRNNTMNNSRNDTMQSTSRNSTQMPQPP NQFVEMNQLQQSPPSMSEEFDLPREHRYTHLGPPSSGSSMYSQSMGPQSAAPTPGISR DNSTKTVPKPLNVPLASSSDIHESIRSPTNRITRDYMSPEQLREEIQTLQAFKFDPSK QSMESLAQRRRSIPFQHYHEQ CC84DRAFT_1242724 MTRLSLVAAALAFVSSVAAQCGSGTPNAKVTGSGSSFTATKGSA TVYTGSDYRAAIQAAVDSVSSGQRVSIIASGSIGASTITIGSGKIFEGCGTINTANRA GHGAIEVLNAQGVQIPYLTMTGNPYFGLRFYGTKDLVLGKITMNLSGGLGIRFDRDQA ANTNVKMDVITVTGAGSHAVETWNIDGLTINQVIARNVGESGLLLQKTTNAKVGLVDG NNVGAGTGYATLRFANNNGQLASGAYTTNVVVDKVVSRGGGRGIFCVSQSGGATIGTV DLASNGNNAVLIENCYNLRINGGTVNGGGEVRISARSEFPNTKDIWITLKVDGTSVKE SPCGENTNWTLSGSGARSIC CC84DRAFT_1143749 MSNDVPTPISDDEVVALAKAAFEQSRDDINHRAAETPNAQRDLQ SQQPGITIDLGHKSIARLPDEVIDVIRAEIERLALSHNLLTTLPTRIVECKRLRYLNV RYNAMREIPDCIFDMTSLEILDVSRNKLRYIPPKIANLASLKVLAIAKNRIEELPVCL GEMNSLQVLKLDGNQLVFPPPDVCTIKTNAPSPANENERDAVISTQVKKFMRQHASKE KQRVDMDRLRVESSGDESWTESNPETPRPSRRINGGRFPVKPSVSNIEGFPENRSDSP GMAPPVPARSHYRVASQTQPNGMSRRPHPSPLILTNGTTGSNERNRSQSEGGGSASSN RQTKRMGIYTKQTPTPELGAVDELKKTSHFRGFSQGYLVPGNTLANGMSGPATAIGYG DSSSMRPLANRPLSDVYEDKRGSQAPDIVVEASKNFLYAISQLHDCLSHMIRSIKRTA RSKDEMRRKEDFFRRHHTTYLNVRALNDLLHRFEMLAEEDEEDAQKISKSVYRYALRC LDSFLALSLSVAENRVEITRYADARIVRTFLFLQQGSLIEMRNACQILGAEFRDIAEA KRQVNGANNVGTLRPRPLKTRRFQQSSPQRNGSSQMPPPVILHSNDNSRTNTLTSISS ATPRSGESFATLATTMSRTNTLTSNYEDADEDSRFARIFDKLKATCENCESSIPQIID RLTTKHSQLSKDLDSEDPRLKVLGSLIEKSHEVQHISNRLWDRLRYMQFGDHYVRNQP DFWQQCMGLIKAWGDLAQASTAQGRNMGLLSPEVKQLMKPLHKTVKDASLAINDSPWS HLTSNNPGLMSSLSSFTSRTQPPRFLSKSTMSSGTGLSLPGPINTAITNLNSAYAQPY STSSVGSQGSGGYITPVPATPLSAALGAAAQATIPNTPSHPPHLSTSTINFNERADRF LSQTARRI CC84DRAFT_654196 MDVQGLLPPVSRAPREVLELQKAIDNFNLEDSDLDDDEAAPPPI QRLRTTPSRSSPSNHGYKSPVAPKRLGSSPKQPAHVPRFAASPTRMVAKPYPQYNDLI SVPTREPPPETDRIPPLRVNTNPSPSTDRYAPPLPSKSPRRSHFEPQSLKPSRSNHAK NFSHPFKKQLQLLSQPQSQSQPQTQPKYANTASFRNPPRPLSYRNSSRAPYSLRRWSS LDTIDSVQTTQETSRENSIDGTDSVRTGTSSSSKRQYLSFDDGSEDEDSIPGDIPRTP PASSVPLPSTPADHSRPSSASNSVRSTSTTTPSSGSKKSSTASILRRLKGEETPEPLL TPENLDIEKRISLDQSSRTNSSNSTMTISSGRPSELAFPGSSYGSTSQLSVSSKDWKS SNFDISSLSEAELKKCKKKGINPALYAEMKAARKGRFVSPIGGNTFI CC84DRAFT_654239 MSLRSRLRHASITDVRRVCIALRRRSAARASQTYCLACSPCFHV TRLLEAFHTCTQQLHLTAAHPTPPTPTPYPSATSLSFSLPAQRPPYDAASPSFSRGML AARRERVLLVASGSAGGSAGVLPQRSAVQRGLRACCAVRNVQVRCKLCVCERARVLDS VRGRELGV CC84DRAFT_654238 MNSRKTATQPLQIRTPSSTASSPTTSTVEPDDEDVKMSMAEYHQ PSRPLTVSIPRSIASASNRRPNLSEILANTAPPPYTLSSFMAFLSQNHCLENLEFTMD ASRYRKHYSKMVNRHPGTPISPLSDECAYVLMLWRRLIDAYIRESGPREVNLPADVRD TLLNLSDSYVPPHPSALDEAVSKIYELMEESVLVSFLNSASPMSALASAVSHDSHESS TTRSSTRSYDERTLYHPRTSAHPPVHQRASAPSSLTSNFMHARPFSHSRFNSQPATSS GSPSSRGPLSYASGSDALTDDSGSRSPSGMSDPLTPPGTPPMSDYPMTDFQQAYYDNG SNSGTPSPRTSRGEHSGLANATRDSWKRVSSKLWPKKRSGGQLREEEQGVVEGGLF CC84DRAFT_1163519 MSSAGRLELSERDDAGHLTVTFIETAAGKGGSRVDAVRPRESKT YAQQLLEVFLPAGYPHTVTEDYIQYQIYDSLQAFSSSIAGLLSSRAVLEGVGVGDADA SPTAALLLSVLQESMGRIATILFAHRLGTSLEPECKMYRLAADVFNDTAMILDCLSPA FPKATRVLILSFSSVLRSLCGVCAGSSKASLSAHFAKRGNLGELNAKDSSQETVISLL GMLAGSIVVSWIKTPMATWSTLIALLSIHLATNYAAVKSVSMRSLNRQRANIVLSSLL RDGRILSPAEVSARERIFERDGALRWIDGSVLGHCRIGAPLENMLHFMGHRRHKRSGA VDLQDLTLADLVRVYQDEAYLLWSCGSAAVIVLKQDCSPLDQLKAWTQALLLARKGTM RDEPKAAEHDTSGGRLAELRFALEEVKELFDAYETKLRDAGWDLDVAVLESRPGVRVQ IKTEWAA CC84DRAFT_1204852 MSRETHLCDSCLSIFSKLTNLPTDLGPKEYISSGPNGKSGRQWQ DKTSGNCWTDVCGMFHERWWSAKDVSKAALIRSAAEGCYICVSIWRRYSTFTNTGIRY RPDVEKISYEISEDGESSFSLKITVIGAQESCVGLLCGKPRTCFPFRGVLSNSLPPKS VQSDVAPTTRSQQTMTQAKTWINDCKEYHMKCQRQEDSYDLPTRLVRVDYAEDGDDRE IFASLCRGSTLPPGTQYLTLSHLWGKHEFLKLMKNNVCQWENSIPTNQLSPSFQDALY MTRSLGFKFIWIDSLCIIQDDLQDWEQESEAMCRIYKGAVCNIAASARQTSDGHGFLP SSRYLEPVVPPLVHIDWHASPVIQSRNVCGRDFVISEAFPQRNLRTDELYDRAWVLQE EMLALRTLHCQGDQIYWECRTSICNEVWPSGWATSLGRYRESEGTIAPFLLTTDDIEA SQAGLAYTVWLEMVSSYSRRAISYGTDRLPALAGIAADTAFLLNDTYASGIWQKALHN GVLYQSRGTLQSCEEPPQPLPLWDKVPSWSWASLDRPVVFVTTRHPPETEGLCDIEVL PAMLGQLSVLEMTGPLMRLDWIKTSPDAVECQAMWKPAWDDPVFHRIGRYGGEATWEV HVQEDHWFAQKCYSESCAHPIPKKDYGEPSEKPYFAALFDNIFFMPVLYEGEREYKVV RSFAQYGGRPRIIGLLLWAEPGQMRGTYRRVGTAQLALRRKEGNAFCTFKEKVSMYQA GIREVDSCRSDGNGRYTVRVV CC84DRAFT_1204853 MFDLCSERLVDSEERFAGRDGHRFAEIWVREDGKTPMYQSGDLK EQEIVGWMHEERNASGSMQSGLRILLSPKPSGKSSSGKLPYTRQTYLALSRAWRIPST FIRAVTQKLSIVTQGSIAPIAASSPVSSSPTVPWFAHQVREPKRCDRDAKPLPESSIV SNCAKHLLIRGDVDWTWDYTFIQIDDPVTHATYALIVGLTATEIDLLLCYLAYMASSC IASTHRAILPLILLDLAADETASLLKLRVKLMGQIQQLTGMDRFNSLKSAIIAGEREA RKSYAEERKELDLDAIMLRLTCLSDWVAAQRGFIGIQERVSNVVQHMLGSDDDKDTLA VFRERLAFVKESLLAAEQRCLYLERSISAQVQTVYSLIAQKDNRLNHSAARASCQIAS DSRRIAILTRRDSTDMRIIAAVTLVFLPGTFVATIFSTGLFDWGHGDPTSSESEDSEG GGRMISKYIWVYFMLTGVLTAVVLVAWALFSWVQNRKMVRKFGLDIDCEEGSEWSLSE EQEMKARRDTQITLFDKPIVHAGWQRLLIGSKKMLGRNIESIQEDAKLA CC84DRAFT_1163523 MRFSLFVVAGLSAVATALPQRAAGQGRFRGGRPSDQSAQDGSVQ SADLSEFHFPSTGATPGNAQAGVGNGAATEGNLNDQGQEPPNNQGQEPPNNQGQEPPN NQGQEPPNNQDGLPNQGAGNVKYNGTLPGNDGQNQNGQNQNGGVQNGPNQNAGSQNRQ NQTGGSQNGANQTTGGFDVSLVPEFGVEAGQTPDGTGNCLGLNNVKIPCSCPPDRQEF IQKVQAAAAAGNSEGVPIKFPLGGSSASKKARIGASIIVLQNLNGRGVGCPAASTTFL AQQAAA CC84DRAFT_654501 MDATNRNASVTSAQVRTQAAYKNRWRTLLNNPKLLIIAFFASFG GFEYGYQQGVLGQSLVMTRFKDNFPSVVNSSSATGWLTSILQLGGILGSLSAGVLGEI FSRKYTMFSACLCVVLGSYLYIGASYHKPGLLYAGRFFTGVGVGLFSGVGPLYNAEIA APELRGLIVSFYQFATILGIMLSFWIGYGSNYIGGTGEAQKNLAWQLPSIIQGIPAAI LACGIWWLPFSPRWLVKKGRDEEALKTIGYLRKLPIEHELVQIEYKEIKAEALFEQRN FEKHFPKLAAKEAGSLWAREFAQYYQIVRTWDNFKRVATAWLVMFFQQWSGIDAIIYY ASNVFLSLGLTGGTQALLATGVTGVVFFVSTLPAMAVIDKVGRKPMLIVGSVVMFCSM IIAGVMVAKFRHDWVAHSAEGWIVVAFIWIYVGAFGATWGPVSWTLVSEIFPLSIRAK GASIGASSNWLNNFAVAFFVPPMLESWAWGTYIFFAGFLAVGIVWVIFQLPETKGATL EEMDRVFGSRTGAEDAILLAEARREIGLSMTLEADAIMAVKHADQVHEKGHERVEEV CC84DRAFT_1163526 MAPFRGRGGMRGQSRGGSRGGRGGSRGGRGGRGGRGGRGGTRGG KRNDFAAARVQDPVADGNEQVSASESESEPEYSDGSSSEEEEAQPVSNAYHSLLASFT ANNAKTSQEEPRRKRRKLDHSVRPLQEQVEPKMYEDGDPNSKEHYKLEGISKTGSVVG VENSEIDEHEEEGSDESDVESLHPDHELDAQLEDEDNEGDEVDSFGIHFDRPDDNELA MRIKAISANQWTTQKLDTGDGGRGSLQIPGAVEGVAARRKVKSVQDLRLKGRLVATAE KALGNFNAVEQAIAPSLFDYQDVLFGARTVQNAGRVRDMCCLHALNHILKTRDRILKN NSKLAAASDNDDAEYRDQGFTRPKVLFLLETKEACVRVLDSLTKLHEFEQQENKKRFI ENFSASDHIFSDDKPEDFRELFEGNDENEFRIGVKLTRKTMKYFSKFYASDIIFASTL GLRRAIESNEKKKKDYDFLSSIELVIMEQADATLMQNWEHAEFVFQHLNLQPKETHGC DFSRVRSWYLDGHAPHIRQTVVLSAYLAPKINTLYNKYMRNFAGRLKYTPSYDTGVIE TLPYGIKQTFARFDSPSYVTDPDARFKYFTSSVLPKILKTPKPSEGGIGVLLFIPSYL DFVRVRNALVDIDISYASISEYTDTTDVRRARSHFMSGRHSLLIYTGRAHHFHRYSIR GVKRVVFYGVPENPKFYEEIVGFVGKTVERGEISKSEANVRVVFSKWERLELERVVGA KRVGKMVVDKGDLFDFV CC84DRAFT_1186310 MADKESFLHLARPLGPAPLGAQPSTAPLNVVVQPQAIFSILDHA LRRPADQERVIGTLLGTRSEDGTEVEIRNCYAVPHTETAEQVEVDMDYQKQMLQLHLR ANPREVLVGWYATSSDLNTFSALIQNFYGQQGDGTWPHPAVHLTVSTDPGQEIESRTY ISAPIGVTAERAADSCLFIPVPHELKYGEAEKSGLELISSAKDREDRSQPIITDLEAL ERAITHVLEMLDRVSTYVNNVLDEEAEPSSALGQYLMNALSLAPKVDAQDIERDFNNH IQDVLVVSYLANTIRTQIDLSNRLATAALTLGTGDAITGDGEQQGGRGGDRQRGGRGG RGGGQGRQQRQQEA CC84DRAFT_654497 MTEPRMRQRGKGPQWEQRDLESFLIAYGDTAPPLKATVLTLDEI ITDYIIETCHEAAAVATHARRQKIKLDDFKFMLRRDTAKLGRVSELLETDKELKRKRK AFDTDEGAVVDTVEEVPGEKGKRGKKVEGGGEGDEGERKKKRKKKVKTDDASTVVSG CC84DRAFT_1163529 MTALFNFQSLILVILLTICTSTYAHYYFPAFIDRNKNNYFMGVF WKCARVGERMSPYIALGLVVMAMMEFWG CC84DRAFT_654993 MPAFLVFCPQIISRSRAQANAGSPDTTPAISRLPRYPKQAHPIR SIQGQDDWPHDDETMKCAKEIRGKNEPLEKEPGPANRPTHCWQSRISVPETHLC CC84DRAFT_654527 MQSMFRLASWGTESPGDKIMEKSALHKSKPMPVVEIPSFLQQIK RKRTDSPEPAPAHVVKKWKDAQGIDVPTSAPENINSANYTSGATLQPQTEPQQGPRIA AAEVLDARPKAQPMKREGANKSKVQKPTVKIEASSTETPAPTMASSLSALQQSIEAQI NYEILLKHNELRLIEQELAKCQVSLEQLRRCSLIPFPGTHGPSESLSMGVGAALQPHA GYTEPQFAAPWGVTDGPYTRHYAKWLISDVKFDSVSERALAQQAHGYFGMGEGRATRG SFAEFGATGKARTSRTSTGTLKLPALGENPAPAPKIDPLLHKRSDNGQWVRLYCAACG HSNFSNTQGFLNHCRIKHNQVFKSHDAAAIACGVPVDTSEGGNPVPASEPTNTPAATP TVSFPVSMNPGNVHALVRSNPPELAKDVHRDFSTPRDVMKTAPPAKSNMTTSASTPHL TALLQQRGFNGDLKGLVDTARSKVDLDVIETSDDDLLDSAVQTPIVAKPNQLARLPVS APNAAPASKASTGRPVSKKGHSSGHARLPLTFSTHVSHGHDRHMPLSPVELSPNAVES NPGLVSDHDDDDDEDADDAHSQPDFILNDDVMVEDASDVEGAERSGSRPGLDSCCFAK GEGSRKH CC84DRAFT_1163532 MAQFYRRPMMHFDRRELYTDLETRVRYLHSFLDFSSRDIEALIS GAKYIKALIPALVNIVYKKLLQYDITARAFETRSTSYEGPVDMNLNETSPQILHRKMF LRAYLTKLCSDPSKMEYWEYLDKVGMMHVGQGRAHPLHVEYVHIGVTLSFIQDVLTEA ILSHPRLKMDRKIGLVKALSKVIWIQNDLFAKWYVRDGDEFSEERLVPEIEPEGYLHG KKILRSGSDSESEIEDADMATGSCPFKNLATPVASGPRVTPKSPLSPHFKHMSLNEEM ASAPKTEPADSRLSPSPVPPASPSISLSAIPRPVAGSA CC84DRAFT_654645 MIYRNRSGYKLPVTTVSIFTMISRKVAQLVLSVESHRAQADNTY STPCFLPDASHSRPPAPMQTRASKPKRYVTPHFFYPLLSLCTTNFLMRQLPTPSIAGG HRRQSIASNQLAFPAAAIPACMALVRAEAGAILAGGTTVVTLRAPHRGKAGCCHAILI SRRTMCAEAWTP CC84DRAFT_1062805 ILTATSLTTALPNANPKPVKPQDIINALAGTYTLVNTSSTLNNV PIPDLAYGEAPVGILTYSKTGYMSATITATEPAFRPNLTFPYQDSDLDSDWALVGKHS IGYAGPFRVNEALPANKTHGQVFHGPLVVANVPTWTGTEQKRNYTVVREGGETFLKIG SERGGGYRGVLWWRRV CC84DRAFT_1258467 MRFQLLATLALASSALAQTRKLKWFGINESGAEFGEGTYPGTYG KHYIWYDYNTIDQFIAQGMNMFRLNFAMERLTPNSLTGAFDQYYLGNLTEQVNYITKK GAYAMIQPHNYGRFYSNIITDTAGFKTWWQNVAAKFKDNELVVFDTNNEYHDMDQTLV FNLNQAAIDGVRAAGATKQYITPEGNSWSGAWTWVSSGNGASLVNLKDPQNKLVYQMH QYLDTDGSGTHAECVSTTIFKERLQAATQWLKDNKKVGVIGEFAGGNNTQCITALQNG LSYLGQNSDVWYGALWWAAGPWWADYMYNMEPTSGTAWKVVLPKIKSYFVS CC84DRAFT_1143789 MGSKVPPKDEGITPLDCIFACSVCGDIFSSVYQQHDSVHGLSDG INPKERIVTRLYVTSCCHVVCIKHINDGNGPAFHQAGQQPQASCPLCVKENGDDTARQ LFSVRGFGDDEHDPAIPSFWFKSPPIALEGKNKEMEALRFQYLALIRFSKTIATSHKQ AARSRAETEAKLRSMQDLAAEEHEKVKTLQRELERLRPMEGEAQKLRRLEARLPETRH YLNLIPKLVEYANYHEHANVILTRCRQNTKMQQRLASLGFAMSLEPIHNEPLPLNNND GLDQDNARDRPVDSLKTGSIHTDGGSAQNTGFGEEMDEGPSPSAQRQRPLKRSRVDSP TTANNVHAGPSSRDIMPPPFKPLSKIKSMRKIIPSLRNKFTNGRSPGAAAHKSSSGMD VQKYDNGQWEVLDEVPKLSEIDERPPTRYGSPSDTPYMTGALPVDDGPLDEPAQSNFL SGLGIHNNALDFTFQSPSMLSWSKQRSCKLPTAPSYIRLLDGLGQHEGLDLGLEDPRD RNGADDAYTHPPEQYLQRPRPNNGSQFNVRSNPPEVQTKTKNRQKQWNFGHAFLEQST INANPNSAYHQSGRVHDRDDDAVMNISQSRTITNPVTPAPVRFQRPIDKVDNVVSPFL GGSSHRSQPLPRSQFTEHDTSSRRSGVFQSRHHRPSLDTDWREPRSLNGLSFLDSPMN ERSELIDWRGETRPQEHATSLTQHRGRYINSQGFLVRPDAAGSPGAHGAYTPLDQKQF SSLRTPNLSATSFSSFSRRPSQSQATRLPCAMPSIISGSSPRRRPQTHNVGLPGVRGS HHPRGHVSGGAHSISARPVYSSTRRRVIRR CC84DRAFT_1143792 MAAKSGAQRFVKYTLEPQGVYARIKNFLSIDPGRSSGVPLNVSY RNPPPAGVDPMRYDDPTTVPAADIAENPYWKRDVRRRYPQLSTVTQADAVALLEVGSA AAPKQELIGEAGSKQLVAAQENGAKGLAVAFEKNTGLAKDVLGPGGMPPLPSGMSLAP LGEKRYEIDTTHGFPEQYPCRQFQ CC84DRAFT_1195553 MKLQLLSLVFYTNLIIPGHAHGHNADDSSQLTVKTLTGAYTGVL DPTFPKTRRFGSIPFAEPPIQARRWLPPQKLSPSTEQHDASELPPSCPQFLSSVPSLL STYFAEGALINNGDQNHTSGLVGAATSEDCLYLAVWTPANASSHSKLPVLVFLPGGGF SAGGVDSGYYNPASWIERSQSHIVITVNYRVNIFGFPNAPGLSDQNLGILDQRMALEW VRDNVAAFGGDSDKITQWGQSAGSMSTDIHAHAFPEDPIASAYFLMSGTAFSGSAVAD TTFSNFTFVAQHFGCEPSGRNGSTSALDCLRKVPFENITNFIGQYGDSGSTPALSFLP VVDDRIIFSDYYARANAGKVARLPVILSNTANEGSSLVPFPANDPSSGFPQEVILGIA LAGFVCPTYSSTLERSNLSVPVYRYQYAGVFPNLNPLGWTGAWHGEDISLVFGTYDLV KGVGEVPQLEVETSRAMQDHVHAFMRDPLRGPQKLGWQAVDARNSRNGTLIRFGTGGK AIQYVNSFEVDRVCQGLGEYDAFP CC84DRAFT_1163538 MSSFSSYVSSFTAQQMQEYEARMKTSTSPTDAPPVTLPLAIHSS VLISPPHLEEEHQLHTSSSENTLVPEHANRNVTFDIEKLQLPAKRQSRIVRLFRHTLL NVYRRLFSIVFIANAVALVVFLAKSSDILHVNIWNLATAASANVFVATAIRQDYVQNI LYYMVWLTPQSAPLRVRRVVAKLYENGGVHSGCGIAGTLWFAALTIILSVQFVEEIFT SRAVLTVTWILQALLLLIVGFAYPTLRARYHNIFEISHRFAGWAVVILFWVELGLLSH TVAQENRVTAGHVLIRQPSFWLLMLITFHAVLPWLFLRRWEFRAEGLTKHAVRLHFER NVGPCTGIAISKSPLLEWHPFATLPSLDPTQKGGSVIVSRAGDWTKSAVEEPRSYYWV KGVPKPGVLGLSLIFKSVVIVTTGSGIGPCLSIIASPTRRTACRILWTGPRPEQTFGS EIVHYVRQADPEAMIIDSKKEGRPDMVALSYRLYVEAKAEAVFVISNPALTRKIVYSL ESRGVPAFGPIWDS CC84DRAFT_1143799 MNFAAWALSPVTYDVDKPLLIDASNPQHSFSFSQLRTQVQQLIA GLKAIGIQRGDCVCVNAFNNISYHVLYLGVIGAGAIFTGVNPAYSEHELSHHISLVGA KLMIVEPPMLNKSMNAAASRGLSKSKILAFDVHDPVPQNVDVQSWSVLLGYGLSDCDD CYDPENTVAAYQSSSGTSGLPKAAMIPHSYLKSQASLRMSSSELPYEVRRLTPLAPMH AFATPIVASSIRIGSPTYILRRYDETEFINSIERHEITETWLPPPPILSIAKSQLATK TALQSIRQIWHGGAPLSHNDQLPLQASLHPEARISPVWGMTEVGWITTVTWPSKRSDD SVGKPLEGFRVRVIDDAGRPHQSPSATGELQILAPHPMLGYLNNAEANKEIFSVDSRE KWVNSGDIGYVTEKGNIFIVDRKKDLIKVRGWQVSPSEVESRVRQHPDILDVGVVGVP LGSGQGELVRANVVRRRDSLPNSSVTESEIQRFAAVSLAKYKVPAEIVFSDSIPRNPT GKILRRLLREWPPEKDGKQLSTKHRFGRMRTFLLERISAICLRILGLGRQVITWKWRL PL CC84DRAFT_1204866 MLLSSSFAASLIALSSASQPPVVHSRAGIFHGRHLPTFDQDVYL GIKFAPKPERFAPAKLAEDAPSTHFNATQYGVDCTGYGSDTNTLVSQGWTTLGEDCLH LNIIKPMVKKRKEKLPVLLWIYGGGWQQGATSDPRYNLSYIVEQSSLNNKPVIGISIN YRMAAFGFISSKEIDATGNQNLGLRDQRLAMQWVNKHISAFGGDPKKVTIWGESAGAY SVGDHINAYNGETGGLFRAAIMESGGAVGAPLNGTDWYQHMYDNLTASLGCTNATDTL QCIREVPYEKIAPYGYVGLEWFHVKDGTFIPRYGQESLTQGKFAKIPIILGTNTDEGF GVNGVNTDAQAIEQLTHSKRWNVNTTVAERILELYPNDPAVGAPYGWGNRTWPQYGAQ YKRYTSIATDLTMFGPRRLMAESMSKYVKGVYSYRWDAPKFNNTPTTIGINHFSEIPF VFGNQEQTITPLGNSTENIALSHLVGRMWTSFAYDLDPNGHGVSGVPAWPQYSQNVTN FVFRADKSYIEDDQDRTEGVAYINSLMR CC84DRAFT_655251 MVRVAVAGGTGNVATEILRAPIASGTHDITIFTRSPPTTSTPGV SYKVVDYTDRAALTDALKGFDVCLSFLVVHLDVDCVVQKNLIHACISAGVKRFAPSEW GIKNGSGVPPYKNKDIIAKYLAELREKGELGGMQYCLFQPSIFMDYFAHPHPLSPNLI TWPFFIDFEKRRAMVLDGGDQPLAVTAIADISGMLDLALSSSAPWPTIGGMQGARTSI NELLALGKKLRGGDWSVEHVRGEDIENGILTTSWIPIMSHPVIPQDDREAFSKEFVIM FFKGILRGAWDVSKEWNEKFPEYKFTGLEEYLGRAWEGKD CC84DRAFT_1216307 MRSLPYALPALSLFLPRAVVGSPELSLDCASHDGRTNDECKRPM QAVTTVTPGAYYIAKIACPDCAVPEFSGERENRTYSLVQKENDLFFNISLTRDHRTLL LNGQPIFPSLSTNPHPPYISTPQIAPNFTRSDLADTLACARKCRDESHGCACIESVIS TAELDFDYYSKWLDSHPETQTEKWEVTLDAIGGSNGPDKDAGRVFGSDDQSVLRIIMQ GKEIQKDRPGSHKQAGSPLSGGYQEPETVYDYEIASIEFSQRYAEVPRVEKLGLWDKL RRFFGSDVVRGDGHVVYLAEEWGGYGKKGSLRQGFGIVVHEWRWDIVFTIVGVVALGL AAAWFSWWLFFAVKRQRELARWDGMDQVWARMRRDGGDEEDAQLLAAGYRDENAYRDR ESADEGYRDEPGDSPPSYSDEIQTNKPLPSKPLPEKPLPAVPLIES CC84DRAFT_655294 MKNSRRLTGRRIDNLPEKHSRLSKELFNRGDSWYWGPESANGVF VSRGRPSAMNYMRREKAYFMDSTSILAPSSKKDSWKSTPSSRLNAKRAQSGGGSKWRM GPQRRWISKIINLYSSTEGRTWSLSCRRRILTAGIDYIGVPARIIRTQIRTLTPGRSP LTSI CC84DRAFT_1163542 MSNNRTTSTFSSASYSFSSSTVNGETRSRTEATYSDPSGTKVHR SSQEPGQAAREEKLEYDSAGRRIESTGERGRIEDVTDTEGQAARDREYEERMEDEYAK REGGA CC84DRAFT_1143810 MEFLLSILTSPLVLLASIFGVLFLYVFAVITYRLTLHPLARYPG PKLAAITDIYLTYYAYRGSRHLAFQRAHENYGPYVRLGPNTLSCNTATALKTIYGFRA NVRKADFYTAFPANKKTVNVHSSIDKLQHARKRRVMSHAFSDQAIKSLEKYILANVRT ACRLLGEKRGGEKGWNEPWNAADWCNWLVFDIMGDLVFGKAFGMLESPANRFAVDLVG NAAHRHLICGTHLTIHNWHLDKILFRKIAAQRGQYMQYSKAQAMERTKMGLDVDRRDF FFHLLNAKDPETGNGFSMDELWGESNLLIIAGSDTTSTAMAATFFYLVHNPATLQKLC QEIRATFADVEEIVSGPTLSGCAYLRACIDESMRMTPPVAGALPRQVLPGGIDIDGHH IPAGVDVGVPHYAVHHNADYFPRPFDYVPERWLSDPVANPLHNKLSEAHAAFCPFSIG PRGCIGKGLAYVELTVTIARVLYLYDLRLAPGSTLGAGNKDLEVGRTRASEYQIEDRF ASMKDGPMLQFRARQSELSPQGH CC84DRAFT_1163544 MALPRICSLGRGLVFRGPMSRLATSVFLSGRITVPHRSFISASR HLQEAVQSTTSSSANAPALASQAPIPEEQRRKNARSVKVFKIPRRATRSRVEAFFTDA GFQVVDTHMSIDRFRYEGDTFASVELADEQQAQRAVKELNGNASMGNEVYLRALNPEF NWDDFLSGNRYRHTYLVREDQSGIRQAVMPLLEGRRVRISVKYPAWGSKGDSPAQRRN TDLKVLERSFDRFGIESISRLTPQFGEKTFHPKFFCHIDFTTKQGADEAIRAMHDKEC EGVLVWARATEVDAAKAYQIGRLDKELLAELQEKGLAPADSDIHEDWVSKTAKKDPKD FDRHRNWTDPTNPPKKRAYRSKATKPAQTTV CC84DRAFT_1163545 MADAPAPTVPPVPTTYRERLAQFRILEEKRFELIEELLEKLEKT EAKLAQTELDLNSEQNVRRTLQAEVVEAREKESAMAQRQARRPFALVLIDADADGFLF QDKYLTRKAQGGEQLADELLIRTREYLRPQFDDADSMDILVRVYANLEGMANYLVRQD KVRNLGALRAMSTGFCGRISSFDFIDIGVGKEGSSGRKLRENLSFYTSSVHLRHVIVA CSPTDLPASLLSNMPLEKVTLVESMPLPTALTTLPIKVTKFATLFPPPPSPKSPRPRG RNGAQLQLMQQEDADGGATWLVINPERSKSQGAALRRRNASEDENSSLSISIGPDNTV SVDSGRRRRIMG CC84DRAFT_1163546 MRTFSIILPAASLLLHSIPSLAASFAPVALTPPLNWVADSYLFP ANIIAGYNANLTEYNATTWGAHVLAACASFTACTSALAFQGNNKL CC84DRAFT_1088062 MSTRISTLRSLLPSLQSSLETFNASPSKFHVVRTINPSPTQPRT LYILDSSFNPPSRAHLSLATSALNNRLQGEENPARLLLLFSTHNADKAPSPASFVQRI ALMTVFAEDVLRNLQGSSSASEDTKNVSIDIGLTKEPYYTDKSVAIAAEAPEIYASKP IHVHLVGYDTLIRLCNPKYYAEYKPPLSALKPFFDAGHKLRVTQRPYDKNDSSSKEFG TVEDQEKYLQNIKDGALEKDGFEAGWGENIDMVEGTGMGISSTRVRKEAKDGNWDEVG RLCTEGVTAWIREEVLYAEDDSGKKMMG CC84DRAFT_1216313 MSSCEKCKSGFNWNGTPNGSESKIAGSKAYVTGDNKDAAVMIIH DIFGWTFPNLRLLADHFAKEANVTVYLPDFFDGEVIPPETLTNPAKREKFSVPDFIGR HGKDTRFPEILEVAKALKAKYKKTAAIGYCWGAWACFRLGADPSLVDAISMAHPSLVE QTEIDNVKVPVQILAPEHDQMFTPELKEYANKVIPTIGVPYEYVYFPGLTHGFAAKGD QNDPKQKDGLERAKNSAVSYFKEFLHSS CC84DRAFT_1242785 MTFYQHFSQDLQNADVDTWMRTQFLFAATLVHKVFHAYSKWLNL EHDDPLFRRGDMEAELGNSWERKVLGYNCNPVFHDIARCEMLLSMKAIAYREDRMQPE IVRKLIGDHPFHLNRMNPAHYQGLFQMQSYRGGDFYTVGTIRAANGLLQYPL CC84DRAFT_1195564 MNDRCLLVPPHAGYHVLPNSPLFSRLLRFAGQSPARIAIVDARS GHQRTHLELLSDVLALREKVLSSLVCATAAALEQRDEAYIAILASGGYEYTVAMLAIL ALGAAAVPIASALSVQEAAYYVEKSCASVVLVSSADQAKAVQLEKLIRSTSDEQFQSV SIAPSTSTPTLSAAMIQISSDRVLEENSPAVVIFTSGTTGPPKGAVMRRSFVSDCALS VADHFRLTEDDVMLHVLPVHHATGVGINFFPFLLSGSRIEFRSGSFDEEWMWERWKEG ATDKSRRLTFFSGVPTIYMRMRRHYQRRLVMLPSAELHKYVAGARQFRACLCGTSALP SSLNHFWATIMQKKIRQRYGATEFGAIFMVGLDDDKAPDGSVGECVSGVDVKLSAGDE GEVLVRSPHMFSAYLRDPQATAQAHDEEGYFRTGDIARREVVANGTSYYYIVGRASVD IIKSGGYKISALDVERELLALPYIAEAVCCGVSDEEFGQRVAALVSLQDEELTGAFLL SHGNGEHILTIDAVRNDLRARLAGYKMPTLLRVVSGELPKTATGKVQKKVLGPRYFPQ VFDPEVQRWERPLREVAKL CC84DRAFT_1163549 MAPAFAPTLLDTLSLKLRSFPRMILKSPTPATVEERLHLSALDQ NIVRVYTQVFLIFPFPDANQREIAIQALESGLQATLQSFPFLAGTLRLANDSSGKLVL TFPTHLPDCGASGVFAWKTNETFRTYEDLKEGGMPPDAFPGSKLRPDDFGRYPGIPPD GEGLVNFDHGNQAPVMRVQADFIPGGLILSTYVHHTVMDFAGINIFWKCFAENVSSLS LGRRIIPGLAADYGADQRTMRRQVDNRAPTYACGQSPCAEAYVEGTYKYEKSLPDDTE CTMKYLIISAARIRNYRDDLRKYFPGESPPTICNVIAALLWIHVTRARAHRRGDCGKE ETKIGIATDLRKRMSPPLQDNYTGNMAIFSTGALDVHELTKDELVTEETIVCTIKEIR RTIAKVDNDWVSNHLGFFKSVDTITDTELGLGFRFGMDIYITSWMNFGADLQWGIPGT DMEDQSLGGRPEFIRRSYGVADGGMMIMPRRRHQMNGNDAPYEVMVRLAKVDMERLLQ EKGGLSQWAERII CC84DRAFT_1117621 MTDFTDNLKPRYDGAAILEAERSASVLGIDELSKHLLSRDDFLG RQEKVLKVLRKEKLFNKDQQLNLSRPERYHLGLARAKAIRRLVRREAWDHEDYKMAEY LNDEMSPFHLHMTMFVTTIREQGSPAQNKSWMPRILDYDIIGCYAQSELGHGSNVKGL ETEARWDPVAQEFEIHSPHLTASKWWNGGMGRTATHAIVVAQLLLPKSSTAQPSTTDS ENPSRSHTTSEYVPYGPQTFIVQIRDKKSHQPMQGIAVGDIGPKYGYASMDNGYMLFD RVRVPKSAMLSRYAEVSDETGALIRRGHPAVVYGSLTFVRGQIIMHARHVTPFSDMNW LVLARAVTVAVRYCAVRRQFQDRDGVAPTHSRPQDEVQVLDYPTVQIRILPLLAATFA LHYTGEYMYNLYHQSRRTIEEGDFGPLAALHSASSGLKSLCTTIAADGIETCRRAMGG HGFGGGSGLVGLNADYLSKPTVEGDNWMITQQVAAYLIKKTTECVQNVASATQDPTEE LLKDYLRGRAQHTPPSFLAADGSIDDDALVQIFRWRAAALAYAAYQARVEEKKPWTSL MIQLHNLSHAYSYHILVSTFRTALSSSSVTSLSNPTPSVLRTCFRLFALHTLSQSASS FLLTSSISASDLQVLDAKILEVMGELRPHAVKLVDAWAVPDWLLDSALGRYDGKVYED LFERAHRKNPLNRTTFNVDWRSEEVVLGSGDGGRQLLAKL CC84DRAFT_1242809 MNASTPRSRGRPKKYHTEEERREASRISAKKSAQKKREEQRAAA DASQTRTASSLQRSSVNITSNHFRSEWEGNPKFPSDLEGFAYVQNKIATDPARFDVQA PLSSEYSGRTPTQFEVSMNFVGTSLPYSPHGLPHANTGPSHDLNPDAFGYPPWNPVKV NSMAIPSEYSEIARQNSQMGHSRSPQLLNLLRNKGRSSAGHETTAKARNAQEGPATKL AQTSRLIEGGHATLNGGPPRPDTETKAAKHLARVVNADPPRPGAVLKQALYLDHLLAD AVEAKELAEKEAAARRMDETTRQERLRRAAQQEKSTLKRAHDQAQALAEQPQDDFDRH IRFVFEWLQADSYGRQHTFPTDGQAIYTTKIRPRIHQIQRSSLSQSATFAIQGSRVGD EILRYYDADARLNNVDELTSAMLAIFENVVTTEKEWLKMQQGAAAVLMQEMYEVWPMV CAAGPAQVQRNLGGVLWTCFRYKAVPRFHYLASSASLNS CC84DRAFT_655429 MPKVFLAVTIGEAGGLEVQWRKIMFPGFAGRGSAAWLHCGGVDM GHFAVIPSVISAALAILCQGLNCVLHSG CC84DRAFT_1216319 MKLSVFALSTSLLGASARPKASDYSLAGFAKDNPLGVTTGGAGG ETITVTTPAALKAAIADTAPRTIYLTGNFTLTGRLSIGPNKSLIGTGPGANILANGFN IKSVSNVIIRNIGIRGITGNDGITIQNSTRVWIDHNEFESGGFPANGPDAYDGQCDII RASDWITVSWNYFHDHWKSSLVGNSDALRDVDQGHLHVTYHHNYWRNEGTRGPAGRFG HQHVYNNLYEDYLFQAIHSRSDNQVLVEGNVFRGNTSEALSTYGLVIPNDSPNTSPDG DYELDGFANLGAKNDWGPASINITRVGNFTKAPYKYTLTALGDVEKVVKAGAGLGKI CC84DRAFT_655435 MSETQPQQRHVPPSRRRDKPILSCTLCRRRKLKCDRQQPCKTCV DRGLSLSCTYSRNVSTPAASSATNTGINVHDRIDQLEKLVTTLMAEKTGGGSRRMNTI PSIASPFRPDLNDESADVEAPNTPVHMTLDSHETQYTDSGHWMSILDSIAELKDELDQ IASEPQPYSHTGEDLGPELLLGINTQATRQDIIAGLPPKAEADLLLERYWNFVDVAPT IMHRPKFVREYHEFWSNPTETPVMWIGMLYGIFAIAIRIQSLVEEHDNGITDPSQRTF SQARQDLYRQKIAQCLVLANYTKCPPYTMETFLSYFVVEYLRTRDTQHGIWLLVGMLV RTAFRMGLHREPTNITNNSLTPFEAEMRRRMWSMIVRLDLMSSGQVGLPRMIHPAMTD TLEPRNLIDEDLKEDMIELPPSRPDTEFTPMLYTIIRNRLLGVFARIVDLVNASEPPT YREVLELDEVLRETYENIPGALKGMDMNDFDPFAEGAMSIVILAMTFLKALEILHRPF LFLAHGNTRYEYSRSACIDAALEIMDTQHLLEVKSREKSNWDKQSMWWTSSWRLSSLM SHDFLLATTLLILDLDRDLANPIPISQVPRERFKSGQPTRDEIIRALTRAYEFWARLG PKSREARRVAAAAKHVLGKAGISGFTPSSTVENTPRQTEIDLLPQQPLPDPSFFFDLQ NPQDPLLPLSTDDVPIDFGEYGEQFDWTGFESAYSTAPFGDPNMRQYFG CC84DRAFT_1088575 MPNFYRENRLVFDIVTWKWNSEKSTETRGRKRSQPDSADISSNG LDGEHDEPEDGLKIWGYMPGHVHYNIGNANGEPSLKKENSEGSDKSDAVGRVLSAIPP RSITDAIVNHFLSVVNYRYSAIYAPTFTDQYVQWWFDRVNGRSLSPEFTCLLLRVLSY SVQYLTPELRKMIEFEMACTPQTMTDSFHEAAEQLSKSFSASNTCIERVQELFYKGAW LKSESRIVESWHSLGCTIREAQELGLDKESSHAGLPEFDAEIRRRLWTLLYIWDWQMS AWLGRPHLIDQKDLSFEFPNLRLDSVGSEPNLLSPFAHIALQAHIARRIAPLMGDVQV ISDLSPEQVSRALQEIRTFIDELPPVFRIEDPDLSLDEAHPYYVFQRYQLHVVIHIAM LDLLKPYLTGDPRNPKTSHDAKLRKEGVEIALKMLNIARLLFNHEFPINAKFHMVVFA IFDTATTLCSAIIHDVDEVLPHRERVMDAVESALDMLQQLSHTTKLGASSYWFLFKLV QAAPVLSQYAPITKRQRTLKRLDSSKLGSEVRTLLPEQPLPTTSAPVMEPEAKPVAPA FDPVPVPEVATTDDLSFDMEQFLAQNPWGSSSSLDIGGMEVVWDWDELNLDFGLMNND ANNNRPQ CC84DRAFT_655461 MVWIPLGLQMIAPSQAYRHLTADLPLLRRVPLGLWLEFTIAAPA PFRAPSLSHGFPPGLAKLSSINVLIALVSTSSTSTSHSFSSSSQVAFLTCNRGFCTLT SCSLATVSTNSGSSISIHSWSTRVPEYLSTIVFFFCVVIFIDVGVVLLH CC84DRAFT_1186335 MFNWQNIVLLVLSYVVIPRLTFLPSSLHTLLIVFGPFLLPRVIN LFNTSRAASRSVPVRDVPTNVQRVLNLLFVSALASFALCLPYFADENIFQKTQSRWQI EPNVLFTRLRLLRELTPTDEVLREKFTVNSANKMLYFAFGPDTLLNCVWCNAADGSSS TRNYFLYALPKLLTPHIAHLAVLGLATSSMVGPEGTRFRTHATIAGLALLFTETWYLG TYDPSANKRAKVLEDIEPAHWRIRLFRYLAFALADLALAGTLWATSTNRWLARPEPIA NRLEATTKTAEETLHKLRALGLLENSINRDPGLRQVREEYWRTEGQVMSEAVAEPEVT EKINAAIGRMDFGALEGKVGEVADGILSAIDGLRGSQVLSASETSAP CC84DRAFT_1242811 MVDVRKCTPVGVGIQCLGRRGYLSASPASEKMTRNLNSWVTTDV PVSNCYPSTRLLSRILRLDIAQTYQSYSTSLLQPRPNIPISYIPGPKSAARHILNIHH VHHHHHHHHRNQTAPNPPRQTCRQRRLAVLPIIHLHLQPHHSKPL CC84DRAFT_1195571 MGVTYDDLIAAMLMNAPDPNEKLPLANRRETIWGTTMPFLVITW AAVFLRFWVRFRVMREPGWDDALVLLAMLLNTAATVLVLISIRYGLGQHFLYLEVSQM MGYVKMFYFENALYITNTAVIKLSLLTQYLRIFKAGTMHWICKALIVIIGAWGFTYGF MAWFPCFPPQAYYDSLKYPNAKCYGFGFVNAKDFIGLFESHTALNMVFDVTVFVTPMV LFTKPNLRMKNLVAMSGIFIVGAVVVFTSIWRLYSIVDNRAATYPYIDFTWWTPISII LSCLEIDLAIMCASMPIFWPVIEESFAAIFVTREVQITEQRRYSFADRGLAYELEHSD TMRRQASVKTQSTSRESLVITREDPGL CC84DRAFT_1242817 MAQGAAPEAVIPIEATLMALAIMLASGRLVPRVLQHIHPTTSDS FLIASILNMLALFITDTMTYKLGGMSDAGPSEADLIKLKKVQFAGNYFYDTGIYLPKL AIVALYYRLIPPTMPWLRKALYIVSAFVGAAMVTTCFLDTFWCGRQVSSNWSTDEEAC NTFASKEVFRTDWALNVTSDLSIFTLPFPLLYKLQLGRRQIWGLVITFGLGVITISVS VVRFATIEVIQAWTNVYVLSMAEMSVSIMVVALPSMRSFLRRGSLFSSKKTYGSSTSR TGYGVQTPIVTFGTSGRRKARIDDILDDSGSEVELNTMQRKDVIYETKQVSVQFSNSL DETDFSKGKNP CC84DRAFT_1175181 MAYFIDGKDCMISEWILLILAYAFVAARIYTRLIKLREKLNWAD WLLIASAIDALGLIICDTLTYRMGVLDDYVPSVKLSKISFSSNYFYDFGMGFPKLSML AFYWAFFDFHARPAMRKMLWGFTGFVIICYLTILFDDTFFCGTPVSVQWSQEDGACSV FYAPEPFILNFTLNLACYLVVYAIPLILLSQGILRASKGVTLTFVLGGLTIASSIVRF VTLKVGTGQENLVYPLSMLEMALSIIVVALPGLKPLLGGISGNHSGPHDSVEYRDESK AGMA CC84DRAFT_1088440 MDVESPELRGYPYKPLKSLSDIRVISLLPARTYNTRLEIRLTDV NRFDILLGSADTPSYEAVSYCWGEPNLSHTLVCDGQWTLGITANVDTMLRTLRKQNKT RYLWIDAICLNQKDNEEKRVQVGLMGDIFSEAAKVHIWLGEGEDDAIKKIFTAMRYID ARRTVRPGGIGDYSVFVLNSMCGKDSVRIFNAFFARPWFTRRWILQEAALGRNKTVRC GRHKISWSTFVNAVHRLSHSNPPFPTLRIRFKSTLDHIGTYDSVQENDLLPFLWDFDE ADCVMPHDRIYALYGMINFERPFSLPEVDYSKPWPAVFMEIAQIYYHDTSRWKMHGAS ILQHLISFGSLASTDP CC84DRAFT_1258488 MSSQPRPELLGLAPELVQNVIKQVGDKGDLTNVRLSCKTLNKHA TTELFKDVFVSPEHSHISSWNSISQHDVLRHVPRHAIIHTQPVIEDLGLDTFREREEI GEDFEEALAALSRFPNLDSVEIGFTPECVGADDHLDTELAESKTQREDMLKLIFQAIR DRAEDGKNRKIRKMTIINLQNYPLPNFSSSDLFRDVMSQLEELHISMTQEFNEHGPDH DYTKIELQTFPAYLCSDWLAPISTHLKALSVYHQTDNWGPFPGYFDPSGISFPKLETL ALGYYTLAHDNDIDWILAIKSLRKLILQKCMIASWIKIDANNLATWKPRTRDWTKMPD QYGGSWCEGFVYDGKWSQNLDRIASDLPNLVDFRFDTISGWGDEATYGVEYRDRCGIR ISPQRYVSFDNGILPTHWVEADDAGEIEGWIEELEDDLITNKHDDNFEADQKSLDALL EKLKSRKSMGQSGAT CC84DRAFT_1258489 MPLFGSRREPSPAPAPVQTRSSGLFSSHKTTSPPPTTTSPTTRS GLFSRRRSSSPSLHNTHTSSTSPHKRHSLLHKTGSEDASIVSARERVMSAEAAEREAD RALFAAKAAVREAREHVKALEREAAEQARLAKAKQAAAKGLGKRGKALGRHDHI CC84DRAFT_1117641 MSESQKLLYEHHDDSDSDPSHDIQPFPQRRRPSPASVSRSRSRQ SSASPAPGAEPNGRFGPPKRQSSFAQPRPDGAPRTPNRVRFEEPRRSMNGDAPGGDWV ELEGDDYLEAGHQGRERTQRLPLLTGIEAPSVTVASEAFNPEDHLESARPRSNMRSAF MNMANSIIGAGIIGQPYAFHNAGLLTGTLLLIGLTITVDWTIQLIVINSKLSGTDSFQ ATVEHCFGKSGLVAISLAQWIFAFGGMMAFCVIVGDTIPKVLDALFPSLSDTSFLWLL TNRRAIIILLVLGISYPLSLYRDIAKLAKASGLAMVSMAIIIVTVITQSFRVPPESRG KIEGSVVIRPGIFEAVGVISFAFVCHHNSLLIYGSLRTPTIDRFARVTHYSTGISLIA CLVMAYFGYLTFGDKTQGNVLNNFPNDNVFVNIARFCFGLNMLTTLPLEAFVCREVMN NYWFPDEPYQPNRHMIFTTSLVVSAMTLSLLTCDLGVIFELFGATSACALAYILPPLC YIKLSKKRTSQTYLAMAVMAFGCAVMAISLVKTMGKMSSGTESQAQCS CC84DRAFT_1088485 MARLCRLATFLLVQAAVAVAAPAHISSHCAKLRSLSLEQFNASI VNATYHAANAFNVSETFNDIPFCEVQAQVPYGKNNSLSFVVWLPDECDYQQRFLAVGN GGFAGTIDTVSMLKQLNAGLGLVVAGGNGGHEATGNTGDGFLPFMHDPGQIRAWIHDG ISLFTSAAKEVVLAYYGKAAKKSYYAGCSTGGAQGYALAQYHPHLFDGIYAGSPGFWY SHLMLSFLWNLQKTNVGGTSLRKPQLNFTAQAVLDTCDTLDGVQDGLIENPLLCNFDI DSLVCGGSSEPDRCLSPDQIEAAKGIYAGPRRADNGEILYPGFSFGSEREWLLQEGSL GNEYAVPVLRNMVFDDLNWDPSTFDWSTDVDLVGSRASVLIDEISANLTSYRRTGGKF LTSQGWSDSYNAGELPVSHLEDIQALLGGDISDFYRLFMIPGGGHCGAAQYSPEVPAE YHYLGPLIEWVEAGTPPAQLLSSDPPDKSNRTRKLCPWPQTAHLVGENVNDWKNYRCE CC84DRAFT_655556 MATQQPQKVTFLSHGITLVGELYAPSPGTPTSRNTAAIVIGHPA FAVKEQTAATYARHLSAAGFHALTFDAAYQGESGGSPHGLENPFQRAEDVRAAVSYLT TLDSIDPKRIGALGICASGGYVPFAAQTDTRIRAVATVSAACMGSVLRDGLQPKGAIT RAQLTEQLAGANALRSLEAADGEARIMEFLPKERSAIPADAPQLLSDGWDYYRTARGA HPRSTGTWVARSMDLCANYDSFQFVDMISPRPLLMVVGGEADTKYFSERAVQRAGEPK ELFIVEGRGHVDLYDELSESGPKLAGFFGQWLK CC84DRAFT_655638 MFFLNPFKQHDLSEFPDTHIDLADAGSVVDARHKPHPHADAEKD AESGKESDSHSGGASIRAGTTRGLTVAELREEVGLDVGANDSQSIYDKKAKVINRAIA DMGMGRYQWQLFVLCGCGWMADNLWLQGVALTLPSLSAEFGVSENEVRYTTLALFLGL CIGASFWGVASDVIGRRLAFNFTLMFAGIFGLAAGGGPNWYGVCALYACLGLGVGGNL PVDGALFLEFLPGANNNLLTLLSTFWSFGQLIASLLAWSFIPNFSCPADANSCTKDQN WGWRYLVLTLGAITFIMFLCRFFLFHLFESPKYLLSRGKQREAVAVVHGMAYFNKTKT WLTEDILDQVGGVDAEVATTKLSTIQIVKNSMGKFSTQRVSPLFKDKKIGLTMVLLWF QWTTIGMGYPLFNAFLPQYLANSGSEQPTAVSVTYRNYAITSICGVPGSFLAYYLVNI PFLGRKKTMIVGTALTGVFVFLFTISGDPDFQLAFSCLEACFQQIMYGVLFAYTPEVI PAPNRGTGSGIASTLNRLAGLCAPIVAVNAGSANPKAPIYASGGLILAAFVSMIFLPI ETQGKQTL CC84DRAFT_1163561 MPSLLLVMFVLQTVLHIINTVGASTINELLWVLYNKLPTPTSSS AQRVQILKREIVRLKRELAATSPQDNFSGWAKLDRQHNKATAEYQKLDASLRTHQTTF TSTVSTLRWLGTQGARFLLQMYFAKSPMFWVPAGWVPYYVEWILSFPRAPLGSVSINV WGIACASMLALVSEAVAASYVLATKKAVPVGEKKGEPMAFEAQPKGGAGKGAAREKEL CC84DRAFT_1060184 MSPRPTQHAQLQVAAYDALNTYFTTHANRTLEIEVLPPALETTD ALILEDGVNLGVPKKILALAFVHARHLFFAHYRSDVEEEQRLAHEATRVMLLFDPEHL TAANHRKRHLLRLEACGDDEAFVKAMGAEGCFMNSILTSPLHRQSKSPTLWGHRAWWL DLILPALLAIEYDIPAFFMEELNAAIKGGERHPKNYYAWQYARRVVLKRGDSQPAGSS EWESFLTDCAQKVKSWCMLHVSDISGFSFLMWVLSLTRSSDERGRVVQEMVTYGVNVR LTNESPWTFVRMMLA CC84DRAFT_1163563 MSLLRTLDRTSAVSRKSPLCPLLTFLCPALSGPAPRRSFSNAAV DRAPRISAADGAPAEKLFLRALARAASCPEHAKHMSTQRDGLVLVAPQHRKTTKSADA AVKREYASDKPSKKGPFRIAKTFAEKELKALVDYYGIDAQPASGEDADVEDEGSLVWN VGDDHQQWPPKVPMDARLVDKLNALVKLDEPSHDDMWDAYRRLSEPRIVYLPRNTIHD MLHHLSIVERPGLDAMQRYLSILDDMKDAHIHIKRNEWTAATHFAGRYRGIVSADDLQ SSLYLWRDMEQRANLKGSTVTFNVLFDIAVKAGKFTLAELFLKEMKARRLKFHRHFRV SLIYYHGVMQNGSGVRRVYQEMVQQRDIVDVVVMNAVIAALIRAGEPSAAEHVFERMK RLAAAASNGSLSYTIPGGPTMTKSDRSWRGKRKLGLQLTYNGRNLAREQDPQGLKNLQ DWAPIAPNSRTYSLLIRHEATSTGNIDRVNELLREMGYNGVPLEGSIFIVVFHGFAQF GGVRYSSWTRAKLEQTWTEYLQAVDDRLDRTWISTTSVVAALKAFRKCADVERMLEVW KEVREIWLPSAEEKESVHTVLRKLVRSKASFDGSRDHV CC84DRAFT_1088059 MGRYDFRPMRVRQTAKALFDSRRNASLPQWYNIIGDIPPSETLA RPLQRAPTPKKARKPSRMFQPLPIAYPEDKLRSDFFGDHPWELARPRIIVEDSGNDSK HHDWSNIVQPGKQLDGESVVQRQMWLMKHRAMSKPTAYDVARREFYFHRHRDDIRRRV AREEALHCGAYFGKGPLEIGMELEDNVWENWKSWAREQIDDEEAARAQMFSGVPSEST SLESTEFEASLEEVQAEPSVLGKNFQM CC84DRAFT_655706 MTRKRSASRRSSGSSSNSSSHLARAALEAIQRVYTSRSVQISPS ASPTSTTRESFEPAPSDTLSSMHSSSSTITPPAGYPTAHHQTAPAPTERTPLLSTFSP VVSPLGPSKSFSPGHTHPPEPFPDLEPGPGSPSDSSYEGLDVFGTHQPRRRWDLGASG GGGGQLRQNKRCEAWDACLLIYFFAVFVLVTVGGGAVLFWVLLHQS CC84DRAFT_1143872 MDMADTRHQPEDVRISQYPPPNYPRESDALNPNNEKRAKTAAHS VTSLGKSLASSDTSKNSTSAEWRPYTLRWSLVWIPTAASLILAIVVGILYWRSHKNNG LCSEASAVVGWRFAPTLVAVLWGQLITMLFNDIQRTEPFARLARPPGSVPPASRTILE RPRQVWTAFAHAFNKKHNGGKRSWIIIWASVVLVISSIIISLSSALLASEETKMWQPL QMTRLIPEKASALEPSVKRDTYFRTTGAILQNVVTSPWISDEYAVLPFWPEGSDGKPW DAQHISTPQTWEAETTVFRNDLQCSPLKLAATDVWSYTYDYYNMTNYEYRMSVRLENE AGCKYNMSFNASAKYDMNSWELGSWSDLDTFVVKNEYAVTTDSYDYRPLPWKPTYNDK CQGDEIMVMSSQWISHDWITFSNVTAKFLPNLTVSGYLCNSSHTMASIPVRVSASASD FHVDFDSGAFQKAQQDVPPSVLKTSQFIKTYTDPYWYMVVPKLNMGPAVPSGAMALLA AKYEFDFYGMKNDTKLPDLAARLQRRHFGEVLRTSLDVRGASQSETITGSSMASERRI TVRVEAAAALVALFAFCFFTMLGLIWLSTARRRPLHLTHEPATVLGTVSLVSSNPAIL ASLRDLDQASTAELRSVLKGRFFSTSHGHLREVSKNGQVETSDPVPRVPTLAEKESPF WVKIRVLLGLILFIALLVMAITVVHRFASDSDLKKGFFTYHLNINALGHLGSFTPFSI IPTTLAVLLGLWWNALDVTFRSLQPYVSMSQAPREISRGAYVSYQSSYWLWATGKAAK NKHWLLSLVTLGTFLAQAFTIATSALFEQTTGVINDAVELNRSLELRQVPYLRMVNET YPPTYGGSRGAYGYPYVGTVLTDLFGNLTNNWLYSGVIQATMNASELNWCKDGWSFVP VDLSDLKNRQTTTSDTDTDQSDAYGALINITMTTPAIRGRVECYQPEEIRNGSTKWNY NTTWTDPETNKTSKVNVPGTSMLGGPTVPDSRYVTCCYNHTDSLNDTTPQPLALGYWT QDYANTSEYVSASLNNFTVKWITGDGASQDGLMSNQETLYFPNIPKIQAVKCMPVFEK AEADIVVDKQDGRILSYHILGEPTPDDGAWSDAFVFHDLSDPNDPAVKKEIKDTSSLC DPDLDCPPMVMQNITTSYGNLFMNSLLRASRLVALSNSGANHGPPIAGELSLTDNVFN IQDNLTGLNMDFMSYAAYVQADRDPKALLDLNYMLESTQKIFTIFFQHYVSSTYSLKA GGWVYQPIGANQDGLGAANETFPQFNPDGTRAKKVSELPAQNTNRTASATMSTRVEVL HMNPKAVWLSVALLIWLALTAILVCAMQRWFFGDLRRNVESIADVLVLVAGSERLLAA VEKHGVEGLLKSDVKTRLGWFRTDDGKMRWGIEIAEEGEVLMD CC84DRAFT_1242855 MSLYEDRPSTRNSGPKPSDYSPLAASNEYHDEAEKLLHPGLVTR SPSKCGRAWKWVRLALEIVGLSLLVSNTLMLLNYSQPVTDPDPRVSSGPANKHMMYGS DPRYMSFNHKYDYLWNDAFTESWGLIALPPFKDAAGLYSKDPVHDEGGIGMFHAFHCL ASMRTAFQKMNESSVTLEQLQHGDHVAHCFDYLRNVVQCTADDTFELPRNRSGHPWGK IIEGQWDVRTCRDHTRLQEIMDKYGQWRRFDELIYHDEVGVPNEDPEV CC84DRAFT_1216341 MTTTIAYPITTASPPPPPGPPPATPPPPPPYPPRPPPLSRQQER EGLLRHANRFCNDWADRCRGLPPLERICLNRILELCRHP CC84DRAFT_1216342 MAPFFLDILSDHARKYARGKQGKVWYCGRVLLRSFAVKRQKLVE DRAVYASNIPRDATFEKIEETSKKANIIERGVVGRLGIGMSSAEDGNFDDVLDLCIHS EVEDDLMHLEALSDQVV CC84DRAFT_655723 MTPMAAYAHALESPALRVVWRWPRLSKRFVPNSGIVFFLQLFHH SLLDLTICSQLCMKTISPVVSFFEYTQFLHHFLFTGIPFSVIRICMPLGKWPSEEVDL RPLIVARTVDTNDDPMNSIIELQGGYLERLFSDSAVWKKVLSSGQIRAISFRSERWKA YLTFTISNHLGDEWHQYGHGAVAHECP CC84DRAFT_1204889 MTATQIPHLRKTKTSSQLIVNGEPFLMLSAELHNSTLSSAKYMR DDKIWENMRAMHCNTLLGSVSWEQIEPEEGCFDFSNIDEIILDARKHDMKLVLLWFGT YKNALSTYVPAWVKKDVKRFPRAHVSEAGAKTRTEEYVSPFCEAGWKADAKAFATLMR HLKEFDGAHSTVVMMQVENETGILGDSRDRSKLANKKFTESVPKDLVEGLQACKNPHP DFVKRFANIKEAKAGEHTWTEIFGADDVINAEEMFMADAISRYVEKVAAAGKAEYPIP LYTNVWLNFDDPSALDLTDLPVVVGGGATAGVYPSGGPCPHTMDVWKVNAPSLDFIAP DLYFHDYESTCKNYRHDDQVFFIPEQRRDEKGVRRVWLAYGTYLALGCSPFGADSESA EVSALTKHYKLIHSVRKQILDAQANRPEDMMGFFFDEWREGEKVKEKSWTKKMGDFEV IVDRAFVFGKPGPGAGMVIHQGDGKFLCVGWGFNVYFKSTNPKSTFTGILHAEEKEVN PETCELSTVKVLGGDETRSGEFLIMPNEDPDYGGFPIAVTIPSRTMIAECWAYSIEEE EDDL CC84DRAFT_1195584 MNEKEKPRQNDNNPTGRVRSTAACDACRARKVRCIYLPDKIKCS MCTELGVECTQVRPRKKRGPKNRYVESLRARLDVEPGIDPSFGDSPDLSSPSLPATSP QSISCDIEHIASLPVLQQLVEDWFGWIHPVAPIFHRAQILDRIMQAQTANNGSDPSFL ILVASLCAAVVASLRRRRGLYGAVTVDGCFDTAERLGLWDRHRRISLEWVLAMYNFTS ATHHEQGIGSPLAHRLSAETAMGVKYLLYHDFDQMSILDQQVLKRLYWLIYAAQCTFD MHGWPLLVLRQAYEQVNALIPLDVPVEGLVEGISEASHAFPSQSYIPGLNALARLFLI WQASQSVPIKTMEHLQESMKQAQQALADLPPQLKWRSRPGSPMNGEDRVVDGDFGTDV QTVNLKVTQLHIRANLLEQMNGLARAQNVLLTPTFISEERHRVVDELLDLLYHMPNEV FDANGYSVVPKIRDIGGALLDEVRTGVFGANQQASLNLNRLLAKLEELDVRCQEDQLQ GNFI CC84DRAFT_1117669 MMEDTKDTKEGVEVTHREDILTQLPHGEDKRPWYRQPELRKLYM LMPFLFLGSTTLGYDGSLLNGLQTMPAWQSSFPGFGGFAVLLFTPYVADYCGRRLGTA IGCCLVILGAAIQACPQPSNPDAMFLAGRFIMGMGSNISNATCPLLITEVSHPRHRGR VTTIYNTVWYLGAIIAAWTTFGTLNHIVGDLSWRLPVGFQCAMPGIQLLTLWMLPESP RWLIAKGKYAQAKTILTKYHGNGTETDFVNWEFSEISQTIELEEAASANSGWYELVRT PGNRKRCLLIILTAIFSQCSGNGLVSYYLSSVLNTIAINGGLTIWCWLVSLGCAFLVD RVGRRVLFLTAGVGMLIAFSIWTACSAVYAKTGDSGAGSAVLAMIFLFYGAAGFAWPG LTVSYTVEILPFKIRAKGLTLCFIGTSLAGIFNQYVNPIGLDALGWKFYIVYIVVLVL ECLAIYFLYVETRGPTLEEIAVLFDGPDANVAGTTVPMDRKRSVEASADKA CC84DRAFT_1216347 MKFSLLVTALVYASTGSCAAITYTIQRASNPTADQTDAYNKIDA AMKLAIARYGKYCNAKCTKKITVQYEPSVPTADANYNGNMRFGSNRSYMNERTAMHEI SHTLGVGQTANFDSLCASGNWKTALPLLRSFDGSSAKISCGGSHFWPYGLNYDTEWSE TNANRHVQMMQAMLNDGM CC84DRAFT_1075932 LIAAASALPGRHQGPSPTSSSVKAIPTIWLAGDSTTAPGGGHNG TEGWGQYLKYSFGDNAFVNNSAYAGRSARSFTREGRFDRIAAAMKPGDFVVIELGIND PGKPTNGSTSTTGDKGRADCPGAGNETCTVIFNNVTEIVQTFPTYIETAAKKFLSLGA ASVIISEQLPTNVWESGSYSYKPSIFSYYDLLVTDRLGGPAGKAYLVQHGSYAAQAQK LLGAEVVDANYPMDHTHTAPFLADVHSQAFVLGLKCGTSPLGNLVVNATARIEASRGP CL CC84DRAFT_1186355 MAHPSPREIDVLTEKEEAQAVQIEHAPSVIGYEAAEVEPRMNLQ TCLAFLALASQYNAYVLTLLIPSTTLSYINADLGPNPNFTWITVSWTLCASFLISISG RLSDIFGRRYFMICGSVISFIGTIVGATGKSINQMIASGILFGLGSGFQEMGYAACQE IVPNKYRMWAIGIFDILGIIGQLGPIVAYTFLAKTEIGWRGAYWYMCAFHGFFMIVLV LTYYPPKFSTKHRHDGKTKMQLVKEIDYIGLFLFIAGCVLFLLGINYGGRQYPWNSAH VIAPMVVGVLCLVGLGFYEAYADLPLPIMPPKIFKKWREVMMLYVVCFVGGMLYYSLN VTWPRQSQLLYTGPNKIIQGLYAELIPLGSIASGLLVAFVIPRIGHERWTLVTIMIIE TALIGSMASLTVDSRIQAIATVPFLNISVATPQLMVFAMISLGLGEEFKDDIGCAVGL AGTFRLLGGSVATAIYTAINNNTFAKKLPNEVLAAAPGFSNMSALIKAAALNTAAAYK TVPGITNEVIAATQMAVKEAYVQAYKVTYLSALGFGALAIGAAMMTKSTDKHMKNNKR IVRLENEKVKEDEMGMAPA CC84DRAFT_1175201 MAYQSQQASPSPLHIDAPKSFQSDNMTTSNETIPTNQNTNNNHA VKQRPPHPRLGRRLQRRKCAVGSSATEPAWLLSQPHGSASPRYNRQLPRCSQCALICR SGQSPRRTNTYKTRRASQKSPWKAQRISPVIQHVEPSLYASQSLSITQSQIMPRRSTR LIHDWDDGFNNGYPEWTPLYPDWTPIINRNYGYVRDVPPLRRPGRPGRRCGAHGDAIS MEPSAGQGARRAGEWSSRAPRWLGEAWGRR CC84DRAFT_1195587 MAITLLPLHKKDGGPPRRANGHIPNTRNKPRGTSKAQQKASALG ISSRWRNELVAAAAEFAGTFMFLFFAFGGTQVANSAASASNSSTAGGQDNSITQTPDT SILLYISLIFGFSLMVNVWVFFRVSGGLFNPAVTLGLYMVGALTGTRAVLCFISQMAA GMCAAGVVSAILPGPLNVSTTLGSGTTIAQGVFLEMFLTALLVFTIFMLAAEKHKATF LAPIGIGLALFVAELVGVFYTGGSLNPTRSFGPCVVTHNFPGYHYIYWFGPLMGTLLA YGMYKIVKSVEYETVNPGQDFDDHEAALFNPPDDPESKEQVERPNPAAIAAQEAVRVA SHSSGSRSQDFDGIEGRMSPEYHPGYEHSHQQSRSHERPAETHSGQNGYTGHMV CC84DRAFT_655750 MFIVLCSVVLTIIPIFLVQYQRFLTSIPAPEQFHRLPERPAGLK VAHNRGNASFDGPACDTTSEGPSDRLPIRAVTISGYETRETRKPWDPPFPTRPRTLRD LYLVLPRSEDKGRERMRRPTSQVPPEEDDGIINIAQLPVTDLPTSSAPIPPPMLPRSH VQESQSVVQSGYEDRQASTQTTTERKPTTTGTSDTLLLVQKIVLALALIIFVFAFAIL VAHCMAWFVVYKTEARLGDVRKGLLRGGDMRVCLCAR CC84DRAFT_1204893 MSFVSIPTLALLSAPLVYLLLQLQRWLEGRGKLPLPPKPPGIPF LGNAIDVLRSTKSNSQHLLFGQYARDYGEIFHVQVGPFTQYFINSDEAVKAIFDKASA TTSERPRWIVSNEQICDQKNVLLLNASHPRWKHQRKVILQGMTSVPRADAGLEYLHFE TAKFMKQVANNDKLAGDSVGLFNEIGRYTYSAFASQAFGMDIADAKDPAIEYIFRSGL EQILGTLPGSHLVDILPILDKLPLFLKPWERKARALFQDNKKWCDERMRRVEKSIEDG TVNDSFLARVLQDEKGMGFYDRSEAAYLALMLIIGAADTSKMSSWSFLEAMMRFPDVQ AKALAEIEAVVGVADRLPVYEDLDSIPYVRYLMKELWRWRPPVALGHPHITTGELAYN GMRLPAGSRIHMNAWAIGHDPARHTCPDRFWPERYEGDLTTSEQSKNSANVKDRDHFA FGSGRRICPGYHVAERSLAIAIMRLVWAFEVVPAPNATLPLNPRDYPGEMPGNPGEHM PIMLKVRSQAKRKVIDNEYMRAEAAHPAMEPLTHDGWSWKF CC84DRAFT_1186358 MAAISKGSLVFVTGVSGLIGSHVADHLLRAGFRVRGAVRSEQEA TMMHEIYKGRHPKAIDLFQTVVITDMKLPGAFTGHLAGCEGIAHVASDLSFSPDPNVV ITGCVNGVISLLNEAKSTPSIKRFVYTSSSNAATRPLVGESRHVDSSSWNDDILEEAW APPPFETSRSYAVYAASKVACERAAWTFVSDQKPQFTFNTVLPNYTSGIVLQPLSIPG AGSTARWVRDVYDHPFEEEFVAKLRDDSPAWQVEVEDVAKLHLAALCHGDVVGERLFG FAHKFNYNSFLKVFRELAPERKWPEDRNGLEWPSTIVNNERSIELLRRFGADGWTSFH ESVRRSCLSQYGEGFTPFDGVVPGF CC84DRAFT_1053918 GAHPMTRLMATAEAQFSALLSSQPANLSDAASKYRQRRGRHPPP GFDKWYAYAQAKNALIPETFFDQINHDLGPFWGIDVVDLRRSARGFSPKVVVRGGVVE SANVAHGSYNRPREFLDVLEEMVKEGMVLPDVNLPVNVNDEIAMLVPWGTMETAVEFA RRFMPPAEEVTDAFSTPDGDGLEEDETFDPSWLDDRLRHKAGGPYLGPRPLWSLVRPA CPPSSATARGELMADIWHPQGHTRVEHSAAALLPLEVPVNSTEGYIANWTVATDVCNR PELQGLHGSFVAPKAMSVTQKLFPLFSTSKMSASNEVLVPAFSPFNSSNDTPPLPWAE KEKKLHWRGPASGGANSNLNWQRLHRNRFVSMMNATHIEVAEGMLHAGNETTVGLGYA GNFRLLPSNAYRLTSQKGAKMAEWVSGWADAGFTDLQCDESGENSTCSYIDEFFSVKE PASVGLGKYKYAAILDGDAGDDGGELVQRFEEGRVVFRASAYKQWHDSRLIPWLHYVP MDNTFIDIYGVMEHLLGTEIEERQGEGGDEQARRIAGAGQQWAKKALRKEDAMIYLYR LLLEYARVVDPKRHTLGWVGDL CC84DRAFT_1163566 MPPAHAQEVDLAFAGNHELRKRVHAAIDQNPTQSTLFRDISAYI LGQASQPSSEPTAKKRKLEESNGAQNGATAPSSLTSAATKAWRQYPGTSFSLPQRKKY TLELVDSKDGGVRALGADGSVEFSIAWRDVDQVFCLPVPEKAKRQHNFIILPVHGDGV GPVPDHLKASPPEPVVWTFEEATGKNIVEGEDPGPGPMAEAIHHCLIQAGTGKEVIFP DADQFESAIPQSHRKGEKAYHVKGHRGSKEGYLFFTSAGIVWGFKKPLAFFDFASVTA VSYTNVLRNTFNLVITTQTGDIEFGMIDQADFNGINEYVQKHGLQDASMAATRRAQKL NVNPPKEKEKGANGAAAAEPDDGLTELQRAEMQLQDMEDDEEDEEDYDPGSEGESEGS GSDSEDEDGEGYEGGEGDEYDEGDEEGAEGEEMET CC84DRAFT_655767 MKKPELKMPSVLGKVSAKFVKKPMFNQPVHTEKRYHVTRMVYAV LLSLLVLIAASTIGLNAVTVNFIEDNRDTGFEFETEDPNDAVILAALPRMLYTAPAKL ALVAGAISIFVGATHLAFVITDWKVGKKTQAYAFRRNMMFLHFGNTILILFALISTLV AHKSSSHVNVRYVTSKADRPSAADGLRYNIGTFDLETWSCEWKSIPGAEMVWMDYGAQ CSVEVAGRSIMCLFLVVTTALAGLSIWGMIGRRDASGERIKTEQVELEMGKMNAI CC84DRAFT_1216356 MARYDGTAGSALRVVMGLVNSCDDSKVAFDVLREVVDEKKRLAD TNLGELLSAALEERERNVKDTLEGAERQIVVRSGEASEGALDFWVRWKGDLEVEIGRV DEERQILDMRLKEMLRASRTG CC84DRAFT_1216357 MQPVFNEPHSHGKIVIVILLLGPSPTGNATFISHLLPSNTSPEP IPTPPPPTTAIASYAADLPNGQCVLLLDTPGFDPSAPFSTRWGVEVVTYISALHARNK SRVCWGGILYFASVEEGTMTPGSMQGVCVVERLCGADMFASVRVVTTGWAAQHPDGRQ VKLEHNLQANED CC84DRAFT_1242884 MIISSIFGIWLLLASAVYSAPLLEPAVQADYDAIVIGGGPAGLA ATSGLARVRRNVLLIDSGEYRNDPTRHAHDILGFDGVTPAWLRFSARKQISDYGTVDL VNGTVTEVQPQRNNTFFKVLANYPGDKSVSFTTRKVVLATGMQDILPSTAGLAESWGK GIYWCPWCDGHEHADQDLGILARLDSAVTSVREILTLNTDIILFVNGTDTPANRKITE VKFPGWERYLKLLNVKIENRTLASITRLRDGATPFADPSLPTHPEHDLFQVDFTDKKN PILRNAFFADFPSEQRSKIGENAGVQLYGNKLAADSAGGLVTNIPGIFAIGDANSDNV TNVPHAFFSGKRTAVFLHVVQIERENSFAQIAAAGKRDVGEDELQKLWARMNEPGDVT HAGDRFDIQN CC84DRAFT_1242892 MASKQEPHVVAIVSLKDAGDTIRLFTMMSALRDACPSVIDLKVD FLSSGSIFEYFSSEAAEGVSVAHNRGLDFPESFGSADIVKTFFEGELEAFRTPSPTIV FHRMWAPASIAARLLGIRTANFLTVPLHAGVQTIVQCVSLLLMNALTFDQEHLGAAAS ARGWSVEEPISPFDMTLADVSLLNDHPTFHQDYPQHLSKTMFIDQYIVSEATKALRMD PCDGWNASILASPSICSINKALEMPLLLMVDREQCNPIVAGMPLVSVALQIEQQTNLN NLMDAGSAIRIQQHWHAANIHDAVLEVLSDPSDVAHGRIAAERIWEFIPKEQLPPVKV E CC84DRAFT_1216359 MPSWVPRSKLEWAYFGSTATQAVAIIPAQVTILVVYLQWVNSVV YQVPLAYVTPLTLGTTALGALYQLALTLDAYRIKNNIQLFVQCVCNICLSIATVMQYS QIKEANRRILINHDMYGTPFAKHEWKFWDHVSPALITCIVVSCACSVAMCLFAYGLYR EFSWALYEQVSPDRKMRLRYFAYQIYLVILKFTPFFIISFILLYDLIDVHYVEPEFSL TMAIIPVALIHVGLAVYFVRIETFIGMSFVLVGHVAEIVYLVSRIVVLNSRSRLANTL LKDEMVFMAVVALGFSAIAFTAGSICVTNFRKGLKPLVLGQVQRKRPNNDFENDYQFQ RLNHNVVAAPEQARRFALD CC84DRAFT_1175210 MVTSRAIQESSMIVTDVRPHIGYMNLRRPPTLQLVTSQLDGLLE LSPSIFRLQALFKTFVPLCNGLIVDVTDIHGAITREGGSYMQGSPTQDYDFSPYPSPP LINDQMRSGQLGGELSSQRMLSSVPTPHESTSLYSNTARPLNRDWAPSLHTSLKLVLI FQFSLFTESSTCPNSPIFDDGIWNFGPSKLPPSM CC84DRAFT_1242899 MQPMTSHQLAVASSKISMMVPLDCVDGNSRASARRPCFDDLEIR PSAVESLSLQKHILKRVTGDIVLTPPQSASNYSSAVSDSPFVIEAQDFIRRQIGSGPN MPPERLAVLVSTMSVVDYLLYGTKFDVYYSFYCSGCQRYGRHKISSD CC84DRAFT_1186368 MDAELQYKKHVARVVTRVFQNIQRIGAKAIIGCFRTTATAIAEA KASIYMMIASAHEDIDTSHMETIMPYIISPWSRRITAMVEPDLEKVTARVNQEDGIKI VTSTSARRGNIGAGSAILGPREEQNPCVAELFAIVVRQPRRQLGQHIIQRIYRTIQHL EMLENKVDLIWITKVAKRTTQEGSTPPMRAYSKQIDAALLGQYTHKLYDNLSRQEATI RVADSRACACGHTETPKHFLFRCARWDTLREQILEQLDTLRGSLSFYLRGRAKKDPAK TWEPSIEVVKATIRYAIATKRLEIASGT CC84DRAFT_1204898 METGESDARATRRSARTRRKTVKAAELRLENALHAIDSSESSCA DEDNHVNLEPPRAPQPNRTRRVPEGLVRGKVANENAEHTRARNLVEGQNLERTLAAIL GALEDLRADNSALKAYNQELKTAVEDITRELADTKAQLADARAQLLELSGELRT CC84DRAFT_655819 MHLVRLEDDGEFSLVRVLGNSVPAYAILSHTWGTKEEEEVTYQD LQQAKGKEKKGYCKLTFCGEQAAKNGLRHFWIDTCCIDKSSSAELSEAITSMFRWYQN SAQCYVYLSDVSTSKRKADHDQFSNTWEPAFRTSRWFTRGWTLQELIAPHRVTFFSKE GNYLGNKSSLEQLIHDITFVPIPALRNAPLEEFNVEQRISWIGDRQTTREEDMAYSML GIVGVSMLPIYGEGKDRALRRLRREIRETSSESMPTLNEEQKRSLLESLRFEQIDARQ VTIKNAHAKTCKWLLKCRQYLDWLDESKLDEHYGFLWIKGKAGAGKSTLMKFAFLNAR KKMKKHIILSFFFNARGEDMEKSTIGAYRSLLLQLLERLPVLQSTFDLLGISASSFST DHQWSVELLKMLLEQALQELEETRVVCFIDALDECDEEQVRDMLQFFERIGEIAVSAN IRFQVCFSSRHYPHITIRKGLELVLEGQEGHTQDIANYVETELKIGQSKIAQQIRLEL EEKASGIFMWVVLVIGILNKEWDRGQIHALQRKLQEIPNDLHELFRDILTRDSYNKDG LVLCIQWVLFAKQPLSPEQFYHAMLSGVDPDAVSAWDSEEITKDVLKRFILDCSKGLI EMTMSKKQKVQFIHESVRDFLIKEKGLSKIWPELGSNFQGQSHERLKQCCCSYIKIDV ATSLNIPGSLPKASSHQAASMRTLAMQRFPLLEYAVRNVLHHADVAEGSGVSQERFVE SFPPPQWVKLDNLFEKHEVRRHSERVSCLYLLAELNMANLIKVCRLVDQCMTVEVERY GCPLFAAAATGSKEALELCIKSTITDQATRILLHGADEPKSQRELAQRTARRDFVYMK SKGFLMNAAELGHDIVLTYLLNSENYEMHLKDSRDRTVLWWASKNGCKHAVSSLLVAS SATVNSKDKDNKTPLYVAAETGNYAVVAVLLSKGADVNAQGGFYGNALQAASWRGHEG IVKVLLDNGAEVNAQGGKYDNSLYAASWEGHEAVVKVLLDNGAEVNAEGWILRQRTPG GFRRRPRGACEAAARQGRRGQRAGWMTTATHSRRLQKEATRRL CC84DRAFT_1163571 MDRPPGYRIEDGYQLHDLPDLPPAATPVDSPETPQRDLEHPLHS PDVNHRLLDDDAHHQSQHYDPPTPLSSNYSFEHESHYDQPRPLSSSYSFADDEAHGYS SGDDPARTENSWVRRQQTVRQGAGVKRSKTRKIKLVQGSILSVDYPIPSAIQNAIEPR HRHAEGSFGEEFTKLRYTAATCDPNDFTLANGYNLRPAMYGRHTELLIAITYYNEDKT LLARTLHGTMRNMRDIARLKRSKFWNVGGPAWQKIVVCLVFDGIDNVDKEVFDILATV GIYQDGILKKDVNGKETVAHIFEYTSQISVTPEHHLVQPKANDESGANLPPVQFIFCL KQKNSKKINSHRWLFNAFGRILNPEVAILIDAGTKPGPRSLLSLWEAFYNDKHLGGAC GEIHAMLEGGKALLNPLVAVQNFEYKISNVLDKPLESAFGYVSVLPGAFSAYRFRAIM GRPLEQYFHGDHTLSKLLGPKGIDGMNIFKKNMFLAEDRILCFELVAKAGQSWHLSYV KASKGETDVPNGAAEFIGQRRRWLNGSFAASLYSIMHFSRLYRSGHGIIRLFFLHVQL VYNVTQVIFTWFSLASYYLTTTVLMTLVGNPQPEADYHGWPFGDKASPIFNTVVRWIY VAFLILQFILALGNRPKGARKSYIASFVVFGAIQTYVMVLTVYLVYRAFSAAPIEDQI RVGSGKAFFDSFFRGDTGVAGLILIAIITIYGLNFVASFLYLDPWHMFHSFPQYLVLM STYINILMVYAFNNWHDVSWGTKGSDVAESLPSATITRGKVPAVLEIVQGQDDLDAKF EQVVRRALTPSKPYDGGSQRKEAEDSYKSFRTGIVYSWLLSNIALIIAITSDVFIDYG VGEALTTRTPYYFRVLLYATAILSLVRFFGFLWFLGSTVLTWLVSKR CC84DRAFT_1090139 RTTPKPHALHSRTSAMEGLVQKYSQGLEKLSTPVKEDIGPRNVA LVGSTGYLGPHLLASILKLPSIASVYWLNSGSTVEKTLKSTGYQEIECSRSIQFFVAN LASAKLGLSDGDFSEVSVKVDTIMHNSWHPSFSLSLSFFEKPFLLGLRKIVDWARRRP TPPRFVFISSIAGVGNWSKVVPSQPHIPESRIEDPDVAMHMGYGESKRAGQHMLQIAH DVCGIPISVVRIGQICGPSTQSGGKWPTQGWLLAIDTTSKALGALPTRVAPVNWIPAD ALATQITCVVTHASTSVEYHVFNVVYPDVASWELFLNVLRNRFSVDAGRISLPEWLNR LEERANLNLEEQKKYVALRFSDFLRSMGDWQRRYDK CC84DRAFT_655900 MVNFQKLLTLSLIPTVIFTILSFISIVLTAHYWILTDYFVGRWL KRKSQFPEKNKFQWDDVIVDYTETSTNAIIAAGCLCLAAGVNCIIAYFKLKPSTMDLD YHSPLRRFWVGSAIGMAVVGLCSALAALITTFTDKGDDEFGCTTTTGKTKDGGVPFTN MMCSREIATCKFMGPVYDKAGKIPNVERWAVTIACNEATVVKWLQLVLIFNAVLVIAM FAAQAGVRRKTRSPASKGL CC84DRAFT_1175218 MPLPAYPLSLAESRFSFLDSSWSETGRPLRSDWQSGIRLMRRLS AWGPKRGNEPSTRRSASPTVAQTKRLVNSRDLCEWTSRFTGCAGVADRCTASNWLGCH IASNSTGGPAYGPLQSPRMSSSHPLCDIEQVASRKEHSSSLRTFAGPVLRRERPISPV PMWIGIFVRLL CC84DRAFT_1143912 MFAVLKLAFISVAALATVASADAILDNLAREVSRVESIREIKDV QRSFAHLAQFGRFHDAATLFTTDATFIWGNASVTGRSAIENFLNAHTGEMNGRKSSSL NTLVIENPVVSLSVNGQSAKARWNGLRFAGDSKGGSWIEGGIYENQYVREDTGWRIAS LHYYALYEGTYEDGWKNVGGRGIPVIPYHFTPEGSGLPIPTPEGDAPETNATLRDLEV RIESLNDEDEVRNLMHAHGYYIDRRMWTDVIDLHTTKTKVTITGQGTYNGLDGVRNAL ERMGPEGLTRGVNNDHPIFDMLVEVSPDGQRAIARGIEIGMRGDTNNRTASWEFNVFR NNFVKETGVWKVKAVETTPLIVADYYKGWSNGVINTPTGYLPPWVNVGSFREASFPPS NGTSNATVVDLERKLRRSAAYDGTENQSHAYGHVIDDIDCARMGALFASRGHKSSPFA GFFITPQRITDACFASYGTNRSTIRSSISFHWRPQPVILVSHDGRSATLRARLLQPST SVSKAGSFNSAMYHDQVVLENGRWRLWSVTIDEFYWQSSSWEAGWANPTPRNASQPDP EPAGWTKKFPPDVTIAEVGEREAGFRGGAGKFVEWPEIQRMWFGYRNLVSGRVPSGER LGFWEGCVPCKVRPDWALEANGWEEPEAGPVGNRTLRMGR CC84DRAFT_1242937 MDKDLEKNHDHHSLHPSQGPRFYGRSPVSRWASLLGRFSFFSSH PPQRKSLQKPPSSIRSYQQASEPPGIQKLIYVAFGFGCALAVDVAILSDVGGGMFNAA FTAATCLVGLIRWDRAPYSITAQLFTSVLPSLVIWALLPGPVPFNAALDPTVSIACGL FLEMFLTAQLVLTILMLPSRGAKPLYIGKALFTAEMASVLSTGSFLNSTGSCGPTSDR LSDLRLDLLAGTWLGCCARQWSSA CC84DRAFT_1163574 MSNTPSGDDEAASTPEPVIATPPIDAPVFDELLTSNQAALLNLI DKLRNHGVSDIIDFPQIIVCGDQSSGKSSVLEAISRVSFPKDSAVCTLFPTELALRRG PTRKVKVQLKASPKRTEEEVKDFKQWNYETKNIDEFPNLVNQAKAGLYAVTGVDPSTN SFFADTLHVEVTDPHWPLLTVVDLPGIISAANPEQKEEDVDIPWQLCSQYMANKKSII LAVIGADTQTNVTGIWKLIKEHDPDRKRTICVFTKPDLAQYAENTIPKFVEFATNKTQ GWHYELGWHVVRNAGPRDYYSTLNDRDAMEKKFFAKQSWAKRLNKDQLGVNNLRDRLS KLLEKHIREEMPKLMDEIKEGLAEAKLKRARLGDARPDTKTQRDYLTQLSSKFQRIVE YASGGQYENEAGFFPADPTIGDAHFLRASIRNLNQQFYSNMRFAGHSVLIVEPNPSDT SPDTPPRCWPSFEAVDIYEEIPSTESEPEIVTREAYLKEIRKESTARMGIEIMGSVNP NLPSIIFKKQAKPWRRLAKDHLQNVCKCIWSHFDLVADHIASKETAYTLKHHLLYDYL ESRETNVEQKLEELLKPYEKGHPIAEDPSYLLNAMRLANDRARQATQTTLKNYQQGNK NMSFHPSPALNIPEVVNALHADKAVPAGELLFGNTLTMVQAYYDGAIRVFMDNIVVLA VEGCLLDGLTDVFNPTEVAQMDGKLIKKLAEEDKETQKQRKRLDKKIEDLEDAQRICR RGMSST CC84DRAFT_1242940 MLLPTLLALLSILPAAFAAWGYSDDGGNFVIDTGASLVVKVSKS NGDMTSIVYNGIQYNGQSGKNSHVESGLGASTVSITQYSSPAVIKVSIIYGTLKHWLV FRQNMPNVYIFVNKKDDSVTVQRYIVRIPDGVFTRQSTDTDWIPDGASTIESGDVNAD ASGNTYSKHYTGLKYGRTIDYDYVGYTKSGAGIFMIRCNREKASGGPFFRSLQRRGGG GVDLYDIYWYSMGHTDPMRYGLHGYSTLAFTTGGAPASSLFAKNTDTTWVNNLGIDGW VPTSGRGSVAAVGIKNMKAGFQYVAGLSNTNAQYWSVSDSSSGYFKIKDVLPGTYTLT IYKGELEVVTQSVTVTAGNALALNSITPSDPQDTTAIWRIGDWDGTPKGFLNFEDTPM KPTYMHPSDSRLKSWDPSNFIVGTTSINGFPGYLWQDINNDHLIYFRLNAAQIASSAT LRIGMTEGFIGGRPMISINSWTPSLTPAQNQGGTRSLTVGTYRGNNKMLTWTVPASAW LTNPSDWQILKLSVITGSTGSGFLSGGVSIDAIDLI CC84DRAFT_1242942 MTSKLLLIFAALYSKLVAGVDFYVATDGSDSNAGTSAGAAFQTL PKAQQAVRQVTKGMTENITVHVGPGIYTLSTPLKFSADDSGQGGFTVAWVGQNALISG GRKVTGWTAGSNGVYSASVPSGVKSRNLYINGQASNYARRKINRKDFSYTSTSMKWTS GSYDWLTSTAGIADAEVRFINSFADRYAPIKAAANRELVMEQNTWFNQNWGYDTISKP NADFGVWVQNALALLTEGGQFYLDSGAGKVYYKPLSGEKIESVDAYLGILETLVVLGG SYDNPVHDISFEGLSFAHTTWLQPNSIGYIDQQTGGNICENKTYDTSNFESTRPNWCQ MPSAIQISAAKNIFFSGGNYTQLGAGGIGIGNDANAHITGVGLGATNVAVRDGYFSQV MGNSITAGGIRADAHHPSDNRMINSRLEISGNIFRNVSSLFSSTVPILATYIQNSLIS HNDIYIAPYSGICIGYGWGSNDAGGSSEYVNRGLYKYQPQYTTPTVMQNNRIEGNLIH AYGLSHTDLGAIYTLSKSPSTYITQNYAFDSNVGFGVYTDEGSNSYIIQNNILLSSGS WYARNGVNTANNTITGNFGKSGPSMSGNTIVSDISKVSADAKKAADRAGVLPERRGNR PVSNPK CC84DRAFT_1088221 MFSKTSSTLSIPVSLLAKRANSAGCGKAPATTSGTKSITVNGKN RQYIIRVPSGYDKGKPYKLIFAFHWVGGTMNDVAGGGSDGALWAYYGMQKKSAETAIL VAPQGLNNGWGNSGGEDLAFVDAMRKAIEADLCVDTTQRFATGFSYGGSMSFSIACSR AKDFRAVAVISGGQLSGCDGGNDPIAYLGIHGISDGTLPISGGRNLRDRFVKNNGCTN MNPKEPSAGSKTHVKTSYSGCKAGYPVTWLAFDGGHAPAPVDGGSDSGARSYTPDEIW GFFNQFS CC84DRAFT_1089257 MVLTDYTYVFAFGTMFALLEAYNNDDVANAWATSVSSRSVTYRQ AMILCLIFEMTGALAVGARTASTIKNGIIPNSAFGDNAGVQLLAFACASAGASIWVMW CTRNSAHVSSTYSLVSSIAGVGVAAVGANKVQWGWSGGSGLGAIFAGLCMAPFISGCF GAIIFLLIKYTVHKRADPVKWAVWTSPFFFLIAGTVCTLSIVYKGSPNLGLDKKAPWY IASVTLGVGFGLFVLSGLFFLPYVHAKVIKKDYTLKFWDALQGPLLFKRPAPADAAQA KVPNYNVIQHGGEEEEDNGELTAPNQNNNTTVNHADVPEKFSKHADADAIDAESDNSS PHPNHGEKALARVDLGENAQYQDANAQYKALLESARQKHHAELRKKKGPLGWAMRTLH ANPMGAGSIYEIHNLKALCLRFPAYVVVALTYGLHYDIHKAQVGVLGTPEGRRMDRVY SHAPKYANETEFLYSFVQVITACTASFAHGANDVGNAVGVWAGMYAAWSTGNTAKSKE EVPLWQIAVVALTICIGFITYGYNIMKVMGNKLTYHSPSRGSSMELGASITILIFSQY KLPVSTSMCITGATVGVGLCNGTFKAVNWQRVGLLFFSWVMTIPIAGLIGGGLMGLAL NTPSW CC84DRAFT_1242949 MDPNEFGTSTIPLQHAPYGPITEETLKDKNTGKVAVVTGAAQGI GAAIAESLAKSGAAVAILDLSVDRQKATQQACESHGAKVKAYACDVADQEAVVKTFDG IEKDLGPIDILVNNAGILVQRPLIMSDFDSFWKQIEVNFKGPLLTIHTLLPRMRDRGH GCIINIASRSATVDVPMTLGYVTSKAALTRATHTLQREMALDGLDPAIHLYALHPGGV LTGMGASGAPKDVQEKYGNPKDEEFFKSLFKDPPILCGQTCAWLATGEGKDLRGLYID CRQDVTRLLEKGREALLKERRNVLTVNFLDGYENEP CC84DRAFT_1242953 MARKYLGGSGERLTIWISIAASTVLIFYGYDQGVFGNVIINEHF LTTFGHPSANMQGVMTSIYNIGCFIGAMSTIWTGDILGRPRQIILGSTVIGIGAIIQT ASYGVPQMMVGRIVAGLGTGMNTATAGVWQAETSKMSSRGKLVIIQMANCITGFSISN WLTLAFSFAPGDVAWRFPLAFQLFFTFCIYALCPFLPDSPRLLIRKGKPDEALEVLAA LEGHGATPESASVRTQYNIIKDILDREHMNTYTWWQLLSGKGPSGVLRRMILGAWMQA MNQISGINVTSYYMSYIFINALGISELLSRILAAAGSVDYLVFACLAFFVIERYGRRK VMMVSAAACSTCWIVIAIALGLSANGGDSYKLGIVAVSFFFVFFASFGMGVLGVPWLY PTEINALEMRTKGASLAMSTNWIMNYAVVQVTLPGIQNIGWKFWIIWAVICFSFIPIT YFFYPETANRTLEDIDRFFETNPGLFVHRNKLAIQLHRPVEFIEADERIATAQAEEEK NLGEKTDFVEIKEAV CC84DRAFT_1175228 MEICQGCHTSTVFDQAYLVLSNCVSVRINGRTRETCEYWECKFQ AEFLHVTPKETAFVDLSRRSCRVIDLIQKSHFSFESSSADSYSFALAVIFCASSCGSW FLLLSSFSGPHHPLFLSSILVLIYIRFFLLALIHFDPNRCGARLPPEALLSAGGPLSY DVHHDVHVPAGAYPRIPCLCGPGFWSYRDEIWRLDLGAIWNNHPA CC84DRAFT_1088700 MALLSAEHLAGPGYIILNILRVINIITLSSVVASSVVMLVKTFI VSKFFFFDATSHVVTALAGMFLVVSECSLFRGYFARNWPLLSPSHGFVTLGCSMTVLG LNMLGNMNKEATSQKSLGLPFWRLLLASGILAIVIGFFNVVASFVFRDKARNITARRV RSKGAITLTEEPPMDWETESKLKAFTISTHHTGTTARTGSPAMRMGSPPRIGTPPVDM GSPQPNASSPEPNRFSQFDFNPLRAFRTARQSVLPSYHSGSPSKPAFTSPGHHRRSSS LYSRSTSGQTKRSFWLKRSNRDSEVPHVPEISAPLNVNPQFAHLVRPNLAHHPSVRRP EADFDKI CC84DRAFT_1088670 MPPPRLLSPLLRARLQPSYQCLRRTPAVRHASTEPTPPPSALPW KTPQSIEAWPPAYMIPPPKDGEILLERKFNRELPPVPPLIHPSVLRTLPVFIISMTIA TLVFFNYQKTESSVVTSTLYALRTNPAVRDMLGDEIYFASKYPWIRGEINQVHGRIDI RFWVKGTKREGEVRLRCKRRGKDGLYITKEWSLTMADGERMELYDPQGNAIDPFAGMD PADQ CC84DRAFT_1089917 MSAKASGSDARANGDAKHRQHHDGSSGRAYTVEQKAAVIRIKRC APTAFYEILGLEEVKTTCSESEIKKAYRKLSLLTHPDKNGYDGADEAFKMVSRAFQVL SDADKKAKYDKFGGDPDARFQPPPSGGSGFGNFARSQGGGRSGPMFEEEISPEEMFRQ FFGGGGPFGGPFGGGGGIFDTGPGFVFNLGGGGPGIRVHQFGGGQPRRRPNTARGAGT EPAPSLGSTLSSLLPLLFLFILPLLSSIFGGDSTPKGPSVVFDGPRGAQTHPLTSYNL QVPYWVNKRDIQGLSDKELKNVHKHAEQKFIQITNAKCDTEKYKRSQAEQDAFGWFGP DKDKLKKARSMPMPNCKKMREMGFQVQY CC84DRAFT_1117722 MTDKDVKQGTIRSLRNWGENSVPPTLLATLITAQHFRPFQSLPM MFPPVLLFSSYLNLSGYKKDSAGITAAWSGLYALLAMRRKHHLKQKFGARGIVRGASL GLCAVNVAGCGLAYVFGKREEDES CC84DRAFT_1117724 MADETPPNDTPMPDAMSDADKIRAKRLAKLGGPAPASASSNPTS TPPTAPASSSSTPPPVQKQPPPAAQPPTASNPFAQLGMKAEEKPTNVPKINIRPKPAE PPIARSVTPKGQEVSLDAWTDRTIGQTFRITLDPERTKDVHGHRLFFVSGVRADLEES DSPIQFNLELLDSAIIESAQSASEGNALRYLLGCWKRICRLLRGMHNREDPKYKIAQE CRRLCFNYCIFAATMPDVMFNEEPPTVNPLAEHLLVDPENDGGICHDFLNEAVSRFED DDSVKDLLVGAIEELSRRLSKMTMNDDYRPYMLTMRNFVRYNPLLVAMAQSDFFLPAE IEAPHIERISLLGPFFSISPLQGDVALNYFSSPTTRDKGYINNSQRSLRLALQTHQDE LFDIANCFIKTKESREKILDWFALILNKNHKRRAIRADPKLTASDAFMVNITVILDRL CEPFMDATFSKVDRIDINYLRRSPRVDIKEETKINADQQESDAFYENKVDGTNNFITE VFFLTVASHHYGLEAANMKLSELQKDLKYLEKQLAQMETERHKYISNAMQLSIFEAHL KRMKDRIEKGHCAVFATQGVLGDDTLQARSMQFMRYVIVWILRMVSPGTKYPQGTVQL PLPQEQPEYFKCLPEYFIENIGDNFKYITHHMPHIITSTQSEELMIYCITFLRNSEYI RNPGLKSQLVRILFNGIFQVPGRSKGVLGDALFAHKFATKHLLHALMKFFSECERAYD KLSIRYEIFQVIKLIWPNPAYRENLATEARVNLDFFVQFVNLLLNDVTFVLDESFTAF TQIHDLSAELKDPQPEGDATTRQEKEDKLAAAKDKAKNYMMITNETVAMLKLFTETLG DSFTKKEVVVRLAHMLDYNLALLVGPNKSKLKVENMQQYGWDPKAMLGDIADVYLNLQ EKKTFIDAVATDERSYRAEYWETAETILKRFALKSPEQLTEWGQLADTIKKTKNEIDA EDADLGEIPDEYTDPLMATLMDDPVILPRSRQTVDRTTVRGMLLSDPIDPFNRTPLTI EEVIPNDALREEIQAWKAARLAEVRAQRAASVSGADASAMDESQ CC84DRAFT_1204907 MHWYIHVHHAGNKGTQYTHKYTNCLSMLPQRLASKVFVEMFTRN YSDNAMIDAAYNEALRKRTNPGTGRQRTSVKRQKVSEPVNDDNDQDEAESGSQRDEHG GQGGEGEDNVEDQEETAAGRAWKDQAKSEHLEAKALDAKTAETHRERVRKLGPFFALP AEIRNLICEHCLIADRDLAISTDGGGFELNEKTHYLSTWASRPKSGHFNASLARMCKQ INVKAGWWLWGKNTHAFIDNSTACSFLVRYASKGQEIRCVRINLEIKYKRREQTGVFG YLAFLKKLERLEIFVDFWSRGRRTGTLLTGDRPLSSDADLDGNWFYHVVHPLLDAVAL RNENDHQAVVNVLIFQDYTSQFKRKDKMLQSIAALKDVIITELYIALSDLAGRS CC84DRAFT_656127 MANHNHPRSSKATPAPRRRRLLPRDVLCIAEHAFASNRRESRLP RSGSLTLRVRRLAVSLSRCRPPSPSERAVDKQPSWFQSQPAHSPPPKTGEASSDNAAT HDGLAVVPRPQPDAHIASLTSAAMVADCPKHPPFAPIACAVQMRGLGEGGLCPCARQS SSVVLLCSTAIPALGTPHRCLDCETHDRSSLPTCASARPNLTLIALWHDISACQPKRV SGVAARLARSAVAYLLVHSSSTAISTIYNISSRASSSWSS CC84DRAFT_656146 MAEIRRKLVIVGDGACGKTCLLIVFSKGTFPEVYVPTVFENYVA DVEVDGKHVELALWDTAGQEDYDRLRPLSYPDSHVILICFAIDSPDSLDNVQEKWISE VLHFCQGLPIILVGCKKDLRFDQKTIEELHKTSQKPVTPEQAEDVRKKIGALKYLECS AKTNEGVREVFEHATRAALTTRKENKKKKCLIL CC84DRAFT_1087845 MAAVTIGSSNEEYHLLLDSAASNTWVMGQECTAEACGKHNTFGE GDSGSLKTTTKSFSITYGTGSVSGTTASDTLHIASLSTALTFGLATNVSSEFNAYPMD GILGLGRGTAAELDDSQTLIEALAAADLIPAKLYGIHLSRAADGTNDGELNLGEVNSE RFDGDLDWLDGVPNDTGFWEISVGDAGVGTSLAGLKGKSAIIDSGTSYVFMPEADALA VHKLIDGYTQSGETFSVPCSTTTNLSIKFGDKTYAISSKDWVGGTTSEGMCRSNVFGR QTFGDDQWLLGDVFLKNVYAAFDLDSGKVGFGTKKDGGSLSSTSTSASTSASSSKTAS GSYSTGASSSGGEETTSAAPILPPGASATPTPDPSSSPSSSSVDTSAASNDHTGGSST LAASSLLCIAVIIFTMNVL CC84DRAFT_1117732 MSSTAIPKVVPAHLSFLAIYNPDLGRSDETFHNQIVFYHSKAAK ARSRLHGRDARAEQELREHENEKLRQVGLAQGMVGFARSFSNGAAVDSVETQKSRIVL HELEEGWWILASIDLTQLPAHHAGTGNGDPASQPSVEYSSREVSPPALLIQQLIRAHN IFLLHHGITLDAMLDKHDRTKFCNILEKYWSRFASTWDVLLHGSPAVGIYNGMKLAAG GELGMGVGEEEWGSGERLVLEDFVQKTEGLVDFMVSRFGEPSPLQDPKKRPDSKQSVE ETEPWIGSGRMPTAADGIVFSGVGAVAKRSLRDLSHWIETIYSNGDHAYGVGDNPTTD RRKRRRRIIPSTTSSSEPAARTARLSNRPSGTLSKGEPVPTPTPGIPPDLIKNVETSL DKASSAVDASGGQTVQNQEPLLASLGDTETWMKYLTLGYGTAWGSKKSATADNAPTQE QAVDSATPEAPMRFVEPEPDVDHAAEKLKAQIQLENTGYFAIGLKGDLDDGVADDSDG EGDWNKRTLLRNVHVELTDENIPATPGTGDDDTPQFEKELSLKNADTVRLRRLRPVIY VHRPFIYTFLFRHRTESLTIASFYRNLHTYFSPLHRPLTTSTCPSKVFARISGSSHPY TTTSSQQGVEPNAQPIYDLVYDPRTLTVHSSIPNIPDPGTLIAEGLSSDATLAGWSRV EALNVHSQILATVTSTRRSLAEIERTCKTSRSWWVVWMRLPPSQAMPSQSLKADAGQE QEPEETQTEFLTDQLREAILIRRARDATAPSTKSAGSRFASSMWKPAVDKTGGAAAGW GPRGLAEGIGIDARRYVEGLLSLNR CC84DRAFT_1143940 MLWRLPAPVRSFLAPGYRRFISTAATTAPPLRILFCGSDDFSIA SLRALSQAQREVPKLIESIDVVHRPAKPTGRGLKSLRDVPIKLAAAEELCVTHTIDTF TGWTPPSRVDLIIAVSFGLFVPPRILSLAKYGGLNVHPSLLPDLKGPAPIQHALLKRR QATGVSVQTLHPTQFDGGTILAQTPSPGISIPDWVDADGLTRRLGHEGANMLVNVLLS RAFVPPLKDVGWYGDSGGAIDHAGKILKGDQQVDFAKSTLDDVFAIKRAIGEPWCWLP NGDRLILNEFSEKKVLLGEQGQEHPPGRMWLDTSRAPKHNVPLARMACGRILQIDKSS IGGRPVGAGNQRLVSMLRQQRTDTVAMDEGTQS CC84DRAFT_656364 MSSRALRRAQRELEEKKQLEQLEQENASEEESEDEDEPALAPKA AAKPSLFAMLGDAGDEDEEEEDVEEQEKPSDPIVEEEVQVTPTVKPSKKAKKKKKKGK GKSSTPASKKTPATMETVPGLDEIDQALLALNLSSGAKSSSDDQPTSAASEEMQQLFS ALSIDTTHLHAANEMRKLFGRAAVQGNDDDEPRARNQRQRGGIAAAAAGRNQPGGRNL VSLGLRRNIFIQGKEEWPRATLGGLGMEVVEKRDDGTVEYRFVHNHSYQAVQQQFETC VASMDPERMVQLMHFNPYHISTLLQVSEIAKQQRDNATSGELLERALFAFGRAVHSTF AHNLSQGKARLDFRRPENREFWLAVWRYIATLGVRATWRTAFEWAKLLISLSPEDDPY CMRLTIDQLALRGREPQALVDLVEADHLERAWKIPPNLAFSVALAHDRLKNPTKARTA LRSAIKEYPWIASRICKELDISPIPKSVWGKEPNGNHQELLTQLYVPQAKDLWNTTEG TTLLVEVCYSIDEPLGAGEDPYWLAPINETDLARHVILSDSPPLLALLDPAVKNKYTS TSDPLPPADDIRSYSASASTTVPMAALGRTDALSELESLRQYFQNVINGNGDMTEQDL LDSLQRAGTTYAEFRRNTERVQAIRQRLQDLGVQVIFEGQGQGDEGGSDTDE CC84DRAFT_1089608 MQLRGTVGAVVAAGVASSAYYWYRGHQPSGVTTTADQIRSITTD AAPETTRRALVVDQVGSLYTGTISGDAPLSKDTDDLGRKVIEMLTPEQTTAKLRKTEE SWFVGRGQGVVRYDVVQIPSNDPIEDDHAEKIIEVPQNLAATDNGSSSTDWMFWGVFD GHSGWVTSAKLRQTLISFVARELNTTYTSALQDPELRFPTPEAIDKAIKTGFVRLDDE IVHESVKKLKKAQSKVAAAELLAPALSGSCALLSFYDSRSKLLRVACTGDSRAVLGRR GSNGKWTATPLSEDQTGGTVSEAERLRAEHPGEPNVVRNGRILGGLEPSRAFGDASYK WSLQTTQELKKSYFARSANSLLKTPPYVTAEPIITTTKVEPEKGDFVVMATDGLWEML TNEEVVGLVGQWLDAQGQSNGSQNSQTQSWLKSWTGGQKGLPVEDKSSSKQEGQRAPI RQQQWGTKTSMNERFVVEDKNAATHLVRNALGGKDQDQLSALLTLPSPFSRRYRDDLT VEVIFFGDSPANGNVSLNKEASAPGPETKAKL CC84DRAFT_1143950 MSVFYMMPSSEYQPVSSRATQIHTPSPAMHFLIALLLVHYALAH PPPSPRQATAPATYTSNPSIGGGANFVAESAHFRVYGTGVSASDAAKSLNIMEAAHQC FVVEQGWRTPGLSTKTGGVGKEVGPWYKLNLYGVKESEIPGAAAQTWTDSASGLEFLK VVPKYLAEASVVVHEFGHAMHYSEENWVDQTRTGAWWETIANFIADTYIATPLCASAK TAAGLPTTGASLIDLKKVIGDSFQVLVDGSSGTGNYYQAWPFLAYVTNNPDGYPGLGK LVLLDMIRKYQLRSNETPLHTLARLLAAAGGSVSVQKVVARYWAHMAYVDIGHAAAAT AFASQRNGLNYANLDANGEGKYTVKNARAPRYMGASIVPLKASAGTVKVAISASASTY AATLAVKGSGGVRYVDVPNGNASVTLASGEEITLVVANTPTLVLYDPFSIPAELNKGL TFSVQITGATV CC84DRAFT_1216386 MARGNQREKAREKNLKEQAGKKKGNNMSGTEFAKAKEDTAAIMR AKQAAAEAKKAAEKK CC84DRAFT_1258530 MKYISAFPLVATLAAAQMQVMSLAPAPSGPTTHSVTVGGMKAVS TGMAPVLGFSPESITAAVGDTVEFVFMQKNHTATQSTFAEPCKAMEGGKDSGFMPNPE GKAGVTWNITVDSTDAQWFYCKQATHCGVGMVFAINAATTGDKTMSVFKNNAINKNST KSANLGLAPIQSVNPAAAAAPSTVTVAAASGTGAAGSTATVVAGQGTDTAGNACGCQC LCGVNSFPQAAAVNNFGGFAGMLP CC84DRAFT_1242967 MAPGISLDDRSAWDDSALINSWDDAVAEYQHLQVRQAARGFVDR GRAEESAKVKRPPYSQTSTNQHSDNGDVLDETGDGADPRTDADATDSALPQVQANGVG QGGADAAHVKDGAAPVAQGSAQASGTELLHDGPISASMPQALLGSVQDENMKNLMMSW YYAGYYTGLVAGQQQRAPDSAAQQTQK CC84DRAFT_1143957 MAASDIQSKKRKGATDAQPKAKKARKSDDIAVEAPTAVEAPKPK KSKKSKAIEPAETVTVEETVVVTEKPAKKAKKTKEPAPAVEAAPESDAKPAKKSKKGK KAAEVEVAVTEEDIQDALAGAEELKPKKEKKSKKSKKADAVEEPAAEPVVEEVAVAVE EKKPKKGKKSKKEEAPVVDAEETVAAEGNDAEDEEALDDQTAALLAGFESDRDESDLE KEDEEFDEDALVEAGQEISKKKRRELDQARKDAAPAVIYLGRVPHGFFEPQMKKYFSQ FGRVLRLRLSRNKKTGASKHFAFIEFANGEVADIVAKTMHNYLMFGHILQCRVVPSEQ VHPELFKGANERFKIDPRNQKERTALARGATRDVWEKRVQRENKRRTGKAKGLLEEFG YEFNAPPVKAVDAVPKKAAAVEDAPAQAQLTAVEADVEPKAEAVVATEEVAEKKPKKS SKDKKRKSDVVPEATEEAVVSAPKSKKAKKEVQPETEVIVEKKRKVSQNGTTTTTTKK TKKVKA CC84DRAFT_1143958 MSSSKSPADNPAIIPDPNQNGSIIRKAFLLEAIANLFTIPLISN TRFILSLLLLHPSDINPASILFARLFGGIIVGGLTSALLIGATNSWNGIEFRRTTYVL LGLGEAFLIPMLALEAAKGGRDAALSTTVAVGSIGLLLPPLMWRGYFLFVRPDFIMLW DRSFEPITIIHLIDCR CC84DRAFT_1186399 MDPLSVTASIIAVLQLSAKVLGYLNDVKDASKDRAKCEIEASNV HSLLTNLRFRLEVGSADEPWYTAVRALGVENGPLDQFKQALEELQTTMTDRGRLQQAG KALVWKFKKEEITSILVRIERLKGLVGIALQMDHLSKLSQAIKDDTKVLRTHMPVIHS RVDEIQQDQVDAKHSKIVGWISPTNYPAQQSDIIRRRQEGTGQWFLDAPEFTTWLSAP KGTLFCPGMPGAGKTMVAAIVIDRLLKSVQSSSVGVAYVYCNYKAQEEQDVYGMLAAI VKQLVQGRPSTAGPVERLHKQHADRGTKPSLEETLGALKEILSKYSAVYIVVDALDEC RANDGTRRQFLAKLRDLQAGQDVRLMATARFIPEVEDAFRDTAWLEVRASKEDVKRFV AGQIHRLPRCVQRDLALQATIQERLAEAVDGMYAF CC84DRAFT_1053163 LEDAYNEAIQRIDGQLDGDQALAKKVLSWITYARRPLTTAELCC ALAVERGESELDPDNVPDIEDLLSVCAGLVVIDKESAIVRLVHYTTQEYFKRISETWY SGAALHIALTCLTFLSFDLFKTGSCFFDEEFEERLEESKLLDYAAKYWGKHI CC84DRAFT_1143968 MADYEALKDQWSDIEDRDGIRLSWNTFPSSRMEASRLVVPIGAL YTPLKETASPLLQYEPIVCKAPCRAVLNPFCQVDMRAKVWQCPFCMQRNGLPAHYKDI SAEQIPPELHPSNTTIEYKLARPAPSPPIFLFVVDTCQEEDGLKALKDSIIMSLSLLP QYALVGLITFGTMTQVHELGYTECAKSYVFRGNKDYTSQQVQEMLGLGQIAPRANMQQ QPGRPPMPIGGPGARFLLPVAQCEFQLTNALEQLQKDPWPVANDKRALRCTGVALSVA AGLLETSFKNMGSRIMLFSGGPATEGPGMVVGPELREPIRSHHDIDRDNIKYYKKALK FYDTLAKRIAHNGHIVDIFAGCLDQVGLLEMKGLVNSTGGHMVLTDSFTSSMYKQSFA RVFNKDEDDNLLMGFNADFEVLTTKELKVTGLIGHAVSSNKKSSSVGETECGIGNTCL WKMCGINPESSYGVYFEIAGQGGPNQLAAGPQKGMMQFLTYYQHSAGNFHLRVTTVAR NLSGPSGDPAIAQSFDQEAAAVLMSRIAVFKAEVDDGPDVLRWVDRMLIRLCARFAEY RKDDPSSFRLEKNFTLYPQFMFHLRRSQFLQVFNNSPDETAFYRHVLNHEDVSNSLIM IQPTLDSYGFDHEGGQPVLLDSSSIQSETVLLLDTFFHILIFHGETMAEWRKAGYQEQ EGYENFAALLDAPKGDARDLIQDRFPLPRFIVCDAGGSQARFLLSKLNPSTTHTSQGQ YGGASAQTIFTDDVSLQTFMDHLMKLAVSGTS CC84DRAFT_1163591 MAAQDSPDACPVDHKTREAWLAQAKKANPNATAPPTPPHAAALA TPGCDSSSMEASQSAHPPKAMDSIHRLGTQREISTIPRALPTDDAPASARPANSEKDT GADKKTGNWIYPSEQMFFEAMKRKAYNPDETDMHTIVPIHNAVNERAWKEIKEWEKGR GSEACGGPKLASFSGLSSSLTPRARLNTLMGYKPPFDRHDWVVDRCGTKVEYVIDFYA GKDEGRAGKDLNFYLDVRPKLNSWEGVKLRAFKFIGL CC84DRAFT_1163592 MSDIHRASTTAPVNIAVIKYWGKRDPKLNLPTNSSLSVTLSQSD LRTHTTASCSASYSKEDSLLLNGAPQDVSGARTQACFRELRALRSQLEDSDASLPKLA ALPLKIVSENNFPTAAGLASSAAGFAALVRAIANLYKLPSSPTDLSRIARQGSGSACR SLFGGYVGWEQGSASDGSDSVAFQVAPASHWPSMRAVILVVSAAKKGVSSTTGMQTTV ATSTLFASRASETVPRRMQEMQNAIQNKDFETFGKVTMMDSNSFHATCLDTYPPIFYL NDVSRAAIKVVESINAAAGRIIAAYTFDAGPNAVVYYLEENEKEVAGLFKTLLGEKDG WKGERGEKIEGNFAAAEKVKEEAGVAVDLLKDGVSRIILTGVGEGPVETEESLIDEKG EAVSSS CC84DRAFT_1216396 MLAEQRLDKRIYLFGVSEPVSAGILKVLKGTSPPAIPRGLMSEA RQSSTMGSDWDAVEVLTGTDWNALEQKSAGEHAVELYGFVKKYDIQLLSRRARQWIMA AIDPGGAAGRGKCEAVFN CC84DRAFT_1088087 MSFQHSSAMESQPTTWRREDDPQYADDPEFRDFASKLGDDLFAL TSDVARLGSETAKLGTSRETPRVRERVRTAVEETSDKFKDIGERLKKLTTWPDVGPSQ RFTQSKLSREFKATLDEFQQLQRQAIEKEKASNSAARAALQDASSPTEERGGFEQEQQ QEQLRLANQDDVDFQEQMIIERESEIRSIEQSVGELNELFRDVAHMVHEQGAQLDIIE ENVEVTHDASRGAHTNLKQASNYQKSARSKACILLLILAIVLVIIILAVLL CC84DRAFT_1117777 MPPAIPVQAPIRPYRDFVTPVLHKRFTNAAVYTLGLCWVISVWQ GTWTHYLWSWFPIGPVGVRTLLLFVSALIIYILRIAQYHVGQRNTLAGQDTFWKYAFT ARTVMVVVSYLLSAFLFGEIYIWTQPESKKLGYTDQGKNYERLRLNERPMFLRFMFAV LALVQSGAHLWRDYDKIEFPAIAPKTEQEAAAPTPAARPHQVLIQKLSPLAQNAAIWS GAVSLVGALVYISGIRYAIWPSYYSWARNVLMISLSKSRPLPATLPPFAPLVGMFFAE GTLIFFMWLVINTTFDLYMSQPPLKNGKPITNDSKDPNGSLLKGLKAKKDTIKAVALW ELALITDSFPDRRKTLYLETTRKNGATLKQVVDICLSEVRLLSERLRLGLDPQYRPKG EAEKSDQAQSVSLVPQIAPALKDAPIKGTGLPPTTTREKFGDVAGDFAKSLSSPQNGV KTREYLMKGTTELSQHLQRGVEEVEARSSGFWSNFMASPLGYPFRQSLRRTANVVVAG APFSRLSILCNAVAALTNLTVSSILEDEYGRMQDEVAQIIRVFTEALKLLDQYMASLN IHWTDFETLARPEAERKKVAEAEQVREALRAGLEKILRSFGEYLSGLKMSRLEITEAK KVASNGPEMAVVR CC84DRAFT_1089240 MHLSHLLLYPVIASAANILLSNDDGWAELNIRTFYDALTSSGNS VVISAPADNKSGTGSSDSTPQTVGSSGCEFSSCPGRSPATGYNASNTRFNYVNSYPVT SVKYGLSTLAPKFFNGNPALVVAGPNVGSNLGSTTLVSGTVGAASYAASTGGVPAIAF SGSSGSQIAWTTSPVPVYSSLYATLATTLTNALLATSTPYLPASVYLNVNFPSASGSC TSASNFKFVLSRVNAASGSTAADVSTCGSTRLPTESKVVGTSGCYVSVSVGNAATKGD STSANQAVVLGKLKGILSCLP CC84DRAFT_1204924 MDFLKSAVASAISKGPAFGYTFGDRVDIDESIWTLYNGTKREDG SKCSIFSFDVSANKSRLPLARNALRKLRTLRHPGVVKVLDTVETDAYIYIATERLSPL SWHVKRKSLTEETIKWGLHNVAKTLKFVNTEATSIHGCIRPASIFFSESGEWKLGGFD ALSSVKEDDSVLPAYGSLIPDAHRYMAPEVSKGGWEVIKQNPTHAVDSYNFGTLIFEV FNGSYQGSDQLGQMKSIPPTMQQAYKRLLNPNPKSRMSVGQFLDQGKRIGGFFQTPLI QVTEDIESIGLKSEDERNEVLGKLDAVADDFPADFFKMKVLPELLKSVEFGGGGAKVF GTVMQIGAKLSDEEYETQITPVVVRLFANPDRAIRVCLLNNLPLMIDHLPQKIVNNQI FPQLVTGFTDVAPVVREETVKSVLVIVPKLSDRVVNGELLRHLAKTANDEQAGIRTNT TICLGKIARNLGANNRAKVLSAAFARSLRDPFIHARNAALMALSATADLFSEDDCASK LLPVMCPSLVDKEKMIRDQAQKTVDIYIARIKKYTATMPDTVLPSTSIASASGGNAAP RMGTPANDSTWTGWAISSFTNKLTAASGQMQAGAASNGSSDQRSQSVPPPAVPTASKP SLAPSSRPGMTLTKSAAEIPTISSPGPVDAFHDAEEDFDGDWGAFGDDDAFGGGSNSS TGKKQDEDDPWGTPNVSSAPATTNFDDKGEPDFAGWLAAQNQAKNPVTKTLPKGLGKA GTATKKPVVARTPGAPNVKRVVVAQPKKEIKKVEPQKNEDEDEGWGDAW CC84DRAFT_1163597 MRRYFKSKFHVFDAAIIVVSFVFEISLQGVEEEVASLIVILRLL RVVKIVDEISVGAEAQMSDLEQRLELLEKENCNLREELRRRRPAA CC84DRAFT_1163598 MSDRDHDLDREQDDRRYDDDRLEDRIDDRIDDRIDDRTDDRAPE GEQNDEEIDAMKRRVLEMEEEAAKLRQMQQSSDMERHDLRENREDVDARSVFVGNVDY GASPEEIQAHFQECGSINRVTILLDKFTGHPKGYAYVEFTEPNLVEQALVLNDSMFRG RNLKVVPKRTNLPGMSRGRGGGRGGGGGRGGGGYGGRGSPYGGRGGGGGGYGAPRGGG YRGGYRPRAQGYRPY CC84DRAFT_1186408 MSLCMNRLSEERKQWRRDHPFGFFAKPARNSAGMMDLKKWEVGV PGKEKTIWEGGLFKLEVTFPEEYPTKPPKCKFTPPLFHPNVYPSGTVCLSILNEEEAW KPAITIKDILLGIQSLLDEPNPESPAQADAFNLFKKDKVAYEKKIKAIVKENPAP CC84DRAFT_1163600 MEALLGQEGFPKEFQDLMKEMGQAVGEDPLAALGGASGTAAPGV SSGKAEGEAATKGEQSFQESIRKTMDRMRESGDAAGAAAAASSDDDILAQMLKEMESG NFGGGEGNEEDFSKILQGMMEQLTNKEIMYEPMKELNDKFPAWMEANKDGKIDSADWK RYEEQARLVKEIVDKFESSGYSDESAQDRAYIVERMTKMQDAGTPPPDLVGDMNAAQE AMADLDQGCPTQ CC84DRAFT_1117795 MLATSTFYPQHHYMPPRPSPLSERSANAAPRPFHFSMPDPAQSA QKLTAPHRSYKPNPIIQTRDTATKRRRDMFFKRVQKGRDDKKWDSRGEQIQRLDHISE QKRWEAEKARQAPQIHDDRIEEEMEELASLPAQEMDEADYVLAQEEREMQELIASMEE QDASQHYGSDDEDYDLLFIEYTDVSALQQHHQQRQ CC84DRAFT_1163602 MYHLHPLTARRERNPKASLNPDQILSPDHLEKLSRRQKYATALA IASSVAHLQSTPWLRAELSRDDMLFFPNAEDGNLNYDEPLIQQGFSLSDDAYADVVGR SLCSGRRLEDEALRKKQSIGDDAATKQVFDLMVDLQW CC84DRAFT_1204929 MSGLEVAGVFLGAFPLLISSIEHWQNVAKVGGYFCGFPQKQLMD DPDGDSWKNSDLQGRLKLRLQESFKTYMEIVAQMQETAEELKNELCFQPKVIQGKLTP SYGTNERSPSPGKLDRTVVTKATFDYQMFRVKFSLRDTIREGLFAQLQECNERLEKLL VTSSQIPDKQNSQPASFKQISTIETALSVAHK CC84DRAFT_657044 MSFLEPMWKSSSFGTFRVTADSRTLSRERATVVYDLESSSGSES IFVLSIECVICLGLIVEAFV CC84DRAFT_657054 MEELIKQAFLHVEIIGPHVHERHYDLVDPDGEIILPQVWKSIIQ SGWAVIMRMWPVSEPPPPPPPMELSQKTKKNRGSRAHQLRKRRS CC84DRAFT_1216406 MLTQAFVNLLPLAGIIATSNGAAISRDMGTINLVATYDVLQQWY NHSNGLWIPSTGWWNSANCLTVVAGVASVDNNMKEQIEDVISETFVKAPHYSPEMAKV MGMEDERYLIQTYYGDRWPYPPPAYLQHHGRPHRKTLKNFLNDYYDDEGWWALGWIAA YDLTHDYRYLRQARIIFEDMYSAFGKTNCSKNSGGVGGIWWDKNHTYVNAIANELFFS TAASLANRARRPYGGPTYLDIAKQQLSWFRGTGMIASNFTINDGLLQQTCENNGGTIW TYNQGVILGGLVELNKATRNRFYIDLAHNIATAAIATRAKQGILEDPCEPNCGADGSQ FKGIFVRNLLVLYKASPRDAYRDLFKRNAESIWENDRNEKNELSVSWTGPFIRPANAS TQSSAMDSLIAAVAVQGS CC84DRAFT_1163606 MPAARPRASFEPIPPDFDVHTFVETADNLQYADRISYEMIAKNG MEQFEKLVLLHVIIGGKPLVIDGFEQVLDPWPFTPSWLRGNMGDKAENARNLTSKSNI PLTIKHYLKNMSRLTDQFFDDPERYRDNTRQRVYLKDIDCPDVWRNKVKEHIPPGLFY LNESTGEIGGPGALNEPSGRQGRGIGRAGDLMSSLPSEMRAENLMCYIGHEGTYTPAH REMCASLGQNIMVHASTTIGEDGKPERPGSSLWFMTESKDRHLVSEYWQSTLGHDIEV ENHFAQLIAWKKAPFKTYVVEQRTGDFILIPPLAPHQVWNRGTCTMKVAWNRTTVETL ELAMNEALPASRIVCRDEQYKNKAIVYFTLLKYSNLIKIARAQSELVGEQEETIRRSL KIRHVQRDFKRLFDLYKNILLSEMFAPEAQHGREHPELLPFDGNVTCAYCRCNIFNRF LTCKSCKNFYSEEIEEPYDVCMDCYCMGRGCGCQSGYTWVEQWKWKDLMHRYEEWRSQ IVEIEGHITEKTPLPLQEERHYFSKKTLAQVCQEQLKIRPFVDIKNPHRENGESEEDE PQIDANGYPKPAKKKPKQWLSKYKSCHVCLHRHPKWKMAFCTNCDYAYCYGTLFRAHD TMPLAVMEDPAWKCPHCNRICNTGKCRSDPRQNSYEPKGTLLGHDTRMVADPRSVECL VDFSVSNLNWVREEDVAMENRRAAAERAKLANPEFDDRYVDLDHDPNRNGIAYSPVNE NDDIEGHLNGANDSGLARAMDDPFNESSVHPDPDPNAEIPRLGKRNRDDDEDVKTSRK KKKKKQKKSTDDTSQRLEPKSTSGKQYQKEVQRKLLDEARRKDQYVLVAARMQGKSKV VKLRFDPDLLEAIRNRPVLERPAPEQDRESTEEAENTTGNILQSDIMAVKKPAVTPAQ KEKAQKTFRVRVNDDETYSTRKRNPEAHLPGKSGRPGQRKSRFEEITIDSEEEDADFT TGYGALGTRRTSGRASSWLARKNEGEEDLPTELPEDFRDGLVNPNREKNIERNRIRNQ QMAERRKSMPSKPTAKVRPPGPGRPRKSTSALPDETGANEDKDGVDEDDDTGEALLLA QSTTTAFEAQRKAEEEKARAAAAEREAQENLRAKMGIADEFFGGSDGDFLTGFINNLA AEDEPLAHERAQPSPAATGPPLQSTNSIFSKPGMVSKKIKIVSKCSGSGAAKTTTTMT VTAPPSSFTAINKKPSKSINVSESESDSEDEIPAKAPAPKTPVGKPPGRPSLVPTRAT ISGRGRGRPRGRPRKSG CC84DRAFT_1071146 PPRVWPEYKPPTTGFLSYLPPSAVPYAELIRLDKPTGTYYLFFP CLFSTLIAATMAAPMAAPSAVAGTTALFFTGALVMRGAGCTINDLWDRNLDPHVERTR LRPIARKAISPEAAIVFTGGQLLTGLGVLLSLPFECFWYATPSLLLVATYPLAKRVTN YPQFVLGLTFSWGAMMGFPALGVDLLSNQAALTAAACLYASNIAWTVLYDMIYAHMDI KDDAKAGIKSIALKHENETKAVLSGLAVVQIGFLAATGVAAGMGPVFFAGACGSAAAT LGTMIWRVQLKDVKDCWWWFKNGAWFTGGGITLGLTGEYLAQYLGWYDDEEKNGEESR EQ CC84DRAFT_657241 MHLQNTLYVALSSASLATAAYTLQDSCIGSSFQNCFDFYSGADP TNGFVRYQNQTEALAQGLYQVSGNNVYLNVDSKNAAPNGRASLRLESKKMYNRGLFIL DVMHMPASTCGSWPSFWSFGPEKTWPANGEIDIIEGIHDSTRNAMSLHTSDGCTITGT GSTGTVKTKNCYINAAGQTSNAGCGVDDKSASSFGTPLNPKFGGIYAMQWTSTGIKIW FFPRDSIPYNMYGNNPDPSLWGTPSANFAGSGCDFNKHFINHKLVIDTTFCGDWGNAV WASNPVCSKKAATCNEYVANNPSAFAESYWRINYLKVFKE CC84DRAFT_1089620 MAATKSTPDIHPSAFPLAGLARDGWSTETEATATCFCGAVQLRV PLTAPGLVDTFICNCPDCHKITASMFASNFTVLDTHTSFIRGEANLTAFGQSDTIGRG HLMTNYFCRTCGTLMYRVGGGFPGMKIPRIGTVDDFRVMEGALRPRREQFTKYRVGWL EEVEDTVKSEGMGE CC84DRAFT_657298 MSTAAKNSGKKPAGKTQRSAIADVVAREYTIHMHKRVHGVSFKK RAPRAIKEIRAFAEKAMGTKDVRLDPQLNKKVWESGIKGVPFRLRVRISRKRNDEEGA KEKLYSYVQAVNVKDPKGLQTTVVEDA CC84DRAFT_1216412 MSANPPHTLEVQLRRLNLDPSHAATPARDIARPASIADGQNAPP MSESLPADDGMRHLRARIHEIRALKVGDVDKARMMHGLMTERYNYLRPTSPSSFVSHD RPLTPTSSQSFFSEVHYSSPVSVASDIDPDNPFNLRPGDTSPTYRPQPTHPSPDGAIE DEEAELFEEESSFGCEHYKRNVKVQCFECRRWYTCRHCHDAVEGHNLDRKNTQNMLCM ACGTPQKATEYCTQCGMQSACYYCDICKLWDNNSSKKIYHCPDCGICRRGEGLGKDYV HCKNCNVCISIAFATTHKCIERATDCDCPICGEYLFNSSTAVVSMPCGHYLHKDCYNQ YMETAYKCPTCKKSAVNMELQWQKLTQAIESQPMPEQFVNTRAIIQCNDCAAKSSVKY HWLGNKCNSCDSYNTNELRILNGPESEETANAILNADSDEYSGARSPISISSPASLPL RSPRYYFQPDEPEQTWLPGQLPSFPFQIPQFPGMPSGQLPSFPFQMPQFRGMPQFAGM PRMPEMPQMPQMPDPSELLDRVRRSFDYYLNPTGEIPNEAVPIIDLDEEPRETQATDG TTVQLPALPQYILERFNRSILPLRNYLNPAIDQIPTLDLSEDRDANGMQFWGEDGGLF DRFLSGGEERDDESSSDESEHEEDEGEAEEDDDDDNDIDLPGHR CC84DRAFT_1216413 MADLVFESDDTNKHASAMVAPLNIQKSPKRSRSTRLGHSRQSSS RGGQSTTSQSHMSPPLTPRSSRDTLAEQPSQPLFHNYLRAFYHFHPSSTVSSSTDESS ITVPINQGDVILVHSVHPNGWADGTLLASGARGWLPTNYCEPYDHPTIRTLLNALTNL WDLVRDGENGDLVVFMKQDYIRTLIAGVRFFLEKTDCLTRESPLIVAHVGLRRMRKGL LGDLSSLVKTAKKLQEVLQTNDSPGLVFGRLDELVLKAFKLVTRAVRFLDIWAQDAVS LSFDMGEGNNRPLTPPSDSADLAVQPAAASNQDDAGSAPANDDAASVSQASAIVTREP LDHEATQPRNLARLSVAFSIPSDSDALQSPLFPPQAQALGKRLSVTHRLSYTGKSQST RKHNLASERLNAAHDSFLGFIGSFIGLHLQSRSSEELALTTQESVIACRNLLAVVEEV WERDSRRSEQLQQAREMMYTRLAELVQATKDMFSSFEAGMDDEVIMPDQGKHLVVAAT SCVRSAGECVTKARLVIERIGDFEFETDEQGLSHSVFDQLDQNRPSLDQPHDAPQPEV SSQPERIVETEKPLPPPPMEERKLPPPLVISDSKPLPDVPHSSSASNQNAGLRPLQSS TAESPVATSFRLSMSSLPQSLVPGAVPQLPQSTASDYSPSPASATQRFFGKSARTDSV NASVADSSSTCRYSMRGETASIVSQTSTRATTPDQSPAKKHSGQTLVSSFGSSSELRS VASEDVEAVEEHLLETTYSHELLYNNNGQVSGGSLPALVEQLTLHDSTPDTSFVTTFY LTFRLFTTPVELSQCLISRFDLIGDSQSVGIPVRLRIYNVFKGWLESHWVVESDSAAL GIIFSFATGKLRSALPAAGKRLAELTSKATEIRAGALVPRLTSSLGKTGVSGSVFIPA DNTAPSSNITRSQLNALKGWKDGKTQCSILDFDPLEVARQFTIIESKLFCTIQPEELL ASEWMKKGGKGVNVKAMATLSNDLTNLVTDTILHVEDAKRRAIVIKQWVKIATKCVEL DNYDSLMAILASLNTTTVLRLKRTWELVSGKTKARFDELKAVTDFSKNYAALRQRLHD HVAPCIPFVGMYLTDLTFVDAGNEPTRPLPGDSGAEGLSVINFDKHMKTAKIIGQLQS FQVPYRLTAVPEMQDWIESQIQRMHLSKEANPQSFHRQSLLLEPREPPRVVKAAPPPI NNGATHTAAADNRPAPEDRFGMLSLSNYFTNNTKDR CC84DRAFT_657203 MTNWKTGGMRAVKHNVRRQSQTVAPVEGCNGASFWQARSAAART GRGGCSCSECWQPDWMLSTVRGVQPGDSCLDSPGVMVTNADRREGPLHRQVRCVVPAV VLQGSCLRSQRSRSSSSMGHARRKKLEMVRQPQRGTSAGEERRSELEDSPGADAERAS GRSS CC84DRAFT_1163612 MPRTTSQTYPSSPPYQTHNPTHIYIIHDKSSTLPGSTHTLQHSS YITSPPFHAPAPCALSIRGKTATHASRAQLRGTLACSPAFASLNSTTGCRFQGTLAFL RVRVEFRLLPSATEEGKRTDGVQELEGKGFGVLRCLEGWGEVSIAVMMTLVR CC84DRAFT_1258555 MSSLRQVWALPTVLVAIANISATWYKLVSERVPRPYLDEYFHVP QAQRYCQKDYTWDPKITTPPGLYLASLLIKPIAGCDISALRALNVGAICLICLLSYQI RRLLRRPLPPRRTRTEASVPQFKDDETQTSLLDAHTALNISLFPPLFFFSGLYYTDVL STLVVLAAYSTYLSQRGTHNYLLSSLLIINIGVLALLFRQTNIFWVAVFPAGLAVVDT LKSYDEMLDAPVEQAGPRHYFAFLFYLPRDVLMKPIAVIKAVFPYLILLGLFGGFVLW NGGVVLGDKSAHMATIHLPQMLYLWPYMVFFSLPLTLPSLLQPILPVLPNHLRSLLET NLTGPSSPSSPIAPSALAALLFNVSAFAAVHFNTIIHPYSLADNRHYVFYVFRLLFRH PVVKYLAVGVYYICALLTIRILGAPPHPAPTPSAKTAPKRQQKLASAKDSSVQISFVL VWVGATALSVITAPLVEPRYFIIPWVIWRLHVPVEPGRATTVRLALETAWLLAVNLGV GYMFLYREFTWASEPGSVQRFMW CC84DRAFT_657354 MALPLPPGLTPPEIAFICEMELVTIIPRQRLEGLELLGGPLKPL NPPQRASIPLWLALLLKRQRRANIIPPPWLSPHSLAAILKFETEDSPDAFSPPPRLPP PSSSTVVPLSSPFLPSSTADAAADALPYHWLELGSMLLEAAPDDFDDADEVRKLLRGL REVRMAKLRQGVEVLDAGGGFKMNGVGGMELGEARSFITGVVDGLRKIGKSREEARKE RDRENGYSPSQDYEDDEMDMR CC84DRAFT_657356 MWSRAPLLVLPLLSSHATAHTFIWGVFVNGVDQGLFTGIRAPPY NAAPNAGGYANSPVKNLSSLDLRCNVMGDIQAPDTIKVSPGDNLTFDWHHDYRNDSDD IIASSHHGPSIVYLSPDPPGENTFVKIWEEGLYESNPFPQPGKWSTTGDIREKHGKMN VRVPAGLKAGFYLIRAEMIAFHEGEVAYTKNPRRGTQFYPNCVQIEVVGDGTVELPEG VSFPGAYKEEDPGIVYDIYCSTETRTIPTAPCTTTYPIPGPTVWSGAWAETTAVPLSP IKGDTTAYPWSTWIVNSVVTSGSFTDRKHVTVVGTSTYKASWSTTYQTPAPGTRRW CC84DRAFT_1175265 MGNMFPTDPSAWQTYFSEFSLESLPQVSSATEDEHSRNEKFVRD MYVDITSLDLSGRKYIYIICDVAAFGTSASTLQLPSDGLLVIYARFFTAAAPITLKFE TGTLQIYAAETNQTISVNEYQVTVCSQAIQAWRLFDKHIASCKRIMSSLVPLLSPAFL EVNTMKGAWQSTTNDLDGLIETIDHIDVVTLDAVMRDIQTVALLGLWEDLKKHVKFAN MTLPRQTFPRGTCIDCGRDVQRSSKLPPSKVNNEYPTKATGASSICSGVYQMI CC84DRAFT_1163615 MAPYDDTTAATRFDSFNVYETHFKQIGDHKIKVAILVPKDLKPG KVPLAVKFHGGGLVVGDALFPDWIAAFWVPFMHRNNAITILPNYRLVPESSGADILED LADFWSWFNDKGVDKYLTSQNVNVDLDYKHLLVSGDSAGGYMALQSGLTRPEGEINAI LAQYPMTNHTRRSPEDSMLGMQTPSREWMDEKLASLLTPGAITSSAHPYKTDRFGLSM ALNAYGRYNEFFGEGERLWPITAVKSVKFVPPTTIFHALGDSAVKFQDSVDFVERTKS VVPGVEIRLAYGKEGDHGFDGALKEDEEPWLKEELQWVESRWLF CC84DRAFT_1258558 MAKKVPIPSTLSLAAFFVRIATAAVYRALTYPIRRLTNSPRAPT FTKDVFFAAVRAGCDVVQIAHSRYLMPSTTDLYHQFCAANKIAPRSLEVSLPDSKDVV AAHWIGEPDADVVVLYFHGGGYTQAGTEGYLRFWTQTVKDLNTGSRIMAVLQLAYSLA PEAQYPRQLQESAAVLAHLIAAERSPSSIILAGDSAGGNMVLSLLSHVLHPHPSGDVA RIELNQEKIGGALMISPWVTFSQEHESFTNNRGKDMLSPRQLRRYAGMFLAPSSNPET DPGRVHGDAYTEPLHNDPSWWKGLPRVVSEVLVWSGGNELFADAIADFEWVFREGWCQ GGDEDGGDECENVVFVQSPERAHIEPILSVMLEQGVKGKHQTVIEEWMKARLEKSG CC84DRAFT_1163616 MPVPMMYDYTEETPLLIAGDATTPRNPKDIHLQFCALAGVPPSN IPKPTSTSPAARRSLYGRAVHKRNVQNRTYMFTAALTNTLLLSQVVLGAALTGLGASA SSHILITVFGALNTIIAGLVAYLKSRGQPMRARMFRDDLERVVDEMENSEVMWLGIQA GAHGYDEIAIDGEVSVRSEVARLTRLYDKVVRNNTMNDREFPSLFFLCFVHRLWLL CC84DRAFT_1163617 MFTRYFGLAVGTTVLLFMYFLLHQSWVSIRSAELGLHNDPPPPP VWESFPFLKRYHGGIRTLVGRDKNTPEYPKKEDIDPDMPPQDAKEVSPKGKRMEGGKL PDSVLFNPYPDYKSQKYIDEYGPVETCYLDLEGKLQIPSMRVYNGVTEGTPENVIGSY DLLGLRKDVCFERFGRLGPYGLGYSKRRGGTGAAMEGDREGSEKVWEQTAEVDFREVK WAQVLDRCLEKNKYRFKPGPGTPGHKLQTITARDADVKKSNSTSGEQPKKKHNKLLPR TAVLIRTWSDYEYDDEDIMYLRSLISELTIGSGGEYQVHFLIHVKDDNKQIWAVEEVY QEVLNKSLPAEFAGMGTLWSERQMGLIYGGVHDSMFRDLPVHGAYRSTYMPVTYFAHQ HPEFDFFWHWEMDVRYTGHYYHLFKKISEWTDKQPRKGLWERNARFYVPAVHESWDDF SQMVRVQTEHGTSSKANAWSSLLPPNPHVPELESQRKEKPVWGPEPPLDYPDIEIDEA VKPPRTLMEDKYQWGVGEPADLIVLNPLFDPDSTGWLLAEDFTGYNTTQGAPPRRVAI NTSGRLSRRLLETMHREQSIHRHTMFSEMWPASCALHHGLKAVYAPHPVYIDRKWPVQ YLAAIFNNGRNGASGGSRLSVFSDDRQHNFLGTTWYYHAGHAPNLWKRWLGYKVDNDG GEQEELAGEGRMCLPAMLLHPVKQVDLVQERLEEPETVAT CC84DRAFT_1073520 LEYAVFRQWKYVSLLISAVQEDGVNCALIWDECEGLQVMAGDWE ARVTPGWKVTIVCEDDDVYDNEDDWGEVDDEKDEPWDVEKGHSGEWWFKRWKTRVE CC84DRAFT_657576 MSGYNGRRGPNVSAYVANLNTIVPDEVQESNTDFDIFLETELFD QYDSGDLNFNPALDIGLNSIDTPAPAPQANPTLPAPSVSDDAKMDFNLNGDFQFADFS TFAQPLSIDPSIQNPLPASRTYSIGNAFSPASSVVSPIIPGFDAAAGKKRKHESAVSV SADTPSQPPTDESARNAAEEDKRRRNTAASARFRIKKKQREQALEKTAKEMTDRVSVL ETRIQQLETENTWLKGLITEKNGGKASPSEIQARLSKREESERSNGNRTDGVGTKAAK A CC84DRAFT_1186426 MAPKPNTAVPDYRNVPHSHRLPTSHREVQRTFTKLSRQSLVSLA NQWLAKKNRDFCRPYLLGDRGADAEEDDEQYTPAQSYEELQEIYKELAARKGGKREIQ DRILEGDWRTGISMYQLATAEIQYLLDHPNSLRWTAKRLAKIPSGKNMALDMEVTNDS EHLPRFQAQTFMANLARELTPLMKAHYLLIKIKTMPMTILRVYIHDSPYSTEASLAID NGSSDNARAVFFIWPNGSNFVYSSIANHFGQVISDDGRHLRDIVQQAIPKAFSRPSAR YQLASTNFTTKSLDALLAYRGPGKSNAASGGWSIFQDHNFSQNALDFITSQNDHGKEK NGQRKDGAVGATAKAGPGRPKRTLEDKETSDAKRRREVAAGRFGSSAQPNDGQGLERV EVRIDDAFPAMPNSADDADTSNISEQPANRNRRGRPSLLDRSLDEIEELEGADAWVPD VRVVFQGSHVFAGVRQLVEQGIVDGEKMPGWMTGEAGVTIGAVKEGRIRTKSGQIPGI CC84DRAFT_1089392 MAPAEQPLKYTQISRETFNAILSHYSSIVPEKLRELDVLRYETI PAAVISRAKEGGAELTKDEVVKLVEWKLKHGTFRPTLLSLVQANPAPSIANTTRTAFS SSPSSPNTTAQLKPLTSLRGIGPATASLLLSVAYPSTHPFFSDELYRWLAWDAPSSGG SGGRGWKRGIKYNVKEYAEVVERFGELRGRLGVRGVDAERVAWVLGRRGVDLNEDGEV WVKELGDEGAVENRDAAESNENRKKAKTGVKRKAGGTEAPVEGVRRSSRRKAAP CC84DRAFT_1258565 MPRRQDATAAQLSFSPTCPSGGTWQVCGSNFAGCCAGDACTRSG CVEGNLYPVAFDSTQHGEFPDASCGLGISFWTCGFGPTFWGCCKTNPCAAGAVCPRGD LAAAHANGGDPVQQAYYLGGSSGPISITSASPSATSTSTNTGTLVTSIASLNSLGGST SSPTTTPVASSPQPASHTAAIAGGSAAGGVFVALLVCWLIYYLCYVKRSRKIHNDALS SLQSDLPVATMTEKPDNATTATQGYDAAPPGYCSPNPSTYPHQRNEVSSYVYPHATAN PAAWKPQHRHGLSELSEETVWRSELESPVPSPRVGCTP CC84DRAFT_1216425 MPPGRNVAVYDVRAAASLPSRKRNQVHYLADSDDKDYGSYDASP SFKKRKSTGGNTVIDLTVDDDDEVDNYSHKRKEPPKNNARSDKGKNEEKRMRRFRSHP PQSYLVIKQRALSQRLTVITRERVGTDDEPEEKVTMAGSTGNVYTQHIGHVPSCDCPH AKKGNQCKHIIYVMLRVLKAAENIAYQLALTSSELQDLFRNAAPIPSADSGIGDGHET EMDGNRKKIEGECPICYCDFEPGTEAIVYCKAACGNNVHKSCMQSWIIAKGIGRATCP YCRAKWEDSDGGSFSGKVDLKSARRTEEGYINIASQLGLSDERDYSSYHQPWSRQQGF GGYRYSRY CC84DRAFT_657728 MMKLTVAFLASLAAADAAQARGSRHARRANYGDGYQVGPVSSAV VSSSALPASPPVASAPAASIPGNGYGTGNTPSAPASSAIVATPSPSTEASPELPPSSA PAPSAPAVSAPGGGYGTPETPSSPAAEVPSSSAPAVVPSPSAPVASPSSEASPELPSS SAPAPSAPAVSVPGGGYGTPGKPSSPAASSSPAVTPSSEASPELPSSSSVAIPSFPGT GSLPAPSFPGTGSAPAASIPTGGYQVPSFPASGVSTPATSAAAQTPGVSFPGTGTAPI PTIPGTGAPSFPTSGFSFPVTDVANSTGVPEYITSVIYTTQIFTSTGCPQTVTDCPAS STILITSTIPLTTTVCEKTATPASVTPEASTAAPVGSAPGSSYGTGETPSAVTEAVST PVTEKVHVSTETLVYTVGTGSSAHAVTTEVATTSTETIYKTIYITKPAAETPVASVSK EGVSSEAAPTGEATTYTTLESTSTTTKLVTVYPSASAPAANVPGNGYGTGSNQLPRSP RPPPLLSPASFSPPRAQLSLRRALLPLAARAPPLLPPPLPRLLRPAGMATATAPAAAT SASSASSKRLV CC84DRAFT_1163624 MPVQCICLQRGCSTHVPIKKARSKHAFAHIPLTILKDRLYKWSM QVIGDDNALREVRLQPQSVGNLNIAEEHSQGENKRAKHDRVISGSTVEDCGAKARDFR SAALPQG CC84DRAFT_657840 MPLFKPSSASFTTLSSTPKTILITGGSSGIGLATATLLSALNPS HTLILLDLQPPPPKFSHPASHTLYIKCNVTSWPSQRSAFEAAHKKFGRIDAVFVNAGI MEYRDQFFTDLLDSSGKLAAPDHRTLTVDLNAAVDSTKLAIHYLRKNGREGGSIVLTA SVAGYLGFTGAPLYTAAKHGVVGLVRCLKGEVAKVGIVISCVAPGITVTPLLNAGEEG GKGVSPEEKGEVMAKAGVAVNRVESVALAVCYLLDAGRKANGAGILIQQDKFTDIERG LAKSRASWMTQEMLDDFRGGRGADVFDVPSKAKI CC84DRAFT_1117856 SVFLAAVLAVPSQALHFFIDGAVQKCFFEELPKDTLVVGHYDAK VWDDAAKNYISKPDVGVFITVEETFDNNHRVVAQRGSGTGKFTFSAADSGEHRLCVVP QNVQQGGAWFGSGVHASVKFTLDLAIGETSKIESTDKTKLDDLSQKVRDLNSRLQDVR REQIFQREREAEFRDQSEHTNARVVRWTLIQLAVLGITCAWQLSHLRAFFIKQKLT CC84DRAFT_1216430 MAQASPANGSDQPVQRSPLITEPLSNHPVETMLAACRAAIANGE DVNALDTLPHVGHNAGRPLDACLRQTHMPGKKSIVENLPVIELLVEHGADPRLFSRSV GVTGIPIVLARRYAVDEEEKEEHRAFWKHLLGLFEEAVVRIDAKKKVETEGDG CC84DRAFT_657883 MKFSNAFLASLLFFGVTPLALDKKRDEHALTTTSSPFATTSTIS LVTPDDAGVVEVTGGTHDVLEARARGSRKTTTSRTKKTKTKTGSKTKGKKTKTKCTAA MKKAGKCATKTTCTAAEKKKNGGKCPATCTAAEKKKNGGKCPTCTAAEKKKNGGKCPD KKKRNWEVLSPTKGCRPKRAQKGTTSAKGSKKSGKKTTRDLFSTNSLTLSKRAATFEP AAKTEAGLNAWTKDVWASSPKVLHMPEDSFKPTSFFKSWASLGAGVNFVTVKSLMGCT SVVAVSDEGVYVSHHWQNTVGDASHKDLTPQFDNAFTNPLSGKRRASFKLTPAQHKEA TLYMTEADVKKEVDDVFPTSGFEALTDHKSHFTKNVQSMVAVWDGVEGTGDRYRTTGA LMQSYVDSLLPGTATKLVKYANPEDARVASGSNDDYHGKVIVSYDSATKKYQVWMPAA KLTEPILKN CC84DRAFT_1258571 MDYLKFLILLKQKMGAKSVSIAASASYWYLKAFPIDRIAKAINY IVYMTKSFWLLIAVFLANNSISSTDMTFMDGGIDSTGQLMRLLPALKDRGAGSMWKRV CFKPSIDKFDENDFRDNMGRDPWIRTQVTSLVTGKKKPRTTKTVTITEFSATVSILSQ LSIARYLPVPQNNMDDGQSENKCWPSAIAPLDPGFALLEVDPYYEGMRPPYDYAQPYD PPNNGV CC84DRAFT_1204953 MDSSYSRDDCVAAIRDYYAFLAAMFMDPSYIIEPPPDGWPNMTA EAMQELGKSRKPGPGPPDPEGLPGGSFIDWRHIVDQMKEGEADAALELLGSQGEKRQF GGKLPKSCIGLVRGGLLMGEDPDVIVLDTADRLVYWMTCPAVIKEISEPKPTYFVGSV DGEETETNGNASGEEDVDENVDQINEDDEDEEDDEDDDLDDFIRWGPCWPFRDFFEML KSQFRELNFFAKDAYQVVDKWTNTTPIDGPILEGLVAMVQAIYRRHGWPDVSQYRKEE CLAEIKKELDEKFPRHAGYYDRCLA CC84DRAFT_1175281 MSDRCCHAAEGDVQQPDATAALGNTGLRLEGVKIFDDSLQQPRS SPNLLSRDAQLHLFSTSSHSRNLPIATAAPNSEPNPEHVLHEEKQAHSAEESTTARTV LWALAESAEVFTDLENSLHDSDLHPGAPWGFVVVRTVYGSFARMLEELTSNNRETLED AQQGHVFLRHKLTILNNKATLNSADCHTVLRCFTHPHLSVGQFPDIKVVTINFWGGRA ATIVED CC84DRAFT_1204955 MSVTLSSATDSTIDAAPSTHARNKPGTVERPGIPGLGRVRTEFL EHVDLSVEQREQLWQVCVRGLPDSPHDEGVLETHHPSTSEIYNALLPDGMPIKKLVHK FRICVNQDNKKEFVRLVRAVASYDKGRGWLNPLPKLPSDEQIAAADKRHNERRGTAAI E CC84DRAFT_660484 MLDFGALTRLLSGLSAKRQKTNTCAMCTQVAKALETPDKYKRYA YVAASGDFRAQICPICKAIAEAIPTLQVHTFSEDVERLEIVREDTIFYFWGVRLELVG HPNSDGNCTPVMVDHQWIDTNQIRRWIHSCDTSHSGSCHTITNPWSRLDPATNLLFID VDRQCLVLPTSQTDSCRYAALSYCCGRTGSNLFRTTHSTLGALLHDGAFEQPPDGFHI PQTVHDSMLVAKEVGIRYLREAMGVYSTYFQVGAGLVGRVNQIWSGGTVFMRRIVSPT RLLLLRVSAW CC84DRAFT_1163628 MSAEGVAREVAALYGERPPPVAVYEEKKAYRAKRQNQGPAKKWV QQPFVNQARDDGLVLKHWRRKPTTTPPVQDGEDTPMEDGESAESYIENSADFLKYSIQ VDLPTFTDEEYDAYLRSDDWSREETDYLFEVVKDYSYRWAVIWDRYDYQPANRSHLTQ ETVNGDASQALAALPFAPPKQRTVEDLKARFYHISAKLMKLRIPEMQMDAEQYTLYET LSKFNPELEGNRKLLAAALMNRSMDEVKEEEFLLAELQRINMAANRLDAEREELRARL EHPSANQQVSAGLASFTSSQALQALFSQLFAQDRSKKRASGGGTGPSRISLNTNDLIQ TPSSANAQLSAAARRQSMATNQSAQTPIRTLSPQQEHRYNVSTHDRLTSGVTFGSDKL LKMRQAKSNVQTQKIAAALAELGVPDVIPLPTSKVADVFEQLIGKVGKLLDVRKVREK EEGESRVLAQMKERRGSSGALGGESGATSVEPQGKHEIPDSAEQTPGLEGDGDDDNDN DEDGDGDGDGDAEGEEDDEDAEGEEDSRVPSETVSRAASTAHKRSASVLSQGSVQSSK RARK CC84DRAFT_660014 MASGSSSSNVVGVHYRVGKKIGEGSFGVIFEGTNLLNQQQVAIK FEPRKSDAPQLRDEYRTYKILVGCPGIPNVYYFGQEGLHNILVIDLLGPSLEDLFDHC NRKFSIKTVVMVAKQMLSRVQTIHEKNLIYRDIKPDNFLIGRPGSKAANVIHVVDFGM AKQYRDPKTKQHIPYRERKSLSGTARYMSINTHLGREQSRRDDLEALGHVFMYFLRGG LPWQGLKAATNKQKYEKIGEKKQTTPIKDLCDSFPEEFNKYLSYVRNLGFEDTPDYDY LRELFTQALKSTGEVEDGEYDWMKLNNGKGWEQMKSHPSAVQQLHHSNVNPNSSTAVN VHGQPHARQQKTHLPIDHHRLNADLPKPGATRGPPGRSPRDLQQRGSHPDAVKRKSAA ELAPPEGSTQAQFQHSQPNLTSNRATPAASPNAQPPRQQQADKPSFGKKLMNVLCCGG GGS CC84DRAFT_1163631 MCNFTAWTLLTRPLRSAVCTCVVVSSCVGHTAAVRITATSYRHS ELAVAASLQRPLCDCTRPQTPGTRPCWCDTLYQRSKQRPLSSRNRAVAL CC84DRAFT_1216436 MFSPEASTQSVRNSQRNPRRRPRNSTEPQQQQPRRKRSKLGDET FVSVGDESFDENGNGSISLNGHVGYGSADSSLVLLDMPVREKKGAVKRVFKEDIALYL NRCENYSVKRLPSFPSALAGGSTPFRASALPVVSLALALTSDQALVWDYTGATGVSKI LALPLPPALKNADPLPLGQIVRNGPTNDFGVVAVAPSTGKIVFWENIDSAETRSLFTQ RKQGVEGVLKLYSGERIANIVDVDHAGYILVLSSGRLAQLTLRDAQGRPSITTHVLNS PNGSSGSLFSLKGLLGGAIRKTIASVKAHPSESKGQMEVVAATRNGVFQLWDLTWAGQ QIFKREIDAREKILATLQQGSAPEMRGQQDVQVLDFAIRGRSRDSAVTDVLVLVALSG RNMLDHFLLEVDITEDNAVISLAIPLNNYQPAELPKEATGTLLLPDPGHTALVQFPDA VVVASLIQPEEGPEAQLVVDSGAPVLPFQDCIYFKSDAHVRSVGHALEPAGRKNQTSS AIIFIQDFGILQISAYTAESKALSKVSARTKLQQATFFSTVPGTILDFATKGRYSFSQ AEVETAAIKISTCVLSSSFEQLEGNSLMLEDQLRKRALALQTLNSHLRTQFPDLSFSG RWQLLWHAEKLAAAINIWDWYQKQLRKQETHPDLYPEKNIMVDIVKALHEKFKTPIDE SKGEKDPVRQFFLKDIDSLDILLPWTWNYFRLFYIAPDRAIPLKSIMQRLREADEVML TAFETAFSFRKENIEQYGLEAESLDSDGILRPGQGYDKIAQNIWTSTHNLTASVRSIV DAGRKYADDCYEKREEEVAAQEVAQHNPRLVRISCQNHIERFRWALDQSDEKKRASGI SLRDEWNTNVRPKQIYHLAGIGLATEGMNLAEHYHDMSTLVDLIWEETLYLEEAKAEV NSKMIETEINLKLQRIKDRVSSCFKRYHDAFANAYFSKYITMGQSGLLLKKAREEDED FQKSLSTYLGADPSRSKLSWINNICGEKSYSMAAKDLQAATQQEPNSWCNTVELSIAK LCALAQQEGDAKELESELTSANQRAKFEKRLQKGEKFLYQVENDLEVASIQTQLYDYV QPTIAEAMDNESAVQLLMTEYGQGRLSDRPSLQHLLQQGFEQLVQHQVLEPCLFIDVL TLMVYHDATEPDGEAWNPFALALRFLAMNKIQRTVGKGLPGLIWKRALLQDDWVEIDR TQNLSGEGMNEYLSQTIAGSTVRTLTEWILLGDAEQFKNVRPRRVRDMFGSGCTDGEF CMRFPEDDLRKPIIQDNLSDEAILQELVEKSRLEEWFDRTVAAGTSIAHAEYQDSEGD PIGQEPTGAEPTAERVEPVAGALAAAEQHDGDMDQDVEMQGS CC84DRAFT_1117865 MTRSHKYTDRDHAGLADGSAAAEEHLPRYFAKSGNAGEDPNKTK KNGGGKGNWGQAGDEVEDMGYNIANARRRSNSSTNPTRDFKTKFETIEPEPVFEEELH GPMGAELDKESTTSSSNTVDEEDASKKF CC84DRAFT_1186435 MARTLLLAGPSIAAHPEVLDKVYEIHDRSSADLQMLDRIAAGLV QLPSSTYDVVLLLTDADGTTRESHKLLGRDVMDKVAGALKAGGLLKSQDGVFGAAGGA EKTEAILAGLIDGADGMMKPEQVESVSIPLKLRRKTNGANGANGTIPVNVNGKREQAP PVQPAGVGFVDFSDDLDAEIITGEDDDLIDEDDLITEEDMARPVVQPPECRPKAGKRR RACKDCTCGMKEKLEAEDAAKRATADKALNTMKLGADDLTEVDFTVQGKVGSCGNCAL GDAFRCDGCPYIGLPAFKPGEEVRLLNNDIQL CC84DRAFT_657975 MQLVAILCLLATAVATSPEINFPLYLQLPPVARVGKAYNFQFAA TTFQPNPDQLVYSIAGGPSWLHIHSENRTLWGTPEAKDAGTATFTIVAAGEAGSVANL DTRLPVKKDDGPKASGSILQALSKLGQLSGPRNITLLPSKSFDFVLDQDVFESDGKKL SYYATLADHTPLPSWISFDAKELRFTGTTPSTSSPQNFDILLVVSDTPGFADASTPFT LVINNHLLLFRPVAQTVNVTKGQDVDLKGLKSMLYLDDAPIRDDDIQSASADLPKWLS FDNHSFEISGTPPSGLMSQDISVTVQGKSGVSAEQIIHLAFTSELFIGEIGHLNITPG VYFDQQVPRSILSSDNETVSMDFGRLNKWLRFDADNLIVSGILPETTVAGTVEGSLIA TSSDGKTKDTQTFQIEVVATEANNPNKSLNSDTKDNKPATDTDADDGSSKKRTGIIVG AVLSSLFAAAILVLLFLSFCRRRKNNQPGYINPGAPRSPTKKDISRPIPIAGVGEIER AEYDDLEKGKLDGSPPRFLERPPQLHLLPLPLVRRGVYAHSRETSLNDRDDNLVTKLH ESFNFKAENEPIHHPADSMKIPTDILRRKSAGSPDLQRKGTHDASQDKHKKKRRSSGK RRSTRQSHAQSASRGTNASAAQRTMSSSSHTTALSTVPSAFPQPSKARRTTQFTTPHE KRQSIRPVVPSPYESPERVERLLDRRTIDEKRHSYIRKRASAQQSPLFAGSRVSSSNY NTPPGFIADPGMANKSPLKPISPNIVKPSDTVRGSDNDLPASLRIRKPADTPSPATTH FDLSKSLRKNRPTHGFGRRHTDAPSSSKSSDADEPPPRSALRPGTAVYQPTGMNSQSS AQASLRGPMIRDTLNKTLGEQVFKDAELSESNYSSEEEDIADAEHRHTLKPSNSVRNW IGPLKIDKIEEKGERDTKRDSRCSSKRNSKRNSTNTSKALKRASERDPTPFYRPDPLE HGGKENLSASLYTLADNSPAKLPPAEEQLTQNQSRTSTSPIRPRASTGHQTYSHRPKV RSRNFSRTITRSPSVRTSTASAHAMPRVPSPDQRHSRKSLHSRSRSRGGVQRPKMHSR SRTQSGAYPRWADIRASLAASERSHSSSGAGIGAPRARRSTVESSATERDGAGNVVGY GEDEAPVVEELRRESIGVGTSARNSRLVHLHSSPFYHAKRDRDTAVLAPSTPRPAAGV GLGLSLLGGGHVEEDATPGPESAVKRVGVARESSVEEGSPEMLRVVEGKGKRPISVEV DEEAQRRKGLGSLKAAWGRGSSIWKSRESKAFL CC84DRAFT_1163635 MVFPKRLFFGSGFPLLVSAFCCHVVFVASTCRKTSPHSKHGQNT YSTRRSYGMWVSLLRATADLMESLPRVHFACSTVSSLATAHSAVRPH CC84DRAFT_1216440 MPSNESSKALPTYFIGHAGVGLLFKESENNRIVKDNLRDVGKEI IALSPRPKAFIIFSGHFEAGEIHGPGVIEVNVKRNTPILHDFVNDFHDSHPLVYEYDW SHQDAPDLATAVWKHLNDSGIKAKRVQRGVDHGTWVPFKIMFPPEDPLDVPIIQVSTF HGYDLESQIRLGESIRSLRHEGFLVVASGMAVHSFASISEISRAQTDEERGITSEKVL GETRSFDKQIRGALAIEDSAKRRGALLELGLLPEFKRSHPTVEHFTPLLVAAGAAGDE EAHSLGVDVVEPGFSYLNVRFGQN CC84DRAFT_1204962 MPGTKRRPHHDLEFTLRRVFGKREFRPVQREVIVATLEGEDVFL QAATSFGKSLCYQLPAVVDFGITIVISPLLALMNNQVASMKKANVRVETINSTTTATN KKKINDDLQCGHPLTRLLYVTPEYCQLDSFRRLLRVVYSQRELARIAVDEAHCVSEWG HDFRPSFQQLSWFKAEFPDVPIICLTATATARVRDDIITTLGLNASQLKTFRMSTSRP NLHYEIRFKNDDEDHYDDFVQYLKRIHERRAAPERASQLASQNQRPDNWPGIIYTLFR KDCESLAARLRSDGIGAKPYHAGLPHTERADALAGWVANKEGYDVIVATTAFGMGIDK ENVRFVVHWQIPKSFEGFYQEAGRAGRDGKAASCILYYSREDRDRALTMLARDQQRQP KSGAVSAQQAQLRHRLKSMQSLVDYCEGTTRCRHKMIANYFGDDGDTPCGMACDWCRD ARALVKRKEAGLASEEWVSTQRDLGRYNIDEYE CC84DRAFT_1163642 MPSDLEQLIDMGFDKERATLASKATGSLQDAIDWLEKNQDTPID ELHTKQAAADEEPPALKEGEVARSLTCDDCGKRFRSTDQASYHSTKSGHENFSESTEE IKPLTEEEKKQKLEELRLKLEAKRAAQAEQEKIDRKKNEQIRMKATKESQDIKEQLAK KEQLKEMQAKKREKEQDAAAKRRVLEKLEADKQERKRKAEIEKAAREGRAAPPPVAEP VHATSSGPTTSKPASEYKETRLALQTASGRVMKSFPVETTLFEVAHALEEGGATVNSF STTFPKKTFERTDFGMTLKECGMIPSAALIVK CC84DRAFT_1163643 MSVELDPPELGFKRPFQQEVTQTLKLKNNHSDPVAFKVKTTAPK QYCVRPNSGRIEPGDHVEVQILLQAMKEDPPPDAKCRDKFLVQSVLVTADKEFTNVAS LWSHIEQTSKSSIQEKKIRVLFLPADGSTATPQTNGGTSHRESLLSSPSPEAVTPQRG STQEPAGSVSRPAERPVDSKNLGDARESAYNPATSTGVAATVSAAASSVANAIPTSSN ELQAQLADAKATISSLRQQIESGLRQRKTEPTRDTKEQLQTAVAPQQAPGGVPIQYAA LLSLLSFLLAYFLF CC84DRAFT_1195654 MCGIFACYKHPDVQQFKPTALKMGKAIRHRGPDWSGNWIANDTI LVHERLSIVGVDTGAQPLVNDEKTVSLAVNGEIYNHRILRKGLKNPYNFKTHSDCEVI IPLYLEHGVDAPKKLDGMFSWVLHDKVQDRVIAARDPIGVTTFYMGRSSSTPGAVFFA SELKCLHPVCDNIISFPPGHVYDSKTDKLTRYYDPKWLVEPDNIPTKPVDYKELRTAL ETAVRKRLMAEVPYGVLLSGGLDSSLVASIAQRETQRLNDAARKRAAETKEKNGKLNG YAIDGHAPGGLVGIDDTNELATVQMLPELNSFSIGLPGSPDGVAAQEVADFLGTRHHT FTFTLEEGLDALSDVIFHLETFDVTTIRASTPMFLLARKIKAMGVKMVLSGEGSDEIF GGYLYFHNAPDKAALHAETIRRIQNLHLADCLRANKSTSAWGVEARVPFLDKQFLNVA MSIDPEEKLIKRPERIEKYILRKAFDTTDEPGTAPYLPDKILWRQKEQFSDGVGYGWI DALKDEAEKKVSDEDMKNPKAEWGEDVPDSKEAYWYRTMFDEHFPAYCASTVMRWTPT WSKQTDPSGRAIAVHEQKYENAA CC84DRAFT_1258582 MNVTIAGHGPHLTTHEALSGVFGSISLASWIFLLVPQLIENYRQ SSADGISLAFLAVWFIGDVTNLAGALWAQLVPTVSALAVYFCFADLVLIAQCVYYNAK NARRAARKASTRSEDSVEQPLLGRRDSSNVGLPGSHTRRRSSAASARERRRRASSLPS LVEEEARGSAWVKNAISIVGVCAVGAAGWAIAWKTGVWVPTPEHGASPSDPEPDTPLG ASILGYVSAVCYLGARIPQIVKNQRERSCEGLSLLFFILSLLGNATYGAGILFHSLEK EYFLTNLPWLIGSLGTIVEDVIIFIQFRVFGTGESSAVEV CC84DRAFT_1186441 MRSSWLLFVLMALVALSAAFSVEDALHIDGINAYLHHGEKRQAQ GTGASSSADAPSSSAQAPSSTADEPSSTAAAPSTSAPSTTAAEPSSSDTTPTSAAQPS QESSSANADPSTTDASAASKTSAKASASLSTKALVSTEVAPVTTILTRTLTNGQTSLE TSVGSRTVEHTTGSTVETALPTTQASSSSSGLSSTNKSIIGGVVGGVGGAILLGGIAL VCWRMWGKKKRVTEDDADLMAGTGSALGDKSHSSASPFQSNLEQYHNPGGRPNAAANF CC84DRAFT_1216446 MLPPSLWLMVAFALPTLTYSSPSPPYLVGDDFTHLVPRHMLFFR QSTNLQTFDSALGGVQASPIEKSGDSKRPFSVDGDTFPDFDTAGQRSCDNQFQGCSKK ANESGNKGGFKVSDCDDQKGKCNDAQKSATVKDFQTSVASTNIGPDPDFPDFDLICES CC84DRAFT_665189 MPPSSIFPLFLTKKGPTVKAVQEVLQRIGEASAGTKDVVQNRLL TRVLSRKLPQKNWPQGNEEKKTSRILSIDMGIKNLAYCVADVEKPTSTPSTTNMDFLT WCRLDIGEAFRKSDKNLSFLCSNAEFLDREDEDSVSSMAELEDREDEELYTPENLSQM GYWFLRKVLNDWNPDVILIERQRWRSAGSPTIQQWTVRVNTLEAVMWAVMTAMKTERE KRFHWRMHAVDPKRVGHFWLDGVTLPAPSRVQKKKDSKSAATSEENNDIIGALDDEKA VSIKKLTRGKAEKKAKIQLLRTWLDSEHPSTALATCSSTSESEALYPNINFTFSSHGR LDTPSYGADGTRQALLYATDTTSERAKRTRYYKDYVRKVDDITDCFLQAAAWVAWDEN LRRLEPEAKSLMAQVEEKLGREVNGRLADGVKEVQASLENAADGDETAVRSAIRSRKA KTKESEGLELVAKVEEPTTSPRTKRKTKKSKSET CC84DRAFT_1089062 MSFAEESNPKTPQVAIERQGATSTSEDVHLDRHDGVNPQILGYS GDMDPYLLQNYQFDRSGAFKFKQLSIQSAYQGATPTQFLLSQPGLFLWSRKEMGLSVS PEASRAQLESVVPADTGRRLICLYRKFILTQYPIFSESSFPNPHTSPPCLLAAIYMIA QPFAKFDDVLSIELAYDTLNSQALFTIVFEALHYEAHNPSLDLVQMLLLLIVRPSTNP LILESSLKWSLHGTLVSTAQNMGLHHDPASWAIAPWQRTLRRRLSCTIFTVDKWLACS LGRPPLLRKEDWLVTSLTIEDNQASTMNADIWSTHMHYAQLGALLGDVLEKLFSLRAV HELASDFRTTLDISKRLLEHLSEWHNGHIADSSDHAEQAVSLMTLSTLGHHYVQMTIY RAIIRPTLSAARWSTSTPLHVRQEEEQEIVRFARTGLQSATTAATAFIKSLQQEHLHM FWPQWSQVAFSCICFLQLLMASSSPHMEEAVSWFEDLHATRKLMRLKSDMLPVLRLGL LRIDALFWKRIDKVLHLNPDLQQALEASRDDGAA CC84DRAFT_1163650 MMKLAEEHGTEACISKTPSFEYWDELPSKAKIESMSGYLEDFEM LSVTDIPEGCQFGVSFTTITVNAPRYIQYLYRLLQNQYGVQFVREKLPSIKAAFSDIS TKVVFNCTGNGARRLPGVEDAKSYPTRGQILLTRAPQITKNVMRHGKDYETYIIPRPQ SNGNVILGGYMQKGVGTGDTFSSESESIVERTTTLLPELLTPGMEVLAAFSGLRPSRE GGARVERTSIQLDESRNGILVHNYGAGGTGFQAGLGMARDAVSAVEDVLRSIPREKSR L CC84DRAFT_1243067 MDGQVVATATVATPRLAIQKKHLAGLDPSWVELWNTYGAHMVRA DEVSIEEYRKNPALYSFTYPTCEDFAGPDVFHVEDVQVPVTAPVGEITVRVYSPEGPG PFPVHLNFHGGGWVLGGLKSEAAWCRHMCNKASIKVIDVDYRLAPEYPFPTSIYDCWD AVKWTMLNARTLNIDPGSVSIGGLSAGGQMSAVLAHFARDEGIALKLHLMIVPATDMR YCLKGSPLSEATCPYPSVHLFHDVPWGPLGREQWFLRYWLGDSRTNRGVGAQEEALNK WICTPMLAPSFNDLAPAHIITAEFDLERDEGEFYGELLRKAGNTVTMKRYAGMPHAFG HYNHPERGLKQSFEYIDDTSKLLRSVHFGEQQRS CC84DRAFT_1117897 MATDIEIQDANLNRQTTLDEKARPSDEEYATLRRVPDNLPKIAL LILAVELGERFTYFGLSGPIQNYINNPYAPGSDLPGALGRGQATATALGNFFKFWAYG STVIGAIVADQYLGKFKAILVASSIYIVGLIILVATATPTAIQDGAGFGGLVAAMITI GLGTGGIKANVTPMCAEQYQNARPIVKTLKSGERVVVDPELTVQKLFSWFYWVVNVGA LSPLITVNVEAKHSFWLAYLIPLIAIIISLCVFLVGHKSYVKVPPQTSAIVDAVKTVA IACREKSFQNAKPSMLCEHHGDIKYKIAREERYTDAYVEGVRKGVKSCKMFLFFPFYF ICWIQIWNNLISQAGQMALHGTPNDLLQNLDPIALIIFIPFLDLVVYPGLRKMKIDFD PISRIFAGFLLVSVSMVYASVLQHFIYKSAPNSIHVWVQAPAYILVAFSEAFIIITGL ELAFTQAPTNLRSFISALFWITIGIAAAICIALAPVSQDPYMVWTYASLGIVGFVAGC AFFFCFRKNRKWSADAVVLEAVPVDTNSASLVATDEKFGLGEK CC84DRAFT_665196 MIDLEEVGNDAPGTNKRIAPAFEGYNFDARWWTGPIDKIEKRLA QVPDDTDGLAVLVTTGAFCPIHKGHVQLLETAKRELESRGITVLGGYICPDHDQYVSS KIISGSLSAAQRLELCELAVEESEWLMVDRWAAIYASGSVGFTTIVDHVDKMIKQHVR TTKPIHIVYTFGGDNAMFACSFVARWSCICVLRPGSLAYFNDTSAYNSLRKNPRIIFS RNTTAPLDSTTIRRGDLSGLLPKVRDRYLYMQRAERDTALRGASSLSNSIDTLYVRNE GVWTLSSLLQKSKCSLEHLLKAYGAFCEELRQVFELASDPGATIQSIDLKDQQSILDK KVSKHEKIISLDPCLSGLHDMSLWHVSKPLTKASTAIVAPLEQTSAVKHVRQAEAGAY VVLAEHFPVDPATERLITEQLPTGCSVVKYMSHMDLITSGSCYMASFKRRKNEATINA RDYLAGSHEGGIVLQLGDEQLVRAPSIIPYVRPSHQTHVDAMVEMWFSRQVWDLNLRF FEAIGGGLTVKDMAVGFQALCEAQGFPADMPVTELCDWHIKAFEDMDYVGIEDD CC84DRAFT_1076306 NITVAFFNDSDSTSCDSADTSKALVLTTRTIPASFVCFNVSDLF TQSNTTGFSNGSTPYSHPEQLELPNRVDWLISNLDNYDSNANYSRVWYEQNGPTGKVE EGVNGQWVFYIYAFEDCKQVGGDAFDQNKNPWFENSCQTKDGGQCRTVPNTIKSFGLN KADEYNKGHGGCATWAYMGDAKRL CC84DRAFT_1186447 MDPRIKSALARSIELGEFKIDVSAYHRDKKIVDAYVGEVDKDKS SPVTRNTTFPIFSFTRGVTVLAVRIQADRGLIDVQEPIANYWPEFEVDGKEEVTVEMA LSHRAGIPQMPQDMVERIAEFKPFFTPGIANAHQVLDRSTHRLLDIFVREEICELLSI EDFYLGVPDEELYRAAGHGPGSGAIADARSVARILPLITNERAEGLTRPRTNADDPDQ ILPIPISQPNASDPLVSNHHDIVYSPRSGGSLAFADILDNLAVAICHNDMDSVLVLEP ERIFAPIMKEIRETVADRV CC84DRAFT_665299 MSAYRDESQRARKRATDRKSQRNHRERQRAYVQQLEQSLATLKA AAHSDQLVSSLLAEIDRLQKKCTTLEAQVARIRAVVCDHPESAGEEAPVGDTHPGLSL DVCESGRSDQIGVIASVDDELSAMGVAMCAPDVTLAVGDGCLSVEDCSMSAFDWDPSA GDGILQAMAPIQTLGDVEPIQNVTDVCFKHFHTRRTSIQGPLVPLSNACLTTSTSPGL PRYTAAAGEADIFLLAARQACQNGQLPSREWPFQQHRAK CC84DRAFT_1195661 MADATNDNEKVFPGGFAVMTVAGSGIGASLARRAGQLGMTVVVV TDISSSRAEAVASDIRAAGGMAEARTVDVSKAASLGELAVSVFEMFEKHESVRFWAFM PTMLESGAPGEIANLSSVGAFSVMPTQTAYIMTKNAVQSFIACIFLDLELKNVPISIS CVIPGMLKTSIFEGSAGQDEGCKSILAQVAAKRCWVETQPEMTHDTIRSRVAFFMNRK CC84DRAFT_1258593 MDGLEISPFVKGLPKVELHVHIEGTLTPALRWKLAHQNNVKLPY ATYEELLDSYAVTYNHRKEVNGDDGRPTFLEAYFAGCQVLVTEDDFYELSMEYFSRCV EMNVRYCEPFFDTQAHTERGISVPTILNGYLRAQKDGLEKYGVRSNWIFCFLRDRPLR EGLDAYAAARSWGRLADGTGKGLFHAVGLAANEFEKPPMLFEAGFRMAKEDGLHVTLH CDVDQKDAADHVRQAIFEVCDGQGTERIDHGLNALDRPDLVPALKQRGIGLTLCPHAY HRRQSTETLFPKITSLIRAGVKLCINSDDPTYMHNVWIDGNMQKVYTYCGLSKAEMVT LVKNGIEMSWADEKIKMGLMEELDRLDVG CC84DRAFT_1088160 MDPSKQTEVAASQATPEVIQVDAEQEALAKRVLRKIDWRLIPLM FITYNLNFMDKTILSSASVFGLREDTGLVGQQYSWVSSIFYFGYFFWEYPTSYLIPRL PVAKYMAVNTFIWGAVVAVTAACTSYGGLITVRFLLGVAEATITPAFMFITSTWYTRD EIPTRTGYWFAGNSIGGLIASFLAFGIGHITDSLAPWQWMYIVLGVLTFVWGIPLLLF LPDSIEKASFLTEEERKFAADRVVISGTGHTANAGYKLDQVIECLIDPKTWLIFSMSL LTQIPNGGTQNFGNIVLKSFGFTSLQSTLLVIPASVISAGTIAGTGWIAGRFRQLNCL LIICVVIPAVVGSSLIYVRPRTSPGVQLFGYFLMSTGPGGIPLLMSLVGANYKGVTKK MTMTALLFIAYCAGNIAGPQFFRTKDAPHYNLAFRAILVCYCLVVGLAIVLRCYLQWM NAKREREEGVKGSAGAGGVVAGGKMADEDDRGAAAAAQIELRAEDYDDVTDWKTFGFR YRL CC84DRAFT_1243083 MKSIYLATVLLVLVSATFAAPNPRYNPTTREKRRRGSSSWSGRR SLQPRDSCPVPEDVAVVAPKANPFVSLSQDEIDSVAMWLHDPAQGLNLTNTSSATISL SDNYIWHVDVLKPNKSDVLSYLDASGVVPRYARVTLIEGGREVPIVGEYSVGPLPISN STRKEALDNIYNGPNGAKLLWSAGPVDSLRRAAIDSLMNATLAPIADLTNDLLEMAFY GSSDSRSTMIYFMQSPASTDGEKSVFWSPFRRNGMEPWDQPSDLYIQFDITGTDASQW RSMKVVYNLEVYDSVQAFYSAWKAGNVTKTSPPATNIEFLYKNKTGSTRELEDRMAPT VLSLDGNRFKVDKEEQYIEYLGWTFYMRFDYDVGVQFYDIRFRGERIMYELSLQDAIA QYAGNNPFQAGTAYMDRFYGIGAASVRLIPGYDCPYHAAYLNGSYATTNQESYSQINS ICIFETDIGTPITRHMNPVWSQATKGSKLIVRMIATVGNYDYLWDYGFYVDGTISVDA HASGYIQANYYRPDDEGRWGPRVAETIAGTLHTHVMNFKADFDLVDEKNSLVKTEIVV ENVTQPWFDESFEMMRYNISDVETEDDGLMDLPANGQTMFTVVNKDHTNKWGQPRGYR ILPGLSNVVLASKSSPFFRKSGQFAKQPIAVSRQHDSEPASSATLNQNVPDAPLVEFW KFFNGESLVQEDLVAWVNLGMHHYTRSEDIPNTLMSEAHSNIFFAPQNWGDTELTKDL ANSVMMYAEGADNEIVIETNGVEPPSCLNLGPEDSLLGVFTVSE CC84DRAFT_1204976 MSQSGGFSTASGHTNNTATSVTVGHLQQLHLPSSALQDASIIAS LLLLGPEIINAGHKQGPAQVRWLLRGARSLIVERHKYFSCLCPLDHPARYEPQFSLDS PVLVSSVRSLVFTDIITCVPCVRRPYIGKQYWLDSAIQTTIEGLRTYTPDPDLGYSAW TLALLGDCATLIEELYTNAISQEAFSVRQATLLGQLEDTVKELEQHDNDGENQMLAVA TADGRNVMAAHRRNIAATICHSRAAQIFLHRSTNFDVKSTHVQRLRQALYDSISTIPM EDSAATTVLWPLWVLGCETYPGMNCPSQVEMMAMLQRLYDSQCMRNVKQCLDRLTRDI WRQDHSNLLNMKYKYLKPDDQSAWVRRCWDEKLELLLA CC84DRAFT_1243093 MPFQSLLFWSSKTPLDNAWHPQIGRCPTQYTKNLPLVLQRTDAE ATLVDAAPFIASIGALRYASQLFNFGFTVSANVFKNVRTLTALHTSTLLFVPMVLSLQ AAGVEYRNFIPRWRTAAESTRDEEEVRRHVDAGAYLGGMAWVARLIMRVGVRYWAPID VVLGGAGADVLHREYVRTHAF CC84DRAFT_1117904 MSAQTAATRLRRTFHYPSESDDEDAVEAGMDEQDQAHLLTTLST HDTHTTSTYTHLLLVLPLLPILLYIPHFFRLSTLPAAGLAIPSLLASAYTLYFLPLPP VQISVSHVVDLQSPSARSRTKPAETKAERPPVPYISEATADLVARYIVVANGALCAVL AIAEMLQGRSWGEGVTVGGGYLPGLVFTVVMFARRELRAVDMSELERLQYQSKGT CC84DRAFT_1258596 MATHTPNRSIQNRQYVVKIEAQPNSVQEKSLASTNLPRNVELGP VNDLESGLLGIFNVDDHSDVNSETPLPLPSTGTASTASSPGTIDDIWDSPLPSSHHLS PTCSDVTLTPLTPPSAHYVSPDSSPAPRAYKALPVKCVEEGGARDALATLNASHCAKT NLGIDPTQDEDYFFKPQKLIRNLTKELQAIANANAAAAQPCYNLRPDFNFGGATESKT SARPHRSIVVTRHDARSESKPQIEVKRTAEISDKNSLPRTQMASNLLHTTLEHIVPMT IQSRVMENHGKCVASKVGKPQERCSSKSPGLGIDIISRNLSRCNVEADPSGVLEHIER LVEAVMCGTHRNVARSSKRQEKLKNLATCFVKLSGAERTEFQAWLSAIAPRHLPTGVQ PTTVHIPQKDTKSAASKTLETRDKPVAPSATKKNPAGDTWKQAHLPGFRAYQPKSTKD QSISTALHREIIKPLPPSSLKDGFIYVFWDKEHFGKVKIGRTNDLERRLKEWNRDCNR IHMYHPASQREELSKIPHVSRIERLIHIELKECRKQRYCPSCDKTHQEWFDVGEASVT KIFRKWQDWIMQRPYALDPITDTWVLRPEVMQTLDQVCEPVVLAEKPLPLRRAAAKGP KKPKRRSL CC84DRAFT_1117906 MARLNEPPVAPAPPSTEAYDAIKRKFLRTNRELAKINSQQQLHI RQLENDRSRLVAENCSLAQQVLHLQNTLEAQAHAPSFSTIDTVKNQLEAKIQELGGLV AELGQLNRRGGSAPCHVKTATKKTSEEKQWRSALGLQEVENAMLPTIAEYKAYPRMTM NAEELQDILDAPESQSPDIGPPPVSHFDIQEPIVFKNSAAIDGQPDVAVDGDEVVLPM NIEPRRKRRESGPRIRRMSLFESPEEDLEDKPEEHPEDKLEAAPEKIIRTGAKRKFSV QEDDNKSQPQAESFQFSRRVTPAASDDETTDQDRPLSLSRPALSSKPVNTDPMVSPKK QRSSAPEKPDKVDKPEKKKASRPRTVVARSVLPNVLPQEIAEPVATTEIHLESLPPKT PAAELTFSPPSTEPSTSRTESKDTPPPGDLGSMSQTGIIGRPSRRARPQVSYKEPSLA TKMRRPSKELVDAVIVDHSRRTSVEPPRSAPSSAHVVIKEEPEDSPWRPLGAAGDARG GDAPELGSPLRQKLDRKEGIQDVTAERPKLNSSAAERAIEKLIEQTKSEKRKSLTSAG VPLSADDTKPHERSEPKSKETTAESDNDMAIFDFNESSPAAGASTATSVRPKISLASA ARERRRHSSIAAVSPPDPDDRKSEPSARVASLPSVHKRTGSGSVKTGAPAAGLAKSTA AVRSSMKEREKRAGEKLPSGSEGLRESRAERVERAERIASRRKSMMV CC84DRAFT_1163658 MAYPPRGLPSHIRSQSSSLGGSQPSGQSPVLLARIAEKKAELAS LKDLQTLSAGLADQMQTLAEKLSTLSDGTEAVAAVLSNWHNVLRAINMASTKLPKPKE DDDAEKKTDAEPPLPQTLVRIPTQHAPAVLPQPTSDD CC84DRAFT_1204981 MSQTGSMGTQTAQQNRTNGSVTTTHPVLRLQAASGTLRLRAEPV EQRHIQWAEDVVDNEGMGKKSSKVCCIYHKPREVGESSDESSSDSSSDSDSDSEPDNG EARPAGGRKGGNGRRGRKSHNHDHDHDHDHDHDHDEPHGGPSGQPQKRPRRRPSPNAY EKMPKQKK CC84DRAFT_1175313 MHLRKHDKDLWQARGATPDWSLVDCNCTKPDKYDYGEEYGCEDD PLYPPQECSEGAWEGAKACVGLFTFGSAYGITPLREDALDRLCWYYNEVRTIGLAEIE DPAVGDDNWELTDPILPLPAKHCEHAYNQTPPGSPLRKLLVRAFCASHQPGHRGKFIC VGFKTCCDFSTVYLHKDILQPVDPPQELLADVAACFASGVSSTYVVKGEDIACEKYPV LPPSDFHAHNSEQERQHCSKLPVDPNTRVHIEE CC84DRAFT_1258601 MAAPPPPNSQIAPLPGNLPFRIVSKTIGMGAYASIRKAIPMDAP SPVIAVKFINKEHALKHGRLSEKHIKMEVAIHKHLGKHAHIIQCLANGEDHYWHWIAM ELADGGDLFDKIEADEGVGEDIAHVYFHQLIDALGYMHSKGIAHRDIKPENVLLSGDG DLKIADFGLAALFEKDGVKRLCNTVCGSPPYIAPEIVSGRRSKRKDILDEGYQANICD IWSCGVVLFVLLVGNTPWEEPTMRSDEFREYVDTEGRTTDELWEKIPPALLSLLRGML KLNPDERMKLDEIRTHPWYTRKNPFLTPNGRTTNQVGMATQMLTQLHIGVDRPPVQSQ RGFSQDPDAMDVDSDPHRSRANPANINGLSSTQPETPLADTPFDWERPARTYSQEAVS QPSLYDRAPSSSMIGGPSFLSQLSPSTQDILSQDPSMSQFYATPSVPMTLTQMARKFG DIMPSHSLSRFLSPLAVSLLVPLLIEALHRLGVPAAAIPEEHLAEMNRAGKASVRVKM ADGRRQGLNGHIVVERTQIMEEKVSEVRFVKASGDPLEWRRFFKNVVRCVGDAVLRPD CC84DRAFT_665466 MDRLYHARGRCASLSGTVHRGGGRGSMPCVDAIAPRNFRQGRSD VRWRCRVRASFMENPYTAPQQRFKICKTSASSSKRARRVSREKFSGEYLEGSQNGVGG LARKGSPVMLMSFRHRHLCRCEQTEQCTPVAHRRPDDGRRLSSRARVRHTGSG CC84DRAFT_665525 MPTQACEDCVPDPPPARQNHPNHPRATHHPRSSSPAATREHRSS PKPTEPPGEPSAHRFRQRRAASAPSPLVPQLAPLPRVSPNPTPRGAQRNLRTDGRGSE GYML CC84DRAFT_665451 MSMNTALLPHTPSSAVTTPSPVTAQHHRQTSPARRPSTHSPASG APASSPSDSAAAQASLSASPSTAPASAPHTLHQQPFSRRSTLASRFPLLRKSSGSHAR ASSTSHALPASLLAPAAPRASTSSSRGRFDTSTPELRSRDSSTTPSLRSAREPDAASD RSRPALSAASTPYYAPDSEPIGKLDKLPQPAKAAKPGDKKMHQTSSRLLRMTDDERPY TRDFKDLFSTLMVSLPLTPHRVRFRMIDFTFTSDEAITNLGSLKFSQSNRMPDPKDAS RVVTTTTTTTFSMAKEMARSVCTRFYEAKFIESVEGKFDFNNKSSVWQLSPKGIHILE RFCARNGIQSTHVKAVIESSRNPHQLVILERSSDTDKLHHDEQTIEIIFRRFVGNSAN ETSSDSDSIHEFGKCDVGVRTIKHKPTQSRPYEHTFNGRNAAEWLMDCSTMVDRREAI EICSMFLQLGLIAPVDARNSSEKFQPAKQVHYYMTQHGERVAGWNLSEDTTAPIDSNA AKARDGAARDSNSNRTNVIIRNPSWRLLYREFLKETMCEENLSFYIEVQDFNNQYRAA VNATGDNKIETIRETLAAAYGLYNAFLAPGSPNELNIDHALRTQLATRMTRAVGDDAA MMQSLVEVASLFEKAQNSIFKLMSSDSVPKFIKHPKYAPQLRGLDAAAAAAYAAAPGT AARA CC84DRAFT_665772 MPRRDKAAAARGGTRPALGLPEPAVCLLRTQFGVHISSAARPLT QTPAPPTAPGPAQPVWLRGSHAGASASSDGSAALSKASLRRPGSPRLRAAPPLVWPPP RCRVTPARTCLLPAESDSVTSYHLNHPPCNDQPCSERCGVLLMSCQNVAIHVFLPWPG LGCAASGHTCLAATVAVVWQICSQHPCPHRPHFPVAIVAIAPLLQFVAGHNQRPQPPP VDTDFSMRRTCLSP CC84DRAFT_665887 MTKSCMILFSTGTRGLRINRMMLSYHPSLFSFIFQIIAILPCTK TRHLATAHLILTVYNICKLPHVTLTEARHQQTMCYSSADINAPLPTPMSIPSSRLAYP PFAPITVYFCNIQPKATQHFPIQADFPSEPRGNTTGNPEPPS CC84DRAFT_1243109 MPWNVNVNVDSEDRKKLDFKISELEAQQNRYIYKPLPASFHAVA PGPVVMLTNPPRSSTLGAPVIVLVNDFPLFRFLRVKFQADNARLGLRGMPKYDIGQEH CLRLSENAQDGIEGKPVRLFEHGSPELREVSFVEVTQEVKTTTADRL CC84DRAFT_1243111 MSWVSHPTRSSSWRQNQQPQASNPPSWSRQNWRRRSDSSESSCS SLTSSSPPSSCGPSPPSKSWDSDWRAQYVPRSPSSVSNARTAFEDLYPGTVMYLPRQE DVPKSSVFYKRMWKRDDSPWGHPVVILKKEVVSDMEMVQCQLITSFRDRETFARKSDA SKENIALIENTDNIKAHQGLNGVYTRLMTLELWSDRFPKTSFVNFYDKAGPQGNTFWI EYGNIQPLLAGRNIVFDEESLKRIINKQVR CC84DRAFT_1144121 MTEARDLTEPPDQEKVPAESSQQAGVVADGPAQAIVSSEAPDRG WAAWSVVVGGWCAMFVSVGWNNAAGVFQTIYEKDFLQSYSPSAIGWIISLQTFFMFVS APFTGKAFDSYGPRWIIAIGSFLQVLGVMMMSLSTQYWHFILAQSICTGIGGGAIFFA ASNSIATWFKNNRALALGIASAGSATGGVIIPIMVNHIYDDIGFGWALRVVGFVFLGL LTITNVLLKSRLTHVVKKPHPKDFMEPLQEPPFLFLTAACFFFAMAVYQPGTFIALNA QREGIPDHISNYLLSILNASSVIGRVVVGWVADRAGRFNTMIITTFLSALFVLAAWIP AHSTAPFIVFAVLVGFTNGAYVALTSSLVAQISDIKKIGTRNGTNWFMYGIGALIGTP IAGALIQRDSGGYLYMQIFAGLSMFMSGCLFVGSRYVQIGLAWKWI CC84DRAFT_1144126 MAPLLQATPDGDRYELTSPTAMPKAGGFLWNQKMMIQVTCRGYA TSQYMQPEPAKYAYAPTLEAKTFMQPEQNYYAHHPGRFVYIKDEETSEIFSAPYEPVR RKLGEGDEFVFSAGKSDISWTMKSLGIRVEMSFHIPTNDVAELWSIKVTNVSGRNRKL SIYPYFPLGYMSWMNQEAEYNEKVGGIVGSSKTPYQKAEDYPKTKYLKDKTYFLCQEH PVAWESRQWAFEREGSLSNPEAIKEEQLSNSDSRYETPTAVVQYRVTLKPDEQREYRF LFGPAYDDQEILDMKNKYLGKEQFAKTAKEYQEYIARGSGALKIETPDKGLDNFVNNW LPRQVYYHGDVNRLTTDPQTRNYMQDNMGMSFIKPEVARAAIVKAVSQQEKTGAMPDG ILLAEGAELKYINQIPHTDHCVWLPIALEIYLNETGDYALLDEEVPGMHGDTYTVFER FSRAMDWLLSARDRRGLSYIAQGDWCDPMNMVGPKGIGVSGWLTVATAYALNLWAEVC EAAGQASVAEKYKTGAEEVNNCANKHFWDGDWYARGITDDNVTFGIKEDKEGRIWLNP QAWSILGGAASQDKISKMLTQVDEQLSTPYGVVMFAPPFTAMREDVGRVTQKYPGQGE NGSVYNHAGAFYVWALYSIGQSDRAFTYLRQMIPGPSEEDYLQRGQLPVYIPNYYRGG YKLYPRTAGRSSQLFNTGTVSWVYRSLVEGLCGLKGVKDGLSVKPQLPKEWDGIKVTR LFRGATFEVNVKRGDGKDVKVVADGNAVEGGVIQAIQAGKTYKVEVEVPRQ CC84DRAFT_665941 MSRWLERIFREPSYKRRGSSSMSNQRTARHKAHFPAKHDLRPTP ISLNGDDSWLLSFRSPESDHRNSGKAYFHIVHHP CC84DRAFT_1175321 MDFETSSPGAYFEVQPQHLSSHLQYDKSNTYSLPFEFPVEYPTL DLQGHSFRSHQRHHSASAQSNHSSVHRSSNGSVFSSWQPQARSSVASTNTTWSHCSDF TSEQYPAPSEHVLEAQSSIASPPPARTPFKRAHERQPSQDREPFLTCVSRNKRSRRSA KEPKYQCTACSEGFQQKYDWKRHEETYQERTEMFECELCLNVYFLEKDFIHHHQGSHR CQVCIPKRHVEMARKKRVARTGWGCGFCVHFSTSWQERCSHISEHFERKGHTMADWKH SRVIWSLLQRPEILQEWCYLLETKQRTQNPFSWKESTTGRAEGYPDTDAQPQLQDLLE FYPQPHNAAAIARLAFETGLREKELPKAPPPVPKKDQYPLQLPHQSMYHQSQHSGPFS AAVYDPMPDMPIWNRLGAIPEDPFQPTNVVTLDYDELSAAFNNTYDHAGQF CC84DRAFT_1216474 MRPTRPSGHKPPTVPSPFMTTLLSDDIYDVEQSSGEPLVQHRAR IGAASSSAHMPQSVGNVFHCDESPSRQHIEYAPSDAGSNNHVLAVRTKLRHAVTTDSR SDAASDYSDKDLWNAEPQQAQSTTSANPMYYFVALPHAAPNGSPIGRTRPIAIDNWWP GPLDSTRITTLKWRVERAMGTFDYSPVVIPVVGVLHPSGIRRNYLEAVHEASSHKFQN PALVGPPPKFILPKGRGELRRQTRQVPLPSQTATHSTPPLRTVQARRSKYVVPRILSR TGSFFDDDPFVMKATPTVEQALSWSYERVLMTTEEYEQKVAEMADDETNISTPLYGND PFAALAQNTRRSKAIISPQSGGGYGSQDSLGESSRAAAKFTSAVSPGRTDASPTLIFA MSPLAQASQLELSGTHGSKLETIDEGVEESSRQPSQDQQVSPFSTITRRLSKPKKILA EAEVFATRCMPLKQQLAANGVKRKDIIQREEDEITTWQASAPFEGAQLAEELQKFTTA AKEQTELRLRILDGESRTIQNRLSRLGFIERSGKGPVQLHL CC84DRAFT_665961 MSRSPAGHEYHVLAYRCMFTGLLSSVRLRSECAIPSPPEGEVAP GFSLEKTTSARRDFVCTDCTRSLLTRVIFLRRMLLRQPRQRTLRCPQDALATAFSTCN NSQNIMASANSTLAACSTPQRVVIHPCNARRAFTCHANSQMHGAGYEQLPLAARSAIR QSAGPETRAWAAARTQDMQHTAVTRMGMLERPHAASRTTSEATQCLPFTGPPRARPAQ RTRKRGEPSCGFEQLMVRGWELIRARSSFLHMHKQCYMHGCPGPLSEDPGPPCLGIAS RHKS CC84DRAFT_1087925 MAPSRGLARLLPRPAISNTGTYSRTMSFARRAYSSFAEQLANPA NRVQSYISRSRDPFVNLSIEDYILRASPPDSTVLFLYTNRPCVVIGRNQNPWSEVNLG ILQAATSRGTTAKETEPPAIGDVHLVRRRSGGGAVFHDEGNLNWSITCPRTEFTRDKH AEMVVRALRKIGIERARVNERHDIVLDQGHERHPSDPQDTHRTSYTVDEGGPRPLKVS GSAYKLTRGRALHHATTLLASPNLHVIPQYLHSPARKSIQAKGVESVSSPVANIGLDV EMFQKRLQEEFSGMYAHQGTTTTVQTVGEEHLKIPEIRKGYNELKTDDWMWSQTPQFN IAFEGPARSEIDINVHHGIIKTLETKGGSFPDDMLHELQTALVGQKLQDIGDWSQCLQ SRIEPWHSDYGIIADRLETLLPVPQFERP CC84DRAFT_1144134 MASANGNARQKGTSPSDLPIVIAGGGCVGLFLALLLTQSSIPNR ILVIEPEHPDPASTRAMAHQPLIFPLFSRAGLMPELSREGSFSSGICFRTSAKKGSKL IAGKKFKEGDKSQLLLPQGKFQEILMRKMEETGKSEVRLGSRVVGLEQRDSSVEVHVQ DSTGKEGTIIAAYLVGADGSKSTVRRKLGLTFDGETLPAQLVATDIKYDFHAHGFYDA NFVIDPENYGLIGRITTEGLWRVSYGVPNEIEEEEVRRGAEDKIRAMLPDGGTSGFEI KRVAPYKAQQLCVERFWMGRVGLCGDAAHLTNPYAGLGLASGIADASSLAEVLVRVLA QRASDSDALFSSWSNARRQKFFTVIDKPSRMAYARVKHSVDTEDEIEALLARDPMVGA LKKGMPVMPPSIETNGEELVGW CC84DRAFT_1088070 MPKSDYPEASPGPGVQTVTTDELRARELYKYFRPPANDGELPDP MLTAHAQLVAWRLGAERSMITLIDEHVQYFVAESTKTLHLDNANEHDHPDDAIWAGCV RVSKAGRLCEHTIAASPPEGGGPACFEVLDLGKDDRFNNLDFVTGAPHFKNYAGVPLR TRKGINIGSLFVIDSRIRPALNTTERLFLGVVANNVVKHLEMLKDRQDCERGLNMSAC ISAYIDPLHKSTRSSARNGVRRKPAFLAPSQVGATDVDHDEDEGGRLGTYRRAAALLL KGLDYDESGGGVMFLDTLPVGSKSRYNVEETTATSSSQEESDGDAQAPINPSTSSDRQ QDGIQGASRAKDDFEINATEILAHATQPKNSSRPGCPPVEQVEVLPPNYLLKLIKRFP NGKLFTFNLNGHAMTSSSDETSKVLSSPQSSKSHTKAVKRHETDELRRCIPTARQIVF LPIWDSSTSRWAVCIAYHKSKFRHLSYKSEFVYCATFGNAIIAELTKLACLQADQQKS DFIASISHELRSPLHGVLASCEFLEDTELSQFQKSMVDTATSCSRTLLDTINMVLDYS NINRFEKTKDSRKARSDLSVDSRFKALQTSLSTHRTVDVAALLEEVIDSVIAGHAFED RLKSRGSVSSTTPQKMHIERDVCAEDSSVKLILDIAVHNWTYFLEPGALRRIVMNLVE NAIKFTKDGSVHVKLQSNSSKDPAALGSVTLTVADTGQGISAAYLRNTVFTPFSQESD LTGGSGLGLSLVKSIVRNMGGRISIDSAVGEGTSVTVKLPMVREPSTVQNRKGKSDSE HFDNAAERNRSTSISAVRDQV CC84DRAFT_666006 MAHVHNLKGDAWEHVAREVFDFPHECMWLVPSGLTSAKSAEPSL WTRCAKSKHCRRRSAITRQHSLSRCTCPRTCPSLLLIDSRAPSAMSAPSTSDPLKESG AASKSKKKKNKKKGGANNNKPEEVATENGDHDKQEEHEDDPDEEDEQSKPVIQPHEGD VDDEPPLSPTTAQANGAASHSISSAVALGLSRSRSQQGLSPSPPPPSDTSARLDAIAK ERDALRQEVTELRKSLESIKEKHEEEIGTLQGELEEANENKDHFETQYKNLLGRVNTI KTSLGDRLKADAVSAIVSSALS CC84DRAFT_666079 MQDWEVLAMNERSIRESLAEKEAELKDQIESLREAYEKAARDRD TNNEAVEGLQKALQEVQNLRKTELRRSVENYESQIDELRKQVQAAQEAATTSKAMLES TQKELERALPFEKEVKEKNLLIGKLRHEAVTLNEHLTKALRILKKGRPEDNVDRQIIT NYFLHFLAIDRSDPKKFEALQLISALLGWTDEQKEQAGLARPGTSNSSLRIPMSPFRR TPSTPSLNSGINDPMLMASSSSNKESLAELWSDFLERESDSRRGSVPTSPPPRSDTAS GLGISEGEKR CC84DRAFT_1144142 MALARPLPPLWQALLPGLNGPARPVLNLPLLQRLAQPFRMLPTP FAALAIPLSLPSIPSLSDIWDGLLKAVPKKKTSYMKKRTRFMAGKGLKDLTNLNKCSA CGRVKRAHFLCPYCVDAIKSNIFGQHWWSVRMPTRKQEKQLKRQKREELMRSRMVMPD PREEKPIVEHTTWAKK CC84DRAFT_1204994 MATAYGAPQPSVYPESYVGFDSITKQIEKKLVKRGFQFNVICVG QTGLGKSTLINTIFASHLIDSKGRMQPDEPIRQTTEIQAVSHTIEENGVRLRLNIVDT PGYGDLINNERCWDPIVKYIKDQHSAYLRKELTAQRERYLQDTRIHCCLFFINPSGHG LKPIDIVVLKKLSEFVNVVPVIAKSDSLTLEERAEFKERIKEEFAFHNLRMYPYDNEE HDQEEVALNTSIKSIIPFAVVGSEKNIVVNGKQVRGRQNRWGFINVEDENHCEFVYLR NFLTRTHLQDLIETTSQIHYESFRAKQLLALKESSAVGGGHSSRPISPAADRELSRNS QRMTMNGY CC84DRAFT_1175330 MASNPDPFDSILTLEDDLYTTAYAQGAADGSRAGRIEGRIFGLE KGFDKFAALGQLHGRAVVWGARLPAAPVLPTNLEPSVEKEKDSEAQVLRGLDGNERHR KHIQMLHGLTDPLTFSTLNTEEAVADFDDRFKRAGAKAKIIERIVGETASPKSPERDS AEAAAGNIRVSGQKTKKGDDSMEDFVGSRFLS CC84DRAFT_1163678 MAEPEKKILPVDGERNVLITSALPYVNNVPHLGNIVGSVLSADV FARYSRARGYNTLYICGTDEYGTATETKAIEEKVTPEQLCTKYNALHADVYKWFNIGF DHFGRTPTQQQTDIAQDIFTKLHKNGYLEEQTTTQPYCETHKSFLADRFVEGTCPLCQ YDDARGDQCDKCGHLLDPLELINPRCKLDGATPVPKETKHVYLLLDKLQPLEEEWFAK ASKEGNWSSNGVHITSSWLKEGLKPRGITRDLKWGTAVPLEGYENKVMYVWFDACIGY VSITATYTDQWEKWWRNPENVKLYQFMGKDNVPFHTVVFPSSQIGTGDKWTMLNTIST TEYLNYEHGKFSKSRGIGVFGNNAKETGIPSDVWRYYLLSHRPETGDTEFEWKGFIDS NNNELLKNLGNFINRVIKFLNSKIYDSIVPDYTKFTSEYLDAHKKKVNEALKRYIAEL EDVKIRAALATALHVSSLGNTLLQDNKLDNALATNEPDRCAAVVGMALSHIHLLASLI HPYMPDTTTSILAQLNTSFLTIPDDWEARSLPVGHKIGKAAYLFSQIKPEREQEWREQ FGGEEARKAKEEKAAKAAAKKAEKERKKAKKAAGKVGEGVEATEKGGKGEIPAEKTAV EEVAEGVKQTSLQSS CC84DRAFT_1216483 MRPHLVLLPPIVLLTQVAAQIPTAPIPPPPPSDFSAFPPPPTGL PPPPTGPSPPGFSTGPPPFPSGWHSEPHSFPSPPPMPSQTAPTLPGEVRPSTPPPPPM GSLSLVTADPATPGPATLVPTSASPSASISGSAVPGAGARRGMSVGEMLGGLLAVLVA VGPALV CC84DRAFT_1243122 MASTLCCTAEQEGRPESPELPNTRVNEASTPARLPLLPLPPKPA GSVNSRFTSARTEDLHELRQIFDNAKDNEPTHASPTKAARRARFNKPSIYSLRSLHKM KSMRSLIRRKFSRDLAKKPSGDHILSKTAKDGETPGQGTVVKQQKGRHDVQVKITKDD LRKDLLSDKRSDEGGYDSDAQVLDDIARNIGKKTPNKRPSIHSIDWEPSTARLGQVFS SPNLQAETSKAQERKLRRSHSATSIRLPEHSPLSPLRLPSLKSHDPDGIPWSVALNES LRLSYLPILRRPISPKRSQISLRRMPSDKNATARVGKQENDIPRKAPAEEEEDRPTPS TVMQIRVQEPTAMTTPRQSGSLRVITRGIPPAVVQESAKEQDVTEEDQPRRSVHLYSM RISHHLRSGSLLSWNTTADRSELPSFASSRVPPKWGRVLTNDLREDKSSIYSSRPQSP LDSPLESFGGSIIDLSQTPKNPTSTARSSLDRDRARRSNSYPTDNDETPKPPQRHGLT NLRDVTSYSALTRRSNEMTRLSRGNSVASTRKSKFREEFSPSPPKKKLMPSASVIRFF TPKRGSLRSQSETNMKTGDFIPEVDGSLEVPDAATQRERRNSKSAISLEAEQKALFKD KKASGMWDRALQNYQDEKAAMLLPQNRDLAIYRGPFRERSGSGSRPRVSEECEIARKE AQLLFAQQTDTIDTVGAWGRYPSHTRADRTFSAGHQDQVETRDFALEAAIKFAMNENT DGDDVEEEEIDPATRPVTPPLLPGQKKRKKKVGHTRIAKSHSMTFGKSFLKNYTKIFR SQSIEFHRHGQGHRSSMATGGTLEYPELEIVPDVWRRAIIEETSRDSSHGNGETHAAQ EEHVAGDKKGKGKMKEEDSATTLQPLATSSRPTTSNLLQAGLDGTKDTARVWSAYYEN CLPALPHASSNLDFTIDDFGAPARRSLESKRASMRSRTMPVRYSQHSRSASRMTHLSI ASHGSGRPSFIYMGENDGGMDEQSIVSVRRSTMDLVSMYKEQEHTEMERVLNIVQAES AKDSTTLKGL CC84DRAFT_1216486 MSSLSTKLAALEDAGKPIQVGIIGAGKFGSMYISQAHRTKGVRL AAIADLSPDRAVSSLQKTGFPSSKFNTCISLSDGLKYDKTVITSDSEAMIATPGIDVI LEVTGNPAVGVRHALLCCEHKKHIVMINVEADVLAGPLLARKAKEAGIIYSMAYGDQP ALIAELVDWARTAGFDVVCAGKGTKHLPQYHYSTPDTVWDYYGFTKAQLSGGDFNPQM FNSFLDGTKSALEMAAVANGCGLRPPSDGLAFPPCGTHDLPQVLKPRSAGGQLEEKGT VEVVSCVEKDGRAVFGDLRWGVFVVIEAPDEYQRECFAQYGIKTDDSGWYAAQFKPYH LIGLELGISVASIMVRGEPTGQTRTWAGDVVATAKRNLQQGEKLDGEGGFMVYGKLMR AEDSLRTEGLPIGLAHGLVLKRDVGKDQALSWKDVEFSEKNQAVAVRQEMESIYRKEL GSVRWDSAAVRD CC84DRAFT_1186471 MRLLRRSDTGEFSLSQFRDEAIPPYAILSHTWGPDTEEVTFEDL TNGTGKDKPSYEKIRFCGERAALDDLEYFWIDTCCINKANKAELSQAINSMFRWYGNA TRCYVYLSDISSSPLSNTEEPKSPWPWESDFRKCRWFTRGWTLQELLAPSSVEFFSQE GERLGDRNSLRRLIHEITVVPESALEGAPLSQFSVNERLSWIEHRQTKLEEDRAYSLL GIFGVYVAAIYGEGTASAFQRLREEIGKLEQCMQDLHLTDPRDDKKRIEDTKGGLLEA SYRWILENSDFQRWRDDEQSRLLWIKGDPGKGKTMLLCGIINELEKSIAKTDLLSYFF CQATDSRINNATAVLRGLLYQLVNQQPSLISHIRKKYDHAGKALFEDANAWVALSDFF TNMIRDPDLELACLVVDALDECVVDLPKLLDLVIHTSASSARVKWLVSSRNEMHIEQK LRCVDAKARLSLELKQNAEQVSRAIDVYIVDKLSRLDSLEDDSLRDRVRDILRRKANG TFLWVALVVQELEGPESWDPLQVVEEAPPGLHQLYDRMMNLIQQLKERNSEICRLLLS TACVTYRPLYLAEMGSLCGLSGQVSVLARNVRTIAAMCGSFLTVRDDQVYLIHQSAKD YLSDKMRDTVFPSQGRIHHNMFFRSLKLMSSALKRDMYGLIALGFPIDKVQVPVHDPL ATMRYSCVHWVDHLCDWNSSSANHGIDSQGKDAIENFIRKKYLYWVEALSLCRSMSEG VLIMTKLEGLIQRRSDGLALLELVRDARRFIMYHKQAIQISPLQTYASALVFSPASSL IRRYFETEEPGWITIKPNIGDKWSACLQTLESHSDTVYSVAFSHETTILASASADSTV RIWDTSSGTCLQTLDGHSDWVNSVAFSHDSARVASASADSTVRIWDASSGACLQTLDG HSDWVNSVAFSHDSARVASASADSTVRIWDASSGKCLQTLEGHSDSVNSTLRGHIRSV TAVAWSPDSTRLASASFDTTTLEGHSDTVYSVAFSHDSTMLASASDDSTIRIWDASSD ACVRTPESHSGIVTQVTFSHDSARLASASYDKTIRIWDASSGKCLQTLGGHSQLVFSV AFSHDSTRLASASVDSTARIWDASSGACLQTLKDHSDSVLSATFSHDSTRLASASLDR TIRIWDTSSGACMQTLDGHSDSVNSVAFSHDSARVASASSDKTVKIWDASSGECLQTL EGHSDSVDSVAFSHDSARVASASTDKTVKIWDASSGKCLQTLNIGKALHNISFDATAS YLHTAIGTVTVDAPSDSNIISDKIESSDPQYQGVGLNSNGDWITYNSKNLVWLPSEHR PRCSAVSERTIGIGIGSGKVWVCKVELDAS CC84DRAFT_666289 MPPRHRRAKRAKPCTIKPVKKTNFDIALEAIRAELKRDYTFYEE ALLGVIRAKAAGGDATPWQVLTRYGGYALIAF CC84DRAFT_666288 MYLYRHMNANDWTYHTGRIILFNAPEPALGILAGCLPLMSPCLR IMLNKVKSYGPCNPTDEESVKVRQSEHPPTIGHARRVSLRVMGLGSVTSEGFDRLESQ TNIAPLAMQTFTLPKKDIVHNTGSN CC84DRAFT_1216489 MELKQLQVPVVVVCALSALIATFAIVCRVWARALTRKKWEANDW LMVIAYVGLLGELIDAIVFVFVQYYGVHIKDVPVAAIVQMKRHPESRYILTSMALSAN VFCNLSITQLYATLFPYTRFRIVCRALLALTVAYYISFMTVQFFSCPQKMDNALAMAQ KCAENSRTIWVGASAGAMCIDLANVVLPLPLLWRLNVDFEKKVRLTLLFGLGFL CC84DRAFT_666296 MARHNKSNLPGRPMYQPKDGSLGLLGTLPVELRLNIFEIVLEIH RPITARKCCGILGHLTKPCRLHAAIKQNYRTLNRYRFALLSISRGVYNDAIRVMHNKM RVHVDVTNLIMVHKGAIGYGGKPYHTMWRRICRYRLIDLIVPVTAIKFDQSEECVTSL FDCIDMLLDKWNAENSPKSAQKVREITIHLGRIFSTYRPFKAAKLENPKIKEEQARLL NVCWENLENSVKLIALRGGEANWTFTVNSEVDASNRVGQRMLNMFREILEEVGVECQE GVAQDVSVMESRSATGGTHRRFVD CC84DRAFT_1258618 MSCATTTYYSPLHAQQAAMNGCRLATRCASRGREVSPAMSDSSW LTMLSRSPSPSSSPPGRQPTPALSDSSELSMLSRSPSPPPGVLRINEISPQLNRPQHG PGDRFLRSDAKRETSPNPSARPAKQLRVVTRIPPRTVKRKAPAASSSPRSSRIPRSPV VTKAAVSILEVKGAGQLDRLPKEIRQAVYAYCLDIDEPVSLKECCGPFSTRRARASCK KHGETCTKIGRGNGLTLYEEDEGSIKIYGRFSILSVARSVHEEASWVLYNQARLIVRS TTALQAYLIKKQCTFYRLPNLPEAECVERMWLSAGHFRKLCFQLPWTKLSIDDPVECV YRLYKAIAFLMKAWDLVKEKPTSPRTVEIQLHDLHTAVIPFNSDRSTKMAYEWNAYHQ PHLGSGYNADFEVIGEEVVHILERLVDLVGRHGGLSRWKVVAKAPRTYHVGGAENDGK IMYDQEDGGLTALHTLEACCRSNGVQFVATS CC84DRAFT_1258619 MTVGSYWNLCVDRHDKVYVLTMQKPPENRINVRYAQEIIKALRD IEHELGPESDGCVIIRGNDEKFWCTGLDLDEVEANPYANPDGFFPLLATLLDYPFPTI ALITGHTFGGACPFALSHDYRIMNSKRGFFSMPPVNLGLHFPGIGFLPRLKLRPQIAR KMLLEAHKWTGQEALADGIVDEIAEPDKMLDVALKKAKEIQGRAKMGVYSLLRNELWG EASEAFRKISYVHGKLTNTPPKAKI CC84DRAFT_1195683 MKTHTHFASSLVLASLDIALGQASRRYCDTASSICYSGWTGGNG VTIGVALPNTTSPNFDTVLQIVSPIANGWVGFSWGGTMPYVPLTLGWVNKAANTVIYS SRMAFGLSMPQAYAGAEYTYLKGTGYNDTHWTLNVRCRGCSQWQDTEGKTVSLDSSNA ASPFAHGLTNKTPIQPAKNTSVFNVHSSFGHWTLDLTQGKNVNFEKLVAANLIPDAPP ATTSTSAPSPPTSSRTSTLSTSVTSSPTPGPVQTGVPSSCAGVSNFHSPVLTANGWKA VKVAGNLVQPRGLIFDTAGRLLLIQNGLGITAHTIGNDGCFTSEKTVITQRNLNHGIV LSQDGKTLYASSATQVYAWDYDVATNSVGNSSRIIISGMDSKGHVTRTLAFPPKHPNL LIVSHGSNDNFDYDAGNIKVGRSCIKAFDVTKTPADGYNYASGGYQLGYGLRNGVGLA FDGNGGLWEVENASDEITRTIDGTSVDIHADNPADEINYIGDPSKENTQWYGYPTCYT VWAPDLITDHKFTVGDQFVLTPNATFADATCNTKSIPAKLALQAHSAPLDAVFDKNYT NLYVTYHGSWNRVPSTGFKVVEVPFVKGASGFTPKTAIAQSNVTGYTDILWNPEVEHC SATQCFRPVSIAKDNFERMYITSDSGTEGELLMLGRA CC84DRAFT_1195684 MHGGLLIDQLAGGNANGSPESTTHSLDFVIVGAGVSGINAAYRV QSALPHVNYTILEGRHELGGTWSLFKYPGIRSDSDLHTYGFSFNPWEKSNPIATGESI TAYMKATTRKFGIDKRISFNHKVAAAEWRSDEQRWRLEVNNEGRRKIYWAKFVIMGTG YYDYEKPLKADIPGLERFQGTRVHPQFWPEDLDFKGKKMVVIGSGATAVTILPAVVEK GVGSVTQLQRSPGYIVNMPQPKPEDPLPYYQRILPRWMVLRWLRFQFVLVPYLLYLLC QTFPKLMRWFFRSEARKALPKDFPMDPNLQPKYNPWDQRVCFVPDNDFFKAFHTGRAR IVTDTIRTVTEDGIELNSGEKLEADIIVTATGLNLQVCGGIPISVDGKPVHIPDCYVW RATMLSGVPNFAVIIGYVNASWTLGADASSRLIVRLFKHLEDNKYTNATPHITEEEKK DPVQMLTLKSTYVRLANGNLPHAGRTGPWKPRDNYFLDSWTASRARLEDGLAFGRVAT CC84DRAFT_1216495 MKEAASSSPKIGSAAGVTLPDNPAVLNAEEYASTPSAKGRAGFP KAGGQSLSYWLQQVRSDPLLDYRTTEQLPEQVDTVIIGSGISGTLIAKHHLETWPERS VIVLEAREFCSGATGRNAGHCKPDQWRHFAKFEKAYGHEQAVQIMNNEAATWRALVSY VKENNVDCDLWVGDTHDVPLDDDVAKIAKECFERYRDLGGKVDHIKVTHNPVEAAKIS RIKNARACYSWSASTLQPWKLTAHIMRENLKSGVNLQTYTVAKTIAAGSSGNRKWIVH TDRGDVACDTVVHASNAYIAALEPSLKGVITPKPHICNKVVPPRAMSGSKAIQNSYGV LLPDGGLFSINPRCTADGVVMFGGSNPGQKQLDKWVEDHPEHCINDGLANIESITVCV REFVESNFEGWKDAEIGPGEGFDYSWSGIIGLSADGVPFVGELPGKPGQFICAGHHGH GMARIFTAAPGVVKVMNGEPWEATGLPDVYKMTPERLQRLQSATEVAPKVAIV CC84DRAFT_666373 MNVLAEACSMSLMKACDDFQAPSSEGENILPIKLMALSTAPETP QKWHSFLVEHASRDSTCKIAVTRNNGEGSDSTFARIMLRSGCVRTMLHRGSGLPCPCH ESSSTAGCVEHPVARGAPAA CC84DRAFT_666381 MVNFASTVSSALAATSLLSLAAAHPGEKHDHAHIKRQIDARQLR AAAAKRSLGACESSLKHRDLMARSVQRRANALQSLREKRGISVNSKKLRRDLSDLQAF ETVNHNMTGELDYSLNTDPATIFSANTSCILAPENTAGPYYVLGELVRTNVKEDQAGV DLYLDVQYIDINTCEPVSGLFVDVWNCNATGVYSGVESGQGGLNSTFLRGIQETDDDG VVTFETIFPGHYEGRATHTHLLTKSNVTLRENGTTEGGAVTHIGQLFYPEDLITEVET FEPYNANTVDRTTNDDDMWSVVQADASYDPFPEFVYLGDTAADGLLAWIQIGINASAD HSDDEYYAVAATYYEDGGVANGDSSFGGGSGGPPGNGTGNGTMPSGAPPSGSGAAASG AASTVVVSDAEATVVSTAAGTTLTTVSSAVSSSATSSVGAAKPSGEPQNQQGGKQQGQ QGQGQQQQGQKQQGQNQAHNQQKAQQQNNQHQ CC84DRAFT_1163687 MAPKAVEASDGRQVELYREPLTTPGLVPTHLKRATADANPIIEV IQRFLAHAQPGSGATIEKLVGLVALYKVVSPVWRFVKDLFLRSLTSRISISEYDPVAQ EIIQWVSAEVVNKGLLSTSATVMTGDMSLYMVPDVYGELRRQPKRQSDDVSCIPPIGK KIFWVGFRPFLFARTGSVTGTKSQRGGAMIDYQGTPQNSVVLLTPGWSLRPLMDFIKH CHEYKLINMDGSTNIYFSSGKVDPYSGHLWSTVVKARRKLDTIDMDATLKAELVSDAE YYYSDESRKFFADCGIPYRRGYLFYGPPGTGKTSFSAALAGHLGCDLYLINLATGDVS DGHLHRLFLALPRKCIVVIEDIDSCGIGREQGPASTEPKQPSATAPSELPITSDGVHR LGYPPASAKRHMVTLSGLLNAIDGNASAEGRLLIMTSNNPNILDEALTRPGRIDLKVC FGKMMPKAIHDIFKRLIGRAAIAGKRYAEHEIEEFARTFTTKVPPNTFTPAQVQNFLQ SCRGDPIKAMQGVDAWIEKARDQSPEEAVKESAPLVPPPEAAEAIMDDLDDYLC CC84DRAFT_1163690 MANTSTLTAEKLFSVKDHVCVVTGGGSGIGLMSAQALAANGAKV YITGRRVEALEKAAKSHDPNGGGQIIPLGPCDVTNKDDLENLYQELSKREKYINLLIT AAGISGEKAEPDTENATDLKSKLWDNESFEGWNDTYNTDVTSVYFSVVALLPLLQAGT ESHGHLSASVIVISSMSGIMRHAQGHFSYNAAKGATVHLTKLMSAEFQKARIRVNSIA PGYFPSEMTTQESDENQKSELPDSKIQEKGHVPMGRAGADEEMAQAVLFLTKNAYVNG EIIAVDGGVLNVIAGR CC84DRAFT_1087848 MLKLIKAHEFIYVLSVPFPKLAILCLYFRLFNEKAARYALYATG LAIIGTSVFGVISLFANCRPFASFWAATHAAQCAMDPATAMRFYSIPNIATDAALMLI PLPALFKLHGGRWERLGVGLTFIVSTLGIVTAVLRFVVFLRTDLFQDVTYHSVITTNW SIIEPGVHLMGATVPTLRPMIRRFFSQMPRTISTPKSATQPFSRSSPSRPKTTMIERP ALIKKSSARDMVPTIGRAPSRNMRMDDYHFMQWGSGVYSVRSDDEASMVCADAVVHET MGKQGRNPDGTLQMWSLQPVQYSPLRTSFFFEGDSAR CC84DRAFT_1216500 MVSHPGSRAAHAHSGSRSSQHSAHGSAGHHKKASSSSHGRAPSR DGKASREGTAQRAAQDVAGLKDYQLGELLGKGAHGSVFRALNWGTGETVAIKQVKLET LGQADLKTIMLEIDLLKSLTHPNIVKYKGSVKSAESLYIILEFCENGSLHSVCKKFGK FPEPLVGLFMSQVLQGLQYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKQSGLD DPSVVGTPYWMAPEVIEMSGATTAADIWSVGCTIIELIDGKPPYHHLQPMQALFRIVN DDHPPIPGSVSNALRDFLMECFQKNANLRIDARRLLQHKWILSTKRTAPDVASKSPEF NQAVNQIQQYNERLDSEPALRRTSAAAPLPRRVPVNVNLNIPRQRPIAESFRSPEIDK DDNWDDDFAESISPRAFYQPLLKPQDNFGGLFSSDKLKAIASVMEEPLHMDGDATVKS PLNLHHLRGLPSVFAQGDVGRTPSARARSSTSRSTSTSTITEEPPERHEGQPKTAFLR GVPKLMQPPRTQASVFARPSQMFRENSVEDYSDLTVADESAFELKLKAMQIANPPSVF PRDSLRVPSPADTFSPKLFHPNDLKTAPKSTRDARANGARQRSVSSTSSRKMQRSQSE IEMLRYAEDDRDDFSDVFGDIKPSRAESDSGSEHSSMAMITSKMSSSFMLADDDDLDP FANMDEGLENINMENNVARDRDDRLTKQTEMLVGDLKINQPDVDLLKIANQLLEVLYE SPEKRQIVLRSHGMLPILEILREIPPNELVLPLLKIINLIIHEDAESQESLSMLGGIP TICTFASKRYPSEIRKEAAAFVRQMYQTSTLTLQIFVGCGGINVLVDFLEEDIDEERD LVLIGVNGVWNVFELQGSAPKNDFCRLLSRNSVLYPLSLVLNRVINERDEVAQLIQGR IVSIFLIFSQAESFVKELVADRMILKRVLKDLPKMLPVHQVTMLKFIKNLSMLSSTHE ALQNSNAIDTLIELFRNTQHQLNHREISNQVLNTMYNLCRHNKSRQEEAALSDIIPLL KEVVNGGGPLKEFALPILCELAHSGKVARKMLWDAKGLQFYISMLSDRNWQVTALDAI FVWLQEETARVEQYLLSSNFSTAIISSYTSPELSQSNFENMLEPLQKLVRLSPPIAAS LAVPDIFTRTVQKLGHKDAVTRLNLLRILRDICDSTEDECTLIRQFGVYDTIVHLSEH DHAVLVRQMAGELVRACDSVSKRSTSRASGFRRPASSSGTRAGSGSSTGSTLGSGMTP PTPNSLKSAFAVPPVPPMPPTPTLLGSGRERITRSHSTAGIWDLQEEPSPKPPSLVRA STTVNVLSPAMASSPITLSARTPHSSRPPSRDTTSLARVASHEKDSPITPGKSRLPKA RQGRLSEAVSRRRQSQASQGGGTGEENVTPSHGSGSGNGSGSGSAAATPLPRLQIVRR RRETSGGEMSTFGPDGVGPVRPGSSRRAPGAGAGQE CC84DRAFT_1163693 MKFTPILLFAASLASVSSTAIPDPQTLECGELGRMNIKPGDLPP NVHISDVRTCRDHPETTSVNKRKHDGTPAIFKRECNSSGKSKGCSKNGYCWKECGGGH QWCWVALAEGWGPWKTCSNDGQCKSSDSCAKGDCKDCGCSC CC84DRAFT_1175345 MLHSTFALSLFTAVASALSASSLKTHVGSLSLSSSFNPIKDAYW TGYPHHRRTPFSVSPDGKSAYLAYLDSSETGVHIQQVDPSTFAAVGDVISVSTGKEAG GLVAHNDGFALLTNEAVTGVTDPVAVLYRYTSGKQSWKTYLGGPDVENSSGHTASPDL NGDLAYSETAKTYGAYFVVTAYDGSASGHFGDTIQYVSDDGTLKNIAGATSNGFGCSH NTGIAFEAADEAPFASICAEDQGNIWLNTETQYMAGVRISNENVTNGASNEAMGGMSG SYSSLARFVDSDEYIFTWVSKGAKDLTTNDWLGAPYTHSINRTANRNVAIARFSDKKT LIGEQATQELGGSGDDQVNWITTGTADHSNAHVAVFDKSNALVTWEEIAEPNCPLVAF GCEGTFTGSYFQLVNNGEKVGEAVKSTNVYVAGDMVTMSDGSICWPYVDMDWSLNAGS RAASVSKISFACMSNGGSSSDSGSSTSTIASSAAAATSTAVAASSKGPATSAAQSSAK ASASDAATDVDEVAISTAAPITETSAAAPVASSTAAADDTESALPSLIFESARVSTAS VSATGIAPVPTTTDNAAFQSSILIQSSTASGQPTSSVATVSLPASVIDSAAPAPTISS SFDVCAPVSATTVTQEEVVTVTVTPSSAAQPAEPTAPASEAPSSGSPPYPTVSHTGRH HHRPHPTGTFPSGWFPYPSGGFSGRPRPTGGFGGRPSFHAPSGFLTRTKPAPEATGAN PVASSAAPAVPVYGSY CC84DRAFT_1175346 MPPKKSLRRAVVRPRRTICKICHNLDPRDHASSVYLTERTEGPL ASLSLVIDAFELSKLRLPKEGGCRFCNVLCQALDAFFGGWRGSRQRVNIEILEKGTIE IGLDQEAWRSEVVSIYTTKPSRSWPTLGVARRIPLNSGSDDTFDFARRCIQDCLTNTK HKACSLPRKSSASTPKRLLDVGRATAPIRLIDTQGKALEYAALSHCWGTGSPLKTTKS NWRKLSYDISFDSLPPLFQDAVIITRQMGLRYIWIDSLCIIQDSARDWETESFKMGSI YENALFTIAATASPDGASRALNDREKPVKLNFENMAGDEVDIRARRAEDHHPRNKEEP ANLVGPLTTRAWALQEHVLSTRILHYSKTELLFECRTSFRCECSPSRKSQPTTPALIP TAMAKSNKQPEAVWDAWHRVVEQYSRRTLTHLNDKLPAISGIACKIQKATSSRYIAGL WEANLVLDLLWSTTSTADAHYFSPDKYRAPSFSWASLDVPVAFASSDQEERHFVVSTI ILVASSLSVTGLNPLGALSDASIRVRGPIMHATLLSTQREGLWEYVLLLKGTSAITIQ NDCLLVEDVIGVSSSRTAQYIRRAQCGDVPKAFKAPVICLCVARHDTWISGLVLGLVR QTLNCWNRLGTFAAESDVLQRAKEDEVQIT CC84DRAFT_1163695 MYTNFFSLALILPAVLGAEFSHIITHDFSAMLRRSDALTKRQGY YPSSQPCGSGTNCAEACGAGQVECPADAGRGVCFDPSQSQCCPDGSGYSCDSGYFCSS DGQKNTYCCPNGMDLGACAQAYSLTVSLISQTGTVDFSSQTESASAITTSPTPVYITS IAPQSSSLVDQASVPTTTVLSVPAGNSTYATGSPPVEFPGVATKTELAGMVVFAGLAV FVGVLVS CC84DRAFT_1163696 MSSVVKTIFLSLASRTALQNSTVPPTSSLGSTLASNAITPSGSA GLNATTSAATNPALACIQSSSDYESALSSYYRDTANYEQITTTTEYDYIDSRLSYSCT SSCGSSPGAISYCGSATLLNTTSRISRTGVTNIYTVSAPPEPTCTVANSDCAALITSY SSALDEFQSDTTAISSPQSPDCCVPTACTFAYDAMDFYFYPVTTNVSRNMCVNTPFGG YENSVTKTGDPDTTYTEITTGPSTVVNGVTMYEGNVYMSMKDPYVYDNCRNRILPKSQ GPKIVTMASSDVYSVIAYPHNMIAYSIRYEDFNEPVPWSAYMGQSYCWNNHVACTEVI PGHYQPAILMPGQIRQLDPNWASCSYDKYALFDPPIALKGVPNFLTSSSAPADPTPTS AGSIVSATPGQSSNGDIPPNTSAPQPTRSASNPQDPASSPRPQDPTRTRVPSEPQVSD PSTPQDPSPNNPQDPSPANPQNPSPNDPADPAPGNTQAPSPNNPQDPGTGPNPGTNPA ANPTRPGITVGPTVVPVNPSGGLIVNPGTTLTSGGAPVIIGSSTFSIGTGGLTIISPE TSTEIPLGNEPITVPIGPSGAPVVIDPSASSVVLGGTTLTPGGAPVVIDGTTLSMGSS GVVVVGPEGTSTIALPTGNAAPTVVTVGGEVFTITGGSVVFGPGTTLAPGDPAVTIDG TVYSVDSTGVVVVADGQTSTVPVTLDPSSNGESRSTGAGPSETGAGDFPGAAGRTGWS KALLIGLAGVGYAML CC84DRAFT_666498 MAFFLTPQFAPAYTAPQCNPWACAPRPQQSYRRVVRPSFPSFAP FLSEVDEIITALDQEARREAARREAHRQRQQRKRIVRAHFNVHEHQGGYQVDAEIPGF EQEHIEIEVTDDNTLRLSGNTERKAEPQPQSQSTETATETLAIETEPHNEVMDGVTLN EPEQATASGAATPTHSDTESHKSYQATVEDDFEDLGAETSSTISAHTDSEAPKESKGK EKAVEEPATANTETAVQQQPQPEEPAEKQQREEWQFHGSFERTFRFPERIDAANVTAS LRNGLLSINVPKAKAPEVRRILIQ CC84DRAFT_1216506 MKFLVALALAVSPLLSAAYTVDPPTTAAPDTIEDCTLWAVATST STCADLIEQGYALTLDQFNAYNPSQAAACNLTVGQSYCIEKNWSIPDPLPTSTSSAAP GPTGPVTDPATLLAVCRAQGSYVEYCERCLYHCQYETTYIEMCFDSIFGLINRYDSDC WQHGGRDCANQAADRVCPKQ CC84DRAFT_690569 MLSSTRSAASMALRAKPASALLPFRAGSVAFLSNTSANNATTMK PGLVSGNPGTPPPMKQARAEVPLPSQEGKKGAMQYALTTLDQIANWARQSSLWPMTFG LACCAVEMMHLSAPRYDQDRLGIIFRASPRQSDVMIVAGTLTNKMAPALRQVYDQMPE PRWVISMGSCANGGGYYHYSYSVTRGCDRIVPVDIYVPGCPPTAEALMYGVFQLQKKM RHTKITRMWYRK CC84DRAFT_1089117 MSSRVPSIACIGVIGRHNNPLHISLFPAEERAPLEYQFLLSSCL DIFEARLPHKTVDQDFGLLQAVDERLAMYGWLTNTGVKFVVVVDMEGRPANPLDAKSS AAVGLRDADLKPAFRALQTAYIMLLRNPFYNPDEHSPITANGEQRYGSTQITSKKFIQ EIKRIGDAWAPGVNVI CC84DRAFT_1089728 MPPRASSLTITNPLVLYRSLIATNRIQPDPAQHRLALHLQKVYE NLIDYEPSIEYSDRLKQLTRAVSASHPDRAPIGTRGIWTSLLAQKQKNDTLALTRTLT SHEAAMALQSPKGLMLHGEVGTGKSMLIDLFADCLPNRKKRRWHFNTFMLETFSRLEQ LRLSRATSPLDGVARDEYSLLWLARDLIEKSPILFLDEFQLPDRAAAKILSNLMTTFF QLGGVLIASSNRMPEELAKAAGMEFARPVSRLSRLGWRLGMPGVTGRDDGPGQKGEFA QFLEVLRTRCEVWEMEGKKDYRRVAGMEGSSVRSTATAPDISTQSDAQGPSQGNAITD TDKVSATTESEVTMPKNYLLTPSPTATEEEVASYRQSLTTIAHTATSTPASHLIPWTS FALRVYGRPLVIPLQYNGVALFTFSQICGASLGPADFITLASTYPTIIITSVPVLTLL QKNEARRFITLLDAMYEARCRLVLTAAAAPDDIFFPTSASTSKQETVDDAVHPETYSE IHQDLTSPFRPNVSSYNPSSSLPMDSLEDDPPNRFRRPGSSYTDERRTSSGSASPDFA NLGGLTGEDERFAVKRAESRIWEMCSGAWWEREELWRPLPREVRHWEMGRADAASIAA EGKAVREGDGAPRELFPHGASPFRTSEDVPPKIGWTHAWGMVTWGKKAGAWGKGVEGL AERKRDGEGEGEGEGKR CC84DRAFT_1090172 MPSFRPATSALRSLSRTQRRSYASSSSPAAPLTSPFAPRHLLSI ADLTPAELTTLVRNAGKHKTDIKSGNGWPHLSSALAGKTVAMTFSKRSTRTRVSTEGA IAAFGGTPMFLGKDDIQLGVNESLYDTSLVLSSMTAAIVARVGPHSDVADLAKHSSVP VINALSDLYHPMQIIADFLTITEINPSSSTTSLGLEGMKIAWIGDANNVLFDLAIGAV KLGVDIAVATPKGYEIPAAMRSVIEHAASTAPQGKAGKLTETTVPEEAIKGADILVTD TWVSMGQEEETAKRLKAFEGFQITSELAQRGGAKDSWSFMHCLPRHPEEVSDEVFYGP RSVVFREAENRLWSAVSVLESFVVNKGKIQ CC84DRAFT_1089625 MLGFLATEGIEEYAMQAADFKPTKLSLDSLTDTGVRIQVEGDFT MDASRVEKKSVRDLGRFGTWIAREVETGATEVDVFLPEYDMVRVGTAKIPGIKVNIRN GHTTHVSFFAHLEPGRFDNLRNVANDWMDGRLGQIRLKGKADVPLRSGLIRLGSQTIS ESFTFQGDKLPSVPRYNITKLNLREQRPGRKGMGADVSIMVTNDFPVQLTIPPVAVDV LVDGCLESDDHIMVGTAETAQLQVEPKHDVEVNVTGRVDTLSQALTETCPGSSKSPLD ALLGDYMHGQDAKLYVSCCNFPDPETPAWAHDLLKDITVPVPLPSHEMGKLIKNFSLA DVHFHLPDPFAEPGTPEAAPKISAVVKVDINIPNEMNFPLDVNRVKADADIFYHGKLL GTLDLKKWQDANSTRIDAHGSDGPSLLVQSDIQKAPINIKDDNLFSEVVQELIFGSKG IMMKVKAAVSVKVDTPIGGFAVREIPAEGVVPVKPIGRGSGNGSGGAHNISSLALKIG NLSIIDTSRSSITLTANVNITNPTNYSATVPYFNINLLVNKTVVGQVTAKNIEVHPGN NTNLLVTAIWDPYSHSGAKGKEIGRQLLSQYISGYNVSLTLQAHNGSIPSQPALGAVL SQFPITLPAPHLSTPKDPDAMPPDEGDPPDDGKTHFIRSATMHLLTSTALFTLASPFS STTMYITTLNATAFYEGHPSGKILYELPFAVPPGLSESPRLPVDWSIGSVGYDAIRKA LGGTLRLSAFAEVGIRIGAWKENVWYKGGSIGASVRL CC84DRAFT_694594 MALLDKVPGGLKLYIGGIFTLRRRDALREANITHVLSVLRMPVD DDLFSPYTHHVVQVDDVEDENLLEHFPATNRFIQDGLDGGGAVLVHCAMGKSRSATCV IAYLMQKHHISVFEALSQVQQARPIVEPNEGFMKQLELYGQMQTPDSVEDTPAYQRWV YQREIELSRAVGQAPEAEKIRFEDEHVTDQAASFELRCRKCRRPLATSQYLVAHDTSK STIDQGPISSIAATSRNCAHYFLDPLSWMRPELEQGKLDGRLECPKCHANVGKYAWQG MQCSCNEWIVPGISLSKSRIDEVKKATVSEIRRPPGPVVASPVNARQNL CC84DRAFT_1089661 MAIPRARQEVPPPLPPPRYIGEELRESGVDPGWTWGNSTNYSTD TGFKGNRYAAIKPGSSLLSGAAGPQPSHEHSAEHPFGSARESPSDRSFDDADSLEQSD EDYGGKPRQRPSNHRFTSERQLGQGLLETSSHAYDKQLLSKIGGPNTPTRTAAPPLPA TSQDSVPSTHTSFNKLNGQLKPLSVPDRLQQPSLDSPVSRWLPSGAVSPGFSGFRSPV FDAGSADAHQRRFGSISTPGLDDNSSVHRGSYDHSMFTDSEFGVEESGMRDLNINDRS PAGSDEYHQGPKGGLKRRASSPPSEAAREDRPTAGGNTDLYHRRSAQMLVSRNAPPPT NTARYPTLHGSQNQGSLSSVSSLSQRTASFASSFGFSAASSLTSYSGDQRLSPSALSP SADVDLGPVSPYAANRSLNPSPRGSLSRPHHQRGLSENEHPQIHSVRKMSTDSILQSR QNSIVGRLGSYICECCPKKPKKFESEEELRVHELEKQYTCQFCPNRFKNKNEAERHQN SLHLRRHSWSCAALAGVQAAFHPSPTHSGVADVCGYCGEEFPLPPNWDARTEHLNHVH KFGECNQAKKFFRADHFRQHLKHSHAGTSGKWTNMLENACMKDEPPPKERVGSIGSIV GPSSTPLATKPGTINEVHEES CC84DRAFT_1205019 MSIKNLWHHRKVADGSAKACWICYKPSTSVLITPDNKDFFYVCI GHLSDRGFCQPDADEAAAAAARKKKEELDLEIEKVKKEYEEKQRIKREKRKDKAKEKD KEKEKDANKTDEEEDKSDEKTKDDKIKELSKSKDQAQVDLGPRIFTLNKNFYQMRIDR IRNAEAAKRNRERLSNPANLPSPPSGFP CC84DRAFT_1089263 MGSKSQFKYIDIGINLTDPIFRGVHHGTQRHEDDFEDVIQRGLD AGCKKFMVTGSDLKESEHAIDIAKAHPGLCYATVGVHPCSAKHFDSHPGGPEALLASL KELALSGKEAGHAVAFGEIGLDYDRLFLTPKEQQLKHFEAQLQIAVEVQLPLFLHSRA AAEDFERLLGARLSELPKRGLVHSFTGTVEEMQRIVGMGFDVGVNGCSMKSKFEDLIC DAEENIEVVRAVPLSHLQIETDGPWCEMRPSHASAKFLKDAPPLPKAVKKEKFVKGAM VKGRNEPATIPHVAYAIAKIKDVSVEEVCEAAWCNSIKMFGLGEQLE CC84DRAFT_1068935 AAAVATVANSEVHTVDVGEDGLNFEPQTLNPKKGDTVIFHLYPS HNVVSGSFDKPCEFNDNSWFSGPFSQTDNGKKKYVVNVTSEDPVSNSLIQVWYYCAVP QHCQSGMVGAWNAPSSGNTISAYADAAKNAGKSSAPQSLKGGELLEDEQIASLTGSSP SATQSGGSSSATPTASGSEAPSGSSSASA CC84DRAFT_1089079 MTAYTLQKELQSVVLPSGHRLAFAEYGKPNGRPVIYLHGFPMCR LEGMAFDVPASRANIRIIALDRPGIGRSSFVANRAILDHAEDVRVLSQHLDLRRFGIL GVSGGAPYALACARALPKELLSGVGILSGMGTYEETDIGLVPMPSRVTGWLARNIPRT LRVVTDAFVAGLQRVVKWSWVQKRLDRFVGQAKQSKNAWERDALGTLKQQEADENWTA TASRERLLKAIFEPFHQGSEGVVQEAALVSQPWGFRLEEIENTVTIWHGSKDTNAPIE WIRAMARKVPGAVLNEYEGDTHGSMVKHVDDVFGSLIHKVDGDYNDEASHMTLVHRHR AAPSTKVRSQ CC84DRAFT_1175361 MRIRAFLLATQFWCYSVTALSFGNDFNGTIPSILGITNFSYPAA SSNIGFGYADGVVNTDYHQPWPLVKMYKSSVHLIRYCYADQASKDALHCSWPAAENLW QDALGGKPSKETGYNLHFVQAWAAGETQFCYAEGTYDPKTAQGTWNWKLHNRQDALVV AYRPVDEKGNKPATSASLGYTPESALFPWQSRQARHYIQISDKDDEVRIAHELGHVLG LLHEHQRSDRDTVIEYRPENIAGYTEALAAAIKDKVPEAEARQKLRDDVPFCQKYNFR GSAYVKNADQPGPIIGDHAQGPLDFDFDSIMIYPSDAQTDTAACRADLAHCPIVRRAG TSSDGKSKFEYIAAKGKPSAKDVEFVRKHYAWREEEVSQRRKRSSREVGEVKVQIKIR RSNSEVQRGMGG CC84DRAFT_1163710 MRSACLRNARPTTSATSPLLPFLAPRAFAEAQRHTRQDDRRSQK EQETKSRSGGGNVLACNGKERAALGARYSDIFSFARQQLRSYSTEGSNGALPRTGGRI SFRPSQRDGKLKMEQAEKEGMSVIPRRTIRKVAAVRAIPRRTIRKVVSVWGKVVPWRS QGFQRFSAHSQPGLGGQSKRPARRKTVPISDGRRQRQLKVIHKSLHAYQRRELPQIQK EPKIMDGRYRSLQRRILALSAPKVRTLTLYEPFNTLRRKHITMAFAALDRKVYARLNR RAQPIFLQHLPDCASWTASLFSSGAATEPDQVWRHWNTFDDEIRECYWPHLLIYLLDK FPHRAQYFLQALTHRPSVESLDLCIVADAFENLARGYVNRSWKSVARENLQESKDQFI PTFYHIFREHLAPHQRICSQDLLFCVAKLASLEDFRRIFELMKKSGTHLGHDVLLHYT NTFAKAGDFEQALSCLEIIVKGAPNDEARVQLANEQKFRWSCALTLHRSMMNKDAYHE TTGIVATLVGFGVKLDILLYNVIMRNAMEAGDVDTAFKVYNTLDENGLKPDKFTFSTL LHGCTMTTEPVAFNDFADYCAETAKEMKDPWLAADYLYYQYVRLHRESRNTETSLGRT QQLLQSYLQFFSPRPLEPFWAVHAGSSRARSDGVLTESTFMDPPPMALYIMLQLEILK ASSTDKEQVWALYEKFLELVITNRDPILSKLAKNPIIWNTFLLSFCRIHHFEHASQVI RDMPISGAQPNVYTWNILMQGFFKNQQMQAAERVYEIMRSRGIEPDQFTYEVMLRGYA RAQHVRKVGEVMEHFDEEERLDSKLLQDLARVHDQRRVLHELEKARVRREQRKEMEAQ AKEEKEKRRWAPPEFAPLIKPKEGVNGKGGLNLKPLIEPGSRPGFRFVSVSKK CC84DRAFT_1088236 MSSHEKLSAAANDRKARLAQLKSLKRKQAPTDESSEDTSTALTT TSSTDPTSTALTATEEPSATATYLSGRNYDVTTRNVKLGFDALPIADPTATLEYKAEQ LALEVKTAQEKEREEDKGLDLFKLQPKKPNWDLKRDLGEKMRGLEVQTENAIARMVRE RVEGQKKAQNGEGSEGEKVGMEGSELVEVMHLKEREEEEERRREKEDEDVS CC84DRAFT_1163711 MFSRRGGYGGRSKATPTTTCQKCLQKGHYSYECTVSAQERPYKP RPSRTQQLLNPSLKPKLTEEVPSDLLRSKKGLADTILAEKEEQRRKTSGRRSRSRSAS SVSDSDSVSTISTNRSRSPSPKRIKDGSASLRGGDTLRKRGRRSVSSGSNHSLDRTER NTRRRLSSMSPEQRGRRRSRSRSSPMDISHDEGLRRQAPRTHHSPGGSYTRGRGGRRS RSRSKRTPVKPSSRRSLSRSPSRSPNRLDRMDTSDDRPHPQNGRSPIGRPVRNSRSRS RSPYRRRSRSPYSKRPMSRSPSPYKSRNGGMDGRSARSGRHRFDTEVRHPEPVRAPPP QPAPPRERSLSPYSKRVALTQQMQGRR CC84DRAFT_1144227 MTSTVPHASARPSFAKVAAQTYKPQILKEQAPSVKPPVASPQPA IPTNVTKPPNVGSAPPTEPKVELSEAAEDAGNTAPRSADSASASGDQAVILPALKEEE AARLHPNIALVKFSTAEDSNTQLSSSDGSAKPPSLDGKSVASGTTFALDEKESLRPDD SASLRAVEEEDVTSPPESNAAGSRVGSDSEARAFRAQLHEIAVMGPQPQRGVPPGRFP VSNPNGPTLYDPNQPPNGIGRSLSQPIVNGISQGPGPQSLPAIPDEKLIEALQSPRDR LFVVKIEQDFIDFIKDSRENEYCLPNCNTFYRMLAHRLADYYLLGHVVDNTMTGVRIT RTPYCRIPPPLSQMVDATKSTNTPPVDLPARKIMRRGDDTRSGTNTGANSENPSKATS EVDGSDGDKDGNKKDKSALTREEREARYREARQRIFGSAESEEADLSDAVVAPEENDA SRSSSASGKKKNKKLRNQDDDDGFEARSRYNAYYPGQYAVPGYGGEGTVYYSGYPTPM TNPQYSPMAPNTSPPSNYGSPYPGIPQDPQAQYWPSQQYPPANGPMMYPNYGQMPNGY DLSNDFQRGMQSFQNAGMPNQVTPKMANASMAGYAEPYAQPPPNGAMSQGWSPMGQQP SYPTSQPYASNGPNNRPMSAPMQGPAQGTYPYGQFPNSTFNGKPNRNQHPIPGSYQRP QFNPQSQAFIPGGRNMPYQMSMHNGPQMMNGYGNYQMPPAQMVQMSRPSPPTANSATF GHTFGMVHSGSSNVNSSPPNQSHFSSQTVVQIRGGEGQDSGMPSQSSIAKYGTPSNLP ARPPPTQQQPPKFTLPGHNFPPGSRVPNNPTPPFGGNAS CC84DRAFT_696486 MASVEEHKAPMTNVESDPSLSEGGEPNHVVSEKAGTSADVHDMA RMGKRQETRRNFRQITILGFTMVVLSSWEAILATSVFALGNGGSAGLIWGYFIIFIGF GFVTASLAEMASMAPTSGGQYHWVSEFAPRSCQRFISYIVGWLGVLGWQSAAAITIYL SSNQIIGLIIMHNPTYIPKAWHGMMVMWAILAVCYLFNTFFSKKLPLVEGVIVVLHVA GFFAVIIPLWVMADRSSATDNFTLFVDNMGWNNVPLSTFIGLVGAASCFVGVESGAHM SEEVRNASQVIPRAMMWTWLGNGLFGWIMAITFCFCVTDTMSVLTTPLGVGFMQVFLN TTGSTTGATVLTVMMLTIGVFACVAVMATNSRQLFSFARDKGLPFSNVLGTISPRFEI PINAVYVTVAFVTILSLVQLGSSVAYMQIVSLGAASMITTYMISISCIALKRIRGEPL LPSKFDLGKAGLAINIVALIFLVVLWIFAFWPTSPNPTVETMNWAILGYGIVIIFSSA YYFIRGRHTYAGPVEYVRKSA CC84DRAFT_1216524 MSDPSAAGPTIDPMDTSAGNRFAPYSPENHSAPLWIVSILGLIY TIGVLSIRLFIKRRVFGWDDSLIVAGTLTGLVQAIVFFKALKSGLGQVPEHVPNLSTT ASLAFTAQILLLIALYLAKCSGILLLRRLFVRDQQNTGRLCDVVLGFTVVCGIATVVM SSAGCPSTGSFTTHCSSTNTRWSVVTALDIATEVILLLLPAYLVWQLQMKTSYKLRVI AAFCFRILVIIFSIFHLIARIKYADTQPSPFNIVTTLVWHQTLLAWGLISATIPNLKA FLQSLSASWGGADWGYTVKAYGNGTFEMKSMGTQSRSHAMASTTGTENATDMDQKYET QIQTRQVGERSSLGSGGSQDMIIRKETVWTVVRS CC84DRAFT_1090194 MASDTAKPGSPRNVQVRTAQLSDLPAMARVWHAAFFDDEIIGKL MHPHRKEHPEDVYWFLLRGLRERFWDWHHQFVVVTVEEDGKERVAGAADWRRLGEGGR RRELARVDPRNLISPALHYYHAVSLRLFPNRAADPKNAEWLDEAVSNSEKHWTGDRAE CWDLHVCGVHPDFQGKGVGKLLVQWGVKQAESEGDNVVASVLCGEKNRGFYGKGGLTV QVSKSEHGIALFTR CC84DRAFT_1089996 CVQTALPKHNDCSVSDTACICYNEAISAVFNACLKSTCTVRDIV VATRLQNIQCNAPIRDRSKVLLIISIVIGASALVAVCMRMSVAFRQGSFGWDDIACLS AYAASIPVTVVCCVTSVHGFGKDTWASPVADIFLTLRLVYASQISYFPASGLTKLCFL LFFIRIFPGKRIQGAIRALVWATIIYIVVFMITMVFACKPISAVWTSWTKENTPSYCI NQKAFYYAAAGCNIALDILIVLIPIPELLKLKLSRRKKIFLVAIFSVGAVTVVISCIR LGALATYETEVNPMYNNVLSGVWSVLEINVGIICICMPSFPRFVSQLAPHFFSTTHRD SRLCGEAGTPNAYGRSGRKKFQTRVSMFEMSIVKTVDMTVEAQRASDDEVRLVMLQQN RRGAPSSASSTAGWELPMQRPDQVYDGRS CC84DRAFT_1216527 MAFLQDLLLGAGIRTWISTYLPVAFVVYWAAWIVYARTIHPLAK IPGPFWPSVSRTWIMYRMYTGNIQKYQRYYHEKYGPIIRIAPNEVAVADPTAVSKIYP LQKPNQKTDWYTAWRPSTLDSRTDLFTELDEKTHTQYRRIVGSAYGLTSVLKNEQSID DVLTLFLKRVGEFADRKEAFDFGKWLEMFAFENVGTCIFGKQFGFLEHRHDHGGYINA VHTATPFLGIITVTPTYMRPLVGLAAPLIPKLLKAIMAFDGIRVTALKELDDAVKRTE EDNSKRNDFMSQFLSIVRDRGEKVNFTIKEVSSEAWVAVTAGADSTSILLRTVFYKLM QNPRVLEKLRAEIDAAFESGALSHPVQYGALDKLEYMSAVIKECTRIFPSFQVTMPRH APAEGFDLCGYHIPAGYRAGMNPAVVLFNKEIFGEDAREFRPERWMESEARNHAMDKA MINFGAGTRTCIGRNLALAQAYKVSATIIREFTFEMAHDRPWQTYNAGFRGQYDVICN LRRRQLA CC84DRAFT_1186497 MPFQLQIISDLHLETPLSSPAYTTFQLEVQASNLLLLGDIGLVK DEGLFEFLRTTLEQCMGCRIFYVTGNHEAYQLPYVTAIQRMRDFEAEAKDNYGGRFIF LDRDRYDLDGSTTILGCTLWSAISAQQASEAQLRLTDFNKERGIYGWTMEDHIRRHQQ DLEWLNAQLQELEQKEPHRQVAVVTHHSPTMDPRAINPQHQGSRLSSCFATDLSEELC WNSSMVKLWAFGHTHYNCAFRDESTGKLVSANQKGYERLGARGSRRLKSLLIDTDGAE WKIVQVAKEKRVEDGTDCGEGQNSTVDDDVIIVSRICKRWKVFAKISRRMHGRSLVR CC84DRAFT_1258655 MPVTKDGRVRRTLKACVICHKKKVRCDIDDIPGDVCTPCARDGY ECVPRERKRKRFTFSPSPPDQRRPKSKSLDATKSDDTNGAAPPKMLNEGSFSSMSNDF VRVARSEDGVERSGGTSFTGGSPLVAQHKEPSYLTPIQNSHKDIQDPKHGVSSNNVSY LGRLEYLRNDVPVNDDAGLPNKMPRKMSDTDLTILKIQRIEELPPEAIRDSLMEAFWT RCYPWTPVVEREWVSNSRSSLLLQHAILLAGSRVSPSHKNYPPNEFYKKAKVLFWMGA EQDPIITIAATLLLHWWNPEGPESVSIDTSSFWLRICTGLAYQVGLHREPTGKPNAGL RKRIWWSLVVRDCLINAGHGRPRAIDLKLADVSPISTLDFDGSLSQSSLFSSYVGISC ILGDLTQSYLRKHGLQEHKKSLEDRLFRWLKTLPDHLQLCHSTEGRPLRDYNPEAWQL HIQYFTVVVILNRSPDGNPSIASLLASSFIAGIFADLIARDQLQYLGPIFTFYCLTAG MAQLSCYRYTSLLRIAEDNLAILTRALEDLGKRWPTAVGSLKHLMDVREKVMQRPSLG ASPDVNLPATTAQFFSDFGPELCSMWAPVHQRLPQNTNIAPRELETAGILQGLRTPTA QSIDLDIAMQQQMLHSQTATGATLEPTMLQPQEWFGPYGGMANWLMVDWDQGLGW CC84DRAFT_1175369 MVGSAVNGGKADKDWSGIVGFFHPFCNAGGGGERVLWAAIRATQ KRWPEAVCVVYTGDHDVDKAAILKRVKDRFNIHLHPPTVHFLYLTTRHYVLASTWPRF TLLGQSIGSIILAYDAFSLLVPDIFIDTMGYAFSLAFSSLLFPSVPTGAYVHYPTIST DMLDSLNEGGQGVNAGAGQGYRGLAKRQYWTLFAALYSKVGSLIDVVMTNSTWTQTHI KSLWGPYRHRRNKTTDHDIDVVFPPVAVEELETEVTVSDESETQRGPYLLYIAQFRPE KNHTLILQAFAQFASSNPKLPSYPGQRPKLVLIGSVRDSDDATRVYKLRLLAHELGVK DDVEFVCDAPWPQMLEWMRKASVGVNCMWNEHFGIGVVEYQAAGLISVVNNSGGPKLD IVVRVDGKPTGKLLCPLFLGREDMGTDVEVGFHASTAEEYAEGFRKALSLSREETLAM RKRARKSAERFTDRGFAEKWLGNMDRLVRLQVARKDR CC84DRAFT_1089758 MLSARLLRPPGRQLLSRAPILPSQPRSISRTRAFHATAPRKDGA LDTLLYLPHEMVSLIHNYVPWYAALPLTAFLVRGLLVTTAGSYARALTARYIGTHPVR QALAYQKRNELMQRGGYTNPKEARTEIAKAVKAETAALDQRWNCTLRASVAWTLAQIP IFFTMAEVIRQMTGTRDGLLGIVMGTLGLKEKSDAVHGIDLVGNQWFQPSLADEGMLW FPNLLTPDPILPFVVSALMFTNVYFTKNGASANPDSLPTFSRAVRLSLMGASLAIGPL CQDLPAALMLYWAGSTSSVMLWNLWLDRKYPSPRGFTACKRPLQLLAAPKPDARIRPG LGLPMAKAKTVLPQMQGKRRPRRSAPHA CC84DRAFT_1258657 MFSKTLFAIAFAAVASVASAASPPGCLLGAVNSYDTPSDIKAVC SAKDITSQISQNCGDKAQDALNALADICNDAGVKVSTKLPTTASSGTAAPTGTGSSSK SSGSAQSTGSASQSGSGTTENSSSGTATGAPAENTGAAGALEVSTAALLAGLGIFAAA L CC84DRAFT_1144244 MAPAPAHSDVTPPLADYFFISGIESSQVYDERSQPKPSSLSTIP SPGLSSPPPLDVDETIEEDRALETDSIRPKSQDGLSLINGDSSRRSSGRLSSEHRKST GTIVGSEPKPTASNRSSVTIKGMPLGVSGLSDQDFENALRKFASERDTFLEEIQFSAG IAQQNRATPSKPARPRPQRIQQQQEDGVGNLRSGVGSIRRRISTMQSSLKRTSSVATR QASVRTSKRMSGYNSVIPAPQPFNPEPNMHPLLRRYEPVLLDRYPPKNMVEELKRRNP FPDYVPMFAFPNDVTVVSADERPKTGWHGFAMTNSDGSKLYGICMIVWQPLNADAAEG LERQCEEWRRLHMNQEERELASSLGERLALERAKLSRLLAKLPTVASESEDREALEDE ISAVEEKIGLMTDLLRPVRHGAASKIEGLTDGETGLWIPRAYGVMGRDASLTPFWKEW LKAVVVPMTNGVVQRVPPSSPRIGMWQPLERYVVNLCAEALSPINSITQVEIAIRELR LYARKEAVNEIPGSRNIDIYALFRCLSIPNIVSLFEYVLAESKIILLSSHTAMLHLAS MAIANLIYPFKWAGVFIPVLPARLVQTIEAPCPYIVGIERRYEPADYPEDDYVLVDLD NDMIGSNGGQAPPLPRQQRRKLTSLLQHSAPHHTRYGVPTGPPAYAIESFPYDTFCSE NPGIFSHRAYSLSVHQYIGMNSTSFGSGPLPSNPRPLIYNAFLQSSVSSRGNDRPSTA STVKTSGHSPPSPRISPVSTTFPPLPSTPISRSDSGYALQANLRDLREKRSGHFETAS RRSSSFGFERVPPNLRRPSQTFLGHASSASTSSLSHELRAPSSYAPSVYAQSTLAAST IMPGLSMQPVRNTDTTRWQEGHCLQWRSMEQKATCSVCDEKSDEGLYRCSGCSTYSHA RCADTISVVCPSAFRPDQVRASFVRCFASLLYTYRRYMGPASSDQKKSGLLYHFKMEE FVKSLPHENVQYIQMLQQTQGFNEFIHERESTRSDDPSIKLFDEVILSKRNRGKSSFF HKSKVDFLSDNSDHLWRTAAATPPNSRFPGDYREVITRIPAKLDPSLMKEPRVIQGVP RIPMAKARRKPIPSMLGPNGVKHPITPPS CC84DRAFT_1205033 MALGMLLEAFQGIVTAMGFLATRDTAEWFGPRASMRPTGRSPVG QFEQTRRQSIGGAGEPSANREDQDIPGLSAVKAEPCTSGTVVASPRCRDASHELAEGL GRGNEAGNDCHQISAEILCSRWRARRGEDCDGQDRRGDDAWDGVVVVDTAVSGREQHG GWNRYSAAHNCTPGP CC84DRAFT_1118043 MAHQRHASAADALKAPHSVSLKVLRLSRPSLATQYPLPASQALG IAPTASLAYPSSRTADKFILSPVLNLPEAFGSAYVGENFSCTLCANNEIEPGNASKAI SGVRIQADMQTPSVPGGTSLELFGHERSEEGEDPKGSDGDISPGASLQKILRFELKEE GNHVLAVTVTYTETALAGEGRPAPTGGKVRTFRKLYQFVAQQLLSVRTKAGEVAPGRH LLEAQLENMGEGAVSLEDVSVNPNELYKGTSLNWDLDGEGQGKDKVKPVLMAREVIQV AFLLEEKGEREGVAEGRRDQLGDNRRVLGQLEIKWRTALGDRGTLSTGWLTARR CC84DRAFT_1144248 MHLESTRIPLERCPDLVILTDTPKGRGVFATKLITAKTVLDTCP VLILGIEENKRHIEHTSLYHYTYNWPITDKEGKKQKAQAVIFGLGSMFNHSSHDQNVV WDRDLLRQMITYRALRDIQPGEELCISYGDHLTFKDADAPPLPRPEDEIEQLNRIQLD CC84DRAFT_1186503 MTDSPAPRIPLDPKEQPILDKLLAVRTNLELLKQDRSTYVKSQD VISLYDQVIEQVEILNHIRTTKRLEQNRVDTVLDDCFQLVSLAYMTIGKNHEAPAVYS IISTVKRLLDHLEEAHFYSAKDLEAIGQMLKNARRYMDRGKDTYTPSLLTLLEARIQV CEESIARLELAHSHLTPELEPKYEKLVSILRSLCACNIRSTFPEAEVDEFLVQLKDIQ TELRPYGIHAFSEERETKEEKLTEMIEKLSFTLEHPEPAPAAKDLIETLLRRNFLWTN LMKEKKGRIAPAFQEIYDKLLGIRNKLEKLSLTQAWSLRETDLWNFQRQLDRIDEARI DGNFMDALGRPAELYEQRTLLYLLRKSYALIFQLIVSSEPVSEALLPIYNQLTTLRKC LLEVKKLGGVSSPRELYPYSMKLNSIDNMRVDGKFVIGDDIPDGQGSVTQLLAECFEL AYELRNDAEESSSSAGEQTPASESGPEGLTAA CC84DRAFT_1216537 MSNAELASSYAALILADDGVDITADKLQSLIKAAKIEDVEPIWT SLFAKALEGKDVKDLLLNVGSGGGAAPAAAGGAAAAGGDAPAEEKAAEKEEEKEESDE DMGFGLFD CC84DRAFT_1087980 MSLPTAPLGKNGPQVNRLGFGLMGLSIFYGKPKPDPERLALLDA AYEMGERFWDSADMYGDSEDLLGKWFKANPEKREHIFLATKFANRKMDDGTYQTDSTP EYAKKACNKSLERLGLPSVDLYYVHRLDRKTPIEKTVQALVELKNEGKIKYLGLSECS SESLRRAHAVHPITAVQVEYHPMALEIESEQIDLLRTARELGVAVVAYSPLSRGMFTG AYKSPDDFEEGDFRKHAPRFSKENFPKNLKIVDQFTALAKKKGIATGQLVLAWLLAQG EDIFPIPGTQRLDRLKENVGSLEVKLSKEEVDELRKVIDAAEIGGTRYAEFMMPSTYA DTPAL CC84DRAFT_1088764 MAAIIENLKPLHLKPVRSPESAVVNMDPYALPLEDSKIHLLLCA TGSVATIKIPNMVQALSRYTNLSIRLIFTEAAKNFLRGQSAEQPSIEEIKALPNVDAV YFDEDEWSEPWVRGNKILHIELRRWADIMVIAPLSADSLAKITQGWSDNLLLSVVRAW DTTGELDPIRNLGGVNGHIDETRRKKRILVAPSMNTAMWKQPITKKQVDILDEEWGVK NGGWFEVLLPMEKQLACGDVGGGAMKDWREIVEVIEDRLRMNGS CC84DRAFT_1186507 MASAASFPAVVHRVPSETPNLLAYERAIQTQKNALVFIGGLTEG PHTNRALDAIVCKLKGSTFSVWELRMRSSYTGFGYSSLSNDVQDISALVAYLRTLGKE RIVLCGASTGCQDCLEYTDHKKYKSAPVDGYILLSPVSDRQTAGLLMSPEELGKSNQH AQEMIAEGKENDAMPSALLPFIFTSPITAYRWNSLAAKGGDDDYFSVDLDEATINAKF GRVDRSIILLPGEEDELVPPSVNKKELLDRWVQACPEGTVSELSGLVPEADHEISKPE AQEKVCDLIQGFLDALLK CC84DRAFT_1216541 MWGRGLLLASTAVVGVRSNPGANNVEPCAYIATLQSEGASSFPA GSAFDCLNSVPVDVEGDKKLIDELKIAWQWHSDISWLKNPPADWEDGSLDLIAELDKI KSNLEQFESEYQVQIAIQKITVRSGNFHLNYIPDILQVFGWQRDVSLVALSDDGVKVP KLYVTFDALKVADGNITEEKISDITKINGADAWPYLENLAQWEQYRDTDGRLNSLWAK GDTLTPGAFMMQSRFDGAETNITFANGTEIEYINTAWTNEAFKDVKDGKSFYEKFCKG SISGVDAADNELIWKPEFKSKRGPTAVPPNGEHHSSLLHRRETPAVYQRFPEPVVSAD SGGVAGYFLDGEGYDDIAVLKIMSFAPEKDPYGLEFQTVVDQFLVSSKNANKNRLIID LRENDGGSMQLLLDTFEQLFPHRAPWQGARYRANPHFKLIGDAVSEIYNNDTIQDYYK ETWNGTFKEHYRHWAYSHFLDAQNKGFESWAQFKGPELFNGDQYTKTMRYNLSNEDDI SVRLGGFKFTDETNRTTVFNHTDIVMFTDALCGSACAAIHEEFKNNAGIRSVTVGGRA IEGPIQAVSGNKGGEVVPLIRGIQQADRMRNISRTFSLESYPADEATLDEIIDTPELQ RRAGDDMTRVQAQLMMRKGDKSSKPLQYTYEAADCRIFYTHESFADPVTAWKQVWGAF LDPESKCVKNSTGHKSSLSGGFVPYGPWTLKDEDLPKPESTETSEEAAKGSPEGTDFE GVGSREGASVIALFATVAIMLMQL CC84DRAFT_1163729 MGFTTGFLGGFTLTASVLYLTISLHTRNRITQAALLRQQQTVLK SVIEPAPPAGEPVARVEPAGLAEMAKDKWNRALETSLKGVYETDWRRVREEAEDRVTT AIQRIREQK CC84DRAFT_1205041 MASHGKDVYGHVEPFPSLVPYEQYQETILVYRIDTNHEVYMALL QEFTDRFARSEQPERREAWTWCVQWEVEKPTDDAPHTPAAKKQRLDAHSDVAQNNGFA QRKPVYTKIERRLLHPELFQEEDMLRILIDIVQVDSKLVPNYIEFLYQWVDYYEGGGR ALKAALRMEIPSLWLFEYHPLPLYDSSGKDKDRESDSSVDGEEIIESVDDFRKTTREM GERLKRPKKPLALEEMERMTEQSERLEYREVKFGIQRPIDPDEEPLPPLINVPHDANK RKKYYAACFKNRQRAFWLLQEAGITTRQIANYKRLQEMGAMDTPEDINGDGWLNYYKE EPYAHAEFLDMQRTKELQEKQREITMSNRLAEEARLAATTNAENPCSLLPPALIPPTP GLPPPLTTGRPDRVAEWLSKVKSMSRDRDPPVKSVPAPLFGRMKSEIFKHAVAAGPPP PCIRWNGDVDTSDDDDSDDEEYSNPDDIDPDEREDAPDADPAGLPRPPPGYPTLIAYL LTLTPVELANFMPHFSVEAQAQVREHYPGLFRQNPVPQGSTVASGMQAVVAGPVNAAT QGIVSISLNHTNGSPVPPPQTSLPPQQFQTQVQPTQPSLPLHGNPTPPPINHHVPGHS RPPSPPPMEAARAAAAQMQAHGTPYTGSWFPNLINQGTGIPQSQPQHIGSAHLHYVQQ PVLQRQLTQAGTTGLVGNPVAQNLQPGGAPYYSLPQSPAMFGINTTPAITVTQPAPQS SIEGHDVLRGVTPALQALLQSSRNSAPTTIAAQGQGLSDEGQKIQSQEQSDSTANGYS YSSSAQMLQASNRAAPPPSLHLRPTHPNNLYLGPLNRNADLPSLMTPLANLANNLSLN LPSSLPGPFQPQPQPQPGGQIDPVAATRREELSPHGLPIQIYLPRVVIPYAANLAGAT DCLVLGYTCVGTGVLTLSKAIFFPTSIRTNLQRRLQRQHAVVLESYQPPANHPRFSAG KGVLRNPDEVKGPHRFVHDKLMQVFGMMTGCGNREEELTKRWRSTPGPMTARCRGSVW EGWAVGVDKPVEMGYEERHGEGVVVSKSLDGRRGFGLSEQEWAYRERRRREVEEMIRE DEENGGAVSETTSHGFESVEQREMRMSWAVCSRFVAKWHLRALRKGTCIHAKYASACL SRSPDAEGTFSVASCISIVLAVTIAMAGIAREAPRVDDVLFRWGAGVGESAGEEGCVW DCARDYNPRLVQVCLSKGLWASTCFGALAV CC84DRAFT_701654 MAVRNCPNRKFAWCIPVMPCWTDQPIVPRSVILLSWLVNAASSE RSSLSSAIEQTLRRQLKGGCHSIPKRHCSTAGPAARASVQTVTTEMRGLARKWTRHAG RGTEAVGQRRYLVPS CC84DRAFT_1205042 MSTRELRKRKTPPPAPVKPAKAQKNAPKSKVEKVKEAIKEKVEA VKDLSAKSNGESVVPATGAIAPKAGDTIPLTSFGGEVETHEGTKTTLAKLVEQSKSGV VLFTYPKASTPGCTKQACLFRDSYEPLTVSGLSIYGLSTDSPKSNTTFKTKQNLPYPL ICDPSQSLISAIGLKGPKSAKRGVFVVDKTGKVLAAEPGSPDGTVAVVKKIVDGSTTA GGPNAPSVADAKAEDAEAAKTASEVADTAAKVDA CC84DRAFT_701660 MKVLHQLIMMGSTIFNLCHAQRGASLWIHCTIAPTSNTWRVPLE VLIARCPCHVKSATHFQPEHSLVTSCFEEQEFGETYTLSTWRFQWRRILLSVR CC84DRAFT_1163733 MDIDMDIDFDASALPATETTEQNNDTTVSDAPLPAAYYENLDER PGRQVEQWPETLNLQGVDGFDPSDAAYYLIESGVGPRFKQTRWVHDSSLNLQFHNAED AAAALHQLTDPEAGDPTTIPAEVSRKAKPYKGLNLTVRQANTSDQKVRGAATYSKYYE KNPDVRGGDRRPARGREQEPRRRQPPRRDFLDYGEDEPARNNRRSRSGDEQMRDGSDY ENRRNRRNGNRLRDDGRLKAADVDSYRPGSQGPRESRFGRLRGRSASPVSGGEGDGRY GFTETGTSTRRQYRSRSRSRNNRRRKEPSVERWTHDRANYDREQGPPARWTKDASTSR YGDVLSSESKHRRSDAFDESANRSGGSLLSRMTKDGQPLAPQGRSLASRITRDTDDDS SYGRLKGDDSAPAYVDFSEPAPRRGLASRITRDDEDLEGINIRGTASQQGGFSIRGVA GGA CC84DRAFT_1144276 MGRLDGKVALVTGGGSGFGAGISQAFAAEGAKVLVCDVNEDGGN ATVQSNASAMAFHKMDVTKAADWKSAIDAAIQKFGKCDVLVNNAGTSYRNKPTIDVTE EEFQRVFDVNVKGIFLGCNAWVSQAIEKKEGGVIINIASVGASRPRPGLVWYNASKGA VANATKGLAAEYGPHQIRVVSICPLVTSTGLFSHFTGVPDTPENRKNFISNVPMGRIG EIDDVTSTAVFLASSDAKFITGVNLEVDGGRCI CC84DRAFT_1163735 MGSAQKYELPFKLNNPDLLHSLSFVHGEWKKSKSGKTFEVVDPG TGKAWTECPTNDASDVDEAVQSSQRCFEEYKKISPRARAQMLFKWDSLIRENKEDIAT ILVYETGKPRAEAYGEIDYSTGFTWWFAGEAERIQGSVFTPALPDRRVFTIKQPLGVA AALVPWNFPIAMILRKAGAALAAGCTMIVKPSPETPITILTLAYLADKAGFPKGALNV LTTNLDKTPELSEALCRHDLVKKVSFTGSTRVGKLVAKICSDNLKKVTLELGGNCPVL IFDDANVDQAMGQIFALKYRHAGQACITANRIYVQSGIFDNFLERWNAESQKIVVGHG ADKETTMGPVTTPRGIEKALALVNDAKSKGAQIHTGGNKISKNGGYFFEPTVITGVTP DMDIASEEIFAPVCTFLKFDTEEEAVKAANNTSMGLASYCFTKNVDRLWRLFENLEAG MIGLNTGNSSAAESPFGGIKQSGYGKESGKDVAVAEYLITKTGTFTLEGQS CC84DRAFT_1175385 MPLTSTRRTHHTTAPRTTRTTRTKPSLKTRLMGAPRTGTRTSAR RAPATTTTTTTTKTTRTTHGAGHHGATTTHHHKRHATMGDKVSGALMKLRGSLTRRPG LKAAGTRRMHGTDGRNARRVY CC84DRAFT_1205046 MSSSKGQFESGFQPEVQHQPVPGLESKMDPQPLYEHLPTPDGGK QLYKAAGKLEGKKAIITGGDSGIGRSTAILYAMEGADVFIAYLKQEQEDAEQTKKMVE SKGRKCYLHPTDLTSQSNCQKLVSDALKQLGGIDILVNNAAYRMVCDDIKDLKEEQWL YTFDINIHPYFYLAKYCLPHMKAGSTIINNASINAYIGRPDLLDYTTTKGAIVSFTRA LSNQYVGKGIRVNAVAPGPVWTPLIPATMGDDQIKQFTAPIGRPSQPTEIATVFVFLA SADSSSISGQTIHANGGTVVNG CC84DRAFT_701855 MSCPFIGRSKSIRIIHSTAAYSPSHTLTPSLAKRKNKCLILFRR ADDRRCLARSFSPHNREAYAQSHRTATPQLPAQTTTPLNLKHGRDATRTKCMQILRRP PRTPSPSPTPHLRITTARASFVNVDDVRRCGCVQSNKALLLSNLRLPWWCDASWRLRA VFACGDNALHISSATRFLCRGLPMPTASFQTRCKRQSAAHRVVHA CC84DRAFT_1163739 MKSSIVASALFASLALAAPLNKRAYATKTNTVVETVVVYTTVWG NQPPATSAPAAATSSPALFFEKPSSAAAVTSSEVAPKVPSSTPEPVVPSFTPAPEPVS SEAPSSSAVPVVESTPSPSPEPTPTPQVTPEAAPSSTTPVVEATPSAEPSTGGGSSGG QEYTGSLTMNYYSGGLGACGEAIQDTEMVAALAVAGFGDSTYDSATGNPTNKWCNQKI RVTYNGKSADAVIKDRCPGCTGQGGLDATPALWEALTGGQGGASGDRLESGMSFTLLN CC84DRAFT_702395 MRSTTAFTPAIDVPACERPRTAVSAPSSARYKDTRSQSSFHTID SNGRDATLRAKPTVPHSSPFFIPSLSLTTTHAVTHGTHLPQRHPKRTVPSFSPHCPRP AYAYAANQTNPPTHTHTHTHTHTHTHTHTHTHKKQHPPSHRHHTVATPTPPTHGANET TNAPSASHGWAFPFRVEWVCV CC84DRAFT_1090023 MFLSSLVWTARHISSPNYLLKKTNTYTPLLDRFDISFVKTKNNA SLFNTPYSIYKDDPSPSVDAAWEEIADTPVLAISREDVVTMGKDPDYVVGIPEEFGHG PGKYFAVNDGQHLIHCLNELRKYAFYDYYYAPKYGPETNLPKMVAAHRTHCVGVLLDA LTCQPSLNMVVWEYVQGQSKPWPDFDAWRMCYGHEKFYEWQKGEQVDLERTKTWDWTK ARIKERPEVEEMRAERRKGGESQHEGHWA CC84DRAFT_1216551 MKVSALALLSFATLAMAAPTNDKNTEVDIQAYARADGAPLGFKI NKKDVEASAYARADGAPLGFKINKRDEAQAYVREDGSPLGFKINKKDTVQAYAREGGL ALGFEINKRHAEAQYARGDGSPLGFKINRREE CC84DRAFT_702916 MDGWAGFWVGNADRWGDWGRVFARLTCRLMGGGECKSRVCLGTL EYTHRQQYRGAEQKKAVHCQEHGIRIAGFKYTQFTASRYGITENVGHEVFHSYIESSS QLP CC84DRAFT_1163742 MHDLAYGFSAHSAFKMDKSHHRAPRIRPTRSPRVLARRCAFSPP DLPNRPIACPPTTLAYASSSAAEGTPL CC84DRAFT_1088665 MNATKILQQGRQPMIRFLGKRSTPSKVDHTPQVHPASPSQSLPE SFASYRQKAQQHGPLNQKSQFSTSHIGASPGSSLGPVEAGKGTFFDRSELPQRFQRMA WTQAEIEAIESGGASLHA CC84DRAFT_702401 MPRRTHISEAECTHSHISKRCTLRIIAVSSALSILTSLCVQFRS GGRLRLLCGVGQTRNEQQEELLRMRSSGEAKCVSRRVCARITHSTISRPRRHVSQILD STRGLSVSLKDGDINERSKTGRLLPIMVTHSFVHELDGYMIRP CC84DRAFT_1144290 MHELLLYGQLPPPRHEQVLKILAGHAAMQPRRVLERHIIYKPTR EPEEPGAHLGRGGGSQTVGGKPVKQGTVKNLFYTKLVQKLDQGDFGRADDKPADSRKC LSANVNSGEEPKWSFEFQDLPDTGDRGVCARLTSSTDLLSGDPHAWMLATGPHQFVSE YYVEGHRFVHGNVVIFLHRILHEPGVRSLETAPKVDPPAFDALKPFDPSGAYILEAKV RVQDYNNQAVLEDGVNELKGFQKQMKGCVELDIPDRLSLDTRVRYRPPHLRVAQRPR CC84DRAFT_702426 MPERPGLGKRPSSTNRGVSPPPAKRKQQSTTTNKAVANFFTPLS KKEPDQMTWRVVNDSLLIGRYRAAANSPKTTNAKQKIAAFDFDSTLITTASGKKFGRD ATDWKWWHHSVPQKLRQLHEDGYVVAVLSNQAGINLKPDPKTIKSDQKRLADFKAKVS AVFTQLELPISVYAATSHDRYRKPRVGMWEELREDNDLENAAAVDLDNSFFVGDAAGR EASGRRTKDFSCGDRNFAANVGIDFHTPEEYFLGENPSPFTREFDPTAVLQTAAVEST DALTAFRKAGPLEIVLFCGSPGAGKSSFYWRHLQPLGYARVNQDILKTREKCMKAAEE LIGEGTSVAIDNTNADRETRAYWTALAKRSELPIRCVVFTASSELCEHNNSVRALNAG PDTNPEQRLLLPKMAFATFKKRYQEPTMSEGFQDIVRVDFRFEGSETQKKLWSMFWL CC84DRAFT_1089888 MRDMKPLILPKLVAQRKSSKSSLDMSHDPASSVHSSTDSGFYSA SECSTPPTPSFYRGHLRFPSSTSSLSSSPPSYELADSLGPNASGKLPQLTEDVEREED YVTISPRHCKLQHDSDLYEDPAVADAYDFAGTGYESPNSKRRRSTEASAQSVTHKLER SFPSFSRKIRERKRASTLNGKSRSATPSRAPSTRASSMTSSIHQNQSYDPMELFPPKS TSAATSREQLVESMASSPIDIAKANAFDADVDEIDSERYATTPLLPPLLVKTRFDDAP AHSPLQSPTIATVDPMQSLVSTPVDTPAARAYPTPPLSTKASIASFKTSRISPLVPSN EIPSLALADPDDKWALVLGHNNFTIHPEPYIPEVCDAAALRQLFADWEQARCNFTKHQ VRMAEHNGPTSKAYLLCEKKWMEIDALWKRNNDVANSRATELGEDPEPSSPMEPAPLS KMPTLNDPKGQGKFPKLGDEDIVGPMVQFAASPLLQRTPPRKRAFFKFLSDLKFPGAL LGRSSTGMRGH CC84DRAFT_702666 MKTKHRLGTGLLCSKRFTFSTGTHLQRPNFQVLLRPPSTLPTPS DRSHPEPTSPITTSHKSQTSLPHPCTPFYSYLIPSRPNTPPPPPTPPLKPNQVKPITP PPARARAKP CC84DRAFT_703459 MRRGARNGIYSHRVATLRFASYGGFRLYRMLRNPRTGSIYVVCT VAVSLPCHFDNYNILSQHYCICAECDVACSVDALAGWT CC84DRAFT_703067 MARGTAIKEEDDNGSAGEPRASKRRCVQSACVPCRKRKSKCDGG TPVCATCTAVYKTPCHYDADSESRRTKTGSKREAATAAQATTSAPAHDSAHFLLNTIR TLPEAEVHELVQHIRKDRSIDVAAVADAWRKTAVLPASTPVGEPQSLESELSMLLGKP AMTRTGESRYFGHTSNMSLVPEDESYTRARAPAAERKSPTWTSVTSDLAFVERLLDLY FRWSHSFYVIFSRECFYMDFRSGRQKYCSALLVNAMLAYGCHFTDDPAGRTDPDNFRT AGDHFFEEARRLLYENEASSLTTVQALCVMAMREPSAGRDSSGFAYIGRAMRMAIEMG LHLKNSASPAMGLTPSEHEVRKVTFWGCFTVDTVWSICIGRITQLPRVAITLDKPILD EPPSGLNQEAYPGSSHVSPSTVTSRMFLQEFSTLSELINDNNYMFYAPSARLTDVKLI ACHNKYLEWFKNLPPPLRLDSGGQPQPHIIVLHMLYHTAIGQLFRPMLKIDLINFRLK PRDACIEAANAVSELLRQYRSYYPMRTCQLVLTHILLTTCIVHLTFSKDAQFSSSSYR YLVEGLQALEDLSVCHWFGARAWRIIYETSKAWDLGFPEELKNSKLIPKAGNLDGVLE SSIVLPRVNTNTANRARVGTVESPVSAPAAHPTRRESLSMFTRSDRKNLQLPSHPAST QGGNLLRSQTQHRGSLPHILPYSASNATPQPDIPTTHPSTGSAETLFWNPLPTALGAP ILPRNSYPVGPMDLDNVLGNNNEWDRFSRDGFKMSETWNHDQANAYGGSGEAGYPQVS GESDGYASAEVAQFNGTGHMVGHVSEVQQGGGQAFDASWWSGDGNLDR CC84DRAFT_703026 MSTFGNHFKVTTYGESHCLSVGCIVDGCPPGMALTEADIQPQMT RRRPGQSAITTPRNEKDKVEIQSGTEFGITLGTPIGMKVMNENQRKQDYGNQTMDVYP RPSHADWTYLEKYGVKASSGGGRSSARETIGRVAAGAIAEKYLREAYGVEIVAFTNSI GNEFLFPPTPEHPTCATNPEYLKAIDVITREQVDQFLPVRCPNDEVNRRMEKLVADYK EKEDSIGGTVTCVIRNCPSGLGEPCFDKLEATLAHAMLSIPATKGFEIGSGFGGCQVP GSIHNDPFIKAPAVPAGTGHPSVQRPRLTTKTNNSGGVQGGISNGAPIYFTVAFKPPA TIGQAQQTATYDTDEGVLEAKGRHDPCVVPRAVPIVESMAALVIMDAVLAQQSRAAAR SLLPPLKGVVPVAETRNGV CC84DRAFT_1175396 MSGLRAHIAGRLRSSSQSLSAVPPRQESPDYSPELAKYAASILF RSPIPSQENRPVYILNAAALPDTKEENFDSLLPYVLARLPEEDELVRGFEYEVVFFAG DSDGSTTSKKNRPGWGWFLQAYHVLSRAMRKRLQKLYIVHEKAWVRILTEVFSTIVSP KFRRKIIHASDLTNLALHIPIEDLLIPPSTYLYDRRVSETIYAPYASGRRAFGARQPL PISSQGTPRLPRVLRETTAFVLAEQNIGAEGLFRVPPHSKLRDVLKEAYDRGQKYIVW KDNGALLQLPPYPHAELQDEIIAEIDARDAYSAFMAAALIKAWYADLRQPIFPQSCYG ELKRLYGNPDDIPDLERLKELFSPVSEWSFLPAISREILTKHLLPTLNAVAAREEQNK MTAENLAVCIAPALLHGPDQLEDAKMSSIVRRIFTEAIELWSHGLREACGENEAAFRE SLELPRSQSDWEDPLERVKGAEEGRAWDENHSTGIVLQDNEKPAEIPPALPPRVGIAS GRAPNDNATKRKPAPPLEVPPRYSTLVTDSPIDASPVSYGATTDGFAPRRDEPNPSAH FPDEKKSGTSSGDSYAPQLKLPKRKSLTAEQIDNADTAIAQVHARKASEEMEVQTRAL RPSELKGSAMPLPGLANLSIAKSGAAGPAPPVKRKAVSGAYTTSQGSSPTNATSTVAP STAFPAPFNDFRRPSLPASANRTPQINTLARPVFPTNPTPNRPPSKSTSLPVPAPKPR TPSPSLLQRMPSFETSKKEQSLAPPDVPRRLNMKKTSVDDLRRLYEERAGTASVLVEA GRKHSS CC84DRAFT_703382 MRAMDASFSDPELDLSDVQMPPNDDIPEEAEMILSDGAESSLPF SPPPRIAARFYRPSTTRRKSSATSSRRNSISSTQSHTSTRSFRNACQSHHIAQHLRRA SILETRKARLADRAAHAEQVRLRAALAKAAPRNSNIEEKALAAQQARERHLAQVAAAC AEEVRRAKKVAEDMKERKAAEERRTRQEMEDRLAEAEKRRLEYKRNTRRPRTASSPPA DAKKPIMPRAVSPEEAAKRIQSAWRARARKNIVDAWLAFGLSIDKVHAHGFEEVTALL NEQKVLAATEQIMNLFGLLFGEDAASRKSSVRTFLAAFLILGHPAQVLSRDGDQEQDL IQKAKELIMAFEAALSKLTTFNYYTPSPTRLETVALAHGAFMTAFNQWKARDSSALIE TMVASFVSLDAIWQSVKDQTVEVVAEEYKSGIRDNQAILLSKIKRLAGPDRALQLIRK AIRESRRARPKKGPSGEVARPRVASPTPASPISEEQAAVQAQAASQLAESDATQASEG HQAQSLSKLFTSIPTNRILVHELSIDKEYRIDASPTADLRDALNRELCDAMRQGIEHG EGTVWTAAMAENIRAKLLRLLKPGNTMHTLISETLDADLISQQSSQGMFSYAKFFTFM ANILPKLCAPFRDAEVKALADKLQEEGTLPEMIEKLFELLHIIDLLSLDYSNFLLMQS APVLIKEAPSYEQREFAEDLEQGKITLKRTRRWWRSAAVNMHTEADRRDPWSESLPMN RPTPQKIYARGLIDLAIATAPLRDSELPETLELDRARIARIRAEALRITTIGGILLTA KNLLKRDVRSQWKNEANRMWETLKDGYLKDPATPSKISSLLESSHAMPPSTRAQLAST ITRLLTQADAGRVSDPVTKVLFQRLKTHIFNRVSASSSSERVKAASTATEGLATTGLP EFVSHVGDICEQLGKVSEVDRKAHGKWYEEIAEELERLGAEGVDITSSSESEASAGSA SE CC84DRAFT_1175398 MFHPVSRNTKEIRGLANKDSKFPRSPLLPHKIAPSLAAMIPQLA NSGRSVFIATSILVAATTTAFGLRVWARLLIKAWGNDDWLMLVGRLLFLAQPIVTPFT RIGSYYSHDLAEEGSSLTIAEYENSLRWHFFVGLLYTVNTTIVKFSICCKFLVFLKLY KLAKESRSASIATIARVPFLYRYQDPDDLLAKLPPIAVLSNLKIMLGILAACIATMQT LLRYFPCLGAEIVSYGSEGTPHKVYKIRDLGTESGIQGYQDDLDRLDSRAFMLPQRPP GAQVGRIFEVG CC84DRAFT_1163756 MIFRNRSLMGAALCLLVLIIFLLAQAHEGSFASILATSSLKKSP QAALTQLTTHIVLFKFKDGVAPADLKDVTLQMLSLQKSCIHPTTGAPYIKSITGGKDN SPEGLQDGLSHAFVVQFFSNEDRDYYVNQDPAHDAFKKAAGPIIDKAVVVDFQNGVFM QTEVGI CC84DRAFT_1163758 MATLHYLPPVKPSAIALGTAFNHAISLAVLGPVFGDSYRRAQAA NSKEEFFHSKEAATAAASWGSSLAGSAIQAYGVGALINATGTLTYKGAAYLGSLIFFA SAAPSVVSQVLTEKRPLDTIAVGALARVFETVGLSLFLTYWGTRTNPFD CC84DRAFT_703558 MVTKTSGRIAPGEDECRPSLLLGLNVEVLHNIVAELRLDEDAKA LHNSYRTSNVQRDVAQPAHFNLFSIPKPDKFLLAKHSKHNSCPLKRTIEYTRAILQRY DVAASVEALAHLTRTNLSWTPKITLSFPRPITTSFRALHSRSQYDGAVGILQVMRLIK TVDLCFREHYTFWLKAHEVFVENMENALLKFQDFCRALRHVFCQTHNRPKVRRYVLLH DGRAGKTQGVIDYHSIPNLPYTLHMFRVF CC84DRAFT_1089035 MTLSTNAPYTLPCASLALLAEDLSAASRRSKNHRGTLTICEEKY LAFARRMDIFFDLIAAAIPSSSAWHCNFWGLICAVFKAAGTYVIVFEKVTELLESVTH LMPDYKQLLAARTRPLDLEERRGSETYFEPVLHVVTDVAQFLRELQEMLTRTSHGDPL RHNRLFSPTYLWRPLDSRFAQLEARLVRNKEWLEKELGGTETEYAITEKYRAECREFL DKQSQAQEGEELGLQRMAKRMRRIDRIKTWLDSNCSYRDIYEHRLRQRHPNTCAWFLD NEKYCRWRNIPFDDESANDTDRLENHWQHRVLFVQAESGFGKSYLSGIVVDDLSSEAG NLSIDDETESPSTAFYHFSAAHSYCIHPDDALRALTDQLIYTHRHDRSTLDAVSLLVR KTPTHEKATPDDVLSTLALLLRQHPTFLVIDGIDECSDVELLLRYIPTLCRKSDTRVV LFGRPHVRIPNEYHKWASDAPHVISLGSGSNKHDVEAYIIENLHELADQGYFGISMDR SLITAIAQTCKGNFLWASCLLKYLRSPVLSPDERRAKLEKPHELDGLEAIYAHILALL EFRPEGERRFVVGVFRLLALGIHRPCMPGFQKAINVSPGQRTIEFGPSFTTNEAIPLL TCDLVEVTPCNVTFAHNSVREYLQAVSPDTSSFSLQDENLAHAHLAAVCISFLAFDIP KRPLGPNNPHVSQLLLSSQAQSSATSMRTINSGDSGYKSISSAPSISEHRHAAPGPSN VPASSARPPCQPQWDAALPFLRYASLCWPIHLTRALTPPLNSSSQQLQSSPSSSSQQY PWLTSLSTFLTDRAAVTTWVEASWRYNLPPNLSRLVPLLEAVKSRTPPATVEGRELRW VVHGVRELSEGLNAVREGWGHRVREDPGLVWGWRGGRGMI CC84DRAFT_1088530 MVARRADGGGYTQTDATTPDFDEKTPLIHDAQEPYPVKHTSNRW LIPQRVADVFVGGVKLLLTPIVVTGHYLVACFHYEDGRFSLIAPLYHLSRPFTRSRRK KRTAATMHASDSSDTQEKGKRKSRRPSLTATQKVKKPPKRSPSVISTSTAISSDSEME SERPFSRDGDYDAPSRHTRSKSTASSAGEEIAPAKRQIRIKLHNDEALRQRKAAKKTS AKGGLTQVSTEAANALKSPTGPITSKQLTKFPRAPQPPRPLVPRRQPSYSAANTASVG PHQKTLILDLDETLIHSMAKGGRYTTGHMVEVKLQQPVGAGGQIIGPQVPILYYVHKR PHCDEFLKKVCKWYNLVIFTASVQEYADPVIDWLETERKYFAGRYYRQHCTFRNGAYI KDLAQVEPDLSRVMIVDNSPMCYVFHEDNAIPIEGWINDPTDHGLLHLIPLLEGLQYV TDVRALLALRLGQPQTI CC84DRAFT_1163762 MAQGPVQLAPGLISFLKSLKTTPADPSVEHLISLLKRRQIRNSR PCAIATTALMLRVVGEFKGRDAAKLVERIRQVGRRLTAAQPREVVVGNIVRRVLGLVR EVLDDNTGGDSHTGSDAGHHTPVHHDSMTRPTLSSSISTFSPLRHAAAEPMDASMASD MSDSSELNRRPPLLTSHTSYAPATSAPLVNSLFGLFSQPDDSNASTPTGAASPDGKSA HSLNLERLADLSRSTNIDLKSEVMDGIRELQDELEQSDEQIAGVALEHIHSNEIILTH TASTTVQKFLLSAAKKRKFTVVHAETYPHDNTATHGILLTGRKRETRLDEDDDPWKPL TAAGIPVYVIPDSHVFAIMSRVNKVILATHTVLANGGLVAAAGAHMIAKAAKEHQTPV VVLSGVYKLSPVYPFDIDELIEYGDAGRVVPYEDGEFVDKVDVEHPLYDYVPADLVDL YITNLGGHAPSYLYRIVADHYRTEDITL CC84DRAFT_1068377 LKVFWVGNLGLILVLVAQLFGTLMNVTTRMLEIEGNDGKGYHPF QILFARMGITVVCSSWYMWWAQTKDFPLGIREVRWLLVARGLFGFFGVFGMYYSLLYL PLADATVITFLAPSLACWACSFLINEPFTRMEQIAAYVSLFGVVLIARPVTLFTSLSR NNDPVPPASGDSDVEFIPTNGTEPTRGFGSSYDNVTPAQRASAVGIAMLGVIGAAGAY TTIRWIGRRAHPLISVNYFATWCTLVSIVMMTVLPDVGFLLPQSWIDFAYLIFLGVCG FIMQFLLAAGLQYEKSSRATNMVYVQMLFALSFDKLVFGTTPSALSIVGSSLILGSAI YVATHK CC84DRAFT_1088003 MWTGEKSRSEKAHERSTSSAAVHEIACTWYNVCRESHEKCKKFG PGKRYTPTRLIDVGMERDQTWKLCLHPQDIEDAPDYLTLSYRWAQDPTVLLQSCTIEA FRQGAPIAGLPKTFRDAITVARRFSIRYLWIDSLCIIQDSSEDWARESVLMHDVYANS ACNISASASESPEGGLFRDRKAEEVGLGYISVDLPNLGTKNFEIWDQFYMDRLTHGPL SDRGWVFQERVLSPRVLHFSRSQIVWECFEMNKCESFPNWSPFPTEADYSRGVKTVYD FFKSDSGSDLSPTRKGDDGKLMSVGVYNQWMHLVKTYSRSAFTCPVDRLIAMSGIASK FKKHTGDEYLAGLWRSRLVEGLNWIVVNPVARPLERFRAPSWSWAAVDSAVFP CC84DRAFT_1088451 MRLINCSTKRFEEFFDEEVPEYAILSHTWEGHEISYSEYNNDLW DQTRKMYPEATEKITATIAKASEYGINHVWIDSCCIDKSSSAELSEAINSMYLWYKKA EVCFVYLSDFYCFSQYARPRSQGSWSEPLFEAVALRRCRWFTRGWTLQELLAPSNVHF FDRQWMCFGTKRSISLELSAITEIPGPALEGKVAEHTYSVAARMSWAAHRVTTRGEDI AYCLFGLFGVNLPLLYGEGATRAFLRLQEAIIQRTNDLTIFAWQHAEATVPELSREAC SILAQSPRNFEDSGDIVCNDLTDNPEYSVTNKGIKFNNWNFERDGDDPDTFEVPLSCR RKWYTETGSLHITLERLKDSVWYRSKNETFQSHKLFLKPLHRRGSTAALWYFYIMT CC84DRAFT_1163767 MSEQASPSQTQGPQNSSAPHDSDSDPMVYITPRDYASSARQSRS QHIAEGADPASIILQSELSTRIPRHENESPADYVKRYSETMNAMIRSGVKILNDECIA DQVPDTFSAGGKVFDLGPGSGATKGEYREFGEWFEEACGRGGELGEVPARWRRFEKPA GTQA CC84DRAFT_1163768 MAYTGAEDRDAEKYATGAGNVIDEDAERGLRAWPSTRDDEIHEA VEAQEEHVEPREKAPEHSSGIAKVFSRRSAASSWRDPGPAPDGGTTAWIQVFCTHITI FNTFGFFTSFGVFQTYYQTTLGIAPSTISWIGSLQVFLLFAIGTFTGRATDAGYFRHS YIAGAVFQIVGVFTMAESTKLWHLFLSQAICLGISNGLQFCPAMALVSTYFVKRRAFA MGITALGSCTGGVIMPVIVQQCLPRLGFPWTIRIIGFIMAALNIVAIALFRTRLPPRK GGEIVDWASFRDLPYSLFCAAMFFNFWGLYFAFFYVGAYGRNVLGMPYESSINLLLVT VCMGFIFRLLPTYYADKIGSLNVLIPFSFLCSIMMYSWIGIHTIGGLYAFAAVYGSGS AVIQALWPAIIGKCSKVQDLKKAGVRMGMAFTIVSFASLTGPPLAGALIQTAGGTYTY ANIWAGTSFLVGGVLLLATRWALVGMDWKANI CC84DRAFT_1175408 MSTTASSDPAPAATASTAQQPGLDYWRSTADDDAAALDYKREMD RQQREKKKQEKKFAKQFGWWKGEAPYKADRPSNLRAYRASGAYRFKMDSFADFVQAQA SPVRSRKPSGEASPDGLSASSANPDPVPTASKPHFAPPASYDQDRTVDSPGASNAETE EDPYARRMRLSQASTADAQSPSPAAPVPAPYAAVPPPPPPPAETPANIPPPPPQASTP YNPTISAPPVRYNPTISAPPVRYEHATISAPPVRYDIPEQTDEQPQERPAKRAKLSKA EAMMAKMGYKKGEGLGKNSDGITTHLEVKARKADKRVADVSDEYDENGKVIKSQQVFD IMGGHTIKQKEPDKFGQESKVIVAWGCVDGIDWSTDADRDDGGVRQEMGQTFDDKFGR VERVYVNLSSESQPVYIKFASELSALNMQAVNRFHEGYQFRGRSIRAQYYNEAKFEAS VFDH CC84DRAFT_1144342 MAPTTLEHAFSKDSTNIAVIVPGKPALSVSYQKLSSDVKAFQQK LADVGISAEAAVSIALPNTYEFIVSFIAASWQRAIAAPLNPAYKQSEFEFYIDDLSSA IALVPKGAFAQDAAAVRAARKYNAAIAECYYNGHEVVLDVKEAGKLAGKRASIQTAQP DDVALVLHTSGTTGRPKAVPLTHRNLLRTMKNIQATYQLTQEDRTMLVMPLFHVHGLL AGFLAPLASGGSVVVPVKFSASEFWKDFVEHQANWYTAVPTIHQILLRNQAPSPMPKI RFIRSCSSPLSPKTFHELEKTFGAPVLEAYAMTEAAHQMTSNPLPPGKRQPGSVGLGQ GVEIKILDEAGNEVAQGKEAEICIRGENVTKGYLNNPAANASSFTKSGFFRTGDQGKQ DPDGYVIITGRIKELINKGGEKISPIELDNVVAQNPAVSEAVSFALEDEMYGQDVGLA VVIKEGQKLSAGELKAWMAERVAKFKLPKKIFFTDIMPKTATGKIQRRLVVEAMLKKE KPSAKL CC84DRAFT_1258697 MPGLELNAPIPPPIPPFDHISISPGCQTSSRTSLDLPVRPPARI RSHKRLSAPAPISRRESRAFNAPPPPPSTHETRSYSRSVRRYTPRVTSFQSVASAASA PAALQSPPTPSDPPSQYNPLQHYVPCLAPNCTNHYTAHLLGPTYYCPQAPYQLIRKRG LCPLHAHQDLKLANQRVKSTYEAMRQNCGRKTLGTIAAEFEAFVEQVREERAEESKRM ERWQRQRVLPSAGVKGKDGKEGKEKENGKGQVWEEEWDWRYSPRPCTRKGCDEVWYSP FDNRLFLFYTTARPSGFVPLSTLCPGCAKFDVESAEERIEGRRRDVGGVVGPEFEEWY VQMGKDRELEVEYWEAAQERIVREEMGKWSVRSVQPKTTKQSKSKRLTKLDVCVVM CC84DRAFT_704378 MPLWIIYHPSSTFTSEEEKAALAKEVTKIYTAVPLPAFYVNVLF VPLPATSIYVGGVARPSPHTSANHPGPESSVPFIRFTIQHIARTLPNDEVRDRFLKTL DTTLKPFVADKGYDWEYSLEETRRDLWKVQGLVPPMPGSDAELEWVRENRAIEFEPAR GNL CC84DRAFT_704384 MSIKNVGLVGGTGTIGEPILEALKNSTFNISVINRAGSKSTYSG TNVITVPDDLNVPDVTKALKENNIDALILAIKPAQVAQNKRLIEAAFNSGVKRIIPAE FGSVDSADAKTREVYPIADCKKEARDYLISLQDQERSDGQGKLSWTGLVPAHFFDWGL GNSLLCFDVAARKAYIQDGGDIPFSASNLPFIAKAVVKVLERPEETANQLLYIQSFRV TQNEVLAVLEKVTGEKFEIVNQKSEERLRELRPKMLEGDFHATEETVGIWGLVASDWE GRVANELLGLEEEELEETVRNVLAKQ CC84DRAFT_1144349 MANYNYYYSHSYDAYAAYTHPHAEYGNTSPTRTHARVPASRRIS SSQKVPTRGVDEPDVVIGRVFWLPPQEELPPRAVRRAHGKGAVEEGIYNHPIVVVSRP AEEPQVVHFHIVTSFQGKRLHEIYSKNNEFHASRRSWYLPISPSPPHPDATSKKMQKR FPTLDLQHRATLRWDSYVNIRHVYKIDWTLLRPYANPDTPHVQSFCFDRESCDKMLAK TKVLTNYEPEIQHQVHYSHQAPCEVPERPTYRHPGRSMTSGPPLHIPETPVRYGYDQT ANLRGQDYSPYSPRAPSLYSPTAYSDGGSVVGSEYSGLSPILQSDFGVPQTEFEMDIP VPRKRPPDGKLRKVWFVIVFVLAWPWKMLCKLRERVVGR CC84DRAFT_1216576 MSTCCGTCDHRQHAVHDSSSTRPPLEVVLVVLKFKAPIVPTIAA PYAALNILLNSITDAPETTSHPTTFTTWSPCLSSPSTVAIFTTASETCRDSTSVVFAT VLKHLSAPPSVHHVYLDYSIVSLAASSPDERIPCDVVVLQAPNPGVASAIGKHFGWDP KRSSLSAQLGAFGPAAFSKPGDLIHDFWAWAELHPGAPTSPSSSIGSGYESFDSRPTL VSINSDEKNMSLFYAEDEERRNMEDETLVMIFQWNNHTDADRFKHPLQRSYGHNGQEV SNDLWDRHVAHPLRQATSIGAKADMYKLELRGVEPRMGKAAARERSGSRRFSTMASGF GEKVSGLWGR CC84DRAFT_1163772 MGPLYLLLWFASLVTAQLESTSVEIITITGSSAISSGEAPAATV PSDFDGSTIATVSLTADVSGSTGATNATASAGSSGASIEATYTRLVGGTRSATSTVLS ATSTTSTAAAPVNTQPCNNYPELCERKYSNITEVCAHNSPFVRANNAASNQELTVTQQ LNDGIRVLQGQAHYVNGTLYYCHTSCDLLNAGTVEDYLRTVTEWVAAHPFDVITIIFG NYNWQDTDENGNRTVTSKQFAEPIEASGLMQYVYQPPKTAMDLADWPTLGELIIQGKR VITFIDYNFDTDAVPYLLWEFYNIWETPFSPTSEDFTCEIQRPDGLSENKSREMMYMA NHNLNVQVSIAGLNLLIPNTAELNITNGVNGPNSLGEMTENCTAMWDRPPNFLLVDYY NHGSPMNGSVFEVAARANNVTYDRKCCGKAASLGVVLKMPSPTCLALAIAVIVALLL CC84DRAFT_1144357 MDNSLAAFRDGRSRQLRKLAEEHLQHDLNQSDRDIIKSAASKVS THAQVGSLLGIGLGVYTAFRLRSMRLAYFNAFRAMEKPVEVKFADGRTQPIPDITDKL SPSKWSDAATYFFFSLGGLFLGGELGFFSGTASASRSIAQDPQAKERIEKAFKNYRID VMKQEIQQLEGKNTSGQIFSS CC84DRAFT_1118155 MSATWTGPGIMQALVTLVPDSKLDQDTLEKWFYEVYLPAVLQTG IVQNITAWKAASPGYERQWMIVMEVGDLEMVQYGNRKHVGGGLHEIPRTSEIFPGGGA VDEFVDFESRILERVEVYGEEGSTADDVTTIIYAAMQPAPGGEKDLDAWYREEHNEQM SKEPGYKRTTRYKPLFQTRNSGKGKPGGLDFVAFHQFGEGNKVGTEVEPLDPMTEWTK RCMAGCEKIDAAVYRKVKTLRG CC84DRAFT_1087782 MPEYQNEAQIEELADAYRHAARLPPITKQSLSELDIQNIISNIK LRHDVNFDKDLSFRPNNEGSKGQQKERHSRMYWLALEAELHLYTRLFQGTPPLRSSGH ADPSVYFQRAQKRVPKVFETIHDVLKSLVPDRDHQRVDEHLDVRMLMQEIEKGVCDMV ALVEWTARLLKEHCAPMRDEWVDKMVGLMRKGATGTTLNMKIIVESLKGLIGMLETMK LDVANHQIRNLKPLLIEDTISYEKHYHLDRIVKKRSKVNFEAAQRWWANVVERLQVHH APATKPVNRTGLDMFAQAVVSNAFSRDTRQELPDTFYLDYDRLRALKSEIEDLVHFEI CFSMFIKLRKDLGHDVALSNAARHRLRSSLTAIMGESIGHGSQAWIYNSESLSLEIYR QAQVMAGRSPIFGHHSLQATNEYLRSLFYNTFTSHASTMEATLLPQVLSSIHKHSNSS PTELYNSLVTLPPPTSTPPFIPAPPTVDTFSFYNNTPQDRLCDLARRISHIVLLHWRI WAPITYVQDDASGFVTYLPMPPPPPPQPAITTSTIPQSASPPPPAPICPPPTSEHDVQ VVSVMKTGDAPDPGSGYESSVSDHTRLP CC84DRAFT_704536 MPPKRKRTNTVSSVKNAAMDVAQPSSRDASDEGAEDSVLQQVTS KKERQADSHVSAKRTRTEKNADGENGDVDGQPDRASKKSKTSNSGDEGDHGVNGEAGT MRMAPPPKAGLVDPVGYKTNPPPEGRPVRVYADGVFDLFHLGHARALQQAKTAFPETH LIVGVTGNEETHRRKGLTVLSAAERAESVRHCKWVDEVIEDCPWIVTAEFLEQHGIDY VAHDDLPYGADEGDDIYKPIKEKGMFLVTQRTEGLSTTGIITKIVRDYDQYIDRQLKR GTSRKELNVSWLKKNELEFKRNMVELRDSIKNNWATTGQELTKDFRQFWQSSRPASPA RTPTRERTERELAASATSPSALSHLSHLEIPGRASERGSSEFAAGYNLGLIGGVRSWV STSLYVVRRGLIYDRWHAVAATSTTAAHRALRMKTAQTSMKQARRKRHVVGLANNLQK WTRGCNK CC84DRAFT_1163779 MAPPPPAPAPRHAPTHTLRALATLTPPSNSRTWQTAPHPSQPIV ATACSDRSVRIYSLTSFTLLHSVTGGHKRSVRSVSWKPHVRGQDVLATGSFDASAGIW RHAQHRLEREITSSTGVEDDDEDDDDEYQFACILDGHESEIKSLSWSPSGQYLATCSR DKSVWIWEELEEDNFETVAVLQEHDGDVKCVAWHPEEDLLVSASYDDTVRLYREDADD WVQVACITGHTKTVWWAEFEGSGMAKRDFRAKRLASAQQEQDRFVEELEKSGPRLATC SDDISIRIWRRKAREGGHSANGGMPSIIRSAAVDEDWYEDAVLPQAHERAVYSVSWSR RTGMIVSAGSDGKIIVYKERWKVASGSQTEANGDTVVPDADAPLTEWVVVAELFSAHD VFEINHVVWAERADKGKREGEEVILSTGDDGEVRVWVLEEQGEKGEKEEEGAAPQV CC84DRAFT_1216582 MLSTIEEHYPIGSKPSLHYIPYLIFISYIVSLIGAFTTVELLHR RVSGSGWRGWLQLGACSVSFGLVAIWCMHFVGNRAIILGGGESEIQLYYNATYTTVSA ILPIVVIFLGLLAADRFHKNNRNATTRYVSLLICGIMCGAAVTEMHYLGNQGTTNYRL KPLPEYIVGAAAIAIGACLIAFGLFFHWSGHWMNNIWRRIIVACFLAVAVCGMHWTAA AGTHYELRGYHQGSGSARNTNVIIAVCLCLAACGLCFAMGFIKQRQEKKQRDRAKQVV LAVATFDTEGRLLVTPGGLLPCQTITRQFHQRTFDEEFNTRHPVFQWLFRVSRHWGGI VDLIPAMRDHLQHTGYLQVSTPLGNHSRDSMGTEEGDSTYSAVFRQMFCVTAQDIARS METRLQDLGQLYEDVLTTGTLLSRTIFKDSQGRPIIASDVVAPQKDVEAGLNPVLFGR GQLLVLTRKVDKEEADRLQNIGYRFASTNQIGDHLARSMQISRQDLNGLIVRLQTYCE KRLTIPKSGTYLASFLLQPSPVMKGIDVIVSKTSPDRLPMVKFMPNDPTPRQLRLLST FNGLTLDECLARINSRSGSVSEDEIFMEKFRNHIQELVAQVPEPALRHATFSSHQLDI AHGVRGQNEALTATLFAFCGIKEVYSQSLQSEKLQYIPLSFFKTNLRSYPGCPDHAIL AQQNHKEFGSLFAVAGEPAMPASNSGAKWTSFFHSKGRAMSEVTVPTDNSSEKGLVHI SETALNAPSNTGFGGIMVSQEVVISQDAGVSQIELQEMGVRSQAGVGDSEQLTMADRL LSITTSFRDPHARMLAKDHTVRR CC84DRAFT_704728 MSRTIELGRAVIAMQAANIELWLTTLITLSPDLNNPAAQNISFD ADNLEIDTLLDYMSSGNVWVKRGNDMHMSFNCTKLGQPLDSHGLQQLGDCIVNAPAWK QLGFRISLPVNYEPTHGKLHLNIDRWREDAQSPTNAEGSYESSLSVDLWPPNAIPIFN LNSIEFVMAFCETRTRAPARKRPKREAAKSALEPCDAEAFFADTIRNADPSSRSDPLL NSACQSKAMDQGESIHVQARLCKTPSNPNFDLKLASPNRGKRKVYDISSEPNNVVVDI TDDMLSDPACIAALAEAGLRFSICLHLKGSLTNLKVKANTFIHGLTNVAPALWRVNYT SACAHVTYTAPTLARSLDRLGSSAISESLKGKIAELKKMPTGVNPSLGRDAASTIADR LWCHVLKTQSVKTAFSSIKTLCDPGFQANSAETGEEILEEYRDISTIIQYGTGEQLHN IEPSTTNIQIGSTVCLTSNSINKDSTTSTSQILNEKVPGEGEIETGRDGSVKQNLLNN IRASRDNTLEVQRSNTLISPCTDVEQILFNF CC84DRAFT_1118170 MAHLSRITLRRVSRAAECNCARSFLARPATVPATHRAFRTTSPS RTDGVFRELTAQRLQTPWVEALRKQQEESKSGAKKPLAPQVPKERDLTPKRMDDSYHK VVLPLAQDPWLLDTYLNSSGHIRLGTIFMDLDALSGVIAYKHTGDDVTTVTASCDRIV INHPLTEICDLELSGKVTYATGRSSMEITMQVAKAPQEGQTIKNEDVLIHCTMTMVAL DPATKKPVNVNPLIVSSPEEKRLYDLGERNSKVRKQRKETALTKHTPNDLESDLIHAF WQKQLQYHDPYDELRKPDNAHFMDTTILRNATIMQPQNRNRHQFMIFGGFLLKQTFEL AFTTAAAFAHARPTFVSLDPSTFQNPVPVGSILYMTATVVYTDPPLIGAPDEQFDPQS EYTRVQVRVDSKVRDVEHGRSKPTGTFNYTFTVKKNIRVVPRTYQEFMLYLDARRRAE QVKETLQHEGVGLSAQTAEESVTE CC84DRAFT_1163781 MCSYTYSWYYCNHDYYIWANSIEICHNRLLSGYSYDAWSIDMCK NMTVECGGFSNYYCHECSEDVALEFELDEI CC84DRAFT_1175423 MTRRGRDEAARKRSAWRHFLAKQHARFTLHFLGLPPHGFAVDGN ALPPSARFWGSIGHARWVIWALGGEDEYCSTFLSTGCTTEVFRRSTRANNALAGRSRP LTFLFEPRSCVSRALSMTLDAGWPAQRVKRDAGSDAGSSPLFAGIRRQAHDPGLTTTA RSHASASALKVLLKPFDIVRVWRRHTARLHMTVHTVHSGPRRGWPRPPPRTTAHARAA CIPRATLDVGPSISAGPLHVYQTYHSAANPGSTLPSAWAAVRALEESKAHV CC84DRAFT_1163783 MSFSSLVSDIAFRKDADRSDDRDDRRPQVSSGRSMTGDSRPGLS SGRSYASTAATSVSITGDIKSQLHGGYSHPLARSWQAERQLTKSMLIFPLFISDQPDE ETVIPALPNQHRRGLNKLVPYLKPLVEKGLRSVILFGVPLAPGAKDALGTSADDPKGP VIKAIRLLRRSFPDLFIVADVCLCEYTSHGHCGILRDDGSLNNALSVDRISDVAMAYA EAGAHCVAPSDMNDGRIRAIKLKLIEAGIAHQVVLMSYSAKFSGVLYGPFRDAAGSCP SFGDRRCYQLPPGGRGLARRAIVRDINEGADIIMVKPASQYLDIISDAKELGKDMPVA AYQVSGEYAMIHAAAKAGVFDLRAMAEEATQGILRAGASIVVSYFTPEFLDWLSEN CC84DRAFT_704745 MHPSRRRGLSTECQPHSRGRPQLTRSNVVTLNHNDSKGLLGASS SRLWARNALRGVTASMVHSGSVQLHVENSAQWLSRHGTYRASLYITKEVNLLSQEQGK YDSGNRYPCTAVSRWLVASSRVVASKWFITPPRFGAREFRKHLHVDYDQM CC84DRAFT_1258712 MPVPQHRKSHYLLPLNSMIFKSKSSSNLPSTEQRNIHTKSTTTL HRLSMIEQPDEELTALPLAPPNKPIRRTPKNHDPAISSLRSRASTEHLETVPHPALRP SRSMGDLRDGDLKDDKKLESIWRRFILALKKPFRKGKEPVAEPREMVIGGPTDFKHLQ TGTACLPGNPTLPAPDNNDPEDQTSSGDWETVRQSESTFRREESFQRQL CC84DRAFT_1066531 DQRLIILWVNAVLITFTLCAVVSRAGRKIFVVGNFGMHDGLIVL AAVSATVFSSFQMVSTGLGLGLHQADVDPANMPELRKLLLTSNVFYFLCNWAIKHALL LFYSEITRERTHRIAIYAMHGVAFSFGLSSVLVDLLRCRPFKKAFYPDIEGYCFNMDA FYLYNSSMMLATDVVLYAMPVVFTRNLMLRRAQKVGLNCLFALGGLVLAASAARVWAI YIGAVKPDFTFRFATTMLFSVTENHIAILVACAPSIKIVALLVFPKLTSSLGKFAS CC84DRAFT_1216589 MAPSKSSTNARGGLHMEGPPHKRRKTSQVMTAGAGFSCFTLDGN DCSGDDPCKTCITAARAAANSKALQWMECIRPSFQAINIFSEGVTTLPQHRIDSIIDH LSIDDVYLDFHIPFALNVDAASSHLARWLAEDDASPSLSVIGVFSCSSNTNLLHNALD PNLARYLRLFVHLTTRLYTTGMQGGYEHCTDEEIRSVRDCVGDRLLRALDPLVRPNEI EASEDKLVKLRSLFLLLLGTVVGMRYTCSDVFDQTATRWSEHEAKQEALLRLLCHYLI YIGKATALLETSSEEKTLLDRWRTQWNKPAAFTWNCDQGLEIHYRIKPPADWIVSSSE DQSLSSIDVDLSEFNDVTAFTEDGDLLKCASCGTLWSDLDQAGLCLICQKPEYQDEGF TQLISFESDFDLQTEQLIGFGQQPVLEMTPWSFQNSLAENSAIQEETLGMDPEDGIDF FPFDVDGNLVEAEEPFTTTSTASFLEDVG CC84DRAFT_1087929 MAKSIICRMVEEGELNAVVDSQSRLFGFERLRVLDLSAVPFLTP GHSMLTVYALAEKQAADILAGN CC84DRAFT_1195758 MYWKSLLVLALAVPGRAIVRFHCSQLVRERLDPLVEPGANPSAH VHQIVGGNSFNATMEPGKDMPGESTCTTCTFADDFSNYWTAVMYFRAKNGTYKRVPNI GNNQFEKANGGLTIYYMQDAIYDENQKSNVTAFKPGFRMFIGDVHARTLAEASRYRYL TYTCLDTWTQRSPETIAFPQRKCKEGIMTTLRFPTCWDGKNLDSPDHMSHMSYPETGT FESGGPCPATHPVRTAQVMFEVLWDTKQFNDLEWPEDGRNPFVWSFGDATGYANHADY VFGWKDNSLQKVLDSPCYFYTNCSEYGHKLQSVEDMNKCAQKPVLEEDIDGWLDALPG GYQSQYGPTSG CC84DRAFT_1163789 MTMYSFFAVVTLFILVATAAAQDLCPKDEYACLDIINSSQCLAQ LVIQKMSPLTKENMAKCVETEGVASSLPGAQKLCRCPGCHTEPINAAIRELFPPPCV CC84DRAFT_704836 MQHTYCNFIFFELLRQINSHIADQPTSLCNMASQSYEFHTDRNN GFDNDYSNSDEFDHRSSGIAASANIYSEPHVFLDLRHYYSSSRQDKVAQTACIRFGHE EKSYSRSSDYISRPAASSSSRTRSDCIFRVPSGDRYDSSYLRNSHNNRSTSRSHEVSS YPPGHDCIYPPSASPSSRSRSDYISPPAKGERHQTSYSTQTYNTYGATGSHEAERASF RDKSDYQMIKEGGRDNKERFLGCHGIGFDELDAFDESRDILDGYRRLDAQAAVQYASD QEHGSDCTHDYGRHRETDAVISDEDRNTFIRHSGNHKYVINEQDGSPGYRGHRRAQRR CHNSPGNTYDDDQDYSGGSDDHKNACYYEGSINAYCDDQRYPRKSADENGSSYCERSN TGSNVERDAFQGPYEKTDSSDCDSTDAIDYYSESGVEDGEMGGDDFIDDQSSDEYDDD E CC84DRAFT_1216592 MRLINCATLGFEEFIGKQVPPYLILSHTWETYEISYKDYCNIDD LRQESGLHVEKILKTCDIAKEKGYEYFWIDTCCIDKSSSAELTEAINSMFKWYSRAAD CIVYLSDFDVRDPSADFARCRWFTRGWTLQELIAPRQVRFYDSRWRYYGRRLNLAPEI SRITGIALSVISGHFISRSHSIAERMSWAAHRETTREEDLAYCLFGIFGVNLPLLYGE GPRAFMRLQEEILKASADLSIFAWRAEAPGTAFRGIFAHSVREFAHAKSILSNPPSAQ FAVTNRGLRIERPLLSSTGHNLPGNDAGFLMSLGCRYSHMAPTKHLAIWLKKLGPEGT LVRFSVDQLSIMDRKWPSSKEIDQLQYIMRDTLAHED CC84DRAFT_1163791 MPPMAPLNWGLLPPSFLLPSSTRSLLPLIPLSAAPSHTTVRTIK SVNTPRPDRFAHHPKKPALNSTSRAALARKAPTTPLRTGLLAQKRGMTAVFDPETGRR TACTVLQLDRNEVVAHKTRDKNGYWAVQVGAGHKSIDNTTKPMLGHFAVAGVEPKRWV MEFKVKGKEGLGVGVGEHVGASWFSVGQWVDVKGISRGMGFEGGMKRHGFSGQPASHG QSLMHRGMGSAGGSQGSGSRVLPGKRMAGNMGNESVTVKNLKVLQVDEANGIVVVNGC VPGPKNQIIKVQDAMGKPWPAGPMKLADISPAVAAETAEAATTPA CC84DRAFT_704963 MPSMVHAPLINTGFFKDKTGEHLASAFTAVNGRNSPPSPPPRLN ASNGMTTITQPRPVSRHSPEESKPRMSARDNWSPPRPAAGALQQNAYQNGHQNGHSNG HSNGHSNGHQNGNSSTSPTLSNHGSPPLSPSKRKRSPSTEGGSQSPPDDAVGPHRRLD PHAPAGRGDSPNTIAQVQQLVMDHQHPRTLPPVDRIDTDRSWAPYNGYHEPQPQRHDL LRSTNSDLHQSSAGQSQMSAGDAQNGPERSSTTEITKAGVQVDHKKRKRQFANRTKTG CGTCRRRKKKCDESKPECNNCQRGGFICEGYANKVPWPKNGTPRPAAPLVAKDRFPPD PTQQLYHSHGAPREGYTDSTSPNGTDGGRARPIVVEEQDHPSTRSGWTNGWGDAPRPA YSQEQQPHPDYTPAPAPPQSSYGRPPSSEHAPLSTSAPPVRQHTARNYHHTQESMAKH LNHKGTPAANDLHPSQQAMMVSHATSAGPPPAPSHYAPPPPRHEKSEKTKMLNEEPFH PFDRELVAEREKCKNAIYRFNNTNNPHLKISPDEVERNFRAILLASWTQTFGGNAPTG HLGKPVIVETPFYCDYGYNIHIGSNVAIGMDCKFLDSGDITIGDNTTICANVTIDTVK TPTDPRFARGCGPQRTSTAAKVHIGRNVWIGTNCLLLAGVSIGDNATVHPGSVVVRDI PAERHARGPPADYV CC84DRAFT_1205091 MLPCAALLPLQQQSSRPASLEAFSRRPRPCAFPVLSSPGALREQ SAARRRPSNMHLLRWLPCLGLDACVPRRVYGCRVCKYLLLLATVDGRPVRAPAAHPGA GPASKTTLPVPCRLLSEPMAVCLNIPIWHMHCGPGYFCSSLRRSFIASAACFGLYSLS HPFFYASCVLRIGPEPFRSRASRVFGIVAVQLTRPVLPRYARCHLDRPVMKWWPSSRL LPALNTESMVSSPTEPSIATTLFTLHLIIPFSLKLVVYSINSDLVKLSVPQSYRNCSL AAIQGPGSEAVLRLRTTRLLERTETAGHSPVGRGSPVPRLNPIVNSSNQNGVRRPRHP ILINSTWCNPQAFEQISNARG CC84DRAFT_1258720 MNAPSATTPPRQRSTKSSTQQSTSSAWATISTALGSATPPCPTS STLPKSPPSSRPTPRSWNSFGIPKGIQDERSNLQRLRRICIDVVEKKRPAEVYDGLRD QRIHVPAPVAYDFLTMMMITEGRDMPPIKLSIYHYHDEDATYCACKRRRYDAEFLVEE CC84DRAFT_1216596 MKQQALEFYVDGQNFTVHEDLIKQASSSWEPESLLRLPRGPWSG HELRGIPAWGFRGFVHWIYNNELPKEAMLKANRWCAAWLLGSITFVGSWSMTISRIRL SLTSSAHMRAVRLSPTVSG CC84DRAFT_1088135 MSTQPLLQTAPGKRIALPTRVEPKVFFANERTFLSWLNFTVILG GLAVGLLNFGDKIGQISAGLFTLVAMAAMAYALVTFHWRAKSIRQRGQGGFDDRFGPT VLTIALFCAVVVNFVLRVVSENKK CC84DRAFT_1163795 MASPLPTDELTEIVRTTVESALASAEKYEHDKVADWNTAIINNL LKTLIEKTTPASQDTSKPAQPAYKYIAHSTIIQHPGAPSDGGAAGRRGMHSAVGAFWN TEKDGTLSYKWDGAEKKGMDVVVSVNWIGI CC84DRAFT_1088288 MVQSSVLGFPRMGANRDLKKANEAYWADKLSRDELVKEAKRLRL AHWQIQKDAGVDVIPSNDFAFYDHLLDHIQLFNAIPERYSSAKLDTLDEYFAMGRGHQ KDGVDVPALEMVKWFDSNYHYVKPTLQDNQTFTLSSDPKPVREFLEAKEAGITTRPVL VGPVSFLHLGKADRGQSVDPISLISKLVPVYVELLKKLKEAGAETVQIDEPILVQDLP QKVKDAFKPAYEALTASGLPKLVLATYFGDVVHNFEVFPALQGVSAIHVDLVRNPEQL EAVISKLAPNQILSAGVVDGRNIWKTNFKRAIETVESAIQKLGKDRVIVATSSSLLHT PHSLEAEKKLDAEVKDWFSFAVQKVSEVTVIAKAVTEGPASVREALEANAKSMESRAT SKRTNNKDVKDRQAGVKPADHERQSPFPQRLAAQKTHLKLPIFPTTTIGSFPQTKEIR IQRNKFTKGEITAEEYERFIEKEIEEVVRIQEELDLDVLVHGEPERNDMVQYFGERLD GYAFTTNGWVQSYGSRCVRPPIVVGDISRPAAMTVKESKYAASISKKPMKGMLTGPIT CLRWSFPRDDVHQSVQAQQLALAIRDEVVDLEAAGVFVIQIDEPALREGLPLRAGEER EKYLSWAVDSFKLSAAGVQDSTQIHSHFCYSEFQDFFHAIAALDADVLSIENSKSDAK LLKVFEEKEYPRHIGPGVYDIHSPRVPSQQEMEERIGEMLQWLKPEQLWINPDCGLKT RQWKETKAALTNMVNSAKSYRQKHSA CC84DRAFT_1175437 MSRPEHSRTYSTNLVSSGSGSRALEGRIGIVTGASRGIGAAIAR NLASKGLNVVLNYTSDSSEKITQDLAEELQTQHGVKAVIVQANMGDENGPKHIVEVAK NNLSHQKTGKFQIDVIINNAGVAGNNRIETINCEDFARQYNINVRGPLLLLQAALPYL PNDRSGRIVNLSSVSSSLGYISQSVYGGTKAALEAMTRTWSRELAERATVNSVNPGPV ATDMYGTNDESFINFNRPFLQSTPLATPRKEFEADKTEYYESVGGRPAYSEEVAGVHW ALASLFPSTVSTSSFVLPCPAALTTVRVRWRKEEELRTEGPHDRERELTTTMDPSTPP VPTVLPRPPFASWRTSLLWAAFFLAGTDAQVYNPTRLLLNGSRVYIFQPSSSSSSQFE LGTIDISSRIESSDPSVTTLYSTLPFLDSDKQRPFNPILDDGGNITVYTGDCTSGARG GEVWTFVPEVSEQGGNGSWRHENITFARDGKHVADIGPNYLSAGMSFSAIVEADALST QAYFFGGMCPSQGGNNVDWQAKANYSNYMVTLNPSKDTAESLEYQLGTSNSRGPPIAE AGFTLTGLSPSYSNRSDGTQTQQQNFVLVGGHTSAAFINTSQVALFSLPQQGWTFVPV NQPDTTQTDLAIRADVSAVESRSGHSAVLSDDGQRVIVFGGWIGDTNTPASPQLAVLN VGDGYGGQGGWEWTVPSTSGSGLPPSAGIYGHGAAMLPGGVMMVMGGHSISASSSRRR RASTSDGQRPMFLNISSYTWTTNYSPPPETRIEQPAKSGPLATTGQKAGLGAGLGIGM AAVLSLMAFYIFYTRKLRRQREFRESQLHELAMGAHRYTLSPGFDGRGGQGEYFQTAD DSHFYPSATSQGASSWGRTKAHDAERTGLLVEIPSPTRGLRRGLSGKATHGGARYDDR RVRGSGHIHPIDEEEEQEHDAANDKTPLTSQPEMRERGLDQGHSIFDNAPSLDPFVQQ HRLHRDDGAFHSAPDSPVRDERDDHHNDHHSWQAVAGPHLPGRSSPTNHGRVSPSKSS ERTGSNLSERSTYSNLSSHSHSGSMGRSASVRSAAILNHAANANPFKTPDASPTLERG TNIWQTAADPRTRSFTSIRSNARSTTAREDADSFTTARSSFMVLQAEGEALLGGNPER TRPNTSSTSNGSNSQSNPTESSMSRGNTITAATSTTEGFTRRRRKSWLGSVRRALARS TSTADRTRSMTAATMHFESYTDSPSPEPIEPRPTVENRKSMPASSTLPRRAASDASFW RSKRGRQDWLEDEIAQNPNDPRAKWKRHPGDDWGTPEDVELAERERLRREWRERGNLL INLTDEERLPTPTTPIGPGQLGVEGGRERPSTPADEDEWDVEAAVERRVVQVMFTVPK SKLRVVNADIAETSSLLSLPRENSKESIRDVLRDDGEGREKERGAGSPSRVRDLAGRF EQLSSPPRTSPRPSPAASIKSVKLRGRGSVASLDQARSSSGGGKGKGKDRAVE CC84DRAFT_1163798 MKFFSRQQKLSYARNGPNSPTSSQIAIPPRSSHTPPPSEIARLP RPEPIPQAPREPQIIQDRYIDGDKLLAMCQKRYGIENCRLKYKNERYYLQAPELIGED ILQQCETYSKS CC84DRAFT_1175438 METSSYFERTLFYDRSPATEEYYARSAETIFEKEPSRSKIEVRV QSSIDASYYIKKQDCSSLEEKAEDAESPRISPKRKAVLDEKRPSQSDPQPASRADVAY KVKVDDAYDSDSDYAWSISSRASSTALSTGLDQHHGSLPPTYPGPPILTIIDLEPSNL RNFTRQTKHEFRVFYIRQRNSYSRLQITKELFEELLRCCHVFPRFNEYVIGFGTRNSE TEVGPPPLTFRPLCEVRSNRYHGFECSYILRYIEFTNRTGVKFPWSLRQFAVYHRYKP KSGRACSTWILVGASQRTETRIDDYTRSIDDLNASNPFELHVTFVDTAIASWRPYLVS LTKLVAHQSDKATGVEVEGDDDLVPITFEDHQELKMIEDRVADLILCLDSTLDTVATF EEMYEQFSRQQIMPSSVGNKDRNSAYGIDIVIFGLRRRAREISYRQKQAKVLLKKVQT TRTLISSLLEQKNGLGTNEQLSAVRKLVEQSQEETALMRELAEKNSRDSSSMRILTII TMIYLPCTIVSNFFSTQFVKQIDSPSGDTKLGYAQNAWLFFAISVPLTLATIGVWYLW VNGERIFRNVWAKRTTSDMIQRRKPSSLGLKELLV CC84DRAFT_705191 MHDGDFFAMKVTPHEGREQDYHRQMAGLNHPHLVKCLASFTLGA KYQMIYELASCDLEEFMRERSKPSKHPELTKAWLAQQLAGLAGALKVVHNPQGLLNVP NPNTTRTGYMHDIKPENILVFIYKGKVCILRLSDFSCAKVVEIVATISGKRDSYKTGS KPGTPTYRAPEISENASSRPYDMWSLGCVFLELLVWYLEGYKALELFRESREGSVLPS GVVDEGFYHKTSNGEMQLREPVLRKIDDLKRQCRGDLKDIVDVVPWLLKIKPKERMDA SHLAARLGRFSTVAGSKGTTNFAGSLTVPSLGSNSLPTYDSDSDPNEFIKITRPSNE CC84DRAFT_1258728 MFSRRAFPSAFSAATRATRPLASAAAPRFLTPMQMRMLSDDMRQ AIDKAVASAPVVLFMKGTPETPQCGFSRASIQILGMQGVDPEKFTAFNVLEDNDLRSG IKEYSEWPTIPQLYVDKEFVGGCDILMTMHQDGSLAKMLEEKGVLVPAENDAEPPK CC84DRAFT_1144413 MAREGTALRAGRAAGAGVVKVNESKPPSSKRPVSAASIFKQQQS KAVKNSVVTPVLHTSAMKKWTQAKRGSSSSPEKMGSNDGRKQDDLHPLAAAFNDTARA YRQTLLESTTRKLDDTLSHLLDQLNDFNITTSSSPPQASDPNDSPQRLTIADRADRTA KKLFTPLGQYELTVARNDPNGEEYRVVQKLEDGLVDYQARHEHRIEEIRKLEVKWETV VGEIWKVGINCLGEDAMSALLLIKPAPPPSPPAKAERYSLMLADLDPRPVRKKVKFEE SAPKLPRFLTSASRYADLPIPKQISKDDIKMLTEKVNDFGTEQIEALTKVKKDGEIWW EKKQAQMMIALQED CC84DRAFT_1144415 MAHPPPPSALYTPTFFLALLTTLLLCATLRALSILPSHSAKPRP RAPGTRTRVVIVLGSGGHTQEMLYLLRDLDPGRYTHRTWVVSSGDAFSAGRAVAFESE IEHRVGKGMGRQNVGPGSYDVQIVPRARKIHQSLLTTPASSLRCLWACFGPLLSSSSS SSSASTNSTPAAADLPELIITNGPATACILVLAALLLKFFDVRGAQSRGKCRTVYAES FARVKTLSLSGKLLVRVVDRFLVQWEELEGAGGGRAEFVGVLV CC84DRAFT_1258731 MTQMTAAARPAKTARHVAKIREMRKVKGAIRWHERAREKRQKIV AERHDDKRAFAEVRRWRQENVLAPIRQAKKNLKEDYEMGPLRPNRAYGPGADQYGVLS MQQLRRPVISAESWARKNEARTAKGLEPEYPLVVDNKKYFPVAAGDRVMVIKGRELGK IGVVEEVIKDSHEVIIKDINKHYADGSVFNTPEGEEPETKRAIEIPLSLEDIRLVIPY RMTKRTENGKDVHSWSDVVVDDILLERHTTGRDPFTGIDHGAEEIPEEHRFDPRTGLP IFNRYIAGTRTRIQWPWETKLPKEDEVARVTKANKEDNVSLYGKVRHPVKFLKSKLGK SKKPAKPEAKPKTPEEIEELKLQSKLDQINAVPTNPRGAPSGFSPRYPDDTQRHKAEP SQHTASFYPTLVYPPFPAELASEIQQHTHEADIKERNEKQDWYEDAKEVTPEERAARK AAKAEKLRRKTVPDSMKTPLQLRWEVERRNKLAAAEKTKVDREALLIALGQHIEATRA AKTGARSPAKQEAAELD CC84DRAFT_1118213 MVKLLRRRLRCHGCGEASPNERSGVARAWVCPYCEAVNHVDERG QITDPPVELTAQTQPLYTQRSRSGTPDIMHPPVESPFCERCEKNQLIVNRSLAEYLPD EDDPQYAKYDKALPQYQAQLEERYPPVCENCIERVQAGIRQAGYATKAEELRRKLDQS KKYQKETPTVRQTCTLWIIWLGRWAYITSVLVIMLWHSLGAIAYFDFSERDFDWAHCL SEAAYMREAHQSCVASSPVRQVVFYALVADLLTIWWNPKLGQKMKHASGRMRGLVTTW MIRMLLLLLNAGSHFLLNDTSIRETGIISDERVGFFRYTHMTLLTLNILATLLAWNTV TIKYVSTKELLRPLDAHLPTAPRSTQTTPRPQHTAPLPNNTSFDSMAAGFASSFPSEL NAYPPSPTLSATSYADTEESDFTPYSARKSSIATNASTDAMDWTPTHSRFASHTPSLL PFPSSSQHQPDSPSPSPSKPPPVNIFKPGAETNPFRRRIPAAPASWHKSTLPPHPEEQ SRKRNFFAEDAERNRDLGLGLRGRGVPKAVEREASLFQAPQFKYDAGGLVGAKETGLE EGFNDLFSK CC84DRAFT_1216609 MGKGQPRGLNAARKLQNTRREGKWADLHYKKRLLGTAYKSSPFG GSSHAKGIVLEKVGVEAKQPNSAIRKCVRVQLIKNGKKVTAFVPNDGCLNFVDENDEV LLAGFGRKGKAKGDIPGVRFKVVKVSGVGLSALWKEKKEKPRS CC84DRAFT_1088887 MSNNKIAKVPSRLPAPALFVGPPSHNASATSLLPGTGADKPSRV PLTRQRSLLSPDGKRPPPPLSSTSAYDGDPLKLSSSNPNAPFVRHQRQQNEAADAEAS SRAEAIWAEMQNTLEEVELSAIKGPGMTVFGSEHSRALEDLRRAQIDLAKAWARSEAE ELDQSSEDRKTAGVTNTDVADLLNIPERSMEKSNQGSPKAGSNSGGTFEKSKLEEETE KDIELSRKRREANDRYFEKVNRGVLEVVNKLEEVAKAMKTVESESKEIWSDNQSAETA STTAPSVT CC84DRAFT_705293 MFSHKEKHPNLSDDAGAPPYDTNYDDDTPDMVVCPPHTTERKLI TKIDLHVVPFLCIMYLLAFLDRVNIANADVFGLSKELGLVKTQYNNALVIFFVPYILF EIPSNIILKKLKPRVWLAICMFGFGLVTMLQGFVHSYSGLLATRFFLGVFETGMFPGA FYLIGMWYRRHEAQKRYSFFFSSTTLAGAFGGLLASAIGKMDGMRGYQGWRWIFILEG TLTCVVSFFFFFLLPNFPEEVKWLTNEERDFVQARLRIDQGRSARERPIKLADVGRVF KDPKILVGGFMYFGLIVPAYGYAYFSPAIIKGYGYSKIQTQLHSVPPWAAAFGFAMTI AWFSDRLKHRFLFAIFPICVAIAGFAILITVHNNHNLQYAALFLVAMGCYTAMPVIVC WFNMNLGGHHRRAIGSAWQVGFGNIGGIIAVYAFLAKDAPKYIPGYSICIAFVSLSAL SCTLYFFMCQWANRSREKSVRDVGLTEYEKTELGDLNPDYRYLL CC84DRAFT_1163805 MVNSKDFAFKVNKQTPTEGVLPPAYGTVIDCRPASGKRGRSEKE VKSRQVRLTRVPDPDGVDCKRRKREPHDSANDDGAATASKESCVGAMSTASNSTASAE RPPAVRKSQPAPTKFRRGTVLKKQVDLDSWFTILRFSDPAQLLEMRTRIASCYRFLRD NPMLWKHSRDHFYDDTLPDPPSELSEFQYAHLRHGHGCMSCHTKSTRKTYWAFLRRWC KNCLHSKIIKEHDVVGMLKEASEEHMLDLLKCLPVAIFDSWDNYVGVGPGNTHPQKNV YVLRDVEVLLAQHAQERDENPATWHAECRVWMSEKEKVMQERHDFARKMELWEDHARQ SKSSDFQEKKTARKKYFQEKAAELTPPISEKELQLCPSYRRAVVIPKMPNMLSWSQLK PKVEKEAADVRARCTTPDARRLPDPFGLGRDRVPHHLPFFSQPSSRTTTPSTDPFF CC84DRAFT_1118226 MASASRSFTRALRTAAPSFRTTSTRSARFVAPQHAFRQQYQRRG YASEEPKDKYEGNPSGLIYGAGALAAVAAGYGVYLMNPQWFGQTAKETAVFAPKFDDY QKVYDHIAKMLEEHDDYDDGSYGPVLLRLAWHASGTYDKLTNTGGSNGATMRFAPEGD HGANAGLKAARDFLEPVKEAFPWISYSDLWILAGVAAIQEMQGPKIPYRPGRTDRDLS FCTPDGRLPDASQGASHIRAIFGRMGFGDKEMVALSGAHALGRCHTDRSGFDGPWTFS PTTLTNDYYKLLLEERWSYKKWNGPKQFEDVKTKSLMMLPTDMELIRDKSFRAYTEKY ARDNEAFFKDFSEAVTKLFELGVPFTQPEDQRWVFKSSFD CC84DRAFT_705900 MMILNLVLALAVLQWTAAASYGTSFRKSCLGFNATSFLTNSTLR LHEYVPANTTIPLNGMDSTCSRADQLFSVEACRIALTVPTTNRSSVIVEVFLPRNDAW TGRYLATGNGGIDGCIKYEDIAYGLSHGFATTGSNNGHNGTGGKDFFNNEDIVIDFSW RALHTAANTGKTLTKAFYKMDLGKSYYMGCSGGGRQGIQAADLVPQDYDGILVGCPAL NFNYMSAWRASFYAITGAANSSDFVAPETWQGLIHNEVLAQCDALDGVQDGILTDPSL CLGIFRPEALLCTESNTADCLTAVQVDMVRRVFSPLYGVDGKMIYPPLSPGAETLATQ RLLSGTPFSYSVDWFRYAVYSDPSWDPAAFTIADAAAAETKNPGNSVTWPSSLSNFRD AGGKMLIFHGGADQQITHLDTERWYNYLSVGMASRPSDLDTWMRFFFVPGMNHCSGGA GAWQIGQSGAAAAGIGYTPKFNVLAALVEWVEGGTAPGELMGTKFANDTVAQGVQYQR VHCRYPTKSVYLGGDAGAVESWGCK CC84DRAFT_1144439 MGAEYISGMGSLTLSQAVHLAQNSPGGVDQRLAQHLERKLVEVH AKIKAQPHSYILPQDEFALINYYRSRFGDSEIIKSATKRFWDNHHTSARRHRSVHESA NCSRRPKEVRNGFYQKMGISRTPALQAIGEQNQLGWKGKRACQHRAALSSIFFFLILM TFSHPVIASQASSRSQLSRIDSALSGRAPNATTPLLECLQVSPPVLSPKKSCEKTLMV HEFAYSYGIPFIGNYTPPECEFNRITINFTVTSAGRQFDRLALMYFNDTEVWRTSTAE PTANGIVWTYVKDMSNYLSLFQEPQKIIFDLGNLIDDTYTGKWETTLTATFFTAQDTI DAADVIIPISAHQSSLDKPSAFAIPDTKAIDTLKFPHNVKKAVVSLSAVGQATEEFWW SNVLSSDTTAFGNETTLYGYSPFREVQLYIDETLVGVAWPFPVIFTGGVVPGFWRPVV GIDAFDLQEDEIDISAFLPSICDGQEHTFEIKVAGIDQDENGNAFLTETVGSNWVVTG KVFIWLDKTDSITRGAAPTIEAPNVVLGVSSSITKGANGTVQALDYSVKARRSFSVRS TIITSEGSRDVAWTQDLSFVIKGKLSNSGNDQNTTQTTTGKHESQGSYSRSFSYPLKV SSSYNVLEGGNYTINAEMSRAKNVQNIGHLAFPTAADSFDYGQLPGHTPLFTGAAISN SQNGSAHYLAAPALKKSFGSGSTEQIYSLAGISASGAAETDLYRRHILATNDSVVYDS QSVGDDTYVVQNSPPTPHQAGKQTYANLGIKAMLGRGPFREQ CC84DRAFT_1163809 MVALGRWHLCSWSVSRDDTSPPPSPLSAPPILVQPRPSSPVQEA PDKPAGCRIRRVRYPTLPEVFPVRVGDTAAKVY CC84DRAFT_1175449 MNAPAVRIWTEQLLFRGAFSRPAAAGRPQTFTRTLSHFQPRAPR GSLQRSPLCILRNGRATVNPRFRTLRFKSDKPSHTPNPTPNLGSPEPALTLSQRLKRL SKQYGWLAVGVYFGLSALDFPFCYLAVRMLGTERMGHYEHVVVEGIKSLIRIPFPTLF QGSRDLEGPIAEEIREAAEREETLGHDGGKVVGHNGAAEASLWTQLALAYAVHKSLIF FRIPLTAAVLPKVAKTLRKWGWNVGKPKPT CC84DRAFT_1258741 MNVHPRRTLDQFYYPSLSDTSARDKDQTISKWTGSNLWCWVIDD NFCDLYESVKKHANACKTVWDMQALLVREAISYTAEQNNKNVVDLIETYKWVSRTKAA RQTSYVQDFLIKHHENHFDSAALDDSKESKLVLEVSDIVDELKMIKSLVQTQRGVLKT FIEALTRKNPSTDEPQQNSRKRANISKCSFTSTDASRMFINLQNQETLHRDSEDIKLL AQDISGAAASYAVTADETLVSLLKDLDEIKEEADYTHRMLQDLLDLKSKAASLAEARS TTKQGQAVMLFTIVTIIFLPLSFFTSYFGQNVSEFTGDDKNPSSWDLWKVGTPITVIV IAVALLIALLIMKPDWRRSLVLSRSDGVHDVEAA CC84DRAFT_706347 MIAVSRVSLASWAFIAISAHAHPLFNGVLVQRAEDLRKTYDYVV VGAGATGLTVANRLSEDPTVTVLVIEAGEFDQNEDFVTVPGLAGGAVGTKYDWNTSYT ANDALGGRVVPIPQGKVVGGSTKLNRMVFDRGSKSDYNAWEGFGNDGWNFEGILPYFK KNEKFTPPTEEILAEYDIKWDLAFHGDKGPMYTSYSPFIWPTTKNLINATKEAGIYIP EDQANGNAYGGYYCPHNLDPVNVTRSSAKEAYYNTASARQNFHVITGNQVKRIVTQTI NGTVKVTGVEFASSSNATCQAVNVSREAVLAAGALHTPQLLQVSGIGDSAHLKSINVE PIVDLPAVGQNLHDHVSAIVVNTINTTILTQSALQNATFAAQAREEYNTQRKGPYSSP TGDFLLFLPVPTYSNDSASIHAQASAGNVSASLPSDATAEVIKGYQAQYESLTARLLA NDSGTLEIIWADGVIVLGLQHPYSRGSVKAASSSIFDPPVADSGFLRNPLDVLLLREG VKFARRFITSPSLAALNPFEAVPGANVTSDADLDEYIRGSASTLYHPAGSCKMGPKEE GGVVDGELKVHGVQGLRIVDQSVFPQLPASHTMTTAYGVAEKAADIIRGV CC84DRAFT_1186570 MKIFQQSTEFDYSWEEVSTSNWRKYGPWNESCKHVIAVDTLSRT VDPVTGILRTERLITCKQSAPKFVTAILGGQDTSLVYETSYVDASQRSVTLCSMNLTW SDLLNVRETCTYSPSSSHPAAKTKFQQRAEITALCGGWAKIKNKLEEVTVERFQQNAQ RGKEGFEMVLEMSRRAFQEQKSPILREAKI CC84DRAFT_1088643 MPSPSPRGYTSFPSSADLFYFCTTSSTSEEDLNIHYAPPSKSSM KIGVLIFGNDQIQMMDLAVVDLLAKIGRNGLSRLNAPTAAQDEAVDELDIRYVNESGE GSFAITSGARMPVTNSFGDAPQFDILIIPGSFSASELPVSAITLLTTQVSSPEMIAIF CVSSGILRLAQSGILYRKRATGPPSLIRTLRQRYPETIWENMPWARHDHLWSSTSAIS ALDMVAAWMREYFWDRQEALQYSLTAAGIAPLDHDEE CC84DRAFT_1163817 MSEHNYKFEVAMSCGGCSGAVERVLKKLDGVKSYNVSLETQSAE IVAEDSLSYDTVLEKIKKTGKTVKSGTADGETRDV CC84DRAFT_707116 MGSNILFLPFRRSHSVNLSEAIKQYISSKYDQHPDMFTKDLEAI EKLRSVAVHAQEPHASNIPKLQTYAAQLVWISGKFPIDIGVEFPWYPALGYNTSRPIS RNNLRFELANIVFNIAAMYSQLAMSSNRSTPDGLKAAANNFCLAAGTLVHLRDTVLPE LRTTPPEDMDTMTLECLEKLMLAQGQECFWQKAVKDGLKDATIAKLAARVSDLYNEAS EAGIQSDAISSEWIHHMSAKHHHFAAAAQFRAACDCLEKRKYGEEVARLQDSLTCVNE ALKETRYINKMVLGDLNGLKNRVTEDLKRAEKDNDMIYLLPVPPKAELKILDRANMVV ARVPKEVADSNSLLGDHGELGPALFSKLVPYSVHLAASIYADRRDRLVNSNIVEELEK LTAELHDILRSLNLPGSLQALEKPLGIPPGLMAHAEEIRQQDGLNRLHRSMKDTKKLK ENDVAIFREGCNLLSSEAAEDDAARLKYGTDRWSRPTARLAAPKLYGQIDEINGYFKA AEGSDSIVGTKLTENEKLIALLNGSDYDIENYVPSGRRPAITGDVEREAGKLRGCFNE VSRLESRRRRKVEALRTKANHTDPELLREAARLEREYPMQNIEAVQFETLFDKRLQMY DVDQEMVKEEGREQQDAIQRLQTANATFVNARRGDQSTKQREQALQSLENAYYKYKEI IANLDTGRKFYNDLSKIVSRFRDDCRSFVYQRKQEASQVEGDIANSMSALSLQQANSS SLQQQRQEDAQNPQYGAAAHADEPLAAPTPTRASVGPGMWTPEMPIRFAAVPTASGGA RPTNGPAQDGRWDPTKGLKFG CC84DRAFT_1090029 MNQMATTQAHSPTPPHLLPGMNQRSPSPHASPNMNQGAFPSPGH SRHASLDPSAAYGQANEWGNMAAFRGHRRTPSETYSDVSSAHASPYLGNQDSFDDGNP SPLLNAQDPSLFHDVMQFGQFNLNDAQSHISPGHSPHISPRLMPQQQALPQFQPGTFG LDPNLQNQYAQQGMGTYQGQEPFPTINQGGPGPEFGQADTMSPPEINIDFAPPSRQAS FEPPKPDLQTDALSPPDRSRSRNRIRAKSDPFSSASSRASTPGLDPLEAQRSLSPGAA KGSRSPSPGSTKSSRRSSTSSVPHRDYILDLADPSRPSGAPDGSNTKRTQKHPATFQC TLCPKRFTRAYNLRSHLRTHTDERPFVCSVCGKAFARQHDRKRHEGLHSGEKKFICRG NLKDGNNWGCGRRFARADALGRHFRSEAGRVCIRPLLEEEAQEKGHWDGQNQPMDNSN GMFAPMPQQGYPGMMAPQPGYEAFPSVSGGLEPGTVPQYGLPAALLAQYPALAGLNWN ELPAGAPDDVEGDISGRSSFDASSGGEMFDDDGESYPAQQYGWASDYETNAR CC84DRAFT_1216624 MASFINVGFCLAYFALQNVSSMSGDVYTPFEIEESIAKMSTEYM FSLCWGLKPPDVSQGSSSFDLAQGSTCIIPFLYSILGSGLFGRIPFPVPSRTPFRSFM EVPWVFRDSAEAFSKCHISKMTESGFLTGTWMGYYTDQRLVNHRHFALVGPPMNDINI VAKPSGESDKRSEPKGHIDCSESSGFDSYGPFTICGEFHHDGRVEFVKHYTQHAWDWQ YNGIVIPFGIVGRWSDLEGNFGGHFWIWKKDWCDSQAI CC84DRAFT_1163822 MRYTTLLLLPTIALAAPAPLPVPQDKPSGHEVEITAVTYGGTGC PDRTVQGLLSDDRTTITLSFDQYTVQSGPNIPATERRKFCQLQLKLKYPSGFQYSVFG ADYRGYASLEKDVTGTAQSTYYFSGQQNQVCVPTRCRLPQPQPAACSSPRNPSSPALT HLTQTVIPTTFKGPMEGNYLKHDEVDAGSTVWSPCGEQGMLNIKSEVRIVPFTAKGLN LLTVDTVDAKFSQKYYVQWQRCDGKTGGGSGGMPIGRPPVDLGRI CC84DRAFT_1163824 MANKTSGGNNAFHNFHNDFAHIEDPNERRRLALAEIDKAPFGWY HVRAVLVAGTGFFTDSYDIFCVSLLCIMLGIVYKHDNKGVLLTPQDTAIKLSTSAGTV IGQVGFGALADIVGRKKMYGLELILIIVATLAQALTGPGPGTSIVGLIIFWRVLMGIG IGGDYPLSSIITSEFATTKWRGAMMAAVFSMQGLGQLGGALVMLCLTAGFKDSLSTAV KTKATAGVPATTNYQNCTGECAVSVDKMWRALIGIGIVPAAIALYYRLTIPETPRYTF DVARDVEKANADTEKYLSGKYGEGHPDEIQAVAARRASVEQLHVPKASWSDFFRFYGK IRNGKILFGTAMSWLLLDVAFYGLGLNASTVLTAIGYGSGANAYHQLYNLAAGNAILT CAGAIPGYWLAVATIDTVGRKPLQLIGFSFLTILFIVWGFAYHHLSSHAMLAIYILIQ LFFNWGPNTTTFIVPGECFPTRYRSTSHGISAGSGKIGSIIAQGAIAPLRTRGAVKSG DSPWLNHVMQIFSAFMFAGVFTTLLIPETKRRTLEDLAMDWDMGDESITGAPAVNKST DANSDEPHEPKTV CC84DRAFT_1144467 MRHHAFLLSTLAASATAQRCDSPSPDIECSAVPEIAAYRTTSCT PTHIFLTRGTDEPYPGRLGNITSLVCTALGGDSQCGYEDVSYPAANRYISATSWCESA ATGVRNGQTQLKEYVARCPASKVVLMGFSQGATVTQDLLGGGGGPLFDLCTQEANKGL DRGQAPGTKAVVTFGTVRRSPSEPYSVGAGKDFQPEALRDGELKVGIDQYAGVLRDYC NEGDQYCAKSSSPLALENHLKYFVQYQQDVVDWVVKTVKGSKI CC84DRAFT_1118264 MAPGDNLPDFLTNTPLHASFDKDIKDTHLIYDYDAQDRNGNPEK WRYELWCFSDDRVVYAIHGGPMAGRINYQRATYQCIRPGELWQINWLEETGTVVSAVY DIPKKKITTLISFSEGHWKQPEVAHGDKRQKECLERWRKLADVGNQASRFMLSEQADI VEVFKGKGDLVPISRDDQTF CC84DRAFT_1088163 WCVYAAILWALKASCCAHYMRLTNGLSFYKTRINVGFMIVGVTW VGLIFAVLLDCQPLTKNWQISPNPSNLAQPIISNINIFVALITNVATDYYLLSNPIPL LWPVKNVTWKKMGFIALFSGSNFTCVA CC84DRAFT_1163826 MLIKNILFLGLAALAAANPLLEDRATSKAVAGSKTGKKGKVDTC DNTSALTTGVTCPRVKFTAAQIQRTVKQAKAMKLKGKKGKGIHFPAKYKHDKEVKIKV KGIKGTKSKTGKRDLDDREDDEDDEDDEDDEDDEDDEDDEDDEDDEDDEEDDEHLDIE APEDHAHKGQSLVTRTLEARRGRGGGSSRSRPKATKPKTTKPKTTKPKTTKPKTTKPK KEKCKKAAPVKVGPGAWMFPILKTGVWKPGMMPELNYVILDRKYNYVKTAEREPGSAE EFDICVENPKKGGKATKGKTTKGKTT CC84DRAFT_1258754 MRSFYQLVTFAFLQILLWSSNGAEAVNLKTRKKPTNARVGVELD RDLFNAGPETYVAGAPTVRAKADANRIIFMAHWRVSGPLSDGQLVQIAMDGYLDMLEN VEQYGSAVGAKAIPGVITVFHWDQEIIVASSQSKGLPLTYTRNNHILPLIMECIQPAT AKSNEAKCGEMSAAQLFVHLYPNTQINTKNIVTVTVEGNKEDIKGTTMAWLTQNGIKE PCNPAHGGGCAAIVGPGKVLRREVPVGTAPAPYSKNGWTQSDTQQISFILPDDQVVHA CC84DRAFT_707917 MASFHACPRELTDSILEQVSTCDLAALSLTSKKLHAAATPLLYS RINFSIYRDNPRPLIHLCRSIFQNPELAIYIKSVRLRDGEPEIQKLFQHPYNHQSRTP KASPPQPTDEDGLPEFVSFIAGSGLSYADFWIEKLRVGDLNACVALLLSKLPKLTTFR VGYAVALADLEGLEYGRKPPETAGESQFLGKIFQSAAFDKSNHGISRFQHLQDVFFPG PLENDPGRNPEFSNPRDVMALLSLPSIRSLSGWCLNPSSFPFTWPAGPPNLSHLTSLS MSFVHVDFLAQILERTLNLKKLSWEWKYIADIDPLNTDTIDLDRFVEAIKPCQDTLED LTIDCINTVAWDDYERRYINLRGSLHGLDRFANIKRFKAPFTLLLPDWDDEPKESTRL EDSMPPNVEVVTVTDEDWVPEYPYDRESEMAKLRAWITETAATRTPKLVEICFYLTLA SDWVRYEKYEDFERVFEGSRLRHRIIKAKDEEPWNDV CC84DRAFT_707929 MHEKAPVDGTAISHSLLSFSGGAGPDTSRINLLTISSVVASFIM QVVDFPRLIQRFGIIGCLKALCLIFLILNLAVPFTCLLFNTIARQSVMLGVLICQPLC VTFGYPCSILLLTNSAPTVDILKDLNGVVTIFAALWKPTRLAMGGMIYAASDHLWVIC CC84DRAFT_707932 MRASTARSSQRQVATGGATNVAACRENGYCSPCRAGWDGRNGQR LHWCYKDAHCRDRSSRPLTAKSLQPSPSTLDGWCNLGPYTRGSARPSHRILYFRLRKQ RNVFTSELRIQASCEPHQLSPTRHLSTIMAQPTLIQLPYNEADISLAILAINQN CC84DRAFT_1216632 MANLDWALREDEVITLYPKRRIRLTIYIDKVVSSLPFPSWMITS RLDKRKFPDVKYFSEKRLLRMSSSIATVIALVFILVPIGQLYFNVDNWTNWEKFGRII GWSCGFWIYLWLCTLANGHETLGASASYGSLLVVFLGLTRR CC84DRAFT_1205124 MSGGKLTVFGFGIPALWSYSNAYAFVIDSGYGCDEAKEKLEKYI DAEMVDVTGVCIDGKMYYLGRVDGKSTECKCEITGDHGPCQTTCWDKKFTAPLGVEEL NGEQCGGIKLEDLVKGSVNTYNQNGGRNGGAALNVDGDGAFDALLNVNVTTLGMVRLS VCSPERAFQAWGAQDMGNKLYPCNDVPGKNDCGDLDFEDQTSDASPSVDDCCQIIKNI QGDSMTEWTT CC84DRAFT_1205125 MGGTTDWEYHDVPAPGKSWDQFKLLVASGSDPKTDYSRNGNWTS FHYESEKLNADSAWGNIIWIWKDTDRLTIHVGTNADCGEILLTSNCEQAKDCDKGFNV EDSRALHKDYQDTLFKAASTLNTMLNNFKDKFAPVPPAPNTMWIDFMIDLITLGTVSA WGTFI CC84DRAFT_1195787 MAFGPERHDVFDFTLLFEQSILGILPSVLFILVSIARATSLWQV KTRVRAGWLLWAKLVSRSLGTDEDIQYRQLLAAATTLIWVQIALVALWALPGTVRTPV SLASSVLDLIGSFVIVVLSYTEHRRSIRPSTLLVSYLALSILLDLAQTRTLFLRSPDS GPIQALFTASLATKLVLVCLEELQKRPLVTDKTKNLALEATSGPINRSVFWWLNRLFL NGFKSLLQVRDLGSIGNKFDSPKLLAKLDAVWQASDRSGKHVLIKAAFSAFKVAFLAP VVPRLCLAGFGFAQPFLINRVVSFVGESDRGADQRNAVGNAGGLIGATCLVYLGLAVS WLVPKRRDPIKLTSSANKLSRVIYNHLIFQLITILRGSLVPLIFKKTIGLDTTSAKEG AAVTLMSTDVDGIATGIQLMHEIWASVVELFVAVYLLERQIGPACFLVVIPTVVSVIA TNYATDGIGPARAIWNQAIQKRVSSTSSMLTQIKGLKMMGLTDYIAKSIQDLRASELE LSKIFRAFIVKIVLIANFSDQATPVVVITAAVFWTKSGTDAFSVSEAFTSLSIVALVV SPMANLMGSIPNFKASVACFDRIQAFLVLESHEDKRIDTSTTGEIPSPSTSESDHLVL AQRQEHSNIELPLIRKPHATRLVLEHANFTLKSQTEPVLQGITVSLPKSSCTMLAGPV GCGKSSLLRGILGEIRLSGGTVRVEDVGASIAYCDQTAWLRNISIRDNIIGPGQFDER WYASVCHACALNADISQFPLGDKSLVGSGGITLSGGQKQRVAIARALYARRAIVLLDD VFSALDSVTSRTVFNCVVGILRKQGATVLLATNAIHQLPFADNIIVLETSGRIAQTGS FAELQAQDGYVRSLALKTRSSHEIEDIQNEDTDITADSAAAKAAGEDESDFTRQTGDR SLYKFYLKSTGIPLSLGFLLIAICCVGVKQMSIVWVRIWTEHGVDQDRGAYFSGYIVF ATATVILTGMVGWFVTFLIIPKSAKYLHWLLLDAAVGAPLWYFTTTDSGTILNRFSQD MTLIDQALPMAFFATTIDSLTLFANAAVIASGAQYVAAVIPFCIVALYFLQKYYLRTS RQLRHLDLESKSPLYTHFTETLNGVATIRAFGWQQGFQEENLRFLDQSQKPFYLLFCV QRWLNVVMDLFVTGIAIVLVSFAVGFTSTTSRGAIGLSMVTLIGFNNSLSRVIISWTN METSLGAIARLRNFIRDTPREDSGVQILEAPVSWPSTGVIQINGLTATYHLEDETVLR DVSLRIQPGQKVGICGRTGSGKSSLLLSLLKLLETQSGSITIDGLDLALVPSVVPRTH LTALPQDSVTLPGTVRTNLDPLETVAADEILIDALSSAGMWETISSRGGLDVDFESLG LSHGQKQLFCLARALLSKSPVVLLDEATSSVDHYSDEQAQKVLREVFKEKTMLVVAHR LETIADLDLVVVMEKGRIVEVGDPRELKSKPDSLFWTLWESRHG CC84DRAFT_1144478 MFSRRIVAARPLAHAVAPALARRPQFIQQIRTALTEAEHAELAD PNMNGGYINPPAELRNKRDPYADWWDKQDRRNYGEPVHEDNDIQGALSLHDYDHFTPG WGGVLFGTFVVTVLGLCGAVSLIYPDKISVPKQYEGGLETELGGPRAVRARTFGEDLK CC84DRAFT_1175470 MHYQRYKDNKPERSRGASIGIGAAIAHRLAQEGANLILFSRTES KLKYLSEEIQSKIDTNTIKIFTTAVDVASHVQVTKAVSNVVKQNGPIDVLINNTGLAL RAPATFPDLKIEDVVAMTETNVNGYLFATYAVLNEGGMRERGQRTILNVTSTTAMEAP PFPSESIYYGSKRFQEGFTDALRTELAGTNIKVLALRPGVVATHFHKQRVDFDKDAYD SFMEGFETLLAEDVAEAAAWMLVRKNESW CC84DRAFT_1118276 MSTPQAKRRRLNNATKTLHKPFKSPFRTPLKPTIGSSPPSSDSP DIGRTQTASNSGIVQARPVSVRPSPDHSTTNAMKPLLPRPSIPTPTHRPRSRKKAALS KPSLTREIVDLRNDIQTLSQAHALATSSKDSGLLILVERWRTASRAAAEELFATTQDR VNCMGGVGAWKDREREQKEWKIKAEKEEMEAEWERLEEARENGQVSEDTYEQCADAGM GTEQEEKETFKAVDDDSFTMDMMLKTLNIDLDLIGYNKEAQRWDG CC84DRAFT_1186583 MANDYKQLKTQHVSGHVGSSLFDINSVSLSMPLTILLWSVLQSR MRQFTPYTASAFFIDLLLSCGITLVATTVYSSSPWLLNTLLVAPAAMIYFTDSKPAMP RQSSARRPPKKSDDAEQAKLDALPIKPFLTNYRGSMMVITCIAILAVDFRIFPRRFAK VENWGTSLMDMGVGSFVFTNGVVSVRSSLKTKTGELPPLAKRLVASLRHALPLIALGT VRLVSVKGLDYAEHVTEYGVHWNFFFTLGFIPPFVAIFQSIFALVPSYAVLSCALACI YEIALDKTNLGAFILTAQRTDILSKNREGIFSFFGYLAIFLAGQSLGTYTLPRQVPLD KDASIKTRLRQSILGKLVVSSVLWTALFYISISYYGLGLVVSRRLANLPYFLWVCSFN TYQIAICCAIETFVFPNLYTAVARGEEKQRCREATSQILYAVNRNGLAVFLLANLLTG LVNLTMPTLDMGVVNTMAVLVTYITCIAGLAIWLDRADVSIKL CC84DRAFT_1258760 MLTSVQNAKRDSIVNAHKPLPSPPALQVVDSLNSPKARRTFDAE CQVKHSSVEWPALSPEDVASEGDEESLDTIAANAAASSFPFTESVSLPEQYELATGAL DYPYRLSTNPYAQKTDSLSMSPIQPSYTNIEEGFVPHQIIHALTTRDEEALESPLARK VAIPPRASSKRVPEPYDATSQESQMVRAAATAASRR CC84DRAFT_1195791 MSFLFGRQPQLSSEQKIAQAEAEIDMVSDMYARLVQSCSKKCLD TSYREADLNKGESVCLDRCVAKFFEVNVKVSEKMQGEAQGKAAGGAMFGGGM CC84DRAFT_1163838 MQCKAWLLPVTLLQGVLTSDVDMDPLSNLRPNNISGLNYYLYRW TGSYYNGTTTIRVQPQNAFQQKECERFAPGPIIVSYNTSLLAVTQTNGHLVQENHDAA NPLAFSLRFWNAGLKILPAESMDTGPVRDIQDISSDRMNTKDTPDDPKAPPLWNLAAS HNAALAYSFTGYRNSTTSPHSLRFNYTRCAGHALASYNGTITGTQSLSMSNVSLNSEP RVSGQFSNGSASLEIKGIYQGQSMEGALLTGNVTISFNGTIDEVRSDRLVPNTHDSTP IWQSTLGYEKDLTGNRPLVQLGASSSMRIGWGRTIGGLGLMALYTV CC84DRAFT_708337 MYIPNTRWTWAFLLTAVTQAVIALVLEAYVFARFQNALKDNVND HNESRTIPTYLAVFMFGYIYQIALVYDALAQKNTIQVIGLVIYNLGILIYAAIQFDQI DEAITALKALQGLDDPFVWTEIRAELIALPILMAVATVVFAFIAWKLYDEFAWTIYKH ISADLRMKRRYLTFQIYIALLKFDFFFFLAFTVQFLVVVENTSKVELALTAAALAITF ILLFLAAWWVRRESVAGMIAVIVIYFIALGYFLFKLVRMYAADAARLDDYKPARKSLT SFAVLTILLLIITIVTACICTHNFNKGLKPFVNEKSVGRGSEGKGYANEMPSLSGPAP AQRMEID CC84DRAFT_1118285 MLRWYQAKLKSAPLLTQSITTAVLFATGDVIAQQAVEKKGLDKH ELARTGRMFAYGGIIFGPAATKWYTFLANRVSLSTTNRTIAARVAADQFVFAPMNMTC FLSSMAYFEGSSVKDKLNKAWVPGMTNNFLLWPWVQAVNFKFVPLEHRVLVVNFVALG WNCYLSYLNSSGSAEKGTVDRIEAMEGKAESELKRMEGEAGKGIGKPI CC84DRAFT_1243450 MSAIANRPYPPQSQSPHSQQYYAAQPPASPDSRKPSRNGIVAPT TPQAHNSPQPAAGSALNSPRVPSAPSPSQPSPQMATAVTTTGAPMPPPRTSSHRTPAS PSTPRAAQEASQSRRKGKDSPHQQGGASRSRPSPSQPSHSRSASAVGQPPAGTNLPRE ESTVINRLVVADPQEDIAREQARQAEAIPATSGAGITPITGLGLVGSEGVDDGGRGAG RSRQDHSTSSAKRSRFGNYILGQTLGEGEFGKVKMGWKKDSSVEVAIKLIRRETLGSN ANRLQKIYREIHILRGLEHPNIVRLHEMVETERHIGIILEYASGGELFDYILNHRYLK DNAARRLFAQLISGVGYLHKRGIVHRDLKLENLLLDRNRNIIITDFGFANTFDPNDEL SEDIEFNLGNKDYIKAMGLEGTDGARRGDLMQTSCGSPCYAAPELVVSDSLYTGRKVD VWSCGVILYAMLAGYLPFDDDPANPEGDNINLLYKYIVSTPLTFPEYVTPHARDLLKR ILVPDPRKRADLFEVARHSWLSDYAHVVSFITSSTTTSNDVQKAPTANKDPLEAPPAL ARSASVREPGKPHTAVTPVGGLTAKRDQINSQTSEKTKKDNKRRTVQVEYVAPHSQTT RGEASPPTAPATSSKSRVKDSGPTEIPATDGYNARRSTTTNNMAVPPRPGREPQRSVS DYTAFGTVPATSATRPSTGGTLGANSRLPSRGNSYSQPATATVAQTNVEGRFSQPKGK QYSISGPITQGDAGMGQPSIGQPSTQRVQSLQTEHKGHKRSNTVSETLGRVTSMFSSR QPSYSQDPKGSFISQNSNHGHENKPQKSYPPTSMPGPIANEAFPRQSNESSRRTSFGF SRKNTNDSHNTGKSGRRFSLLPSSLSKTFSSGHRESMPVQPHSERRGSAVATGRPRAG SRPGGMAFGRGNESRSPSQSTTGSNIPGFYDGPHDSKRAVPASAPPNQTQFDYASPVG DDKFPGPQEQHPAANRPYRHHTNDSEASEGAARGQYRPQYPPGMGSDGPEERQRKGVL QKSRKFADAYDESAGNKGSSGSSKRVMDFFRRMGRQRGKEDR CC84DRAFT_1163843 MAQEFKVKGLSSLDLKNGDKQEVEIEGVEGGKALILKIQDKIHA TSANCTHYGAPLAKGVLTPEGRLTCPWHGACFNVSTGDVEDAPALDPLSKYEVFEKGG AVYIKADKDTIKANRRSLNLKCSASNDQKVLVIGGGSGTLGAIEGLRGGGFKGAITVL SKEGYRPIDRTKLSKALLADLSKAAWRQPDFYKDASINIVEAEVNNVDFSAKKVSTKG GETYEYTKLVLATGGTPRWLPLEGLKGDLGNVFILRALPDAQNIVKAVGDNGKKVVVV GSSFIGMEVGNCLAGMKNDVTIIGMEEAPMERVMGKKVGKIFQGLLEKNGVKFKMGAS VDKATPSKNDSSRVGAVHLKDGTILEADIVIEGVGVAPATEYLKENSAVQLEKDGSIS TNEAFEVKGLKDVFAIGDIATYPYHGPGGNGTPVRIEHWNVAQNAGRSVANTINNPGS KPKPFIPVFWSALGSQLRYCGNTVAGYDDVVISGDIKQPSFVAYYTKGEEVVAVSSMG KDPYMTQAAELMRRNKMPKKSELQKGVDILEIGIPSEIKI CC84DRAFT_708401 MSYGPARLSGRWCMRAFFSLRLPSVALSTTSGDQVDLPSLSGLK FRFCYPRTGAPDEKITPTWNAVPGARVCTPQVCSFRDKIDKFRQLRVEHLYGLSTQDT QYQQEARERLHLPYDLLSDDTLEFAMALKLPTFEW CC84DRAFT_1163844 MSSTITSLTRQTRTASRTSSATEAVCTWEGHCLGDTCSNENDCD NDWVCANTTCQTCCISKSEPTFFSTFSASDLTTITSPSSITTSATTPTSSSATSAPTN TSSGGLNTGAAVGIGIGGAALLIICIVGGWWFFMRRKNANQAYELGAVAPPSYDDDRK ELYQATAQAQELPTRHPPVELHAIELAELEDSNTGRPNNEGKAMT CC84DRAFT_1090026 MNSVDGSGPSASPRPSPTLNTVTIDGSPTTFRDVFTMPASVDVG ANLLPNINDPSAVDAQTVCPGYKASQVKESDSGLTAVLTLAGAPCNVYGNDIEVLNLK VEYQSVNRLAINISPANIDASNSSWYIVPEDLIPRPKAELTAGDIDLEFDWDDEPSFW FSVTRHSTGDVIFSSENTKLVYEDQFIEFVSHLPEDYKLYGLGERIHDFRLNRNLSAT IYAADAGDPIDENIYSSQPFYLETRYFETDTHGQRKLVKKTELDERYPTNGSNGAGNG GSSYESHSHGVYLRNLHGQEPKLSSDRITWRTIGGSIDLFFYDGPTQPEVTKQYLKSL DALPALQQYWSFGYHQCRWGYHNWTELREIVDTFKAFDIPLETIWTDIDYMDQYRDFT LDPVSFPLSGVKEFFDYIHSQNQHFVPIVDSAIYIPNPQNSSDAYDTYTRGNESGSFM TNPDGSQYIGAVWPGYTVFPDWLSANGQAWWVKELVEWHKEVPFSGIWIDMSEVSSFC VGSCGTGNVTLNPVHPPFSLPGEEGNRIYSFPEAFNVTNATEAASASSASSSQDAQNS ATAAPQPSTTSYLKTTPTPGARNVNHPPYVINNVQGDLAVHAVSPNATHANGVQEYDV HSIFGHQILNATYEGLLSVFPGKRPFIIGRSTATGSGKWAGHWGGDNASKWYYMYFSI SQALSFSLYGIPMFGVDTCGFNGNTDYELCSRWMQLSAFFPFYRNHNTLSALSQEPFR WASVISASKTAMNIRYTLLPYMYTLFYEAHTTGSTVMRALAWEFPNEPQLADVGTQFM LGPNILVTPVLEPQVETVKGVFPGIIDGTSWYDWYSGEKVNAQAGVNTSITAPLGHIP VYLRGGSVTPIQEPGYTTTESRANPWGLIVALNDDGKASGALYVDDGESIEQEATLEV AFSAEDGELKVKVKGEYKDTNALGNVTILGVFDGVGEVKLSGKSLNGGNVAYDESTGV LKLCGLNDVTKGGAWQGAWTLSWGR CC84DRAFT_1216648 MLRTISRKHPRSIIEKRATHPIFSSPPARPRPLSHSAAQLTTPG PPNPAKNRNSTSPPSPSPFNIWAQIRSSPRPIRYTLYTASALAATAETTFWLNVIYAR WFAGEQDREKADELLERFTEAVRGYRVRYLANYASYYSNGVWGL CC84DRAFT_1144501 MELARDDSIPDRPHCCCGSSDCPFLQHNESLVEGLERNVNRAAV LGQALLSRHEAYVADSERERKHMLSTIEGLEKDKVELEEKNAQAIKANRQLLDRLEEL NNAVASSDAQIKALSDTLHSTEEELQRLSSLAARTQMLEAQLLDLEREQMQTQTSLEL KLSDEKTAIQRWKRAERVIADLQDQIDRIEKESREERERHVEIVARMERRMAVDGELA TAAGRLKAKAGVDKSGTNVVSHFVKDILLDNVNLQHGIVELREMLDNSNKEVERLREY LGAHQPVSPTESPTELATPQAATPNLQKELAGETVYNQELHIHHHYSQPIAKTPSKPP ARRPRKKRFSLTPGHFTPPMQADPATQTTILTQTAVTVPNTNRWSNATTLAPSLPSSP LSASHRGSIYDRVFSDANYDSSRPTSPSDSIDLQSPNFGPKSYFIGDISQHNRKKSQS LKPPSLATIRSVSTPVSITAKSSPASAVVSGISPAGSLANDEFVLSPSLQAQPTIPEE GEDSNVDSNATPENLVSPPPNARIDDEELGDLISPLAQSRPTLRRAVSHESLISISGM DIHTLQLRPSQLLLSASPRFATPGGTDSLNPELTPWTATATARMSRRDLDSSAYNRSL LYSSTPRAPSAAYRIPSAGGLGKKVGGWVLGRWGTTPASPSATSSPRPDSSKSAETQS STDTVKKDLGVKKKEVKKEVRLRAPGVNQDGPIWGFFDIKETPTKVVVEEYDAEALGE ALGEALADG CC84DRAFT_1175481 MPQPRTAPALPKSYNDISWKEIKTEHYAEDPSIIILTLWRPKNH NAFTGIMMLELEEAYAMFDIDDRVKCIVFTATGKIFCAGADLDVGFVGGQEPVNEHRD GGGRVTLAIHNCRKPTIGALNGSAVGVGITMTLPMNIRIAPKAAKIGFVFARRGLVME ACSSFFLPRLIGHSRAMAAVTTGSTYPASDPIWSGLFAYTVDRPEDVFPKAIEVANEV VKNTSVVSTYLMKELMFRDTGSAEGQHLLDSRILYELFTSADNKEGVQSFLQKRPAEF TGTMDNTHVAAYPWWNPIDVVRRPKVEGAKARL CC84DRAFT_1144506 MYGHSLTAIGRLQEYQIIGRHLPSEANPTPKLYRMRIFAPNEVV AKSRFWYFLGKLRKIKKANGEIVSVNQIHEKRPQKVKNFGIWIRYDSRSGTHNMYKEY REMSRTDAVDALYQDMAARHRSRFRSIHILKVVEVEKTADIRRPYIKQLLVKNLKFPL PHRVNKSASKKIFSATRPSTFF CC84DRAFT_708517 MSTEAALAGFQQAYYKHDALELASCLKPTPVDRLYDFWRSTNEA RVEHTVKHAITYGLSGIERSEAQGWIDVFVGFWRASDKIIKADQAQNQGRLSERHAVE VYETWKDLVQTFQKYIGNGTLPHWIIFTLYFVANDLRRFAIKADAQLAKAKPVTFSAG LSDDIVATTPKNQKLEEAARVFSRIFALCMSDRNPNLSESRKWAVYCIANLQFKTYFK LKTITLCKNLIKSIEAQADMPPWNLYPKAHRVTYMYYCGVIAFLQEDYNKAETALFNS WAYCYKGSTKNRELILTYLIPCRLITKSEIPSAQILQEFPHLQNLFGDLVSSIKRGDL AGFDKAFAEGEPEFVRRRVFLTLERSRDIALRNLLRKVFLAAGYEDLKEGQTEKDRMR RTRIPLAHFATALRMGSGGGQTVDDEEVECLLANMIYKGLMKGYISREHAMVVLNKKG AFPGTGV CC84DRAFT_1175484 MFSSRPRRQEGSFSKHRASKSKSHKRNASFVDSPRKVEKLAQQA AVPSPQSNQTSPTIGSAIITLSVGHEQRLFAAHEDVLCHSPYFQSACRDQFFSSSNKR IDLPDEDPEVFSSILEYLYKGDYYPRLEYDTKRKSWSLEDGGNTGANEAAIHTAVGPI LKDTVIYCQAEKYGLQELKKLALRKQGLQSGIQCSTILTSARFAYANTPDSDSKLRAH YLALIIRARHTFKRSGTMQNEMENGGKLFFDLFVAMVNHMDDLAQKSPR CC84DRAFT_708581 MPTVTVPLKPGSDGDYTHKPPDNSIWTIIDPPTLYLERVGSQWM EDRNEAKPGIKYVLERLPVGYTLYQRPRANGTVKDKYLFGHPNHKYFDSPNRFYPHFK HLMENGGNSIGCPCTICDPRSGVLPGKPPSTSGHFSTRNSSTSGSSLRRVSIATASKE TTTSQAVQENGVGASTKASLGRPKVTLMGMDATRVDEEGTPDIYRNLIDRLKHHGKLD ESIKEPLSLDWRAEQEVIPDLMKKVQRDPQWIPRVGDILLYVRNVPEGFQIVQDPRTD EYSLYDPRSNDFGERAVWEAGLVGQAPAVHGPANDEQQWYVSQTGVRMEPVPNPNDTN KSMSKRYTYVPVEHTRPFCLWEDYIGYVPRDKRHPTIQNTFTVSATMSLMGKYRFHGI WPTAYIHCHAIYVGSELIAVGDTVRLAPKAGIDEKVITDVLVVKTIRLRLSNLDNASA NDYDEGRPYNSEIWIYGSGFTTTASRSSRDWLSESNTEIPKSASGYGAWFPLHPPSKE LAVPFSRMIGRLYERDALESWLSDPDLDSGRDGVLDARHFASKNDKRIVSNVGTTWFW ADNRADALDLHTINGIDVGKNDIQRDPRDWRKSIKRMEAGATFSDRRLAPQVRSPRGL LAPGTRIEDSKKNTLSSSAMTCSKRRVLEVSDDDEEKIRRQTRIVTDIPYKRSKIKVV VD CC84DRAFT_1258774 MGIWSPKKHYLNQVGFEQHQDQPKRISTSAGHRLTVILENGASD SHTSKTREAHRDSIRKSGLSAILADVPEDRGASAHSSGYSYNVWSENEKFAALRNHKQ IAKRGGWKRLLTILAVILLLIIALGVGLGVGLKKKSESSSSSTPTTSSNSDSGSADTP ASPTSTSTSSASSSAQPSNFPLGTYSLVTFLDTVQTNCTSNPLTWTCAPYTDYYTSVS KSQAIFNWIISGSKDAYKISSTDNPFSISFKNADLELLDEGKDNERFRFQIDQTKTVS PSTNLTSDNAAVECDFVGNLQGVMYTKMAKEYPSDQDPDASTTYTTWPYAVKVEQTAA GGNNVPSCYKTTSSGQHGESVSLEAEVETTMCSCLYKNWHTPM CC84DRAFT_1243490 MFRVPDSSSPPSTPGRHGYSVNVPSTTPAGPPPGRSFISSTPAD PPPAKDLFGTRPNFVPGRSESGQSLFGSSPPKHAVLEGLGSGRFKTSAEGRPASQRGR AASSGFGLFPNSQQPDQDAMDDDDAEGEEDEDMDGANEHRMLMRNSFSQSFASQSSMD EYNDGQRLVQSGAKQQMYDLTSLAKGLTSKADAGTLTESDDIVLETERLLARLQDHAS EDHGSKSDILEDTAQKLLALWQTSSAKKLSQAATLAKLLFAIHHPPHLIEETRTSSAL RALTQHGSGVSIPIPKLLLDWLNTERPVDDDTEINEVLAQSGGYSGHVYFWDMVLVTA LRGQFTTTLELLNGANFAVAHTSQEDEGTSGYKGSKLDYATHATQEAVNLVRRCPALN NDWNVKGHDWNIFRQLARQAKRNLEDLAEGESQNRFSLSQSFGGSHFGLSQSRANFSL STHGRKVECKVPWSVYDRLLKFYNVLIGDEESILLWSQTWIEAAFLLTVWWNGEEDEL AQGRLAASRRSLGPTLRSQTTDARAYSGRLASALQAVFDGGDDAFTLATNNPFEVGIA SIVDDNVDAALHILRSLSLVAASAVAEVASAGGWLKRSDGIFDHLDQSDLMILSYNQP IQTGLSKDNLLVTYVNQLSPKAQLKSHDGSTSRPGWEVGIEILGRLDDTLLGNQQIQK ILDELPLTSVEQVDKVTQLCHSMGLSDQALGIARKFAEYLQANTKNYGDAILYYARAH AGSKIQEVLRALVAHCLVKSIAYPPLVELDNSLKKLITSPKKSLTELASLDSEAAELL SNYLSGYATIRKFYDLRDEEVLLKEGEKPTHRPMARKRTAANALAVIISSAASSIRGG LYDQEVETVVQVDVLLPLLGEALVFVNQPRRTLTLRHLYELLAAVEDFSTAPSMIRAQ CEEALSTTLLAAHEHNSRSSLQKSTSNLTTASSQYSLIGSMDLGSVEGVSTESSTVLV QGGGVDDVKRGWDWRKGFPRGAKGEDVIRVLRLGIAKELGRAFAEGELQP CC84DRAFT_708658 MRAGTPNACDVARPSLDSVPTRLAWPAGTEAKSPAPAPSPGEVR GRKASIIYWRGAEKNDNGPLWGSVSCHDMCKSRCWGAKLTYTDRALDLHQQCSDGRLH ASSTLWQGPVRASGPTALR CC84DRAFT_1088307 MWAKTKTSSKAGFDKLYGLVDKLGPPVNRLSNKLGSEAFWPTTL DKESDKAARILKSFCKDGFYQEEERPIAEGPRTKQKVLKKIPTEVIKNAKGLAIFTTM RTGLWFSGAGGSGILIGRTEDGTWSPPSGIMLHTAGLGFLAGIDIYDCVVVINTQQAL DAFTKIRCTLGGEVSAVAGPVGIGGILETEVHKRQAPVFTYMKSRGLYAGVQVDGTII IERTDENERFYGERIGVGDILRGKSRHPPYEIKRLHETIKAAQGDSDFDESMIPSEPP PADYEIEASTDKTFGIPDKEDPDPYGVLALQDAGFEIKEAGTHKRPTSEQFEFNPSPT SPIYSTFRRSFDRSSIDGRSVSRRSSWRTSTISSVLEPRTPSKMVDMSTQTDTDAPAP IQVPPPLPPRQNHTPSPTLADIPESKVIEDTPTKETENSLVRKSEETDETPIIPSAEN TAREPPVFDDVDLDDEASDEEEPIIEEIHQAAAPQTITRARIVQVAKPMAPKLPPRNP FRNRSSFQSTTSNDELARDASTAPSPVTGHSPPATPSLKDGSSASSIDDFERLEHVNK EHYPQVSYEPKEQKEEKDDFHSLPESPNKAIPGGFH CC84DRAFT_1216658 MASAKKAELPQGFLDGPAPNLIKHVVDFKKEGIPVYEGRWAVIL DGVLSEEECDWLTKAAEATTAGEWERAMVNVGNGQQRLYEDTRKCGRIIWDDREVVAK IWARIQDSVPDIHRLQDWADVTGLGPAKRKETWKVTRLNERMRFLKYVGGEYFKAHND GCYETPDGTERSYFTLHLYLNDAADQLKGGATTFYDYRMDKKIDVDPKSGRILIFQHR NLLHSGDDVKSGIKYTMRTDIMYTKE CC84DRAFT_714095 MSDSPLPAELNRNRFPNLFEVLSRKTVAPLDLFSFYIYMRDQQR SVDYLDFWLDVSQHLQLCRLYVRRLHRSVIEDTPDMEKNSKRSSYILDNAGEGPSGEK GNVSDQRLSAFLRSDHGSRQHSPQNSQGSNQSREVPGRPSFMTLHTDSPQDSSPGQNS SGDNQPRREDLRASAEKILYTYLLPGSEREIIIPQSILHDVQEAIEGPDQRSDPELFD AAKDYVFQAMERDAFPGFLQSKGLGNLVPQSMMIRLIIGLISFFGAVWTAFILIFLNK SRATRCWVILPFTVALYFIFTHQYMLDPILALIGYSEYTLMDFNRIREPFVRKTLNAR SATMLGLFVLVDTAVCCLFIFVPGKRL CC84DRAFT_1088476 MSAPTAKSKRNPTVLMTDTRDTPPRRPVTQRQDTAQFRPGAKLI SVDNILQYASDIPSAQRRGPPGHRPLMHTRTPSGRHPLDPKLTGRLIPAHMPSRTSKL SEKLVLLPDTQEDDDDEKGDFDDEEEGPPTDEDLARRTTKGGPKDKSYAERLPKARRA EKLSRVTAYCTAQAYKMKSTAEFVKTQHNARTKLYDDCLYCVYHLPLLPGSDGYRVRS SPALKSPGGKTVLDEEIERNERREHSESYFEDTEEFSVRHGDDEDPAHSPRRDFEEYS PSRDILDGMPSRVPPNALSFGEMFVFSYGVVVFWNFTEKQEKDILADLTFSTSSTNIL LATRPLNEEDFETEEFHFEYNPDIPRPRVYNDMITLKSGDHMIKLAMSHGIAQSTKLS LFEEGMARTMLQAQYVPKRLALTGNLGMARTDVVKMIGQLFTSRVDVNLSSNMLDTPN FFWDSEPTLHPLYNAVREYLEIKPRIQVLNERCRVFLDLGEILSDSISDKKMTRITWI IIFLIVISICVTCLEVLLRFAILQGRGKAVDVGSLRRASIAGPTLLLGRSVAWGVRSI KGVVGS CC84DRAFT_1216661 MALNWDAFLIDEAFNIPNDQWPDITTPPTDFTSEMPKSSSTRTS GSQEPGVDFDSLLNGTSADPYFNDSLFAPNDYFLSDLEVLQPFGESTTGGESTSESSP EPSVGTALTGNSPLTSENSASFNRVAEMPLMQKPSISRANTFPNPQYESLFPNNLESG FVEDLTFPNGPNSSSNSTKTATENKASTGSTTKRPHKAKADILSACWTSPLCPNHDQD GPPPNPSSCGGGCAPFLFANDDNLPTSTISNLLNEAQEAMPEDGVVEIKSHSHSRKRS ESDVSVDESNGRHFASGNSIDTEQNSKVKSESEDSPQARAEEEKAKPRRRLPHNQVER KYRESLNTQLESLRRVVPSLQQNQRGCDGADIEDLPAPSKPSKAVILASATAHIKNIE KDKKALQDENQLLKTRIKALQALVKCEDCSLMQYVMDLKINQQKG CC84DRAFT_1163857 MFVVRYLTIYSLTLVLLCLSRGIISTAIDPYSQKPEQGRLEGTC EDRHSGLIVPEFGLIFRFIFTRLARLPHKEHVLVSRDYAWWTASRCNAAAAYRWHTFC DSGRNTQV CC84DRAFT_714014 MLDALIRAIMKQVDALHDYELGQGPCLTLLQLFEGRLRNSLLSK RNSRYLVKFVHQAGHYLRYSSITLGTWRRLACLEQSSQPRRHCHSLCRCITCWCGRND NLCFGRRSRSPACQLPSVRSRMLRTIVTPNGGESLARKLARVSTKGVIELDYTASLLS KIAL CC84DRAFT_1118327 MDITTRSASQTRPHDENPSPLDDAEKGSDTAQVNHVVEDEKILP PLRGLSFLDRFLVIWIILAMAIGIVLGNTVDSVGPALQKGEFVGVSIPIAIGLLVMMY PILCKVRFESLHLLLNSRALWIQIGISFVLNWIVAPLFMVGLAWAFLPDRQDLREGLI FVGIARCIAMVLIWTDLAKGDGDYCAVLVAFNSILQIVLFAPFAVFYVQVVSHGQKTD VSYEKVAQSVGVFLGIPLGAAVLTRLLLRGLIGEDRYQRRFIRYIAPFSLIGLLYTII VLFASQGAHVVRQITDVLRVCAPLLVYFLVIFSSTVWFCWKMGFGYKISCTQSFTAAS NNFELAIAVVVAVYGAGSGQALASTVGPLIEVPVLVALVYVLKWLRARWQWV CC84DRAFT_1216663 MASNGLVVPASHNYEENGRLYHGFRRGLYMYPCDEPEKDRMDIY HKLKYLNSEVYGLDLVNIQPEKIPPNLRFRVPRDYESPWALGEESFDLVHLRMACGSI TSWPEMYQKIFTHLKPGSGWIEHVEIDLEPRCDDGTLSPDSHLTQWYNYLADATARVD RPIAYDHRTRQLLQAAGFIDIQETIIRAPYNSWPADPHQRDIGRWYNLGLTEGLEALS AAPFTRVNRWNMNEHVKPLVEAVRREVCNRKIHAYNNIHIWTARRPGQ CC84DRAFT_714617 MTAVPTVPTSRSAQALAHRGSVCSSKHHSTVQSPTVQSLPASVG FLVAAARHCHRCRSRLAGVSYVLPAVGDGPTLAAQTNFAHRSQRRVLHHALPPSHPMP MCELHAACTSSSRLHGSKRPTRRPRLMTCVCIAATPGRPSILPRDPVPVFCSTSAIYA ADTPTEYEDPISVQAARFPLLALAQRLRCTTCVPIPCSVRPNARFQ CC84DRAFT_715477 MRWCLPYALCDESLQASYGTVPTYSALACQVRRHRAERYYTPRR RQFLPPGLLRPDLDVEYCTQSRRGQTAYNVRPFQELTGNRSQFSSCSTRNASGTAYCD ATGTHQPRPTLSTAQGKFVSSRSRHYSYPLMNTLGPYEPRNPIRAWSSRPSTESGMRP CFQNQQSISSQPLKKLSHLAPSKSDPFR CC84DRAFT_1216664 MLSSAVTGRLYVDKDLYKTRDKLEHEMRLEILRQTTRAFRANFY AMHASLPFELRDMVYNHMVKDSEGQISDRTFQVSFLEVVDRTAVFSRFVSDLTMPVLP WPKRLESYTTSRATHTPTVTKTAHLHNILQIDYLHLGLNPVKHLRSLTVHCSLDFILT LSPAQRIWFWSKYSSHGFHMYRTQLEALRHLDLKNGFRLRFDTGQTKNKATLDLMQVL RSIYLELISEEMIVEIVGRVQFDGEGECREVTELSHMYVAYDEWAAEETD CC84DRAFT_1216666 MHAIGSHLQYRMLQMAHYGQPTIDIELDESQHEANRAIFSYSTM DTIEGKVHITAQHDTHFREIEIAFTGAAHVFVERLTTTPAMSGRTEASHKFLALKMPL NPDDIPSPRILRAGQKYTFPFVFTIPTQLLPRACPHAVASDYVRQTHLMLPPSMGDAE LSGFGGILLDDMAPEMAKITYGVKARILQLHEDKLVLLAQKTRKVRVKPAFEEQPPLN TDGNKEFRPRLERNIKKGLFKGKTGTLIAQASQPKPLVIPGARTLDAGPIATRAKVYL RFDPTDENSLPPRLGSLKTSMKASTFYASSARNNFPTRETLIYDHTQGAYTDTISIST MCIGTTAQWEKQPASANPPTEEDIERRDSGISDCSGLSAPSYKSNIPTPSSNYKGGAF YTASIVVPITMPLNKNFLPTFHSCLISRVYALSMNLSVHAPGLGDPTLALKVPLQVCA EGSATGNENARVRSEEAAGILAEADRVLSPRSFAPPTVDLPPEYAALSSRVTVAG CC84DRAFT_1175499 MATERASDNHAARDASRSRSSRENTIRSTLLRRDRSASATPKIE RTISMTDSFILEHLRQTGPQSPSELDDESSGSPRKEKETFAPISNWAHLSYLKNQRNQ LRTQLKVHQVAGAEAKHSISSLRRLAFRMAVNISVKEKQIANTARNLASSRKRNYLST RDTEKRIAELTKSLQEEEFRNRDILECLEKASMLTLQYADPDPEVSNRMQPGLASPPN TPPTRFLNETHNSIETPRTTSRPPNSLCDNHGWDLTPESSIADVRTQDGRLIQAKRES DRALAVCRNRIAELLAECTKTSDAAVMFNATQTELEAEIENHQTRIAKLERSRAAVEE TLRQTKLQLKESAKIQGELRASVQHKGEEIQKLESVTNDRQVSIRSMEGEKRSLEEKV QDYNGRLQKLETYTTGLEEELHVLHRKLREAEKREVDLRDRLAKNDADRDTMAQQLKH GHENMASLEKSISFFQSQITEETKKSKVSAGVISSLRNDLRESEDLKRHAEVALGIAR RSLTELEVRFRSAQEANHDLRAEISSTILAQRELSEELHSTKERLDDEIGQKEKFQSD VIALRRSREALEYDLEAVVAKVQLLEEADAATKQELQSIRDSKCMVEHDLEVARGHSG TLHRELNESKDKLASLQTQTTQLTAQLQEADQARTALTAQLKDSEQMRSVLESQLEDS HKARSETVTRLHTISGLKADVERERDAHQSRLAHMESEATSMRSQLTMLRDELSQSQK SQVEFEERLSKTQSEAQGLSAEKSVLDEQLSGNVKFLSEAQEHLGSLQGEVAILNSRI AELQARADTSDASKAEVERSLHEAVQSLENLKSELANTQTRLSTANGEGETLRSKLSS LETNWALLQASKTDVDLQLMEATEARSKREKEIMSLESHLGEVTSERDELLAKFSATE QELRKLQETRADLEVLLAKETQSTVALDSELQSARSSLHALEKENSTLQTRLDSNSTE LRELQTKHTELNDRLSSVSKDKASQENDLSFVRGLHRESETHTATLNERIAEMIQEVD GLRSAKAKVEHRLEELTKSNNDVERELQGARSRLSVSEADTSALHAQLSDAHSELEIT RKDKTDLERRYETATGSRGQSDKELEALRLQLQERELEGATMVSKISEADKELENLKQ TNEKLDASEKDLMHRLEVAEEELQSVKTANARLEMFLEKMEQDMLKAETAFADSEQRL DEFVAESEAKIDAATTAKLKYKRRLTARNKEIEILIEENSGLHRHVSDQSHELETLVQ SRNKLLGEVQGKEKTIQELELAHEERLRNERKSKDNQLEELRRIQMCSESNYESQLKQ RDSALSDFRQEHENMLRSELEAKNNRIDRLQKDKDEFAASLTAVEAELWELRKSKDEF EALVEQLNQKLRHSDSVDRSGRPQESADNFTPAFSDAKSHREDADASPSTPSTPKRIT TLGSDHSGSSVQPDVPINSTEPSEGRVAWLNEVDRVRLLRDEAANQLKGMKKVKSELK KSLRDSEAQLHDLERSGKTRRTPTVLRKRSHYTPTRDAETPSPSRPSTAGLVPNRHSS HQHNSLYQDRGHGSDPERPETASPARPKTAGYASSPRRWSSLPRPGSSYRPATSKSYG KLGTGLQDVNEHGMMVERPKTSLGAPVLQSPSGGEKKRFSSLRKLFHKES CC84DRAFT_1163868 MASLSRTFRPLARAATSSSRTLRPAFTRTSPQARCLSATMPRRE VDIADIPPTPISHLSETEMLMADSVQKFANDAILPKVREMDEAEMMDPAVVEQLFEQG LMGIEIPEEYGGSGMNFTSAIIAIEELARIDPSVSVMCDVHNTLCNTALLKYGSENLK KKWLPKLATDTVASFCLSEPVSGSDAFALATKATRTENGYKINGGKMWITNSYEANFF IVFANLDPSKKYKGITAFIVEKGTPGFEISKKEKKLGIKASSTCVITFDDVEIPKENL LGNEFEGYKYAIGLLNEGRIGIAAQMTGLALGAWENAANYVWNDRKQFGQYVGEFQGM QHQLAQAWTEIQAARALVFNAARKKEAGEDFVMDAAMAKLYSSQIAGKVSGQAVEWMG GMGFVREGLAEKFFRDSKIGAIYEGTSNIQLTTIAKQLQKTYTR CC84DRAFT_1216669 MADRPTEFDYIVVGGGTAGVPIASRLSSYLPSSHILLLEAGPNA ISHPKVTEPSTIFELIPEGLLVDYSTTPQKHCNNRQIVNLAGRMLSGSSGANVGVWMR ASSTDYDLIAERAGHERFSFKEMVKYFKRAETWWDAGADSEYHGFEGPLHTVGGRKYP LRPFIQKAVEQLGYKYNPDAAKGDPSGLVEMTQCFRATSDSSSERQHSARVYDLTKVD VRCESPVAKILLDEEKRATGVELVSGERLFARKEIIVSCGAQRTPQLLMLSGIGPRDE LEKQEIQVQIDAPLVGKNLFDHACITQYYKLKDPSKGFALPFEGTMRPEYGQGFPWEF NLFSHISPSRLAPVLAADKEKAIVGTDGEQHPHLRDRRCHIMHLPFYFPILANMNQYN PDVVIGDGKHIAITALSLLPLSRGTVTLRSSNPTDTPVCDPKFMSTESDRFILRTAIR TTLALVSTPPFADVLDGETPPADSRFPALTMESTDQEIDERVKEHMQTIAHPMGTCAL GDVLDSEFRVRRVKGGNLRVCDASVFPEPLGGMPSCTIYALAELCADLIAGRS CC84DRAFT_1088114 MPPFPSPTKTWHSKAYPSISPARPELSQKGKTVLITGGGTGIGA GTAHAFAEAGAARIALLGRREQPLLDTKASIQKQYPSVEVFTASVDVTKTDEVNAAFE AFVPLDSGKKINVVVSNAGTTGPMGGFLAVSDQDYLDGIASNVSMAWNVARAFVPRAA PDATAIDINSNAAHVTYGDAFASYSVAKWANVRLWQLVQFNNPGFSVYSIQPGVVDTD MNRLAGGIKAMNYEDHVSLPASFNVWLASPESDFLKGKFLWTNWDVDELKEQADEIEK THKYSVEMVGYPFGGGNVPETAWS CC84DRAFT_1066425 HIPDIAISAALNFSIPPAPTSSTRSLVLKSKARPGSHRVVTLIL HTLKSYPQMMLRDGTLPPYIHPHLVSSDFNGNDMESLSNCISLVHMISHKVRGSRKLF WKNVRSECERMSTEPARFSNWELLAAMHALSVYILIRISEGVTDDNNLDQLLLLTMTV RTCPGNQDRLICDATFTPLAHSCDTRACWLEWVYMESRRRLGIVFRVINLIVYFDPGT MCSLQSDLLLAPLPAKRALWNAPNEFAWYVPKAERDKQSLPRSAFGLATSGELVRLDE MDLYGGYGTITTEKDHTTSDQNWEEWCSGMDSFGGLVMLTASL CC84DRAFT_1163873 MASPAVLTPVKSRSSVFSTRAAGGRMPLTPSPRTSRNQTPDALA HESIHGGSFMARIQRSVSKTTRDSPKSNIARSSPRRLELGVSEWALTGTGTTTATSTK TPKAKKDVTLRSRPTKTRIAYNSADRFIPNRTASEATCNVGTGKIDLEQRPKSSSTGE GSSVLANAASTFDLNGRTSDEEPTLSLEGLSLEEDDEERSQSKKPTPDAAAYQSSLAS ACGVNLNTRILAFKPAPPESSKPIDLRSQYNRPLKPASSVNAQSRRRIPSAPERVLDA PGLVDDYYLNLLDWSSGNQVAIGLERSVYIWSADSGSVASLLECPTDTYISSVKWSGD GAYVGVGLGSGEVQIWDVEEGTKLRSMFGHETRVGVMGWNKHILSTGARSGLVYNHDV RIAQHKVAELVSHTGEVCGLEWRADGAQLATGANDNLVSIWDARSLAAPKFTKTNHRA AVKAISWCPWQSNLLATGGGSNDRQINFWNTTTGARINNISTDSQVTSLRWSTHYKEI VSSGGFPDNSLSIWSYPSGVKNMEVPAHESRVLHSCLSPDGQMLATAAADESLKFWKI FEKKPGQPSIAAAGAASMKTASAVKSATIR CC84DRAFT_1163874 MAQPQLPASLFAGNPAASSTPQVALTSTTPTTGNAANNTFVMSA SPTRNRRSTTEGYKPKLITTVGAKPACLVNASVTYVGKDEIYAFGGFDQFTDEVYNHV LRLNLVTRQWNLVDNYGDIPGVRMGHTACLWQGDKLLVFGGENEHRQHLADVIVFDLK TAHWTQPELHGPTPRGRARHSAVIYDEKLYISGGQTGHDSVLDDICFLDLKTWTWSRT WRFVPRYDHASWIWNGRIWIFGGINDEMEKNNEIWWLDLRGSPGFETAMNYGTVDRTL LSSRHRFPTSASGSLATGSTGYTANSSVQSSSLGPSRSPYGTAPGSISSLKFHSSPNL PSQAAGMHFHVFSSGCMLDFVTPAELSCETSLSSLELDVLRWQKLADGKDMYNPNYRW HYCTTNAEGTHAWLLGSPAEPAADGNGVSPGEYLSDVLAIDLRKYGILGNELATDPRS KLPSSDANVTSHLTGIGADLSLTFDRPPESGSGADFIVTADPDDLEDVTSPTDDSKTA VAPVSQPIHVHRFILHARWPHFARLWSAQMVEFHSKKMHIPEPYSAVRAFLFYLYTDS IAPHPTNGPTLNDVAGMLVMSNIYDMPRLRLLSVNRLSRELDIEHAAIIWERAGTANE EWLKRRAAAFCLSNWGKIVRTAGFRALGPLAIMDLCAEADIDSRVVGGEELEMLGQLN RPFATNRKRSLGSAGVLTAGEEEEDGEVEDDGMDVN CC84DRAFT_715307 MAHVNQLSTPRFWNVFVPVLGPFTPSAPVDCVHAGARAFCGTTP ALGLTTSCPSVRFSSITHNTERSDTVPMVLFRRKNTSLVKGLAMPVLLQIESAVAFIS AEPEILVQCRLGAKCTASTTFRLSCESSGWYSRHPGAVWFQPRIQLFPRRTRP CC84DRAFT_1186614 MCYQVVERFSVCRCLYYRHSIDPCAAHGQRGHTIQEKTVLVGYA CSSHGSHRPEAAYGTGVLPDSGYGSGAYSTHR CC84DRAFT_1163878 MLQPDRRKVQAAGRKGDSASRESALPRLLMCLGSETLPWSQSRR KTTARSVEQGQRVLQ CC84DRAFT_1088243 MRLLHIATYFAFAASTAHAVSVPNTDVKVRDDRAISIRSSDILA PEHTLEKRKGGGGKGGGGGSSSSRASPASNAGGATVAGSGPSRSFGGGGYYGGGASTP YKSGSKTPKGLVAGAVLAPVLLIGLLPGLWLYSVYPYYFHNPYRFINESAHNATNPNG TNSSLPVTCLCEEYSVCGCDENDNDLYLKDLVGNGSYDALNKTLVNVADVNGTRTLIL NGTLPNGTTAPGGTDDAGIALGAGKYAGWYAMGLTIIYFCAYA CC84DRAFT_716452 MSRASKLTLATTSLGAIGIVVFVHYSQRAEKAAMHAGVIRDYEQ QRLKRERQADFEMQRALEEEYRKVQTVSDGGGPAAYQGRGTAS CC84DRAFT_1258795 MVSPLTRSAQNSAGIQTLLDAEREAQKIVQQAREYRTKRVKDAR SEAQKEIEDYRKEKEDEFQKFEKEHSSGNKKAEDDAKKDTDGKVKEIDDIGKKSGSKV VEQLIEVASNAKPEPPRGRD CC84DRAFT_1216678 MIRPIVHARLCSLRTSRRAIFTPYARRAFSNAHARKEDPRLQDI GRSLKDEYAVVRDEYDTPKHTVVLAHGLLGFDELRLAGQFLPGIQYWRGIKDALAEKG IEVIVAAVPASGSIEARAMRLAEDIAQKAQGKAVNIIAGTEVVLDNRTQLNDCSGLDS RYMISRLKPGNVRVLSLTTIATPHRGSAFADYMFDTIGPRQIRRLYRIMEYFGLETEA FSQLTRTYMKEEFNPKTPDVEGIKYYSYGASLEPSSWSVFALSHSIIKQREGGINDGL VSVASSQWGDYKGTLVGVSHLDLINWTNRLKWFFWELTGSKRNFNAVAFYLDIADMLA KEGL CC84DRAFT_718185 MLVQLHLDQPHDGPQHYTNLDVLSGKVHLRVPNPGSITSIVVKL EGESRTRLLAPVRPDRPDKQRPVLEVHKFLYKTQVVWPANTRLEDVNPKASFTINSGN YDYPFQFKIPLNTQCQQQNSLTTNVSFANMVPEVAKTPTQHMKGTLPPSLSGFPGEAE IRYYVKVTVNLPSFFKENPRATANFTLLPIEPPRPAATDGEAYARRQHQFLENFQSPS VGKKKGLFDRKSSVPTSPTATTTPRVTVDARLPNPAILTANHDLPLRLLVKNMSERTK NVYLQMLQIELIGYTKVRAHEVTRTESNSWILASMSNMAIPIGSISDPVGTEIPINPE YWSGKAVPNSVAPTFTTCNLSRFYELEVRVGLGYGSYNHGEDQLVVLPLRLPVKVYSG IAPPKALLDATLVGADTKLKPATGQDLNAPQMPTTPTHQSGFPPAQGATQQEAPAYDD APPSYEDAVGQDLPPINGYRGSYDPPPVPEGAPRFSDEKRR CC84DRAFT_1175510 MYSPPRTPPVLKRTVSGDSHTSYGSVSTAASRSSSRLLFGEIPL TPATTIDGRSTRSNSSLGPICNLVLSTSSSFLRFWLNDTCRDNLFAHLEEEDFPNFRL VCHDFATRAAPVQFSSLTTTFKPSTFSKPARIEALSRIGRHVKTFTFHMPHGPETLLP PIIDPETGEEKQFIYEPQLQTPQHMLGREKTPKYGSLEMTNLLIQQYPPLFHAATNVP AFVAAFSELLNLTHLKVSCPGYDNAPSNRRSVVDYALISLRIAVERAPMFSLNSLSLH PIHPGGLLYLHPMHGFGSTPSSAKRWTQIRSLSICMDSLSTSPSPKQKAQSVEHLRIL HAYLRTLSRNLTRIFFRWKGARGPSPLSLDKEPCMLPIDADYMHPSQRGEVRGPRPVR FSRLRHMELENAIMDSSQISEFIHKHRRTLVEFNFEDVKLRQGNWDEALEPLTLISGS ERWKRNAEEVMDVPIVLSPINMEPRIMGPLLEEVDAAIDETSKEKGPHSLSRWLGKPK NSMRKTNSHVKESFFGGDHVKRLFRGTMFHRSVAAAA CC84DRAFT_718379 MIGADIISIFVSAYMYMIDAYEVNETSVLTIVALVRYLATGGMT VVGVPMYQNFGPHYALTILACISAAAVPIPWALFKWGPRIREKSKYAIRPQ CC84DRAFT_1163884 MSLKSDAFPSSVAFDAIASSLKNDEAGRKDAIKKGNAIFAFDLK NASGATESWYIDLKETGEVGKGAPPKKASVTLSLSDENFGKLVTGKANAQKLFMSGKL KVKGDVMKATKMEPILKKAQTSAKL CC84DRAFT_1258801 MSPEEFTETPYDYVVIGGGTAGLVVAARLSEDPNVTVGVLEAGD DRLDDPLVDAPNLFLQLWDKPEYDWSYKTMPQKGTEGRVHGWVRGKVLGGSSAVNYNM FSMASRQDIDNWEELGNNGWNFDGLAPYYRKFERYNASSKVLSSKINDKYIDPQLRGT DGPIQVSFCDADTTWNQEIWPETALNAGYPTPKDPRTGSAIGGFNQLTTVDPVTMRRS YSARAYYEPNKDRSNLSVLTNALVSKIDLEKIGSADAKATGVYFIVNGTTHHVKANRE VIVCGGVVNSPQILELSGIGSPEILSRAGVDVVVELPAVGENLNDHSATGISIKVKDE YPTAEVLFRNPEIAQQAMEAYLHHKAGPFTNAPTTCGFISLELLDPQLQDAQKHIQSL VAEYRKLHPDADPGGRDTLLARQLMDPKEAVTQFVLLTVGADIRNCDTPSKVFIQDEP GNWIILATCSTRSLSRGSIHINSSDPTKYPTIDPNYFDHPLDLDMAARSVVHALKLTE YEPLRSALDLNADGQVQFHPSTGATGMPQTLEEAKKLAAANTVTEYHPIGTCAMLPRE KGGVVDNHCKVYGTSNVRVVDASIFPTHVQGNIVSLVYAVAEKASDIIRGKIVNGTNG TNGTKM CC84DRAFT_1163889 MGSHIVSQLLQTGEHTITAITRPDSTASIVDGIRTVRVDYVNDD DAALVAALVGQQALLITMSVTAPRDTVLKLIRAAAKAKVQFILPNWYGHDPANEQMCK DSLLAQSLDATCNKVKELGVSSYFLLASGFWYEWSLSGGPDRYGFDFKQHSLVFFDGG ETPMNTTTWPQSGRAIAKLLSLKIKPDGADDQSPTLSQFQNSPVYISSFRVTQREIFE SVKRVTGTTDADWSISHETSEQRWKDSMDEIKKGNFSAFTKMLYSRAWFPNGDADHES SRGLHNDMLGLPVEDLDEWTAVAIRMAENDEVPWIMH CC84DRAFT_716162 MASFIQYLRGESRVPGERKLIVKLDFFILTFCCLMYFLNYLDRT NLNNAYVSGMKEELGFHGNQLNQINTVFTVGYTIGQVPCNIALYYVKPRIFFPSCMVI WAGLTMATAGAQHPRDIMAIRFFQAIFEASTFVGTHYILGSWYTERELGKRSGIFTAS GLAGTMIGGFIQTGIHSSMDGLSGLSGWRWLFVIDGIITIPVAIYGFFLFPDTPRTTR APYLTADDKALAISRVPETPERTPISWGFLKHCFSTWFWYFFVVLWMIAGETESFSSN ALLSLYMKAHPTKKYTVSQLNNYPTGVPAVGIVSTLFWATLTDFWGGRRYLVGYWIGI TGVVTSALILAYPESTSIHFAMYYWAGSVYACQATFFAWANDVLRYEEDSLRAVVIAS MNMGNNAINAWWSIVFYGANFAPYFTRGMYAMIATSIALAIWTALLVWMQKRTDRRRE HEGVYASREEKDPVSVQDGPDEDNRVELKA CC84DRAFT_1144593 MTNFSGQTSGKWEEIASSKRLALAEQIPLEYRIPQQLFPPKDQL DVTTFPRESGWFDRKELEITESSATQILKKIASKSWSSEEVTRAFCKRAAAAQQLTNC LTDFFPTEAISIAKSLDEHLQNTGKLVGPLHGLPISLKDNFNIIGKDSTVGFASLVDQ PATYNATLVEILERLGAVRYCKTNVPTAMMIAESVNNVFGRTLNPRNRKLTSGGSSGG ESALITFGGSVLGVGTDIGGSLRIPAACTGIFTLRPSFGRFTTQNCRSGLAGQEAVMS VNGPMAKSLEDITFYSKAVIDAQPWLVDPKCLPIPWRPAERNAKLKIAVLWHDGVVHP TPPVARALNETVEKLQVAGHEIVGAWDPVQHPKAVDILARMFVADGGKSVRRLLASTD EPWRPEMKGYSEATELGTYDMWQLHQERSELQKKYQEQWMSYDSLDAILAPTCPYATV AHGQFDYVGYTGVYNVVDYSAVSFPSGVTVDKEKDRPGNHKPLSDICRTVHAKYDPEE IDGMPVSLQLVAKRLEEEKVLAMTETVLQALYPSQSRP CC84DRAFT_1089314 MDIASETDGRPSNAVKRVKESNICRASVARSVPTSRGPSEPRKR LLIVNNEEPEPFLGIRSRANSLDPLPGHLKRIETPDSGLRPVQHVVHVSPPTFVQTVQ RSPNQPIPKPSVFERHSHRRLSNAIEGLEDLVEEAVNTVERTERLEYVEQIYAIIEDA SIAVSDASSEPARHLMRNSSPLQVATEEVSQSFQLPTHTKVVETVVEHVHNIPKLQPR NVEQRESETIDWAYRNVKDPKYHDQYLRSSSSSSSSSSSSSSSTSSTSSRSGHRRHSH ASSGSDLLQLLPPQPIATASRDHIDHVLRPKISRSHSRGRSRRRRGSLDRCRRRHRHI PSWRSGDTRSHSPRRRFRHHGSSNSDTSFDEEDLHAVGKPEGIRRYGEELHVRDAHHH TFSLRGNHRRQPVARNWTTGKKRICAFIACTNTAVLGIIVGIYAKVPRIQYVLADEHH RVILGNVALYFGLAFSTYVCWPLPLLHGRKPYILASLALAMPLQFPQALVLSQKRSPT EHRWIAGLLIARGFTGLVLGFANVNFVSLLLDLFGASLQSKHPHQEFTTPSDVRRHGG GMGIWLGFWSWCWIGSLAGGFQIGAGIIDSLTPDWGFYITIAILAGALLVNVMMPETR RSAYRRSVTEVYDRDENYITRRVARGEIKLHISTEGPKYWFEEVWAGLKLSTMMLCQP GFLVLSLYLGWIYAHIVLVIVLLGALLSRDYKWHSQMVGLGVMSIAIGALFAIPLTKA SIFSRERKNAFRTDSMTFQKQVSWSSHLIRRCIFTLVLPLMGLAYTVSSASRPKPFMV PIVFAGAVGFLSILAIAECYGLIMETFDTCDLQPGVNTRHRLQSMAAEDRRRQTNYSS FPRVSAGIFASHALSFVGAAVATEVGGIMTRHIGAQASTGVTAGILLFLTLLLTLVLW RFKEIQVIPSHTFGTRRDTAAWHEFKELEKEGRGSEWKAVVIGNPSGKMRRMSVLELG ALSRWTEIRKLNFLIKADDRARKRDDWHQGRSW CC84DRAFT_716871 MNYVRSLTSGVSKGWNSINPATLSGAIDVIVVEQEDGSLACSPF HVRFGKYQILRPSDKKVVFKVNGEQQDYAMKLGEGGEAFFVFETTASIPEDMQTSPLA SPAASPEQKPTQEPIDPALSEPDPLELDGISGARRSTLQGRVPRPDLLGERPKSGDWS GLQTFRSNSDEILPSTKTQFAKTFEEKEHAPIKLSRDEATAWNVHKRSTSPPPVSPTE AMARAINLSKKLFTSNIPNKVTESGDLMLDMTGYKSSEQEALRAEVVARRILSDELEG NYDIGALIGADEHGNLWIYSSEESKAAAMQRSGIAALSEEALQSTDAVSDPGYHSDDA QSDASGEFPPHMRRDSDSAIGLTSPPKSPEGHREVRSYAKTLRLTSDQLKSLNLKPGA NTCSFSVNKSTCKASMFYWKHDVPIVISDIDGTITKSDALGHVLNMIGRDWTHEGVAK LYTDIINNGYNIFYLTSRSVGMADTTRAYMNGVVQEGYRLPKGPVIMSPDRTIAALRR EVYLRKPEVFKMSCLRDIMNLFGKPPGQTPFYAGFGNRFTDALSYRSVNIPSTRIFTI NSNAEVSLDVLSLNSYRTGYASMREIVDHFFPPVGLLVPPGGEQFTDFNYWRERPLDL DNFTDSEDEDEDDGTEAPSVPSEDEGSEVGEDLEASYLTQASIDDAEGMEDSILESVE GDGYGDAEEDEGGAIDEGEEDDEEDDEEDDEEDDEEDDEEDEDSEEDESDGDEGAVLQ RTPTKEPQGLGEGVRDMRLTEQTPTPQPSPARRRNP CC84DRAFT_1089522 MLPDSAHSLYWPPHVARYNMDALVFLSSGWLKTACVLLALFWVA FRAYRVLSKPVEELINLLGLEVPVAPLVSLAGIKADGVLLHWKPPDQRASVVKYVIRI NGIDIGDVSPQETSVTIENLQPDHHYVIRIVTLNTANFQAPSVPIRLRTLPAESDQFY GTAPHKDIRDGAQGDDYNPTPIIRPNKNLVDFMAPSVTAPAMTREHSNSVSRHRRSEP GRRNSPASGTAEQARAAQEAADSQETIKQLTEKLDGLRRELEDMEKQIQEEEQEFVTQ KALLVEKRDEKKASLKEKEDASRDLRKEVATLERANASAQAKRSNQERLLQKKEAERK KLKDDMAKWEREAGELRDAAKRMQQERTEYEAQSENRIKELKGKHEEELQTNKSLEEV IREKGTQIKTLEEERKLLEEGEDVGDAQDGAETEAEDRRWMELYSSLQQRYAEAWQQF NEAEHINKEASARLAYLQQRRMSQPQVMSVTAPEFVPARRNSGVRSRPLSMREPLTSA NLGGFIPSTAAPFNSGIASTSPQYSNPTPYFNPVNGMALPPPSAHASSFSQADIESLT GGAPMSPTAGALLPSGLFGDGMTDDGMTDEDDPGPPLPSLDPSPNLRHVLPGLGAPGT SEQIQSPSSPVSVQSRSPFASPRESAGQLGFFPSNENALDSDRRSIRSTSSSFKNPPT TRFGSLFGLHRQRGKTLDQGPPLGSLKASQSQSLPRQEHEDLDPIGTRRRRGSHSGGA WYNAFIPSKAQPEESSSNPKHVATRKRAFNMFGTKGDPWLTSALGSERPSSPRQGSTK SNEANVLPRPSTESQTRFGWSVEGFNARSSPLGVDWSVNNTNTSSWSRMPSRRPSIQH GSTVSLLNDELLQGDMADFPSTTRSPTQAPIGTRPQSSASHMAPLVPPTPPKQLNPDA PTFTFAFGSREDKEAKAQKAAEKAERAAEKEAKRAERKEEKKAAKAEKSSRKQSTIPE VAVSEQSGSPVAARFSRDNYSLSTADYSESSPRESLDQYGSQTTSEPQPPSSLGKESL MQKLTRKSSSSQFLQFGKGQRSLFSKKSSEAVTPDETEEDGGLSVRTTDSPGASPSIG TPKDKSIALSWSSIKRIGKRGDKTPSLHESVASETTGDEDEEAEGLGVAIQ CC84DRAFT_1044429 DTGTSKRPRDARLIHLVLANMGVHAYTERVPLQLLDFAYRYTNG ILSDAVSYEGPQANVGKKAAPKDDEGVSLNALRTAIHARAQQQFSSVLPKEFMTEVAS ERNRVALPRVEREWGLRLPPERYCFTGVGW CC84DRAFT_1163899 MAMATPTDQAPPAIPRLPSNPTPLSAPQEQQVRDLYYRNVRAKC ASEIAAFAECATGRTFTMIYACRAQKLTMNTCMLQYQGPDELDKARAEWFALAGERRR EKEERAKLVEESKRRHKEWWGLDEHGKLQGKKLETKLERETREAGERAAEGRR CC84DRAFT_1186629 MAGHTVISLLTALCLFSGSSAFPFSKRQTLSTDDIQKQALANAY KVLDGTLADGMTRPSTCNKNTVSVRKEYGDLTKEEKLEYIRAVNCIRTQPSKLPAGKY PGAKTRYDDFVVVHMNMTPTVHSTANFMHWHRYYIWAYETALKTECGFKGAQPYWNWG KYTDLPASPIFDGSETSLGGNGDYVKHAGGLMGSPFPAGNGGGCVTKGPLGNLTVSLG PLMGTMDPALKIKANPSRDGYGDNTRCLRRDVNNNVVTTSLAPAYLAAHITSNSAIGT FQTTLQNDQGGKMAIHSSGHYSIWGDPGGDVYVSPGEPVFWLHHGQLDRHWWMWANYL SDQVKSRTSMYEGGTNWMNPSSAKGKPTDKQWLDVLAPTGQDGIPSNQLFSTTAGPFC YIYA CC84DRAFT_716246 MASSAAEKVLQKAAEDGSLDAADWPDTLKYILDRLDEIVADFPK PPESGSASVPHTPSRQQPQGSSQESHSGDKENAAPKTPVRPPVPGFTNTESQLPSEAV TFYSSIRNALSTNFPKGAPHTIQRLAELVLEPKRRYKFLMSYLKALDRVVSVSSSNTI FPLPQAVLPTATGLLNGTTATNATQASLGSDESLGGALLTPIPWLQNRGQNELISEST EMVDGPNGTGRIETVTVGMLSGATGSNGSPQATSPTNSNGVSQIASSHPDGETLPSTG PVTQGEILRQEQEAGIVLNNPHSLTTNLSRNTVGEMESGGTVMDTVEGEEEQPHARGP ELIGMEDTGPQTQSSRLDIEGAVGRPSIDRSSRSPQPVPEPKDEEMKDENEKDDDTEG NKVQEDDKDGDAEMKTLE CC84DRAFT_1163903 MATATQLMPSPTTNGLKSSFSPYTDSPSSPAPFSHVFSNRSSNA DHLQPPPSPYPSTVDPSPVDSNGTSGTEIEDDAQVNQPSDDEGSDIHIKSPLDDIVSP VSLESQAKVRAAAGTTDADAANSAQPSRLNTSLPVRLRADSDDAPQSVIHAPTNFKTF NRSSVSVPSSNETASDNTAVVSPQTPEANMIPDEKHSSTYTHPIDTAVPSAAAPDRST PRAQTRQEYEEEGKRRSARVSVSLADIAETEDIGISETASSSTFDNESQKGDLQSPKS PLASMAAAASAGTQTMGEVLHGINETEKEIAALRNALHECWTLCNTLAKLSQTHRQKM FYYSGSHGEVQEHAWRTCWRLCQRLYESRDEDPTTQIKPTLELCRDFCQALFDVRQRG DEASDSVLRVSFELNNHLYNAHDRNLPEAFQERTLEFYLTLCHRLMKQRTSLPEETDA LLHACWSLAEMLFSIRQNSRDNKPADEEIFGSAIQACWELCDLFREGWTQVRPERGTP RPSQYTFTAAPASVSHSQTTYSDTSGRSSSLNESYHSAVSMRKQLLMHPETPTTIFDE REEFSPAEEPNVPNILILGPEAGQTRTHDRWSSSSSNLSTYSDASQSIHSSSTATAGR EDPNLIRLKGLIVKAALNTGYSRQTPLPDFIRTLPSNSFGNLPWQSALFEHYKHLVLT DPTLRNIHTQPLRRLTASEIGRAVQWMARSKSFSWMSDLYRFVFGAFPEDAGQRNLII NA CC84DRAFT_719336 MAPQTLHGSCACGRNRYVVEIPQQQTQLAELRYDNTSASRHHSA SPLTLWLRVPLHWYTSATFAQFPDEERSSIQRTFVSPFASHSRRQFCGYCGTQLTSWH EQTREDAEHICLTVGSLLDEDQELLGELGYLPGGESSDEDTVVPGPSRSTQAVTRSDQ QLRGAPWFEEIVKNTALGHFKQQRGGHTSRDGSVKVEWEVVEWTEGDDADDEGGVTPS KRKIGQVEETDTEMRSA CC84DRAFT_719666 MKAFTSLLTCVGLFAFFSSVTAEVEPIEIKGSHFFYKNGTAFFV RGIRYEPFGYELSNFNPPPDPLGSGILCRRDIPYLSGFNINVIVVAYIDRDKDHSECV NALADAGIYVVPQVDAGVNSYLYVWNQETFDYKIGIISSLANYSNVLAFRMTAAGQQP TDLLYFRAAFRDMRTYMSEVLHRSIPIVLSILPEEQEKDTIDYIWCHDDAADIVQLWM GNSVINCTSDQNIEDAVDFAKSLSGPIVLEGMRCDLYIGEFDDRNYEFMYDVYSDKGA AALSGGILDTYYGDWNESMTFG CC84DRAFT_1163909 MVLRFEPNNENAIEPLAGAMSLSSVLAAAKPSFTNEAQYNPTTI TRSCPTGSEWAFSGVLPPRAYEPLCSCMLNTLQCTAKLGAVETLSDSMMYMTLLDACG PDREKDCGAIVTNSTTGKYGAYR CC84DRAFT_1163910 MPSDPRHASFTLSPDSSQPSPTEQDASRNPFANNNGGETFNARV NEALHHWRVPGLALAIVDRHTVTAHGYGISQVGGGASPVTPATIFDIASMSKSFTAAA MALLVQNEEDEALKEVKWDTPVSKLDPELQFATSDGDGRQGISVEDILSHRSGLPGHE ESLLGISHADADTPESVVSNIRHLPFAHALRTTYFYNNLMYTCAAHLVSKLSSTPFAS FLQQNFWDKLDMQRTWLGPDALPTAEADAGNVAVGSIYATSQGKAVEMPHIPEPEGVG AGSIQSCVGDVARWIHAQIYTLPPLSQDSYKSLRTPRILADDAIPGLTGMSPTFYCLG WEVAYYRGVTIVRHDGSWAGFASSMVYVPEREWGVVILGNSEDAYSAEMEVLFHLVDT LLGVPEGERFGWRDHYDNKAPEWAHVQTLDELFPERAEHADDEPRTDPDLETFVGSYA NTGYHRIRLAYDAEKKQIEIDGRDRSYSFVWVVRERAYGHWYMLENVDPASGEKSTMK CKFDAEEVSGKVKRFGVDLYPNSGDLIWFEKEEGE CC84DRAFT_1087957 MPPGAPVVSKCPLRTIKEIQFGLLSPEEIKAMSVVHILYPETMD EDKQQARVQGLNDSRLGSIDRSFVCGTCQEDMQTCPGHFGHIELAVPCFHVGFVVKIK KLLETVCHNCGLILADFNHPDWPSATKVKDPKKRFDMIWRLSKTRKFCDNEIPKDKTA AHHGGCGNRMPDTIKKEGMNLWATYKPRKDEEDPPNKDNEKKLIKPQEAQNILKSMTE NTMSLLGLNVDYARPEWMVLTVLPVPPPPVRPSISVDGTGQGARGEDDLTYKLGDIIR ANSNVKKAHAEGAAQHAIQEYENLLQYHIATYMDNDANGVPQAMQKSGRPLKTIRGRL KGKEGRLRGNLMGKRVDFSARTVITGDPNLSLDQVGVPRSIARTLTYPETVTKFNIGK LSQLVRNGPTNHPGANFVIKSDGVRLDLKHNKNLSELQLAYGWKVERHINDDDVIIFN RQPSLHKESMMGHRVKVMPYSTFRLNLSVTSPYNADFDGDEMNLHVPQSDETRAEVKN LCMVPLQIVSPQGNKPLMGIVQDTLCGIFKMTHMDVFLTREQVMPLMMWVPDWDGLLP PPAILRPKPRWTGKQIISYAFKDDLNLTQGSDSADDKFNDFSGKSVVIHGGEIVMGQI VKKQVGASPGGVVHIVYNEHGPQAAVDFFNATQRIVAYWFLHNGFSIGVGDAVPDKAT SDKIVEAVNKAKAEVAEVLKLATTDKLEPNPGMTLRQTFEAKAQKALNEGREAGGTAA KDNLKPFNNVVQTVTSGSKGSNVNIAQMVSLVGQQAVEGNRIPFGFKYRTLPHFTKDD YSPESRGFIENSYLRGLTPQEFFFHAMAGREGLIDTAVKTAETGYIQRRLVKALEDVM VKYDGTVRNSMGDIVEFVYGEDGLDGAKVEKQTIDTVPLSRKKFEDQYKMDVMSDRPP ISADVLEVANEIQGDTEVGRYFDEEFAALEEDRAFLRQGMKTDKKEVYLPLHISRIIE NAKTRFAIKNSQRSDLHPIDVIQGVRELLDKLIVIRGDDPLTLEAQKSATMLFKCLLR SRLAFKRLVTVDHLNKLAFNHVIGEIADRFLRAAVHPGEMVGVIAAQSIGEPATQMTL NTFHFAGVSSKNVTLGVPRLKEILNIAANIKTPSMLIKQNHPEHSQQTAKELRSRIEH TTLRSLTHSVELYYDPDPRTTLIEADESMVDMHYGIEVDEDVSNLSKWLLRITLERKH LLDKNLQITEVSRRILEEFAPNISVINADPNDDDQVIRVRFKWDQHLRKDEDDEEDRD ERWMRKLAVHLLDDVTLRGVKGVERAFIRTEQKVFEQEDESLILKKDDERCMEWVLDT TGIALAEVLSVEGVDATCSYSNSFIEVLGVLGIEAARAGLLKELSNVLEFDGSYVNHR HMAILVDIMTQRGLLMAITRHGINRGDTGALMRCSFEETVEILLEAAGYGELDDCRGV SENIMLGQLAPMGTGEFEVVMDSQMLLTMVEDNSRMRTGATGNYYDTGAATPYDLGSP TYEGGMSGPSYSAEFSPLAAPEGNYGGMSEYAPQYGDGGFSPYANTRSPGMAGSMSPF SGGASPSSPSFSPSSPQYSPTSPGMSMTSPRFGPTSPGYNPTSPYSPTSPQFSPTSPG GFSPTSPSYSPTSPGYSPTSPQWSPTSPAQAAARSATSPGGGWSPTSPQYSPTSPMYS PTSPQFNSGDRRSPASPTSPSYSPTSPMGQYSPTSPKYSPTSPTSPQYSPTSPKYSPT CC84DRAFT_1216698 MNLSLVDPFVLAQDCPEVITGRLRSGHSTSIRFSHRGDLLASGR HDGIVVVFDIETNGVARKLRGHTRQIQSLSWSTNDRFLLSAGQDWKCVLWDLKDGSRV RTVRFESAIFIAELHPKNHMIFAAALFEDQPVLVDMSAEVPVKHTLPTAPRRSQTERE KATEKQAAQDAKQTTTVTVFTPSADHILAGTNKGWLNIIDTRTHEVLYSYRVTNSLIV LIRMTQSGRDVVLNSSDRIIRTFLLPDLSDPNLDFDMLELKVQHKFQDLVQRLSWNHV TFSSAGDYVAATTYMNHHVYIWERGQGSLVKILEGTKEELSVLEWHPFRPFVAAIGVD SGRVWLWSILQPQRWSALAPDFVEVEENVEYIEKEDEFDIQPLEELHKRRLDQEDEEV DVLTIDPVKTNAQHEPGEFRMPVLLDIEASDSEDEIVAVGAGQFRRRSPGAGKEYMND DEVGVSGDEVRRANGSSTSGTKRRRA CC84DRAFT_719928 MLLTRVLAAGLATGLAVAAPATKRGVAYAWGSEKVKGVNIGGWL VLEPWITPSLLDNLGRSDVVDEFTLGEKLGQDAALKILRQHWDSWCTFEDFQKIKDSG FNLVRIPVGYWAYDTLDSPYVKGAAPYIDAAIDWARGLGLKILIDLHGAPGSQNGFDN SGQRISPPTWTSGDTVQQTLNIFSTITKKYAQSKYQDVVVGIELLNEPLIPSLDEATV RQFYRDGFGRLRQTSDTPIILHDGFLPTANWNGFLTPSDNNAQNVVMDHHEYQVFTDA LVALSPDAHVSAVCSSSSSYAGSDKWSFVGEWTGAMTDCAKYLNGLGVGARYDGTYPG STKVGDCSWQNDISKWSQEYKDQTRKYIEAQMSAFETRAGGWVWWNFKTEGAAEWDAF ALVDAGVMPQPLDQRKFEVMC CC84DRAFT_1088664 MKIFITGSLVAFAAGQDNLGLSGGYNNLQSSDFKGTIVKSSGTL ASLNSSSTGFNFLPTDLLSQLAQNGAHHLGDITFRYRTLSSTSWTSVDSATSRKAVTQ LQSLGTGVIAGADLAPTLGSARPLKVTREWLTSGSGLALRFNFTNTGSSSIELGSLGI PVAINNIFTNRLATDTQAKCSFADPYVGLDAGFVRVTPLSGTGNALVIAPLGSSNFEA WRFLQESPGSFGYQGQTYEGNYEWQIHSLAYAQNEWKSTTPWNTATSKTLAAGEMYSV GVRFVLADSIHTIEDAVVKSGTPLAVGIPGYVVPSDLTTRLYLNYTSAVKSIDAADFT IAGPSTTPAGVLYSLTPKSSAWGRSRITVTYADGKKQTVHYHVTKDSSSTLADMGNFF TTRAYFNKTSDPFNRAPSIMTYDHEAGAIVEQDSRVWIAGFSDEGGTGAYLATAMKEF VQPNAKEVSLFDDFIQDTVVGTLQQNGSFGVVASTFYYQPGAVNYTYNSAFDWTSWTS WDKARAYTTRRAYNYVHPIAAYWSMYRVARDYPEKKLRQDWSWYLNRAYNTTQYCLSN RAANCDYGLVGLMGEWVLGELLEDLKREGLTSQVTELEATMRYRANLWETEAVPFGSE MAWDSTGQEGVYYWTNYFNLTKTPIKAINSIFGYMPTIAHWGWNGNARRYWDFNYAAK IAQTERQLHHYGSGLNSLPMLNHYEQHPTDLYALRVGYGGNMAPLTNIHQDGFASAAF HSFPDLLKWDPYSGDYGPGFLGLSLGQCVYILSNTKYGDIAFGGNLLSTSGASIISVA PRDAVKRRVFIADLGLKAEISAGIISKVDFDKSGSTVTLTLASASRSGDLQAKSAIVW LKQPGSLSVGYKIDGAKTKRAGWSVDLSSGQATVKVVKA CC84DRAFT_1186637 MNTNKVNSVYVVGLGHQYAQYSITQDQFADLIMRLYPEVSATPT VQKLLQVNKSTKILSRPTIFDQSTWTKEDAAAPTIDELSHIFRTIGVDLTVAACNKAI EEARISPIDITHVVAVTCTDQGSPGYDLFVSHKLQLHPGVQRSLLHGVGCAGGLSALR EAADLATAASSRRRPARILVFATELCSLFLRAELQAAFHKSGVLNIAPLLFSDGSAAL VVCNGIALAEKQRPIYELQEWNSAILTGTQSHMSYNVSPKGMLVTITKDVPKATVAAV GPMFSQVCSAKKDVSLDPKAYDWAVHPGGASILQGAQKAMDLSDDQIRASLDVYHKFG NSSSPTVLIVLDKLRHMGRGKDNVIATSFGPGLIIEMCRMTRCRDTEMEQAKGPGLLW REYKQKAVGKATG CC84DRAFT_1243536 MHCDLPQELRDHINKFFVEGSYDNEVIVRQANGNKMAYYVRQPL NADSYLWMEDPFFAQLRADCFGRRNTRDLLIAYYRSRTFKFAHRELGLVRAFLDTDEL GLRARPLDHIRRLHLEVEPFLICSSRDEKTSDIDVEICRATLEGIAAAQAPHITTVIH LNLAQDILDGHVEQSLSSAASVVLEIAAIVTALIEQGVKIEVILEGVWDKSGGLKCLC FNQIYWPWREMDYGLGHVRTSQLVSPGERTRSHNIG CC84DRAFT_1186638 MKLNRYLCVTLATRCMGGIIAPRKTTLSADAQDLFDWSMHVQDR RYDSSYNFIAYPDKGGWSVRFTAWYLVGLLHRNKGDDLQKAKAAIRNIIACQMTNDFA APWYGTYKLSPDQPDPTPNSTMYPPEIYTTYDPNWREFIGTQLVQVIEEFGHLIGSEL VEKIEDSLEIAAIGGMRRNGTFPEGDNLGIYYSNPAIMRALVVGWIGARRNNTKLLDF ANDQGTRLLKLFQLNGANTLSEYNSPGYYGIDTWALGAHLKYGPKDQTMVSSARIILR EMWTDLADHYNGYLGNMVGPYDRAYTRDMTQHSTALVNFFWGLFGNTAAPLPYKLESD LVFDIAQGAAVALIVEEVKSAIPTHVQDTLRLRGSFQGPARSINKTIYDDLEGKHSRV ATSWISRELMIGGQSVNETVNRGDQFVPAIVHWAGDKAHEPFPLNTFFSLYPSASSIH AIATPNHLSISYPNRTQAGADIFTFALSNVPPSWTLSGNKVTGLDDALPCVKIEVDAP GLELQPVVYGSSLRNHVFYNISYVVPEDFEGVPKVDLAITYTC CC84DRAFT_1087926 FEIFYDWLYTRTIYTPTEEGRIPLTFDSIIFAYVFGDAHQSPEF CNAAINALIQKCDQDDVLPLYQLNYAYENTLHDNLLRKYLTHDAVACYNFEVFQVDAD SYPREFMMEVILASRELECAPRCMASGENWARLLQKRTCDYHDHSNV CC84DRAFT_1216703 MSSFLGIQGETECTTQDFIGSSSSSNLDAHSRMEQGEEREQVIL SLAREFTVQSHQTHHESPFQASEGSTLDPNSSAFDARSWAKAFYNIRYTSDVPARVAG VAFKNLNVSGVGSPTDFQSSVANSILKLPALFGRGAQKIEILRDLDGLVLPGEQCCVL GPPGSGCSTLLKTIAGETHGFKVNPDSQLNYHGIAPAEMHKQFRGEAIYTAEVDRHYP QLTVGDTLYFAALARTPRTIPGGVSREQYAEHIRDVIMAMFGISHTINTCVGNDFVRG VSGGERKRVTIAEAALSYAPLQCWDNSTRGLDSANAVEFCKTLRTQCDVFGSSTFVAI YQAPQAAYDLFDKVTVLYEGRQIYFGPAQSAKSYFEQLGFSCPDAQTVPDFLTSMTSP SERVVKPGFENRVPRTSDDFARCWQQSEGRKILLAQIDEYTRNHALGGVGCSKFAEAR KVEKSKAQRERSPYTLSYWGQVKLCMWRDVQRLKADPSVPISMLLINFIEGLIIASIF FNLADDTSAFFSRGAVLFMMHPSAEALASMIVDMPYKITNAVIVNTTLYFMANLRRDA GSFFFFFLVAFSMLLSMSMFFRFFASITKTIAQALAPSSIILMGLVLYTGFAIPVADM RGWASWIRYINPISYGFESVIVNEFDGRQFPCAQFVPSGPSYEAIAPDQRACAVKGAI AGANYVNGTAFASTTYNYQYANRWRNFGIIVVFVVGLAALHLITSELVASARSKGEVL VFRRKNLKAMAKRRKMDEETGRNTTAQTEKYTSDSDAASIVKKQQSILHWEDVCYEVQ IKGNTRKILQNVDGWVKPGTLTALMGVSGAGKTTLLDVLASRTTMGVISGSMFVDGRE RDGSFQRQTGYVMQQDIHLHSSTVREALEFSALLRQPADYSRGEKLAYVDEVIRLLDM EDYAEAVVGVPGDGLNVEQRKRLTIGVEIAARPRLLLFLDEPTSGLDSQTSWSICDLM EKLTKNGQAILCTIHQPSAMLFQRFDRLLLLAKGGRTVYFGDIGSQSHILVDYFERNG GPKYDSSMNPAEYMLDAIGATPGSTHTEIDWPAVWKSSAEYAEIRTELARLRQLRNEP SAVMDTNSSSYQEFAAPFVTQFLVVANRCAQQYWRTPSYIYSKALLSIGCSLFIGFSF YKMENSIQGLQNQMFGIFVFLFVVIQLMFQIMPNFVVQRTLYEARERQSKTYVWQAFL FSNIFIEMFWNLIMGTFCFLVWFYPVGLYRNAEPTDSVSIRSFGILLIIVATFMFASS FAHMLIAGAPNEEVAGGIATIMSIMLYAFCGILAGPDKLPGFWIFMYRANPFTYLVSS FMSTALGQAPAYCLPNEFQTFLPPPNQTCGQYMQDYIGMAGGYLQDPRATDTCNFCQL SSTDQFLQQINATWENRWRDFGLLWVYVLFNVAAATALYWLCRVPGVKKKTKTP CC84DRAFT_1195845 MAKTPYSGESWIWWIVVLAMIGARLISRKLLFRSIKGLQVDDWV MGLFVATCYTTFIVISNKYLKAGSNLEPSGFDWSAVSARDISRRVYGSKLMIVVEQML ILVIWSCKGCLLVMYHRLTRTALRKENVAIKLLAAYVVLGFVVMELLYFAAWCRPFSL YYAVPTANKQCDTLIDHRITKTVFNVSSDLVMLCIALQMLIRSSLPTKRKMVLCFIFS LGLFTITAAILSLYYSISNSYIHTWLSWYLREVSMAVIVANIPFTWTILRELFEVDEF NASSPQPWSFYPAPRSTTNSNNARLSQATGPSVPNASKHRERSATSRLASQDTQSPTL VGSLSVSKTSGESPSKSLKFEGSERGEMVQIRSHDFAAASSPPAHDHDAISPVLP CC84DRAFT_1144640 MTNDERPPRGGAAQGDASPPPLPPPYNENATAPAGATPSSSAQQ HGPAGNDQLPERPRTKPEGSTYASLYRPGEAQNVSRPAAGAHSQDALSSIAHAEHAAP ADHPPPAYSEFPGTLNDDGGQLGANATVASDGRVNINIDQKSRGLSQLIVPQLQRQLT RVQREPAPPPPYIPESLGGAPGQRPPPPLNLVIQVVGSRGDVQPFVALGKVLKDTYGH RVRLATHATFKDFVIENGLEFFSIGGDPAELMAFMVKNPGLMPGFDTLRSGEVGKRRK GIAEILRGTWRSCIETGNGMGVDPLKQTIEEWMGVEDQLPDDLKKPFVADAIIANPPA FGHLHCAEKLGIPFHIMFTMPWNPTQQFPHPLANIQTSNADASITNYMSYILVDMLTW QGLGDLINKFRKDALNLDPISLLWSPAMLERMKIPHTYCWSPALIPKPKDWGHHISIA GFFFLNLASNYTPAPDLAEFLAAGEPPVYIGFGSIVVDDPNAMTTMIFEAVKTTGRRA LVSKGWGGLGGDDLNVPEGVFMLGNVPHDWLFKHVAAVVHHGGAGTTAAGIAAGRPTV VVPFFGDQPFWGAMVAKAGAGPDPIPYKDLTAEKLANAIQEALKPESLSKAAELSARI SKEQGAQVGAQSFHQMLKYDDLRCAVAPNHPAVWRVKRTQVRLSAKAATVLTQEGELS FSDLKLFRAREYIPDEGPWDPITGATSALVGTAGQMMMGVADFPIETLKLLNIHPDSS RKGKGKAKQTENDGATDTGSRAGDRPPARSNTGSGAGSSTTSITPTQQPGTPDGRSAA LSQTPKASDSSSPRPDPERSGFMAQAMAQHSQVSQSRSPSRDRACFIPGSSHSRRSSQ SRAASASASEIRDKAGTVYSDKSTFGDKLGEMNKDSIVGTGKGVGRIVGAGFKSPLDF SMNVAQGFHNVPKLYGGEVRQVDKVTDLQSGLKVAAKQFGFGLYDGIAGIVTDPYKGA KKEGGLGFVKGVGRGIFSVPFRVMGGAWSVPAYAMKGLYQEMLKNQGSGVQNYIIAAR ISQGYDEASALSPAEKADIISRWRCIKTNVKKKKNPGEDQLQALHSYMSERREKKEKK DRFGKLKRQGTAPGAQDSRLYATDGRGSLDLPEPVVRSGTGISTTTQRGMDNPVHPLH HAHTFPEPALPHNAPTVQQADLIHDEEAERAELETAIKASVAETSRGNPEEDELIARA IRASMLELQREPEPHETEEEALQRAMKASMNEAQKSGVSADEQRILEETLRASMLETS RARRAHNTDSEWDSSDTEDDEDYQRILAESEKLHQLHSSEDKHDEYFSSIVEAGDAKA QPPNDEEEQALRKAIEESERQDREDKERLEKQMTEEDIVMEYVKKQSLAEAQHRERLR QGRDIGGESSGGGTSGAA CC84DRAFT_1258822 MKRTVTIMSLFALRVAAQASPGLPFVINTWGGPFTAATDAAYLS LQRPTTSALDAVEIGCSTCEKNQCDGSVGFGGSPDEDCETTLDAMIMDGTTMKSGNVA GLRRIKDAIAVARHVLEYTTHTLLAGDLATQFAVSNGFALSNLTTTEQTKRCAAWREE HCQPNYRINVSPDPKTSCGPYTGVALNSSSPVYQRGLIPDGSQTSHDTISMISIHSSG TMAAGTSTNGATWKVPGRVGDGPITGSGSYVDGDVGGCGATGDGDIMMRFLPCYQAVE NLRQGMSPKAAAEDAVKRILRKYPDGSYGIVVVDKDGQHGGAGAGWTFTYAFRGGNMN ATEVVSVPPLTEARRSESETVMQA CC84DRAFT_720222 MYRSNIHWAPTETTSRAAPRRHVTDRRDSCCQVCRRPDSVCQIC HVSYIGTRV CC84DRAFT_1091883 MEALLCVLVAFIFYKYNRFVFTSGLIHFTTILGINIDVGRLRPI KHYLYLLARVVYYVQVLRAEVLLPVSQHDH CC84DRAFT_1091120 MAPSTPAWADGPLPLIQTPSATKDTNDHPAHYIANEMAWAHNAM LRGLNAIYLQAPHVQQGDAADFLFFIASWSAWVLHHHTIEEKQMFPGFEAVPGVAPGS LSHNVEQHHEFSEGLSGLQKYATATTADSYSGAHVQKLVDGFSPILRQHLADEIDTLW AMDSVAANTEHSKKLLNIYQQCEAEAGKQDKSVVPPMVLGLCDKTFEGGNDWPKMPMG SAYFVHYLFARKHSKAWRFLPCDTFGKPRPLAFLGNNGAGK CC84DRAFT_1243555 MTAFGISKKNVDRSFQADPSSFIPHSYSHSLLVSDNPRQKCYMH LQSDWFKKQLLPGASLTQLQDDYSYHLDRVMHLEKFQGHFVTSHQSDSEVTVSLGRFT RHILSHCSFRAFFDETLFELEPDFAKIYQTWEDDSWKVFYNYPYILAKDLHDARIRAI DTLARYYQLPHEARKPCWLFGVMNSELEAIGFSSTDRAGMVMMIVWAINNNAHYICFW MISHMLCNQELFTAVRSEIDACFDGKGAVGLDKLLSQCPSLDGTWNEALRLYNASTAV RKATADCYIGNKIIHKDDQIFGPVRNWQLDGHFFGEDAGTFRADRWLKRKDLARSRGF TPFGGGHTYCPGRYFAQRETYLFIAQLLRRFDLQVADAEGTTIPNPQVPPVEINLPSP AAMRPVDDMHIKVKTRNVVS CC84DRAFT_1163917 MPSNAPAPAPATATKPLSFMEKVGPLVFFYRPSETSSIDAAFQP RLIIISSWTDARDVHIAKYIAKYQALYLAAQLLLLKSTMDCIVRPAQIGPAMRVAASA VRTAFQKPVLSSSPPPLLVHAFSNGGSSSIANLYEQFAATAGPDDDKGLPPHVTIFDS CPGLFRIPRAVAFVSVGLPFFQQLIIAPFLYAFAAFWTASMTLGLLPNSLASWYKSHN EHLGNTAEVRRVYIYSAADALTDHKDVETHAAEAKTKGFSVTLEKYEGSAHVAHLRKD EPRYWDIVKRTIGS CC84DRAFT_1052083 YVAACLFPKLSILALYLRVFTERIVRMITWIVSGVCIAHVVANI LASFTICQSFEFKWNKTIDGHCADVMASYRYVSLPHIVTDIAIFVLPVSSLYHLVIGK RRKVGIISNLYDGKLVSSRGIVTAIIRFVSFYKIDLESDPTWYAPNLFSYTIVEPSAY LMCSCCPSLRPLLRIV CC84DRAFT_1186647 MLDALISTSSFEKGVFWLCALVPACLFANALYNLYLHPNLVLFC DPEAHAAIYGMKSNVRRSTFYVGLTKNIREKTTLNAIDPAEHAQRRKILNRCFTDQST TAVSTFVSQHIDRWHEILLDKHNSTTEWSTSTNLVEQLDHLVFDIMGDLCFGRSFNNK EPGDNPLKEVPQNIIQYLKFYYPMCRSPFLSLIIWLKPRGLDRLVELITPPPVQKFND FVFDSVTKRLELYKKQEHIPERERRQDMFYFLCNSQDSSTEHAAYTEDELRAEASLLI IAGSHTTTASLASIFWYLVRAPRCYEILVDELQKTFKTAEDVAYGPKLMGCTYLRACI DEGMRLVPPGPCESPREVLAGGLNVLNDHYPAGTIVGTAPWCDSLNLDVYEDPGVFRP ERWVVDDVAGVTKAMVAEIRTNFHPYLTGPGSCAGKNIALAEIHLMVAKTLLRLELRK TPGSTLGEGSPEMAWGERSRKHFQIVDAYISVKQGPEVQFRKRPVPEAISDRA CC84DRAFT_1175541 MAIWITLARLPPIFLFSLGFISHNNVSVLVLIFSLMFLSVSLLS KVHPSYRPYRGVLSYVRGDRPGYLNLELAYEFRHLLLKLLDLTGLYGQYCGLVTYGTR SGASSRGPRAY CC84DRAFT_1163918 MESADTFTLLPLTMDPATKAISANPANAALQNELEALNALHRGL ISAGTPTGTPPPPVPVNPTRSAQIGKLRESGNNEFRKGNHAQAVKLYGLAIDMALGRP HWEPSGLVREEVSGLLSNRAQANMAQQNWPEGAVDAEASVEMKKADNAKGWWRRGKCL LEMGRLEEAETWVRQGLEFGANEPDLVQLKQEIEKRKAGSV CC84DRAFT_1163919 MVKPLVSAMNAWTCIVVSVFAIVILSVIGALFKTNSHTMMGSKE DPSDGGAVAGAVFGAVFIYIGFFVFCGFQALLHMREARRGAIALS CC84DRAFT_720376 MATVTLTDSRPRHNRLPKNIKLPPENERYMRACADIASALIQDY EAQADGSKPKKDLNLNHLRGQIAKKHYLKSQPPLTAIIAAVPEHYKKYILPKLIAKPI RTSSGIAVVAVMCKPHRCPHISYTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIR ARYDPYEQARGRVDQIKALGHSVDKVEYIIMGGTFMSLPESYRDEFISQLHNALSGYQ TKNVDEAVAAGEMSNIKCVGITIETRPDYCLDQHLSSMLRYGCTRLEIGVQSLYEDVA RDTNRGHTVAAVAKTFCLAKDAGFKVVSHMMPDLPNVGMERDLDQFVEYFSNPDFRTD GLKIYPTLVIRGTGLYELWRTGRYKNYTPNALIDIVARILALVPPWTRIYRVQRDIPM PLVTSGVENGNLRELALARMKDFGTTCRDVRTREVGINEVKHKIRPDQVELVRRDYTA NGGWETFLAYEDPKQDILIALLRLRQCSKEHTFREELTGQPTSLVRELHVYGSAVPVH ARDPKKFQHQGYGTLLMEEAERIAREEHGSSKISVISGVGVRSYYAKLGYWLDGPYMS KTLEPDWLREW CC84DRAFT_1163922 MQAIRRPLSSALRKAAARNTYATSSTPYADTVKNLRINSDTKVL FQGFTGKQGTFHAQQAIEYGTNVVGGTNPKKAGTEHLGKPVFATVEEGVKQTGATASA IFVPPPLAAKSIEEALTAEIPLVVCITEGIPQHDMVRITDMLKSQNKTRLVGPNCPGI IAPGQCKIGIMPGFIHKRGRVGIVSRSGTLTYEAVNQTTQAGLGQSLVVGIGGDPFSG TNFIDCLKVFLEDEETDGIIMIGEIGGSAEEDAADFLKQYNTANKPVVSFIAGISAPP GRRMGHAGAIVSGGKGDANSKITALEAAGVIVERSPARLGKALYDQFVKRDLI CC84DRAFT_720902 MSKTKTKRARRELKRDATRKIANFQKAAAKSVPKPSAPAAKKQK PNNDPKPPATAVPKPPVQASQKHEVPFGTYDHILLVGEGDFSFTKSLVSEHGCANVTA TSFDSDEEVRAKYPTFASIHDELSALTPPVPFHSSVDATKLGSYKALRSSEEREGGWD TIAFMFPHTGGLSTDVNRQVRANQALLVGFFNSCLSAHKGRPFLRDGGRVLVCLFEGE PYTLWNVRDLARHAGLKVVESYKFDSKDYPGYAHVRTLGAIEGGGAWKGEDRRARMFV FEKVGEKKKEEEEGEKKWGKKRARDESESDSDEG CC84DRAFT_1163925 MAELTTTKLNAESHLLLDQPLLRMPHELSRRNQKNAQRYVENST KDVLSSLTAAAKTASKTPTPDAALSSLDSIISKMQGLKRKLEHLHDEEMRIHKSNRAR LRHLQDLYEVQSLVDVKYDEWSRTRLSRLLVDYLLREGYSESAAHLAASQGIQELVDV DAFVACHKIEKSLREERNTSLALNWCKENSKELKKQGSMLEFELRLQQYIELVRLGHQ AGVGDVDTDMDGLNGVTLDGTSTRAGEEKLVEARAHAKKYLSASGDFALLGKAAGLLA YRPWDDVKPYSELYSDSRWTYLAHLFLTTHHTLYSLPPRPLLHIALSAGLSALKTPAC HSAYTAPTTMPLTSSSHCITSTSVCPICSTELNELARNVPYAHHSKSLVESDPVVLPN GRVYGKERLRVFCNKVGVEPGWVRDPVEGLGGMKWGENEVRKVFIM CC84DRAFT_1258831 MVSASKAAREAKRAAAGKDKKTPASKVSSKAASKNASKANSENG DVEDLDMNDEVKALTAQTDKLGISDRVTTGVLASLAASRDVKITSASLVFHGRVLFND TTLEVNYGRRYGLLGENGCGKSTLLKAIDQREFPFPEHIDIYLLDKGAPATELGALDW VVREAENELARLEKLAEDILEKEGPESPILEEIYEKQEEMDPSTFHVRAGIILTGLGF NKKTIQKKTKDMSGGWKMRVALAKALFVKPSLLLLDDPTAHLDLEACVWLEEYMKKWD RTLILVSHSMDFLNGVCTNMIDMRMKQLLYYGGNYDTYTKTRTEQEVNQMKAYEKQQD EIKHIKKFIASAGTYANLVRQAKSRQKILDKMEADGFIQPVIPDRVFTFRFADVEKLP PPVLALDDVTFSYSGKPEDNLYENLDFGVDMDSRTALVGPNGVGKSTLLKIFTGALSP TSGVVSRHTHLKLGVYSQHSAEQLDLTKSSLDFVRDKFSHISQDLQYWRQQLGRYGMT GEGQTAKMATLSEGQKSRIVFALLAIESPNMILLDEPTNGLDIPTIDSLADAINAFSG GVVVVSHDFRLLDKIAKDIMVCEHKTVRKWEGSITEYKQHLQKKMIKDGILK CC84DRAFT_1091613 MAFVREAEAWNVDIDRHINRIIPPSPLHRLPPPISRFLGYRQHQ KQDVGNVLGAFWSLLGAFCGLAVVAAVFNNTKIIQMHHPPALIASYKGASAILEYNAI RSPLGQPRNALLGHTLSAVIGVGITKLFRLRSDFEKIKWIAGAVSCGIASAAMLLTGT VHPPGGASAVLAATSPEITEMGWYFVGLIMLGVLLMLIVGLIINNIQRQFPIYWWTPL SLREAREKDIEIGPDGTGGLQERNEHLEETESEEKILQITISGSGVTLPDTLALSSEE SELLERLRHRLAGDSLVSSRHSISSGSSASMTMVPSH CC84DRAFT_1195857 MAPRRSSRRSSVLPNADDMASTTNATPNASSVRKISRPAARRTR SFVPDSSDVEGEIIETEQNAASRSSTRQLLKAVSIPPTKISDVKSKREHDSSTGSPSF ENSDYDTPGTSISTTPALSVSRSAMTTRKSGLNATVTVDFDASSSRKRTRTMVKESED EDNDMDADAQLAMQLQLEEDELERNHVISVESSDDEPLAKRRAITSKGKGKAVCQDPI RIDSEDDSASWAISTPAYTGKGKGKAPATTRGRLARASAKAVKTFGLDSDLDDDPDDE DEFKLQDDEDAANSVLDDDDVLGDIADTVSDSDDAELPKVSKRALAALATEANLGKKQ RGKKNKRLSREEKMARLRRNARFAHIADKWERKRAMNRERAEESHPKLLTMWKELEQV PILEVQRAKQPQSISRRLKPFQLEGLSWMTRQEKTAYKGGLLGDEMGMGKTIQAVSLI MSDWPQKEPTLVVVPPVALMQWSNEIGEYTDGKLKVLVYHGTNAKCKKMTVKDLRKFD VIMVSYNSLESLHRKETKGWTRGEDIIKEASPLHAITYHRLILDEAHSIKSRTTGVAK ACFALKGTYKWCLSGTPVQNRIGEFFSLLRFLEVRPFADYFCRSCDCEQLHWTVDEDH MCKACNHGASEHISVFNQELLNPITGDDPELREDALSKLHMITARIMLRRMKRDHTNS MELPMKDIVIHNEFFSEVERDFSTSIMSNSARKFDTYVAQGVMLNNYANIFGLIMQMR QVANHPDLLLKKKAAEGSQNVYVCNICDEPAEDAVRSRCHHEFCRACVKDFMDTCEAS GTDADCPRCHIALSIDFEQPELEQDEDSVKKTSIINRIKMENWTSSTKIEMLVYDLYK LRSKKQTLKSIVFSQFTSMLQLIEWRLRRAGFNTVMLDGSMTPAMRQKSIEHFMTNPD VEVFLVSLKAGGVALNLTEASRVFIVDPWWNPAAEWQSADRCHRIGQKRPCVITRLCI EDSVESRMVALQEKKAAMIAGTVNNDKVAMDRLSPEDLQFLFRGS CC84DRAFT_1163930 MALNPQITNLLIILAFMQISKKVPFDNPDVLNGVRALYILSNAI IAGIYLYVQAQIKKKNDMTVVKYVEPAPMGSGEEPKFVATTVKAYDLQQLQGLFKAQL MGVGMMGVMHIYMKYTNPLLIQSIIPLKGAFEGNLVKVHLFGQPATGDLQRPWKAAGG FMGAMQGGEIKTDKKSIEAAERAGRGGVKDE CC84DRAFT_1163932 MAPKLLYPTSLAIDASLLEGFGVSLHSYDVKEPIPDNLLDAEIL ITWTNPVPRLKEEAKRLTKLRWIQSLAAGPNDVLSAGFDSSKITICTGSGLHDHTVAE HTLGLLLNAARRFYEMRDHQLEGKWPGHLGGPQPDRPKGKFTSLQDANVLVWGFGNIA KTLTPWLTALGANVTGLARSAGVRNGVEVYGEDKLQELLPKTDALVMILPGSDATKHA LNTERLKLLPEHAWVVNVGRGTSIDEAALAEALENGSIGGAALDVFETEPLPAQSRFW KTPNTIVSPHAAGGRPQNPETLIAYNLRKFLAGQELKNII CC84DRAFT_721128 MAATANKTPVTTNIEWLNRAYSAKGKNDLRSLYDEWAASYDTDL VQPNQDYVAPTLAAATLAKHLGPEQPLDKIQILDAGCGTGLVGAALSKLGAKHISGID LSPGMLKVAGNLHVYTNLDTTDLSKPLEEGTDTFDAVTCIGTLTHAHVGPEVLSEFVR VTKKDGWIVATVLDDIWEEIGYRDEIDKLVANGKVKLVSADSGDYRRGAGVSARMVVL NVIA CC84DRAFT_1092562 KMDRLVRSAVKDQGSQDAKKIHRSLHHLSVQNELLEHEIDGIKQ VLATKKKRKNKGKALDL CC84DRAFT_1258837 MSTTDESKPPSLSALARSNNMITIDVGMEHAKYNVYAELITYYS GYFKGTLNGQWKEAEDRAIELPDIEPRVFDIFIDWLYTQNLPRKSEDWITPTPENKVG SHGHGQLAERLMMKSYVFADRFLVPQYRVALYKYYANFCNVGGNPPYYDAIIYAFDNL PANSAILTLLVRTHCAYWNEGSDTADNGELELRQDLPQDFFFRVMAVAAKMRDKEKAK GIACCDCHNETTTTTREGCDTCMPVPEVKEEANAAEGDNQADVAQNNGNDQTNAATTN DNNQDHQTTAQADQDGGNNEPGASIDPSSLTGGNDPIDQNSDTGDQNVDSDQTNEMDN QVVQDYPGDGNIAGGGQAQIVPVETVQDHAEITNGSGDVPIHEERAGSSDNSNNVTVE TSSYSVDTGSNVETSSSSPLPVSENSRQDESASGSMGTTGAEMLQTAV CC84DRAFT_1205199 MLVTRACGLVAIAGTILAQTTVYEAESATLNGVTVGTSVAGFSG TGYVEGFDTATDTITFNVSSSASKLYDLSIVYNGPYGDKYTTVVLNNVGGSQVSLPAT TNWTTVSAGQVLLNAGSNSIQIQNNWGWYLIDSIKLAPSAKRGAHKVTTTPINKNANS DAKALLKYLGSIYGKKILSGQHDQASLDWVTNNVGKTPAIGGYDFMDYTESRKAHGAV STDVDKAIAFAKKGGIVTFQWHWGAPTGLYDTADHPWYSGFYTDATDFNIETALKDTT NANYTLLIKDIDTIAIELKKLQAAAVPIIFRPLHEAEGAWFWWGAKGPEPAKKLWNIL YDRLTKYHKLNNLIWEWNSVAAAWYPGNDKVDLVSADTYNQGDHGPISATYNSLLALT NDTKIIAAAEIGSVMEPDQLQAYQADWVYFAVWSGDYISGGSWNSLDLLKRIYASDYV LTLDEIQGWKKTTNPRAWEA CC84DRAFT_1163936 MNGLKNVFTKLSTNFYKATTASDERPADVYPSVGVCSKARIAWP PRLTCAPRVLGEVKDESGRHYPRDLGRSVELGGHHYYIFGDTFCFNNEGDFVGVTNNS IALIPDLNAPTKSRYYSPEAKVPEFVRHTAEERRFCERPENIKDNRRLVTWSFGGIIK IPGSEGREGWLFFDPVETLGGNAVRQTGVGVARVKVTAPDGKIECERVGQFPLFPADG PLWGNMSNISAPDGWTYLLSGKGLDNYMARIRTDDNFADAANYQFLKKNGKWESTYTE PHGPFGELAHDVLCGQGQGAIIHLPDFSPLGKEYLWFGCEKFMTSKLYVGAAPRPEGP WEVHSLGEMPKITEQAKTRYCIYPHIWGSDLSEGKILITWSDDGTMGGKVAAGIFEFA MD CC84DRAFT_1163937 MAADTSTVVPSTLRTFCPDGTTGVYAYYDGRTCPWHASNYYDET LALGVATYSIISGNSAVLFDAGLTPAHAAHMLAHVRSLGATDISTVYSHFHSDHIAGA SALRETKIIAHKGTYERLVKDEQKLRYPGEDEGPSIEVVLPMETYEKSLSFQVGDIKI ELHNFHIHTTDSTLLFLPESGLVIAGDMLEDTVTYISEPKSLHQHLRELERMAQWPIK KILPAHGSPDRIKAGGFDKSLIYATIRYLKAMNEPIEEPDAWTKKLDKVVKDDLVAGR LVYCEAYEGVHLENVESMKENRAESVGNLTLKS CC84DRAFT_1243595 LATPKSIQSRAHGALSVTQTCTIKGHKSTLTPLPTSSSLPLNLS GMHPHAPLLVILLLFSRLILAQEPPLPQAGSPLPLPNPLPNPIPDLPIPLSELPTMDI QYTTFSRTTCMSNGSPVYTSKPGECIKLHDADHGIRVYPTAFHGQCKCETGGMDVFQY VQGCSGKSANAAHEASPGLKLAAVDASKADLIFQRYKCYHRPFPRVPSTECLVT CC84DRAFT_1091036 MQRFGEKQRACARGSTASSSARHCAGRPRRLLPSHVEWPVLLVE LLVSSHCDVNAHHHRPPYRHNAAHSVSAHCQLHGDCPVRSSAPVLTRHTSLRFAQRPS ITGFDPKKFAAAAGNAKGDPWARYEQWRYTGPFTRWNRFKGAFPGLGIATVAFAGYCV VEALFFKDEHHGHDEGHH CC84DRAFT_1091225 MPPHGGRFPGHNLSNPFAHLSQPIHQQPQLQQQQHQQNMQHPGF GAANPQHNLSLFSHNQGNFQSNAALAGIGGGAAGLGAAATLGGVSGGTGLDGHEARLR FAHGAQLQQDAQMGRAQDGTKGIAGQRIREVWRNNLHQEMELLRSLVEKYPYISMDTE FPGVVARPIGDFNSKASYHYQTVRCNVDLLKIIQLGVTLFSIRGEVPPSHLDPSELNY QPKAVLQYANNIVVCPCTWTFNFQFSLEDDMYNEESIQMLKKSGADFQKLAEQGIDTQ EFGSLLITSGMILTPDVNWISFHSGYDFAYLIKMLTAKPLPENEEDYRNLVEVFFPRL LDVKYLWRHANGLVRRGVIGSQATSILNNLGTKSGLQDLADELGCQRIGNSHTAGSDA WLTGTVFWELQKKIFDGKVPDDMNGQMWGLTGVGPPASATAQAAVLAAQGQNLTGYQG MNGGMMFHPGGQAGHRDGPSTPTSHPAGLASTPGPSHGGIITPGATGTFGEFRYGGK CC84DRAFT_1216736 MEEEPPPPENPATDRTGVPPKRPRTNSDSSTSLESLRSTRTLQS DDVEFVYENGREYGNDSYYMPCDQAENDRLAIHHRIYTHALKGKLTTTPVTSATRRIL DLGTGPGHWAVAMARQHPHADVVGVDMAVWDIETTEESVGCTGVNWEIDDLDVWGVED DFDDLTARLQRYDMSRDYANREPLAAAKTSRFKSALSTSDSSQPHTPSDSAYESYMLE TEAQPGWHFSEPFDLIYMRGMKGVFAYWEGVYDEIYKNLNPGGWIEVVDFDMSLPNIE AGVDNFPFPTLARLYLGVMQAAFKSGRPVGTFYMHPTYLDDAGFTNIKQTYVNVPIGQ WPEDEEQRTIGKMHLVCIMEMLESMLLRYLTMWGDANKDHWTKEEVQNMIEVGKGEIM DWASGTDRLGNIREGWCASYKWIIGRKPLHARDRRRD CC84DRAFT_1163942 MAPEMTTLKGKAFDRASLESLMRRRMFYTPSFDIYGGVSGLYDY GPPGSALTNNIIDVWRKHFVLKENMLEVDCTMLTPHDVLKTSGHVDKFADWMCKDPKT GEIFRADHLVEEVLEARIKGDKEARGEKIELEEEDPKKKKKKVKNIAAVKLDDAVRDE YKEVLNKIDNYNGEELGLLMKKYDIRNPANNSELTPPVAFNLMFQTSIGPSSNLPGYL RPETAQGQFLNFAKLLEFNQQQMPFASASIGKSFRNEISPRQGLLRVREFLMAEIEHF VDPEGGKKHPHFDEVKDVELELLNREVQLSGKADVDTTPIGKAVETGLVDNETLGYFL ARIQLFLLKIGVDPKKIRFRQHMANEMAHYAADCWDAELLTSYGWIECVGCADRSAYD LSVHMKKTGAPLVVREPRKEPLKIEEWQCDLDKKKFGPKFKKDGKTVEAAIDALSQDL REKLSLDLQKDGKITIDVPGVGDGKVEVPKELITIEKRTRVENVREYTPNVIEPSFGI GRIFYALCEHSYWTREQDEARGVLSFPPTVAPTKVLIVPLSSHADFKPFVRKLSNKLQ DIGVSNRVDDSGASIGKRYSRNDELGTPLGITIDFQSVKDNTFTLRDRDSTEQVRGGL DEICTAISGLVEGREKWADISKRLPKFEGQEEGVGPS CC84DRAFT_1118498 MVFSKEPPMQGFKPKNKLRRQSAHLAQKKSKEAAKRDERFRRKR EEAKNPSLREERQRVNQPVTIEAKRKFDTDVVGDEEDALNWAIDVERLAKKRKLEQEA AERGDNVEGNGEEGLLEKLKKRDAEGNEVEDDEEEDEADSMLDSESECEDDSSDTEAV SSRTKRKSERAASPAMSTTTNATAATNLDISPDFLKQKFPAVFNPAETPKILVTTSIN STLHNEAEILASFFPNADYVRRSAHAHAHKYSVREIAKFASNREYTALAILMEAHHAK VPDGLDIVLLPEGPHFHFSISNWVEGKKLPGHGKDQGFHPELVLNGFRTPLGLLTAHL WRGLFPAQPELQGRTAVTLHNQRDYIFLRRHRYVFREKRATEKQVLDANGKPLKGVED IRVGMQEIGPRATLKLRRIDRGIQFKSGQEWQWKAHAEKERTRFNM CC84DRAFT_721343 MIYVERYRYLSRLDSTRDVELSRRRPRPGSCIFVQLKQARSVRA YHAKMHTVSSRLEGGRIGAFPVGATPEMGLRSVFGTGSATRRAKGNVRLVRWRWTSEN VAWASRSWDSVELWVRCSTMQVPGPGPNGTAQRRDFQVLLQEGAKALAILARYTVGEL K CC84DRAFT_721398 MFVSQKNNVFIASTQSSVDSLVTSRSTSTSVSDVGASQSSEYSS YGDPHSPEQRVGSLHGKRHSVFTLRSRSNTATSMASFAPPSSPNMSGYDASSSRSLFR SKKGKRSSASFSQAHDHEEPPPGTRRSSMLRKSKKQTDQAESSAQHLKSLISNPFDFQ HLTHTNRQHFAALEEATENELAAGFRAVRASQAPCRDLNGIKTQDLLHSSNCSEEDLT NTERRSISAMELRPPPDFIDHVIESQEPAASPESSVRPPLRQTRSVESFSRPVVNART HRHTQSANPPPRGSSRNPAASHDAQDTVTMRTNRQSGVWDNAAPLSPTRMGGLLPTMA EEPDCVGHALTTPDDSAIHPFTPPFSPALEDVAEEPERFVSPRPAPLPPNKSPKSPRS PSHGSFSFSQRSPVAKTHARRDSHVLPNQLNIQTIARPVAPMSEAHVSPGLSRKNSIR KPSNAQRKSNTWKVIEESWEDDIDYIYDNALEAECELDWDGNNQDRNRAPGQQAHRQA TAIPSNPSAVSTPVLEDEPPLTPGLFASNFRASLLVPNMNNVPELEPRSAVSSSTTSV QTPSDYFNPQGPFGEAGRFSFTPSVLGSAECKDQIPREDMYDNLLADYEGSDKHFPLL EPTRSVASSTRSSHVRTSKSSYDSSVMSNGHGSGSWTAGIRRSAGSAGSLPELVHSSR APRQDLSPVVDQISEDMGSFAFQDENDEDDEATPPGRSPQGRTFFASSDEYEPANFAQ TTLDAEMKDSLELALQGSTGSSSQSRTHHKYASSDGAAKLLASNSTARTRAGSVPQKS RGQYLSLFPTPPKH CC84DRAFT_721370 MRRIRPPHPSSRYPQLPKAVHAAIHLVLHLTPFAAISSVVSKAE VATLARIESDPITRFLTRRCARHGRPAPPSRIKHSSRWVNHLLAPSPHTPGQNRQAHD TMLGMYTAAQTPTPEQAGKRCNGIPETSFEDDLPQLSITAMPIARQPRRDKR CC84DRAFT_1243603 MFGLRFIDGQETAQTSLESSTQIFILPRPLLWLNRVYVRLKTML GKPLERNVLPQHSGNTTTTHSLQRTPTQPQRLLHLLTSMRSARLGKGLYQGCIDAIKT DRQLFDFLRDLYKSKRGHIRTLCSLKTVKGIHIVGFNLFAGGAVEVRHHKECCKQDCT CLPPAALVQPSENAEYQCYPAGPLKYGPPILPEVLAHFYSSPSCIPEHVRSVLDRLPI RIVGELHEPIGEPAQGWGIYFHEGLDSDMITLLVFVMFFTGSLIFGILWSYLKMDVQG AFGVSAYILTAGAILVSLVAMKANKA CC84DRAFT_1118501 MLGHFYGATRSSVPLDLKVTALRDTRGFATRQVIVSQPTTDIAG KTRTTSVILVDFIAKAQDRTMLSFSAQPRISPLTHHSKLQDMHEKLQIDAENGSIDKR KLAFMMPSFDLLNIWHNRYCPEGVLAQRSVGMRLDKETTQDSLPHAEKASYDWIQLRE KLPLAHSTSKDDTGTLLPPTTSATSACLFAHFLDTWTSVVAPWWAKIGPEEAQVYATL DFALRFHVDELDAGQWHLREQTALAAGDERDHVQVGLWREGGEGKGEMKMVASMTQTC ALKGP CC84DRAFT_1144709 MAPLEQQWVKVQQKTFTKWLNSKLKVRELEINDLITDLSDGIAL VHLLEILSNESLGRYASRPKLRVQKFENVNKSLDYIKRRGIQMTNIGAEDVVDGNSKI ILGLIWTLILRFTISDINEEGLSAKEGLLLWCQRKTACYDEVEVRDFSTSWNDGLAFC ALLDIHRPDLIDYDSLDKSDHRGNMQLAFDIASKEIGIPDLLDVEDVCDVAKPDERSL MTYIAYWFHAFSQMERVENAGRRVEKFVQNMNGAWEMQNNFEQRMRELLRQIAEQRKQ WEEATFDGSYIDAKAQSGKFTEYKRKNKRTWVAEKSEVAGLLGNIKTKLSTYRLNPYE PPPELSLEALDTAWSNLMKAERERSQVINETIRDIKNALRKTFADKANDFALALNTLS VSLSALEGDVEDQMDHTKKISDNLAPLEQYLDVIATLDEQCVEANIEENDYTTYTYDE LEYELGLVKSSVSKKLAFLENQMVARNMTNLTPIQLEEFESVFRHFDRDASNSLQELE FSAALASLGLVYDEEEMHQKFRETSGGRDYVTFEQFIRFMVDETEDQNTAEQVFESFK EVADGKPYVTELDLRHSLVPDEIIDDLIASMPEHKGPDLQEDRNIPKYDYITYMQRYM GGASNGNVLTNGN CC84DRAFT_1163947 MVAADAETVPSLPYHEPAIRTILVQSSFLLLLNLVNAALDRLVY CGLLGQVFLGIAWGTPGAKWLSSEVEEVIVQLGYLGLILLVYEGGLSTSFKSLKANIV LSSAVALTGIGLPIGLSYTLQPLLGATPLQAFAAGAALCSTSLGTTFTVLASSGLSSS RLGVVLTSAAMMDDVVGLVMVQVISNLGGGDIGALVIARPVLVSVAFACIVPLLCRMV VKPSTIVLNRFRKSQPDAWLAKLLCLRHTAFVLHTALLLGLVAGASYAGTSNLFAAYI AGAVISWWDSEVPHPVHRLESKTSSKGKIEPAQTSSRETTREFGVISAAADIQAGLEQ DHEEERDPGATSQKEPQVDEDISGTAVYERYYQQAVSRILQPLFFASIGFSIPITRMF RGSIVWRGIVYTILMAAGKLTCGFWLVRFSLAPLKETLSKVLARLRLPSIPHFWGKKD SSVSRPRPESTQGQQDTNTQTNRHTSPEPKPFSLHPPLILACAMTARGEIGFLISSVA ESKGVFSSGQQEPGSSSDMFLVVTWAIVLCTILGPLGVGLSVRRVKRLEEKKNREQEG AGRDVLGVWGVG CC84DRAFT_721442 MYSRDQFMNPGPAPRPPTDRPRLALTPNTSNTLPGNMAGMSLQS PASYSSGGYGNGSTTSLMRTQTERSMSSNATVKEGNVKVKDEGLFKSFVWADRWLVLR EFELTFFKSANSNKVANTIQLRDVLGVERSDTQPLSFEITRSLNPNKGSSPPRDGPAK VITCKVETDDEVYSWIDSIYQRCPSMGGVSNPTNFTHRVHVGFDPTSGAFVGLPVEWE KLLTASALTKDDYAKNPKAVLEVLEFYTEKLVKRADDPAQYPSLTPTPPVTAGMEKQL GYAAGGSSIAPPRPAPPNGYARKDSYSLSRQETPPGSGYATPQGGQDPRYEQQRRMEQ ERAREQQQRAREREEYDRREREELAAYNASLPQKKVPMAQQEFGGGGYDSREQSPSGT SRYNPSRPAPSTPGGSRGPQQQPAALRQMQAQRPAPSAPRQQNGNGYGAPAAQQQRPP VQPNSKYQNSANQVPQARPPQAQPQQKQYQSSAAPKPLNVVAKQPAAAPASDAVKKAE QALTAKKEETPRKDVRMSSMSESEVMAKLREVVSKDKPLDSYNKQKKIGQGASGSVYV ARIREGATSPMAQKILRENGPRAQVAIKQMDLRNQPRKELIVNEIIVMKDSHHPNIVD FLDAFLQEDQSELWVVMEFMEGGALTDVIDNNPSISEDQIATICLETCKGLEDLHTKN IIHRDIKSDNVLLDGRGRVKITDFGFCAKLTEQRSKRATMVGTPYWMAPEVVKQKEYG NKVDIWSLGIMAIEMIESEPPYLNEEPLKALYLIATNGTPRLKKPDKLSKELKAFLSV CLCVDVKSRASASELISHDFLKTGCGLAGLSQLLTFRKNGSH CC84DRAFT_1243612 MLDTKEQTAAASSAVDASPENTLHYARRGSLVAETAADPNIEGY DAERMRARALLSADEEKKLLRKIDWHLMALCSIMFLLKNIDADNVSNARIMNKGSPTN IMNQLGMTSNEYNLVTTLYYIPYIVAEAPSNLLIKRLLPSRWQSRIMVTWGIALSCHA AVTNKEGLYVARFFLGLFEAGLFPGVILQMCYWYRPDEMSVRLLYFYILGNLSGVVSG LLAFAFSHLDGAHGLSGWQWIFLVEGIITIAFGVAIYFIFPDFPPQAKWLTDDEKAFI QARLPGNAPQAAEMNFNWREIVESLKDIRLWLFTLIWALFTIGNSGVRFYQPTVIADL GYTDVASAQLLNLPISIFSIIVIGITGAFADNGKLPRPLYPLAFLCIILACYGTLYAY PNSGGVYAATLIGNGLTSAWYPMMWPWRVQTTSKATGSAFSIGFVNSYGQVSSVLQVH SS CC84DRAFT_1243614 MATIVEPARTVPPVLTYHQVPETKFELQWADLATLDLSLFDTPG GKEKLAKQLFQAIEKIGFFYITNFGLSQEAVDRQFAIGKELFNLSTEEKLKYRADLEN GGYNGYKPLGLREVRPGVQDNTEIYNIPKFVKELERAHPDIINTHREEIERFARHIND DVVGKLLVLLAIILELPEDFFLQKHRYDEKSDCHLRYMKYHKRTREQNETLDNVWVKG HTDFGSLTLLFRQPVAALQVRTPEGEWRYVKPYPGSITVNIADSLEFLTNGFLKSSIH RVVAPPPDQADIDRLGVLYFVRPEDNVVLNPIQSPVLEKLGYTKSKDESAVGITAGEW VKARVAKGVAKGRVRSELNEETIIAGKSAKYYD CC84DRAFT_1186673 MKWIPYEHYLRLSVCLASLADLVLSNRRYDCLPSKAVLITGTSP GGIGPALTLAFRQLGATRSSVAAAAKGVEATAGGRLDVLINNAGVRYVMPALDIDIEK ARELFEANHWDRTIVNVASGAGVVYIPLQTPYNASKAALGQYSETLCMELAPLNVKVI TLVAGNVTSNSTTNRLAPGQLPEGSYYTPLEKEFAKDVEFSFMPTAKVAEEVADDVLR GASGSIFKGANSIVVK CC84DRAFT_1205211 MSPKRGSKPLPAGLQSLSTPNLPTLRSPASEKPPVEPELPAILP SLAPRTSPAAPTCANDGNEDEDESEDEDEDELMLGSGESEESQSDEVADAPSARRRLF ASRSYSQLPHASSTLYPPFYNRPPVPLPPSPSLTSLLRPSFSTTTSRRTTPDSSDVDV PPGTSMLASTANLASITKSARHAPLVPRASPKVPTYEYYGFALYLASSAAFFLYIVWA YVPSPLLQQMGIHYYPNRWWALAVPCWLVALVVYIYVALASYNTGYLTLPMSSCENLV DEAAQIALVDKRTGAIVRGQPSVSRQAQTAGRASVTSGRRDSISAYRWSSAEKADWKS FWSVSTDAVMDVPIGGVLAVAAEVVSSLTPTFRPIPTLHVPSDFWKLFLTPLHVAACH WLGVILLLRLSPLSSIFTSSTLCPSPQVVKMKFTSAVALASAVASTEAAETVLGAYMF HRHGDRTPKSLAPTNLTALGYEQVYTSGQYYRSRYLTGNSKIKGMNEDIVRLTQLAVT APVDNVLQNSAQGFLQGLYPPYQQVQTLANGSDVQSPMDGYQLIPINTVETGSGSEDN GWLQDASSCANAKTSSNAFFTSAEYNDLLSKTTDFYKSLVPVVNATFDEKDVSFKNAY VIYDLINVAEIHNSSIPSSDVLTEETLFQLRTLADAHEYGLAYNASDDIRAISGMQLA GEVLKYMNSTIKSGQSGSSANKFGVQFGAYATFLSFFGLVDLPHANETFYGVTDYASS MVFELFTNADTSNGFPATDDLQVRFLFHNGTASNASEPAVYPLFGGNDEALSWNDFSK NLNKFAVHNTEQWCTKCGNTTGRCAQYSQDGTDGSANASSNDGGNHMSAAIGGVIGAM VTLAVVLGALAAFMLLGGFRLAKKPSGARASPVSEVAGSKA CC84DRAFT_1186676 MRVVSSFVITSALAARVLGASNSSSGLLSSDSERLGNFAEAYSK AKALVAQLTNSQKISVITAGDVTSNSSSASNVSWTAYTSKDAFAGMNNDYFVSGFSSG NALSMTWDLNMVRAEAKASGDEFYGRGYALISGPEGGPLGRTPWGGRQAEAMSPDPYL SGLILGQAIAGMNSAGVIAGGRHFLLNEQETNRTAQLGGSTTISYSSNADDKTIHELY LWPFADAVRSGMSAVMCAMNRANDTYSCENNRLLNELLKDELGFPGMVWPDVGGQTSA FGAANGGSDYGSSSLWSESTITAGIANGSLTQDRLDDMAIRNIIPYYFVGLDDGNFPS QADATEYRDVRANHSSLIREIGAASLVLLKNSNSSGAGLPLDKPRTVSIFGAHAGPAM AGPNMAFSVQGTDGPTYQGHLVSGSGSAQLSLSYISTPYQSIMNRTIADGAMLWWVMN DTYSSSSGGMGGGTGGGMDGGSAPTATNSTAGGNSTAGGMGGGANVGGGGGAVGIGQS GTSVSPSFTNYASVSEACIVFLNSFSGEGADRTELFNDDQDIMVTTVASECNNTIVVI NTQGPRLLEAWIDNENVTAVLYGGYLGQESGNAIADVLYGDVNPSGRLINTIAKNESD YPVRICYDADCNYDEGVYIDYRHFDKYNKTVRYPFGYGLSYTSFEYSGAQINSTDSAA LASTYPTGTATLGGKSDLFDSVVSIDFTLSNTGNLAGAEVTQLYVEYPSAADQPPKQL RGFKKVTLEPGASTQVTFEVRRKDISYWDVVAQDWAIAKGDYTFHVSSNSRDSKDSVK VTV CC84DRAFT_1243616 MCTEPFCRLDTRLRSYKASTRYHGWRMGVLIGSCMLASILYFNI VVLIVAATKGSGFKEGFAEPFSSDPQRMSRLSSAIHIVINVLSTLFLSANNYIMHVLS SPTRAGVDREHRKGKWFDIGVLPIHNFRRH CC84DRAFT_1205214 MESILRQSRSMCPFLSKTSPATLRSLSTTATSHHVSPGAGSMSN LQVLARRCPIMGKALAVQSARIGNNALAGAFGGARAYSTRVSRARLHTSTPKEAQAVD IESLRSEQVPYPTPAKKVATPASHSVTPPAPPAAKFDYDGFYQHELDKKHKDKSYRYF NNINRLAKEFPRAHMASKEEKVTVWCSNDYLGMGRNPHVLKTMHETLDNYGAGAGGTR NISGHNQHAVALEGTLAKLHAKEAALVFSSCYVANDATLATLGSKLPNCVILSDSLNH ASMIQGIRHSGAKKLVFKHNDVADLEAKLASIPPEHPKIIAFESVYSMCGSIGPIEEI CDLAEKYGAITFLDEVHAVGMYGPHGAGVAEHLDYEAHVAGRPQGTVMDRIDIITGTL GKAYGCVGGYIAGSAKMVDAIRSLAPGFIFTTSLPPATMAGAKTAIEYQASYQGDRRL QQLHTRAVKDALNSKDIPVIPNPSHIIPLLVGNAEIAKKASDMLLEKWDIYVQAINYP TVPVGQERLRITPTPGHVREYRDHLVEAVDSVWNELGIKRTSEWAAEGGFIGVGEAGV EEPEHLWTDSQLEVDTVLKEMKAGGSAVNILEAILEKEHRATAQAVSAAA CC84DRAFT_1091103 MEDEDTSEGIEYNDLDAAESAIRQSTLQSHRKVGKVPEESVIKI INESMETFANKWSPAKDLELVSNADEQSLDPIRLWEDAEALGRREVLVEKYKFDIQLY ESKLDTLAAEICKIPQSSEMQVRHHCRNLEMHVHNLERTKWFLSIYGLPPAASEHGST EEQHANMTPGPARQHFEVIDLGSSSESSDGDDREMLIISSIESNGNLLSSVARNPSSR SQLQQPLRNRPEVASILTVSSWNMEDLVATMDRKRVIMKVMLDMGSQEREMIHNRIRA LKKSNLLKEISTCIDMLFHNEQKMLGVLPSDLPKIRTITNFFLCWWFADNYMYKLPTE EQLHELATELYQTDDLELFYDWVHYILHKTFSEEAFRNAHAPSKEEVIVISDDEDQAI KSPPAQRKSQSKRVQSRRKTVILD CC84DRAFT_1144731 MGSSPHDSYPPGLSSEEQEYLLTNLKDWSIAHGLAVRPAPSFVQ PDQDPAGVLAATAPVTLFPSLFPQNCFEDGLAIQTAYNELYSAIARDEDWLKGIVEEL VDIDEFVGKLWQTHLAVKKEGYVQDLSLGLFRSDYMVHTDQTSASSSGLKQVEFNTIA SSFGGLSSQVSRLHKYLLSIDAYPSSATSIIKEEALRQSKSASSLAQGLAAAHRAYGP SKTGRSLCVLFVVQNPERNVFDQRHLEYALFEENDVRAFRLPFEQTLLHTKLDQERTL LYTPPSSPSTTYEVTTIYFRAGYSPDDYPEEKEWDARLHLEKSRAIKCPSVLTHLAGC KKVQQVLATPHSPHLKRFLPDDKVASKVLSTFAPIYPLDDTEAGQEAKKLAQNAESAS RYVLKPQREGGGNNVYRKAIPAFLEKLPQTHWPAYILMEMIEPPPLTNAIFRNGELQR GGVIGELGVYGVCLWRNGADNKDAGQILANWEAGYLLRTKGDQSEEGGVAAGFGAVDS VCLVASGSPGA CC84DRAFT_721556 MPEREHLSVTVAEPKAWRHERQVPLGADCMKCQPESWKLMKDAS RNTRKVISQGPIREPAHCAIPAMGCMIRWDLRPSRWRVPEECFWEPCMRVPKPCIKPS PPWRSRGGTTSGGGAAQTFHRESKYQKMTGWGEVVSLRTKKVCSRVDVGRSGADLMID AMPEILLCASTSMRQRSDFAYITFLGSTIPLTQTYSRTVEVVLVVSRSISLPPIACCM HSETAFCVVSCFSRPSREGSKTNASVTRLLAHA CC84DRAFT_721528 MRSFRILLIIIALLVCLHTVIAAPRFPLARRQDGSEPTSVITTT SDPERSSSTSRPRESSVSQSAFNHQSTTGEPASRSSIIATSTSVSASPSATAISTGSL TNGTDSGNVSEDPLPLHPKITPALGLIGAILLISGALYAVVGIKNKWIYVFGSAAYLA SLAVTVLIIYLMSPPVSNAVQGAFFVAAFVTGMLFGGLALIFTDLTEGLGCLLGGFCV SMWFLSLKEGGVITSTTGRAIFIGCMSVAGYSLSFSHYTRTYGLIGAISFSGATIAML GVDCFSRAGWKEFWLFLWNLNPDVFPLNTTTYPLTKGLKAELAGVVILTVFGVISQLR LWRLVQERRAKREEQEQEQAQSQRLHEAELGREIEDKFQKERREWEATYGETGAPGSS GESGSASSLNEKDYPATAYDSKVDLAESEKKQAVVAVASLHEDDEIRPVDATGAPVAR STDISRSNSARPSSEAVTSSRVSRTVSVTGSLKPSSSPPPPPVVIPLPFKIPQEDDAM SHTSDNASVSALPDTEVETPLADEPSISRRASGKPTMKRMSTARSSVDGFQAEDVVML SQIEDDRRSSVAATLDEEDRISLPQLSRPSSFVNDDSKTEDPTIYMSSLKLNDQGEVG DSNRLALGEDPAISSSTPTIDVQSAESLDEGSNTYGSASSLSQSGPCQSLTVSTDPKH DVAGSEIHSPRSPRLRHDTLVKEDSEKSASAQSGVEDLPNVLRPRLSKVAQSYRTNEW AKHLEVADKPEVDDISEPSSPGAQLDHEQPAPVSEGIAQPFAVAKRASKRISSDSNVH RKNAFMQINSNSARQSQSEVPAAISQQPLGELGRRVSSVPIQAPSKTQTLMGHRESLM RNRVSTQNFNQHISSSSDLTATADEDMTLAQRKRVLKHQKPPSAAQKWKKSSWAVGPP VENFDSHQPKRTVGSGSDQRREELLAGWRGSIQATGTGVPLQNAASREEQQRAAMMNA KRQKEMEQQQQAAMAHHRESMRHNMMRSNEMLDVHREAMRRMQAAANKTA CC84DRAFT_1205218 MACRGSDGHDGLWGAVAGRARTSIGEDVGARGMMHGGLEFVSSA GLPRGGRGQSLAVAFRALPLHCACTHAAPVPRWPRHAARNRQDRPRRTDIARLPRSRR RSCAACFPLRRSHACPARPDHHARRSTCTLRRRPLRWRGPESSPPNTTASHDPPAAAA LPARWSLTNRLFGLRSLHASPPRPPASRLWNPVNSSPRALAVQQQQQQQHPPTRDEPN LPVQRQPDTRDEYPLLTLPEQRLSRQSPAPSSLAVQRSTGPDSSRSRTSIALPRDRRS LRIDSQPQSPIMALEHDQAPADAPQAAIPGGRPGDLEAGAPMSKQPTRASLPSRPNSM HSHEHGDQDDASEFPWGPSHPCFPHSNPHVPLNSPLYDTTRIIRIKRDWMVKGDLAPT YANLYPEILDPLISEEDFRKIVQRINDSLVEAFDPFTFRAWLDAVMGVATFWLWDDAG LTKVKRKLAELEDWIENWNKNFGENEGVRIIPLRRTGYLTLDIQIPDPHLGPDNSTAS RPNTHGDSFQNSAQPGQTFPTTPTFQVHASSSPIIAES CC84DRAFT_1144740 MDIVQAVSGYITKMVSAGDSAAAGTSAAKMKILLLDNNTVSIVS TATTQSALLNHEVYLTDRVDNQAREKMRHLRCLCFVRPSPESIQSLIEELRDPKYGEY HIYFSNIIKKSSLERLAEADDHEVVRAVQEYFADFLVINPDLMSLSLGFPQHRIWSAN PDTWNAESLQRSTEGVLALLLALKKKALIRFQKNSPLARKLATEVRYNMTQEEQLFDF RKTDTPPILLIVDRRDDPVTPLLTQWTYQAMVHELLGINNGRVDLRDVPDIRPELKEI TLSQDQDPFFKKNMYLNFGDLGQNAKEYVEQFASKQQGSQKLDSIADMKRFIEDFPEF RKLSGNVTKHVTLVGELSRRVGEDNLLEVSELEQSLACTDNHSSDVKSLQRLIQDPKI PADNKLRLTAIYALRYSKHSSNSTPMLLDLLEVAGGVSRHRISLVKKLLAYHNSLQAT NVAGGIPELFQPGSFFGGARDRLKGLKGVENVYTQHSPRLEATLQELIKGRLNTQVYP FVEGGGSTKDKPQDIIIFMVGGTTYEEAKMVAQVNASSPGVRVVLGGTTVHNSSSFLE EIAEFWPEPDPAAGRTRR CC84DRAFT_721776 MTKLFITGATGYIGGDAFYAIAHAYPDLEITALVRNSDKGAKVA SQYPKVRLVYGDLDSAELLTSEAANADVVVHTANADHPGAANALIAGLAQKQSPGYLI HTSGTGILATSTSDTKSHGEQSSHVYNDWDGIKEVTSLPDHYEHRNVDKIILAAAEKN PGKIFTAIVCPPAISGPGRGPDNQRSVQAYELTKATLKRGKGFQVNAGQNIWHHVHVQ DLSNVFLGLVTAALQPGGGKATWNEEGYYFAEAGSFLWGDISRKIATIAKDKKLIDTE EIDSLSVEETNKLVKAGGYLLGTNSRADAVRAKKLLGWTPKQKSLEDLLPEIVEGEAK GLGLIKGHAEKAGA CC84DRAFT_1163959 MSEQALPQSRVVRRRNPRPINSCVECRTRKSRCSKTHPCQNCTA FGRECVFITVPESAARKKQREREHASKSSAEWTQPIPLRTHSSSTVQPEKYESMYEQD KALSTTTPGTPPRDYEWEAYQQSVQVDQSEGAAWEQLPAEPEETPDDVYEDEDDDEME VATDLTIRIGRMIICENITGFFRPQYAEELGKLLSDHPPSTSSVAGQRASQASALLSA EHMPSLSPSLNLLLGGSISNSSNNDIEPPLLPSRMEAEALLNRYIEAVSPLAHVLHIP FCKRLFERFWMNIEIGNTNPNSCTALMLAVCMAAAASVSPLQAKSQFGISKEDLFLRL QKATERALLRANWAKTSNIRTLQALTIYLIPLCRAQISRATSVVVGALVRLAQCIGIH RLSHSSAHTLTPLQRHVRSLLWYQICFLDFKTAEAQGPHPSIRSDDFDCPLPLNVDDD VFEFGSPKWQHNPTPVQGWTDATLSLIRYECNEIHRLIFRGRIEIDRKAITLHDLRAR VEAKKRHIQQKYLHHLDASVPIQRYAGLVATCLMARCDSMLLYRHLPQASPHPRSDSE NRLRDVLLTAALTTLEIGATLDTLPSLSSWAWYSTTYQQYHAVLLLLTELYRTPDLDR KDRMMTIIDHIFGHCYGVGVRERCADLLWTVKENLEAFYIMKGIPKKAAAPPQQHPTL QPLPQLTRSQSSVASPDLGIGMTGLQTPMDNLNVDFESLLGSLSAQGGAGVGESDLDG FLAGLQRGSDAIFMPADTSAAQNGAREWEESAQPGLQQWDSWTFPNQTQNR CC84DRAFT_1163960 MLVNKKLDRFKQWAGEKMGGEVRTGVSDDFKALETEMNLRHEGM DRMQKSMTVYVKSLSERAQGTDREKMLPGGHLGSSMVSHSEDFEPDSEFGNCLSSLGR ANERLARVQETYVQSATSSWLEGLDRSLAQMKEYQNARKKLEQRRLNYDTSLAKMQKA KKEDFRVEEELRAQKAKYEESSEDVFRRMQDIKESEVEMVQDLTAFLEAELSYYDRCR EILINVKREWPVQDTLNRSRSRSHSTAHGYAERFNPREEEPEPEAPRITIPKLSSRNL SPHGNPSPVSRPTYSRQSTFDSPTRSTRDDSPARNRLSRVPTEPTAMLAGRSNLRPVR QTNTFADEYEDDYERSNGQQTYRRDRSPPSPGTSSHSSVMSRAASWSQNDLPLSKKAP PPPPPSRAKKPPPPPPPMKRSALSSSEVSHY CC84DRAFT_1195881 MVSFKALVTTALALIPSAIAAPADTSALVARQNSPWQWWTEGQG QFSCQQQGGGKYSCNWNGKSGGGMVAGTGWGAGRKTVRYSGQYNPKGPGYLSLYGWTR NPLIEFYIVESWDVLAPGEPWTLRGNYTSDGNNYAFYTAQRVNKPSIEGTRTFTQYFG VKSEAQKTVGSVSGTITTGNHFDFWSSKGYRMGNFEQNMFMVTEAFANGTKLPSGTST ITVS CC84DRAFT_721944 MQLSWLAECKDQDFMHAVPQAEGNKQKHQTPHRSIVPRGPLGKS AGGRCRQALRRVRNLHPAAICCLAIYMSQSTRVDELSPRTTGNVPQPWPVACLDLASP HGGHLGSNLHVYLGRSVSRRAGMVDKEGWCVSRAWRGRQWGRQDETGPRAGWRWMAAS VGMCTSFD CC84DRAFT_1205224 MRSLYRSLQPICVPLLHPKHTTRIASTPLPPWTRSFHASATCRA VDLVYTLHDEHGKAKGAPILILHGLFGTKKNNRSVSNALARKLSRPVYAIDLRNHGES PHDKEHNYTVLAEDVEAFLQKHNLKDATLIGHSMGAKTVMAVALRNPDSCANIIPVDN APVDAALASDFPKYVEGMRKVEAAKPMNQKQADEILQPYVEELPVRQFLLTNLVREPG QPLRWRIPVKTLAYALDDMADFPFNNPDEARFGKRALFIRGTKSHYVSDETLPIIGRF FPRFELVDVDSGHWVISEKPEEFINAVANFLQEPDE CC84DRAFT_1175584 MSFHQPGTPETMFPLALAPRMVSTFPLHDNRVAPGPAVNSSRTL LPGLTSHTVGTGEVAVPRKKRRLDYIKCESCRKDKQKCLPTDRKWPQRCDRCVERHLP CSEGDRAQRCRKSPRPTATAPLLPIACNVEQEAEEWAFLQMFRAIVLPAINYLKSLEG TMRKHFFLYGTTHSSHHREFETFLQRLDSEISRGVEGTGSLGIPTSYRSSLCVLPSGG YTALPLTQATSTTPDDVEDCLGRNALIRSVDYLDDEAAFSRVRQFLVSDRPTECDEAY DRVISGINHQDILGRSILHVACQNRNAPLVELLLNRGALPDTRTIRGAMPLHLAAAAE SLVICRILIKDYNQNVNPIDEFGRTPLFYTRRHDEVAQFLKLSTLELTSKLHVAIDEG DLAAVQHTLSLGADPTSTSGTDSRSTLEKAIVRGDLPLLQILGDCPLTKVNVPTSQHR TPFLEAVARNFTPGVEYFVQRQDVDVNATNVRGITPLIEATSQFSIEIINLLLSRKDL KVNKRAPTGRTALMIAVEYGEGDIVKRLLQRADVDVFVRGGSMGRTAVELARAGNHLE TVLILEAWAAQKGMEGSLNAL CC84DRAFT_1144759 MVPLSLVAALGALSGVTVLAQFPPTPSGVTVLESQIEEGIRISY KENNICETTEGVKSYSGYVHLPAGALDDLGVRNQTYEINTFFWFFEARKDPENAPLSI WMNGGPGSSSLLGLLRENGPCHVNADSNSTYLNEWSWNNEVNMLYLDQPVQVGLSYDK LVNVTVSSLTGSEGVEIADFSDGVPEQNNTFYVGTYPSQNENLTTQGTENSARALWNF AQVWFQEFPGYKPNDNRISIATESYGGRYGPAFAAYFQEQNERIENGTWDDAGETHIL HLDTLLIINGCIDRLAQWPGYPMMAYNNTYGIKAYNESRYDQVMEALYGDDGCLAQIE ECRNLSLAYDPTNQGYNASVNKVCKAAETFCTEEIRDPYFDSDVNYYDISAPGAASFP PPWYEGFLNQPWVQEGLGVPLNWTQSNSAVSVAFRSIGDYPRPGWKEDLAYLLEEGIK VTLVYGDRDYACNWYGGELLSLAINYSNTENYHSAGYAPVQVNESYIGGQVRQYGNLS FTRVYEAGHEGPAYQPETIYRIFTRALFNFDIATGNISTTENPDYATEGPNNVYNITN EPVQLPGSQCYVLDKDQCTADQWATVEEGTALVKNWIVVDGNTSYLFPDLVNGTGNGT GPVGTGTPTPSLGPAQPTGNTGGASGRMVAPSFGLQFRAIGSALVAVGLGSAIVML CC84DRAFT_1243646 MSCRTAGGNNTPEKLWKFLIEQKHASGEVPGKRWEPWLRRDPRN AKIIANTTRKGYFIEDLENFDAAFFGISPKEAELMDPHQRLALELSWEALENAGIDPK QLSESDTAVFMGVDSDDYSRLIMEDLPNVEAWSGIGTAYHGIPNRISYHLDLMGPSTA VDAACASSLIAIHLGHQAILSGESSVALCGGVNVLCAPGLTYMLDKAGALASDGLCIS FDDDAHGYARGEGGAIIVLKKLSNAIADDDNILAVLKGTATAQDGKTNGIMAPNAKAQ EMVARQALSRAGDIDPLTIGYVEAHATSTSLGDPTEVGAISRVYGVGRDGGPPCAIGS IKPNVGHLEAAAGAIGFVKAVMAVNRSELAPQTLLKKLNTRVDWSTSGLKVVDEVSRW PEIEGPRRAAICSYGYGGSVAHAIIEQAPTFYTHTREIAKKSLGQIDEQLVTLVLSSF QEKRLANQASQLADWLAGDGAKASLRAVANTLAQRRAAQDYRVAFLASTHLEAVQALR EFAAGSVSQWSTSSRILGNGTKKDVVWVFSGHGAQWPDMGKELLNETVFYRTIENLEA LVDREAGFSALSAFHTGELGSASKIQVMTYLMQIGLAELLRSQGIEPQAIIGHSVGEI AASVVAGCLTPEEELEHYMDELVRRSIKTFRVNTDVAFHSPMLEKLAKPLKAAMEHSI FPRPATIPIYSTSSIDPRTEAVRDIEYWLQNMIEPVRLNDAVCAAADDGYRIFLEISA HPIVTHSISESLVTQGLDESATFGVMKRNVSSKRTLAHAMAQLYTAGASVDFETQFGS THWAPDVPGTPWVQKPYWKEVETGSPGALQQHDTDKHTLLGHYTDVAGAGTRVFATIL DERTKPYPLTHPLDNTEIIPAAVYCNTFHHATDATILNDLQLRVPTPMTADLREVQVV AQGNSLHLYSRLKSLEETTDDSSHPWLDHSSCKWIQDDVTIYHKTYDIDSIKARIGTV LPNGFAWEFLQKIGVSGIAFPWAVIEHYGNDKEMIVKMDMDPEQDTLTWDPTSWAPFL DAATSVGSSIFFNSPKMRIVSGIDQVQFISKEPPPKVGYLYIEEANDLKSLAADISVL NEQGELLAKLKAMRFSDVEAASDRTTGVDALVHQLAWVPPVFSESPLSINHVLLVCDD EELSQAYADDLQQVVANPLLHIASVDDLSDTGVSHLLSKNGVIVVYAPGNVRSWDVIG DRAHKFTWEATRLLQHLSTLPTTPKLFIVTNSVYQASTPTALTQGPLYGFARIAAQEH PELWGGLLDSEGTSFPTLALKYVQDQDVVRVQDGLPRIARMRPFTKAQRHQSDYQRTL LPKPQGTYVVTGGFGDLGLEVLDFLVEKGARRIVVVSRSSLPPRREWARVDGRVKVIT DKIQHLESKSASIYGISLDISIPDADAQLLAALDRLHLPPVLGVIHAAGVNEDGLIKD TTSESFSRVFAPKVAGALTLHKLFPPATLDFFVLFSSIGQLVGTSGQAPYGAANAFLD VLATNRRKQGDNAIAMQWTAWRGMGLAADSEFLTVELSSKGITDITRDEGFQAWQHLD KHDTDHAVVTRARILDAEEPVPFPLVTEIAPRRPRPAMSTSDGVTASDGKTAHPTSGP EFKAWLSTKIRECIGAVLHMDVEDIDVRAAIADLGVDSVMTVSLRQKLLSVLGIKVPP TLLWKEPTVGHLVEWFKGKMEVSG CC84DRAFT_1163963 MDRLASRLGLSRSPKSQSFKEWSDSATVDDVHGLLTNLIKSGTD DGQSAAFRPERLEALEGVLEKTLTNATGEVAIEGVQALLIKSHTSLANELEAASPTIS LLLHSHACFPFAKEVPLTKDALVRSVGLITKGSDYMFSQEASFSQEPTIRARSKTARM EFVFSALAHPVPCTGVPTKEDVLDVLCRIRYPHPKSFTVQQRRTITELEPLAERLLPP SSALPSRDSLRISISALRPLANICNSMRDDKGVEAEKVLAGKESLDRIEFKEWAKAAS LPGVLDRLIGVLSTPS CC84DRAFT_721999 MKGAQFAVILVGILFTLGGAFSFFPHFGHRSNDMMQVDSSAVST FEGVEGVGLQHLEARRSRGSRTSRKRPARPARKRPAKKKTTKKKKTPKKTTKKKGTKK PTKTKKCTAAMKKAGKCKTTAKCTAAMKKAGKCKTATKCTAAMKKAGKCPATPTKSHA PTSTSAAACKFSPKPKAGTAGAKGGKVNKKLQARIDPDPCRPADENDKIMIENFKIAQ RAARKKGETLKDKRMYLFLVKQGPTVGAKHHAVVVGKVMKVGNQLGMEATMQQLGKPT DQNGNAVRHPNAKVFCGSAVGGVCNTGPYRRIECGRMQGKYKFVSGAAVEFADPEHFI QKGEEVFMAEKPYNVLTWNCQIYAKKLINVTKLQPGQIVELTNEQAKPSRPQGTWSDS DSDWDSDDGMWNGGMGGFRVINADPEPFDPNTNNGDRFEID CC84DRAFT_722004 MNVSNRQTHHSSLWPLQLREIYVSLRQQYVQIFNYQLICIDLDC PRTHGRKGHPWNNLTSRQLSINLIQGPLL CC84DRAFT_1090550 MSYFEAPQNVIVIGGGIVGSSIAWHLSKSPTTNTTIIAEQIGGV ATPNSFAWVNAGSTDKRFYYNFRHRSMAHWHEIEEAVPELSKYIHWGASLNWDIANET ERAEYGERLMKWGYDVITVNKTEIPTYESEFEESFLKTDWALRYTEEGQMEAHIVAEK LIDDAQTNGAGLLKTNVTSFLKKDGKIVGVVIDGGEEVYADHVVLAGGLGSVPLLAAV GVDLPLTPKAGLLINTVPTERKLLNGVVYSHDLHLRQTADGRIRTGSDFGGSDPTDNP QAVADDLFVKLQAAFKGGDEIKYDYYTIGYRPTPEDGLPILGETGVHGLTVATMHSGV SNGALVGKLISEMVLTGEKDPALADFALSRFSNGTTSASKRRIRVDH CC84DRAFT_1163965 MASLSSDLIWEVVKQQGSSTLVKRAQTGGVSFSRDPLNLKNSFQ RKYEGLVDDRAIGVQPGEEGGIVLLTKKAGKGHQPASHIQQSAIGSSRSTRKTYSAIV KSTANRNYRTDLRKDAVARASAIRKSQKPVKDSKPAKVRGAKARAATASA CC84DRAFT_722038 MGYVLYSLTFFVLVTATVAYFTRHHWLHRIPIPEPLYTRLPTSF RDDIEAGLSSSAFDLNTNLEAGDSRQGLDDAGKREVQRIMKRRGVDFDEARRLYMQDR FKKNNIGPDGLPRDPKFVSFS CC84DRAFT_1195888 MDQEKSSNTDRIVTSDSKIELTDTDAWDKLGYSFPTWRKWQILG VVFCIQISMNMNASLYANGVGRIAEEHGISKQVARIPQMAFLVAYAFGCELWAPWSEE YGRWPIQQLSLFLVNIWQIPCALSKNFATYIVCRTLGGLSTAGGSVTLGVLADMWEPD EQEYAVAFLVLSSVGGSVVGAIVGGFVEEYCSLPWIFWVQLIVGGATQFVHAVCNPET RSTILLDREAKRRRKTGEDPNVYGPNEIRERRLTLKEFGTITGRPFYMFFTEPIVLWL SLLSGFSDALIFTFLQSFQPVYEQWGFGTIGISLAFIPILIGYFLSYFSYLPSIHHFR GKRRIGIPLEPEVRLWWLLFLAPLETIGLFGFAWTSLGPDYGIPWIAPMIFSTFIAIA NYAIYQSSIDYQTAAYGVYAASATGGNDLARDFLAGIAALYSAPMYENIPGRPLEYAS TILACLAFLVTIPIYIVYWKGPEIRAKSKFAQSLDKSREVGREKRRKASLVDAGETEW KEHV CC84DRAFT_1118572 MSLRVDLSLVRFSPIENTYYFYDQNYPTAIQFLLNGQWESWDVG WVRHNAHDPEIKNIAAGAEAHAARNSSYKQWPSTPLPRVVPVVQPLSPSVISDVSRQR DPFDRPRHPQERDSPLSPQFSPGLTRDFFPSTALNASLPPLAKSRPAFSPALNSHSPS HSLTIEATTPSISEHSHHDRPLANPSPASHGGTASAPHKCPQGVPLALPKIGQLPLIS SPSPSSDASTLASFPPPLSVPTDTAVSVPIPVQQPGPHASQSRNARYKDYHSPAGQSD PNTDPQLSTVAAPITLGPHTASRTPSRTSSPLGDYHDIYQESGAAPSVQTPQPPSLLP RRRRDFKIILSLDGDGIRGLSQAFLVEAFVGAICTKLDQNIDPYQIFDLVGGTSLGGV LGLMLSRLRMQAHSAREAYKLVAKEVFQDKKAYFVSLDPHATPLQYHAEGVENAIKAV IAGELAQVDARLYDDREESADAFTITTQVKIGSNKAAVLRTYPTRRIAGPNLSDDVLI WQAMKAAVLAPRYTAPQDGRVSRSVIEPGQMDYGTTKDNPTREANYECRKLLRYSNDK VIIISIGTGSGVDSERENGEMMKSVLRRTADAERQCFEFQRDNAELINAGWMKYFRFN VPNLDDVPLEEWCNEEQIRDRTTAYLACPDTGGAFHQCVDDVTAVLVGVPR CC84DRAFT_1163968 MSSTSAEGKVYKKRPHRKVKSGCHICKRRKIKCDELKPQCSNCH RYASECVYPAPSDSERLRPSMSPVAHSPESNGEESYSSGHDLPMRDLALMHQWSVATC YGFGDGFVDDADPWREQVPIIAQQFPFLMRGILALSALHLSKDTSDPNMRIRYLRTAA YHQDLAIPEYRSTLLDVTKENVVAVMIFSAILTIYSFAAPKDAGRSFAEGPPEWIFLH RGVGDMPSHWQSWLEHSFFERQLHRRRLQPVDTSLNPEDYRLHCLESLIASLPLEEAN DIPAYEGALYWLRQAYAHTYNPVSMLGGKYALLFWIERVPQGYIDLLSLQRPCAMVLL ANAAVLLKRASHFWYLDGFAEHIITEAKQIMGLEYWAWLEYPTQACGMVER CC84DRAFT_1163971 MTQRVTGQRFRQRKLSTKQNLPILREHEVEQLGDDDATRHIPKV ETGVEKGEEIEHHLQAVISAAQAASQSGGKIAQLYIPTPDAVASKLQYEDLYPRQFTQ PSTYIRFSSTVEDTVGCPYCMTSEDAAWLKSYNQKKNKGVQCSEDDLEQVINFFEEAT AEKQPYADVDNTPVLAYEELEALFDERIPEHARRFAKDVYVHWKAERERRLNKPLMPT LKFEKNLDSDDSDPYVCFRRREVRMQRKTRGRDAQVTEKLKKLRKELEEARFIMAQVK RREVMIREQLALDKLIFEQRGEVKETKRRLSIKGDDEELLINQKVRVPFDVCIATSLT RSQPAPKPKPRVDTQALQRGIPGMGSKPPVTRPDGRLVDSDLVYLDDQLAKKSEVIDA FVEENLLKHQKWNVGWVDATWRPITPPLEQPAAKSDFRRVFTDSQLPTPPASVSSEEA GDAMVVQQVRQPEPRRSARIRFGTPPDDVPYQDQSRYRRRTGRGGRVMIDRRGVKRPK LDNEPIDERAADRWKFAGDSSEDEQIYPVDWTDNLHIRYRIMIERQGEKQHAQHQAAA AAAAAAQNRRAIENHQRSSSGHLAPPSAGH CC84DRAFT_1186695 MDSFDEASRVFLDWLKRSGAEISPKIELKDLRNHQAGRGVVATQ NIAEDEVLFRIPRSAILSVENSILSSEIPKATFDALGPWLSLILAMLYEYFNSDASNW APYFRVLPDEFNTLMFWSEDELAQLQASAVVHKIGRQSADENFKNELIPVIKEFAQLI FAGDERAQERAAEMESEAGMLLMHKMGSLIMAYAFDVEPAQSHKEIDEEGYASEDEDE ALPKGMVPMADMLNADADRNNARLFYEEESLSMKALKPIQAGEEIFNDYGPLPRSDLL RRYGYITDNYSQYDVVEIPFDLVAQTASAANVYSEARLEYLDEQDLIETGYDVCASEP FTFQESVSPELVVVVETLLLDNDEFERLKRKGKLPKPEKVTAKGAEFLHRLVQVRLQQ YATTLDEDLSTSNGASLSGEAMSKERRYTIARQVRIGEKKLLKQAEQALEQLGRDLVT ANGSAKRPAEDEEAGQGKKQRAR CC84DRAFT_1144785 MIALGLEGSANKIGIGIISHTPSKPPVILANLRHTYNSPPGEGF LPKDTALHHRAWVVRLIKQAIRQAKITISDLDCICYTKGPGMGAPLQSVALAARTCAL LWDKPLVGVNHCVGHIEMGRSITGAENPVVLYVSGGNTQVIAYSANRYRIFGETLDIA IGNCIDRFARTLMIPNDPFPGYNVEQLAKKGKNFIELPYAVKGMDASFSGILAAADVL AKGLDESLPDEKRLKTEEGELITKEDLCFSLQETIYAMLVEITERAMAHVGSKQVLVV GGVGSNERLQQMMGIMARDRGGSVFATDERFCIDNGIMIAHAGLLEYQTGVTTKLEDS TCTQRFRTDEVYVGWRE CC84DRAFT_1050147 EIRLVRIHGGSKHEVIKCSLKVVSLSACPSYEALSYRWGSNRQL ETVQLDGHDFDVTPNLAAALRKFRKRKNRLLWIDQLCVNQTDMDERNEQVPVMGQIYS QARKVLVWLGVDSHQEAPLAQQLIRDL CC84DRAFT_1144790 MRRGILIFLITTIVVLGFAVHHVWTLLELLVIDGREDAILRAEL PAPNSGAISERPQLIPKIIHQTYINESIPEHWKGPQQSCIDMHPDYEYKLWTDKKSRE FIAAEYPWFLETFDGYPYPIQRADAIRYFVLHHFGGIYIDLDDGCNRSLDPLLAYPAW VRKTTPTGISNDAMGSVPRHKFFLNAIDRLPDYNRRWPLPYITVMASTGPLYLSVIWR HYMKAQPEEADRVRILFPAEYNNHSWSFFTHHLGNSWHNNDVKLIFWMASHWVIITVI GFTLGLALLWCLYSTFVVARQANTHKGKFAYSRIPFYRRISSKDIELNDRHQV CC84DRAFT_722689 MTDDICAADIAQRHAVQLISPCHCKRTATCVGSQACPVTGIHCS RMTAGGHRNPAERSIPLIANKHRIHGLHSRRRNIPNLPELSKHRSESAKASYRSCRSL CC84DRAFT_1092643 MNTRGDPHRTTIMGEPPTSRTLFLAGGLMCNALLTGILGYRVRQ IEHQHIRSLTLTRILVVILGCLAIFFVSSATIVESGLGLSTSAVCHAAIIICLVFYVS CKAVMYIFLVERAHALRAPYMKRSRDWIWLGGMMTIACGFGSIAVCGFTWPIANLSEI DGRCRIGLPFRVTIPLLSFDVAINTLLTGIFVHLLRPLLRFNVQANEAAPITAFARGV RRVLRPHGGPTSLDVYAMNHQSFKSIERLLWKSLTGSILVMLPTVGNLVTLIPLHGQE LGWVCLTICAFDGKHLPSLTPDSGTQAHAAQLRGPSWL CC84DRAFT_722455 MADARAILSIILYCLWLPTSKILSAIIFILSPFWALAQFILLPA VYLLKSIYAVVSFPFRLHLLERIETIYIWVGVAGLIGCIAGTALFLLFKFLTSAFHID GTGSASRTSGRTVKEFRATRRVKKEPYTSSPSGRRIDKVAALRKGVLSSQPILEEESE Y CC84DRAFT_722259 MGNQPSRTPTPGGSSSHPQSPVASVTSPTSHGPYHDRERAQQHN PKRRESIQALSTVFERKASVAPPSASLESAAALTAPARPRSRSQTVSAATTTAHVTNT LRAAQDTFKAASNEKMGNDQSRPRGHHPRDLTPPKPKPTPAPAPAPVDEKPMPSPKTA PMPLPIPRDQPHSHGSSRFEPTSAASLEPADASQEAFVVPSSHYSRPPRLPLPIEEEP VGPGSPIISPADPTSLINHDEVEGALPRRSSMLSDRTADADDDDLADEFKGPQGQVTV PTLIEWDGPGERVFVTGTFAGWERKFKLHPDGPSKKKDVLSAYVHITPGTHHLMFIVD NDMRTSDKMPTAVDYTNILVNYIEVSYDDIPKPEADTKDESKKSESAPVPVQDQQAPA GIYPPQVLPATPEHEPVKKPLPEPKPKVPAPAPKQYHQSIPRYLLDLDAPEDSRRFAR ANAAGGALPAPPTLPMFLSKSILNGTTPMKDDSSVLIMPNHTVLNHLATSSIKDNILA TSATTRYKQKFLTTIMYKPRGEDSDY CC84DRAFT_1216782 MLYGYDAGVLGGIQSTPQFLDAIGNPKGVFIIPIIASIYNLAAA AMSLAVTLFGMQLGRRKTILLGDLLICIGAAIQASSYSVGQIIVGRIICGCGIGCIAS AVPTYMAEMSLEARERGPEVCWQLALLISGVALAYWIDFGFVQGLETRPYLWRVPIAM QACFAIFSAGGLIFLPDTPRWYYYRNRIPEADAILARLHGLPEDHPTVQAQKNEVLAS INEEDNAKFNLMHLFWDNSDYQVGRRLRTSFLILFAQQFLGINMLVYFSTTIFLKLGY SHFLSGILAAVLNTVFALASYPPVWYIERIGRRSMMFWTSIGCGICMLVYIVLTTLPE SSQNVGTNWSAVAMIMLYMVVFGFGWLGPPWIYGPEIAPLKYRHVAGGLAACGEWLST WVMVFGGGTGIEAVGPKIFIWPLICCFIAAAYVWFCCPETTGRTLEEIDYLFAKQHVR DRMDQMGIGAAGDMRRHSVQSIEKGTGDARHTEKLDSSSSEEV CC84DRAFT_1216783 MDSSPLSLPVFVRAITPTPSIARTIRPTPAYARTVTPAPASVPT AVPAPDVDFDVDHLPQHTRPSRIKVKELPDTERQRAETTASHLFTNFDDARIRTALVH IIQVRDESHIRPKRGDRTGWAVLQVEKRQQRETMPTERRADADILSRPPPRLAVSAPV ILKDSLVWPVPGSPMGNAWSGEHFWKPEFCESVPASPMWEEGGCAHSDAARQSYYDSV VADVEKCALEVKADTMQGDEQMSGAECDVIAAMTVLEEMKAGFGEDDWPVPGDVLAKT KEFCQEEKKALELSPIRDLADMQESYNWLSFEQSSLQPLTKLDEVRGRLKMIKETKRN SGIEPSERVYHLVYAVQILEEKIRTLKLHDIYADNSDDDMMEYGNFLKSLAEVLPLAE ECGSSIASYSSDSELEERRDLKREMSEIGLEKMKAVRMDVLSNGLAKRRDLRKEVSEG GLERRRLRGKAPSTLDLESWASSLKVLDNKKKADGFRDEGLFF CC84DRAFT_1163976 MNALSEYLMSDALINPILDGGYLPHPLIRLGIRRQLASRLSEIA THSLSEAYDSKMSYVKLLRERPIAIETKKANEQHYEVGTGVLKGMLGPRMKYSSCLYE TGKETLGEAEVKMLEVYVERAELKDGMRILDLGCGWGSASLFFAERFPNSQVTGFSNS RTQKEYIDGVAKSKGFTNLTVITGDVVDYEFEAESFDRVVSIELFEHMKNYQLLLEKV SRALKPGGKLFLHIFCHKDTPYDFEGGWMSEFFFTGGTMPSADLLLYFQSNLSVQRQW WVNGQHYAQTCEDWLKTMLRNKDSIWPALVETYGAKDVVTWWNRWQIFYLACAELFKW EGGDTWGVTHLLFEKKA CC84DRAFT_1163977 MTIQPSRFALDPHKRPPYGVALFGPPPASLHEEEEYDDEKTVVG SLQDLGNQDDLPPLSQNSFAVSNIINPNSSFDDVLVCRQQGDTSFTSPSTKLCSAGAN MNDITAAEYFAEKLLRQRSGADKLCEHMCSRLERMINAKITLAKKEAKAKRQKAVAPK PVDSAIQLRKYLLEQKEMRLEMGEDKTIVDLELRWAGWLVDVTKSGVMHLKVPGCTCR PELMAWDEEETKKKKEKDRW CC84DRAFT_1258885 MSDYEDEMDVDAPAARTSIAFGGDAKKGKRSAANLPVEAEDSLP WVEKYRPSSLDDVEGHKDIIATINKFVDSNRLPHLLLYGPPGTGKTSTVLALARRIYG AKNMRQMVLELNASDDRGIDVVREQIKTFSSTKQIFAAAPKPGEASSLATFKLIILDE ADAMTSTAQMALRRIMEKYTANTRFCIIANYTHKLSPALLSRCTRFRFSPLKERDIRN LVDKVIEDEKVNITQEATESLVTLSKGDMRRALNVLQACHASSTPLQPPDQPAPDPST IVRDQITQTTIYDCIAAPHPADITYILNLLLETGDITQCLKTINTIKTQKGLALADIL TALSEELVKNEVPAQTLVTWMSGLADIEHRLSSGGSEAIQTGATIGVVRMGVELLAHG KGK CC84DRAFT_1163979 MPAYHSVFLDEPNQQLIGNFALLPLRTRTRGPAIQLPALPADVS DLTIEASHESYDPLDEVLSLFRANTFFRNFEIKGPADRVMIYGILFVSEVLTKVKPGM GRRDAEKAVMNIALDTNFAIPGDAGFPLNQAFEAPADRNQAEVLRQYIMQMRQELATR LLNRLYADGAQVPSKWWLSFTKRKFMGKAL CC84DRAFT_1258887 MHSHVRPSTHIALRLPSGILKLVETTPNTNINLGKFGTFNSNQI IGRPYRLTYEFLEQEDPKAQPKLRVVPAAELHAEALGSDHAAPAEAKDDDAPAEGGVA YDIVGEDGEVIMRNNRLTVDDPSRQTLSMDEIEELKKAGSGSGKDIIEKIMASHLGIE EKTRFSLAKYTLRKSRKYLKRFTVLPLDIPILTEYVTEKEAYRIMELRDDSLGLICSW ANIHSGATSRVMTAEDGASQIGGGRWLVVDDTGGLVTAALAERMGILYPPTEEVSSES EDGNEEGLPAEPNGDTPANTTPAAPTAPPTTTTDDTHMPDATTDTIAGAPNGPSTSQP RNPRKPRQQHIPQSSATTNTLTVLHSNNQPNLSHLKYFGFDSGDPTPSHPLYTHLKAL SWLQLLSPTDDPTYFEPEVIPEDTLNTLKSSKRGNYYRKRRRWERTKRVVDETRMGGF DGLVVASSMEPVTILKECVPLVKGGGQVVVYSPNVEPLVQLCDYYSKERRTAYIASQF GQQNGKRKQNGVDEEEDEVEADDEDNFPVNPTLLLAPSLQTARARQWQVLPGRTHAHM TSKGGAEGYLFTATRVLPIEGKVEARGLRGGKKRKTGTDTSTPA CC84DRAFT_1163981 MVLRKQEDLVPRPLSHATNNPPYPTTPSEERVPQLPALSTDHPD VYSPDLNKSPAFQLKPLDEAQKRKGDDDDDSVYSDNEWDQSDDDTPDNDIPDPLKIGG GKSIPPPATEKKDSLPDVLKAGPPKGMPIRKPLEKISPEPTGATSSSYASNASGSSIP LKTNNPYLKMQQTGQSNFGAESSQQVWGDAPAQAQQYPVELPSFQTPTTPTNRMADLA FNGNSSPAVVQQGFKSSTPPPAIAVQSPSTAGPYPDHDANTSFHQAPAIDSSSLDAFE QSFAQPHNEHTRAASQSPPTEQNPWDAPPESAAAREAREEQERQNANGFAGRIQPPTR APPAAPLPFDEEPAPALPLRPTHEEQPPPMPQRPQEEQPPPMPLRPLETGVIPTAGTA PESPNTVMNRQRKETYQIKHIRWHDVNKRGIRTSPILTQNINGPCPLLALVNALVLST PADVETALVETLRTREQVSLGLLLDAVFDELMSGRRGDAAQELPDVSDLYKFLLALHT GLNVNPMFVQDPDATDGTSTLSVLAARPGVFENTRDMKLYRTFNLPLMHGWLPDPGSD AYRAFDRIAKTYETSQYVQFQEEELDAKLQSGEALSTDEQQMFTDIHAIKEFLNLWPT QLTTYGLKTMRETLQPGQVAILFRNDHFSTLYKDTRTGSLVTLVTDQGYSTHDEIVWE SLVDVNGQGSELFSGDFRPVGNSISPQRSQLQPPVQSMLDASDHEGWTTVQRRGNQAI PSSGLAPPENAAPQSPTSDTSRTEQEDHDLALALQLQEEEDDRHRRSQEERRRREIQL SEDAISAQSQQRSPNRRTSRPGETPPVIPPRRNVQTHRPTNEPVPPPTYEEAATAPTY HPPQGHPANPGAPLRAQGSAYQANTQTTPLVNQIPQHGRMDSRPTTQHPGRPGRRQSG VVAPGQEDRDKCVVM CC84DRAFT_722849 MVNWTPEKHQILLKEIFRYCDIKMNKELCQHLANVIGEGCTPKA IQNILSNFKNHGRAAGAERGTASPTKTTPAKPKSASATSTSAKASGGGRGRKKNVDDD AGPNNDLADPASPSIVRKRSQTAEADGMNKKIKMENSEDDIYRDFLATAEEDEASQLE I CC84DRAFT_1258890 MTTSSRASDKLFSPLSIANGKITLKHRVVLAPLTRNRCLPLQKD EPGHYNRVWVPDSLVAEYYHQRTTDGGLLISEGITPSLEGNGMSGVPGLFHPAHLAGW KLVTEAVHAKGGYIYAQLWHAGRTTSEPFSGKPAVAPSVVPMEGDSGRVPPGYEGPVL YADHPPIELTKEHIKSTIDDYCNAAKMAMEAGFDGVEVHGGNGYLPEQFLSSNINKRT DEYGGSPEKRCRFVLELMEGLAQAVGEENCSIRLSPFGLFNQARGEQRIETWSFLCKQ LKEKLPKMSYVSLIEPRYEQIHSVAEKDKMISSWGLDPSTINLRFLREILGDTPVFSA GGWNDTNCEGVVESGEYDALLFGRYFTSNPDFVERLRLGKSFTMYERDRFYGPFEDRE RGYTDYATWRQQERVRTADATAQMEEMAAEVEVAA CC84DRAFT_1144811 MSAEKVGEQATSSSLSSGDLGNTTVKSERGILAELRAFESSMDA KLGIESDAITRKLPEEKAAVPWHHELTMFFLWASGTMNTSCFATGFLGWEFKLTLKQS IVITIFASILGGAATGFAATFGAPTGLRQISVSRYAFGWWPNKVIAALNTIVQIGWAS VACITGGLALTAVADGHISLIVGIVILAVVATIISFIGLKAILIYERYAWFVFFIIFM IFFGMTGKYTDNSTHTELKGANLSGQVLSLIAIVYGSSASWQTMASDYYVHYPVNVSR LKVFLMTTFGIAIPTSIGMIAGCVVSFGLNNRPDWREVYENDGLGFLIQTMIHPRGFA KFILTLLVLSGINVNVISIYSAAISCQQFARPFARVPRFIWIFLCFAAILGLAVGGRE KLNTYLQNFLSLLGYWCTQYFVILFSEHVIFRKMNFANYDLDAWNDPKRLPVGIAAGV AFAIGIIAWVMGMVETWYVGPLGKLIGDGGGDIANEFTFVITALVYIPARFLEKRIIG R CC84DRAFT_1118610 MHLPKIPDFGSRFRSKEAFLDSLKTTNKHGNETTMIGDPRWSNK DLEPTSPEERTWTWYNLPLYWGSTAFGTAGWNAAASLIAVGLTWQEAFISCCIGSLIS ALVVVGMARPGVRYHIGYPVLCRSVMGFYGSMFFVFIRAIVGAIWYGIQSYYGANLMS TCLKCIFGHRWDNWENQLPASADVTSKQLLCFFLVWILELPFCFVHPRRIHTFFTFKG LIMPFATFGLFGWCMAHGSGVRDINSQSAAGASVRAGTTPGWAIMSGINVIMGTLSPM LVNQPDLARYCKKPRDAGYLQGVSVFFSKVLILALGLLATSSMEGVYGVAYWNMWDLN DAILDHNWTPAARCGIFLVSFSYLLSVFGVNLGANSIPFGADMTGLFPKYLTIRRGQV LCAFLGFAIVPWKLIATAQTFITFLGSYNIFMAPLCAIIIVDYCFARKGNIHVPSLYR ASRGNLYWFTSGVNISGIFAWCAGTVMGMPGLVAAYNPKLVPQAGKDMYKLGWILTFT TAGVVYFILIKIRKPRIFPVGFEDIPVTWEYLASNGRDGFFDGERDTYAEGSPSESVV GEETYDQVDEKPKSAS CC84DRAFT_1205248 METSVSARSPQSGARQPSAPRRVRAALACQRCKGRKQKCEGGQP SCRSCIKAGITCVYEPTLRPRYPGGKMLYINALEERIAFLEAQLPEHRRDHFGGAEDA ISPSHPLDSNNPSCDGMRAEHCIEEEDSTVVDGVAYLSLCASGTTDAAPEPFYMGSSS GATIARLIQSAIFNGRKASSVSAQRETLRSDSVSSFGSSIPTPSFVNRFYPYPQPAQA QRLFSVFFNRMHTKWPILDRTVYEKVYERQYDQASLPIIERCTLHLIYAISARFLQLT KQQGIDVDPEAHFVAAIEQMDYIMERHNTLTVQFLTLLAVYGQRSPYGAGVWSQVRYA MTLCVELGMHRKPTANSPQRDPRDLELRRRIFWSCYCLDRLTSMLLGRTFAISDHDIN VELPSSDTAFWDLTSAHSPADYGACQSNVIPFIHDIKLRKLQSKIQRTVFRVDIDQSS HSLEDKTREDAEVESIRHELDTWVNSIPVAASSAAQDEARWMYEPEANADSDSCNYFT LQYHKAILSLYTNVLPTLSVDDHRFSACAQSAARMCSTYKRLNQLKVLSFTIIALHSC FVAGLTLVYCLWRDKRLFNFEILEATRSCSQCLTIFGERWPGAARYGEIFETLQGSVI RAIMEPKPSRNLGRDLDMLVEPAAAKAGAPNHTDPLLGAVKDVFMEVDEDVPGGGQGW RLFTEMVQSDIQVPELMAGERCTVGTTTRNDFTTPNWSDGTANPLSSFDEAIGAEQGS LGDQGRWDHGFFAGYD CC84DRAFT_1243731 MPPAYTPHPEYDYPRDFQGYGEEGLNPKWPNSAKIAVSFVINYE EGGERSVMAGDGIAEQNLRENPLGGLRVNERNVSVESEYEYGSRAGFWRLFRLFNDNK MKFTLYAVAQAVEENPSVVKRCVDEGHEIASHAYRWVDYHDFPVDKEKEYIKKCIESL KSLSGYAPKGWYYGRNSPHSRTLVPQVYQEMGEELIWTSDTYADDIPYWTDVNFEKDS AEPKGQLMLPYSYDCNDFKFHVVGSGFRDPAGFYDHIKGAFDVLYEEGEKGMPKMMTI GLHCRIIGRPGRFAALKRFVEEIGKKEGVWVATRTEIAEAFRKEYPYKKGQLA CC84DRAFT_1258894 MSEKVQGALDIEQGSPRTQDEELYQATKEAAHREESDSVHSEAD RDAGSIRSIGGQTNALSPKRLVIAIPALSVALFVSFIDSTSVSTSIPAISAALNTGTA TSWIGSSFLVSSTAFQLINGRLSDIFGRKNCLLLCLALLAIGDFGCGFSRSQGMLFTF RSIAGIGGGGINSLAMIIVSDIVTIEDRGKYQGIFGAVIALANGTGPFVGGALVDSVS WHWVFWIVPMLAVPAALLIFFFLPLKYERANYKEKVKKIDYGGIFLNLAAVLLILIPL SGGGVQYPWDSPLVIAMLVVGGCTAVAFVLYEWKIAEIPIMPVRLVKYPYCWSLYAQN FFTGMCFFGNFFYLPIYFQSVLGLSALVSGALLLPLILATSFTGIVSGQIMSRRGRYR WICVSGFVLWTLGTGLKCAFNRSTKIWHIVLVLVVEGMGIGNILQSTLVAILANGSNS DRAVATGLRNFIRTIGGAFGLIISGAILANILDTRLSHLPFMTPEILQNLTSSTYSLD KLGFEREEMDLVLDTYVVGIRYIYILYAASAATNLVLCAWIGNTSLKVKPTTTDKVEV RNERAPSSVEEVLETSKEEKANGD CC84DRAFT_1163986 MEPFRLTASQAQAKFKDGSLTVEQYAQSLLDRVAARDSTVNAWA YLNPELVLERARELDAIPPEKRSPLHGVAIGVKDVILTKDMPTQYNSPIYVDDAPKID AASIQTLRANGALIFGKTTTTEFAATVVGPSHKGPKTTNAHNSKRTPGGSSSGSGAAV GDLQVPIGLGTQTVGSTIRPGSFNGIYAMKPTWNSISREGQKLYSLILDTLGLYARSV EDLKLLLDAFQLVDDEPEEPFTLKGAKLALLTLPTPEWPEPGPGTAAALKKGTELLRA HGAEVEEITLPDEFRSMYKYHLQVLSGDGRVAFLADYYGAKDKMHGSLIDHVENKDKH TRQDQLKAFDELAAMRPKIDAFASKYSAIVAPSVLDEAPVGLENTGSAAFCGPWTAMH TPVVNIPGFKGENGLPIGLSVVAGRYRDQYLLRVCTEIGKVFEGEGGWSR CC84DRAFT_1163987 MDISLPLRFGSARPFIHPPQPPPHGHENVIASRDNDHLVLDIFR YTPIPTFVLDASLHVTHVSDSYCSVSSIPTREQLLGAHIDELSAKATIPSNSLSHRGI RVAQDTTEPSIFYESIDDRIWTLRTVPVIRDGTICCFLMEVQDTTEAHQKQLELEEQL YTNETFKILVDTISDYAIFMLSPQGNIATWNVGAQAFKGYTKSEIIGKHFSNFYSKED RDNGKPARELRDALRNGACEDEGWRIRKDGSRFWANVVITPVYKDGELLGFSKITRDL SERKKAESKLIAAYEEASQLKSEFLANMSHEIRTPMHGMLSALTLLLDTQLNPEQLDL TRIVQESGEVLLHVINDILDYSKLASGSFSISHDIISVPDIISSVFRAHQKSIKADIT LEKYIDPKLPVAAEGDNIRYRQVVENLVSNASKFTEQGYIRLRATQQHEDDTKHTVLI EVIDTGIGVPLIASKSLFTPFTQFDNSATKRYKGTGLGLSICKSLVELMGGNIGYRPN PEGTGSVFWFTAQLKRVEHSNQLDFIQNRLNNVSLSTSPTPALNRLRILANERRVLLA EDNAINRKVMIKILVGMGFNRVDIATDGNEAVMMATNAKPAYDLILMDVSMPFLDGVQ ATKQIRAAGLDVPIVAMTANALKGQAESYIAKGMSRYIAKPVDRKLLVELLLSCLEQE DSESTR CC84DRAFT_1144832 METSARLLTRGLAFGVLVLLSATSVTAHEHHMDNIPEGSAISDD PIDSILWTHILVQAVAWGILFPTGMVLGIIRSRWHVPVQTIGSILALIGYFLGHKHKG RQFAPNAHAKFVPTLMFTLLSQIIMGIYLKLHLEKGIHGKIRKVVVKAHGWVGKLMPI VSWVQFVLGGIASMGFCRADHMGQCLAHFIMGSAFIAYGILLTILLLVGQVWLRRSGR SQEFFDSLVIAAWGCVNTFTEHRWGSAWVGNDLQHTTMGIIWWCAGLVGIWLSRSRNG RPKRNLIPGIVILLTGWGMSAHAQHLPISTMVHTIFGYTLMAAGLTRIIEISFVLKDR NTLSEDGTDPNSFQYLTPFLLFASGFLFMGATEEQMQILADAHITHVSYVFILYSLAF LLFLFVNMLIHLYAVHAWGQDTKNDAEAPRANGAPNGYARVDSRVRDAEEFELDGLVS EDEDAVAIEDKERQPLTSRT CC84DRAFT_1163989 MAIAGRVTEIPDSEDEPFTSSPEALPDGTVGQQDGTAEERLQDA SSRGGCFPPLLGNSDVIKPSEYTANTCNDEQQAALDDAQSHNAVTPANPEYDMLHQYA NELQISHRNVALLTDIRDSSNQHASAPTNSSPVHILNKPEDAAETASSGSMKEQIIPA GESVSKPTVKTPYAAPSRTCVINDFIAMDNDLLTHDEQPVLPPVADAVCTSYYGIAST VSTLEGGLSDTQPTGQTQTNLLPAEGDPLSQYGLPKKPHSALYIGESGIQNNSVNSSD TKGRGCSCPPSLSPADLSSLSNDHASRRSMTTGVATLANPEIQSGEFEDTGSSRVPSS ANEDSRQPSVANVDSSNILRNITMEYIDQDLPAKSVQPSTTPKQQSWVESVGGHHLAH PRLVISADLALNLGWTKKPGEVHIGEAASNEEPNTAPLEASNTTLQMQNEARGPAALE SRNQNRGEHTGSNKETVPSLVVEVAATQPTLNAFTQSSSSAASIAEDPQPTPAKSPQE VNIAGLKAKRAALIASLAHLPAIQDLLASTRNSGVNSSMSDAEPTESEIMAAAHQINK KHIKLLHEYNEIKDVGQGLMGLIADQRGERIVEVQQHFGIDAND CC84DRAFT_1258899 MFFLFPFAGFALWYIYSTFNYRSRRNGATEIPGPKGLPIVGNVL DMPKDSQLIPVFNKWYREYGDLVSFKILGINQVVLNIEKAANDLFVQRGNSYSDRGAP TAIADDTWRQHRKLLHTVLSAPATVRYEPFIELETIYTLHDLLISPEKFSDHLERYAY GIVFRVGLGRRVQDLNDYVVQESIMGVDETLKAFRPDLFACNIWPPLLHAPDWLVPSN KTLRRYLARLEGSIDLVQSDLKARIKNRSAPESLQKWFLEHMHEFDLTEEHGAWVFQS LVGAGTRSPYNAMMQYTINMMEHPEWQRKVQEEVDRVVGKDRLPSFEDLSNLPTVRAV IKEGIRYRSIVAEIGIPHKLDRDDFYEGYFIPKGTILHANYSAILSDRELYPDGPVYN PARWLDPSYPTYKEPLTSYPSLQGFTSFGYGRRACPAANFTERTLTVIVARLAWAFNI RKKVDPTTKMEIPLDIKYEPTPNPKPLPFPAVFEVRDQERARVIKVEEARESARDPLR TKKD CC84DRAFT_723064 MSAESKRADSPVDGYLPAAEDNHKPVLQHNPPARGGHHMFLLGQ KGEEHQEIFHYELLSQLKILEDKIKTLESENGFLKEKLRQHACRAEKNASYIACAAVG SNVIHIHEKIEETAMAVQSDAKTRKIYCSNTTRTPQTADTTQGHSGALLITAFVTALG LIVFSGPF CC84DRAFT_1092415 MGPPKYPPMGNHPIHVHPVRPLYRFMSTALGASMWFFLMYRAKK DGPALLGWKHPWDH CC84DRAFT_1060028 MANAGAPTPSKGLQRRFKGCSKIGEYELLKKLGEGTFGEVHQAR HVRSGRIFAMKKILTHNEKDGFPITALREIKLLKMLSHENVLKLEEMSVERPKAEGRK RAILYMVMPYMDHDLSGLLDNPDVHFSAPQIKCYMLQLFKGLRYLHDNHILHRDMKAA NLLINNRGRLQIADFGLARHYDELVPQRGKGNGEARRDYTTLVVTRWYRPPELLLQLR RYTPAIDMWGAGCVFGEMFKRKPILAGQSDLHQAQIIFELVGSPNDRSMPGWNQLPGA EPVRAFPTSTGQLSQKFRELSPQGLDLLSKLLMLDWRKRINAIDAIDHPYFTEEPKPM REEDIPTFADSHELDRRN CC84DRAFT_1144841 MSTTTNGYKHSSERIAHTEEKHLPGGWVVLKFGGTSVGKFAENI ADIVKNGLTTNRTAIVCSARSGTTKLEGTTNRLLRCARAAERAGSRAYDEVVEALRVD HIQAAKDTLKDAGILAKFEEEVNAECEGLVKILESAQHLEEVTSRAEDKIVSKGEKLS CRYMAALLNDQGTPAQYVDVSDVFKTPGPRKGGVGDNFYKDLAEALGKEVEACGDKVP VITGFFGNVPGGILSAVGRGYTDLCAALVAVGVKADELQIWKEVDGIFTADPRKVPTA RLLSSVTPSEAAELTFYGSEVIHPFTMEQVIRARIPIRIKNVMNPRGNGTIIFPDRFE DEPGSPTKHTGLFRTRSTSILSALQRPKRPTAVTIKHNVIVLNVHSNKRTRAHGFLMN IFSILDRWNLSVDLISSSEVHVSMALHSESALLSGGGEDEYKIKNKDLHGAIDELGAL GAIDIVPDMAIVSLVGKQLKNMIGISGRFFSVLGNNNINIEMISQGASEINISCVIEE READRALNVVHTNLFTFLE CC84DRAFT_1144845 MTTFGWGTTGEEATAAFAQHIAGRTILITGVTPGGLGLETARVI ALRDPKLVILAGRSTEKLQQAEEDVKKAAPNVSVRQLVLDLGSLKATRIAAEEVNNWS DVPSIDVVINNAGIMATPYALTADGIESQFATNHVAHFLFTQLLMPKILASGSGARVV NLSSSGYKGGPVRFDDYNFDGGQKYEKWASYGQSKSANVLYARGLRERYGNKGLQAYG VHPGAIWTNLGHHAKDDLRAMGFMDEAGNTVNSERLKWKTLDQGVSTTIVAAFDPSIA DQSGAYLADCQVEETQEDWMQDPEGPEKLWKLSEQLVGQEFPAPA CC84DRAFT_1216807 MSSPTPTPTPTPAAPLAPLLRTLPSLKGPFPPPNTSELPPTPQG AFHAWLSDAIAHGVKEPHAMTLSTVGADGAPDARVLILKNVDARGWHFATKADSPKGV QIGQEDRVALTFYWAALGRQVRIRGRAAALGAEECAADFRARPVGARAVAVASRQSAV MVDREELQGQITEARRMLEEEGQGYVAPEWRVYAVDPETVEFWQGAEDRRHQRVRYEK QGVEGEWEKVMLYP CC84DRAFT_723186 MSSSRYTDSRYVRDRSPFRDRRQSAYGSTYPPRPNDAGARLSTD SSAFPPRDTPRGPKSLENAPRGPPAGGPSGVPSAPRDGRGGGFAGRGERPSLRDAPPL SSVPHPHPPHNSWRAADRDRDRDFDRDRRDRRPSPPRRSPVRDVRDTRDQRDFPSRDL DISRARRNSRDGPPSAGSTYSDAPLTTGSSYRGSGFARGRGGRDFHGEGRGRGRPMYA DDRDRHHDARDRMPDRSYRPRSRSRDPVRRDRDPRDERDRDFDRRERDDRRFVPREYD SYIGPAGAKPGPRALDTHRGSATLDTRHLPGTPTSAIPHSTHHSPAERHGPSVDSYSR RASTASEALGNKDARREPGRDDLLLASRAEASRERYAPRASSPPAAVPAFGSNVWRNP LLDAKPATASQPPKPAQAALSAPVPAAPPTAPTTTVAPKPSVPAGLSTAPPTGPKADR APERPPPDVPSQMQGLGQRQDSRLASSEPSRTESRPSLMPPASSNSGLPASNAESKPS APMSSNAPNSVISPPAGPAATTRAPPTGPQAALRANVSPSYPRPARLPYVNNPRDPSP SAIQPALGSRNGSGSGASMMLSNTSPKSLPANIPTGPKADRGNPMAARTPMYPPPDRP GFPAPRMPMNGPPKSMQWVRPGLNLNNRTTIPSKREYPSDDRDRTFGTAPKAPKLEAS ISAPESQRAEKAKSVSPSITRPSMESEMTRDRRASGDYRKAFSPKPTSIETRRFSNVS MAEAPSVPEKPPFSAASSGPEALQDSDDDLDLDEDDFAESEAKYNREKALLESKRIDL SAPHLRATTPLQEIILLASLTTDHLPEEELKLAAEAPTFVPSPKTSSVAPPENNLNEL PTPKPEDSEDVNMEYKHEEEEKQVAPATRALRLRRSTSDDLEDVPDLSSLPFLGSGPP TPISELEGPRMSESVMLAIRNKLRENIEPELDADEQLENYATVYRQWRNHVRNLDRLN DTDGHERPASAEPSALATTPGIETSATGPILDNSAPTTGRRGHRWATELDLEIAMQES LRTAELEREQHEKEPKRSMADPEKEADVPPELTTYEVQRRRFIDTNFQREPGQGIFAF HYEPPEDDFTEEEHRIMVQQYRDQYAKKWGKLAEILYKEAGTSRTYKDCINHYYATKW QREYKGKVRRGRGGPRRRGGNTRGRGAIANMDRLDPSGEDGLPPALTETGRPRRSAAP TFGAETDIDTTASTPTPGRLRRQTDADGTQEKAGRRGKSAREKGSRKTKAQLQAQAQA QAQAQAQAQAQAQAQAQAEAQAQAHAQAQVQPFAAAPVGSPVKVDRKAMGVKVEDEFS KLGEMALPMQPGIHDEQMILQAEPQYYPMPGMTERARLQPPSARPGPSSYWSVTEQTD FQKNVAHFGTDWAAIATHMGTKTQTMVKNQYLRLVEGGAKDLERAAEEADERRNRGVD IGQPPVPTPAPKRRYESTQVTVPRTLAPTPEAAGAFGMVVSKASPPSMSSAARFSNIA QAPQSKSLVPGTPYSMPDSSLVSIPQQHSPPTHAVRQPMPPHMSQLDSRRPGPLAGYF SDELPRGMEHRPPSQPSNMPPNSRALQSHGQPQMQAQPSLFRPSFQEREPPRAETQQD RFQPQHARHVSGEMHKGRPFGSVSVQGRPALGAGSPESRPLSYQHRHQQSLMENPVPP PSSLPPAQSRAALATPPVKEEPRLYPVPHQRSQSQQPMHMPTQPQGYVPPPPTASSAP KPATEPRKSNLMSLLNDDQPEEPKRKKPEQSIPSHTPTPQQQTPVAPPPPVPQSLPPR RDPYAEATTQPPYGRLPYAQQPGVSQMPGRQVVDLTREQAAAGRASREEWSRPFPGHS QAPQGPGINSPHQQPAYTDRSAFMGNHRSLLAQHNNPRHNPSPPPLSAFQNSPHLHSR TPSLSGQPGQQPRHSMSAAAASQHAQASGGTSQILQPNPYAQVDPPGGNSQPSATVGM RPSPHLHTNHLVGQRDVHGRNEHAQVHNGGLAYPNPQTPSEQHPGGQHTRAPSMADQF RRDPREMHHDFDPRTDNRDMSREIQHRADALLREREPIMSRSTGPPQAHQDPRYQPPQ DRSYGGQQRSHTPLSRSEHGPPPLQHPPRSSLGESHPLFGGPPRPEERFRDPFARENN RLREEQTQREEFFAGHRDRERRERELHEMRERDVHMQRERMMGRGPPQGPPASDQRGT PGPPQGPGGMDWANAVPRQHGEWRR CC84DRAFT_1144851 MPPANQDPASQAPPTNATDAVLKPSEPVPADAREVQGIEFNDYA QRSITVEELMGGYARMGFQATAIGEAVRIVNDMRTWKDAETGEGTTVFLGYTSNLISS GLRETLRYLVQHKHVSAIVTTAGGVEEDFIKCLGPTYLGAFSTPGASLRAKGLNRIGN LLVPNNNYCAFEDWVLPILDQMLVEQEESKQTDEPIHWTPSKVISRLGKEINDERSVY YWAWKNDIPVFCPALTDGSLGDMLYFHTFKSSPDQLRIDIVEDIRKINTIAVRAKRSG MIILGGGIVKHHIANANLMRNGAESAVYINTGQEYDGSDAGARPDEAVSWGKIKTDGD SVKVYAEATIAFPLIVAATFAKGRPDSGES CC84DRAFT_1163995 MSSTAVQPPPPPISFAQQPPSSPPAFSSAHSPAESHPYTQPAMS SPPPPPPPQQPQQQSAGDMDMTTSTTLPPAGQRHDREDADMQDGLTNGLQPGASNTPT HNQTNNVAIEVAAVSADEDVMDTTSDDTQGLVLPNSSAEPAAITPSSPPLAEGPGSDQ DAQHATSTTPNDAPPEEPPAPSIDLQPPPPPPPVDPVQTDSDSSDEDGGQPWHPIAED TSAPEEDELKEIEATTEYSALDHEHWEKMAFSPLEDPEYTPGESGRIEWLIDNYNGTR DNPNRDLVMKSKPVTIGGHEWQIKFYPKGNDSDYLSVYVECLSVGNEKNKDNAEGSHQ KPEDDVEASIDNSAHSGSAETQHAPLPLLDSKTVPKRKGVAAQVSVVLYNPTEPRVNY SRTCLHRFCTTSPDWGWTRFHGPHYDIPHRIRGHRQALLRDDKLAFTGYIRIVNDETG CLWEHPSSENVWDSFAMTGLQSVALRDNGGSPDGNIVSAVISWLGFKPFRQFLYSFKT PDGEKAPFAQPKPLIGAFQKVLYLLRTQVQPGAGSVDLGVIDDALDWYGLSEPLHKFD VVQVWECLRTKLEEELRETTSVNVLEDIFGQKRDYTKGVSSYRTPVVGVGSMQEAVNQ TPELMNPDSSLPQLLSVELERQFFDSASRSYVKVLNKVSLDDFITIRGTSYTLYGFVV HKQTLQSCVYQTILRPEGPGSRWYHYSDSRDGNKVTCLTQRQAVTAHEGQVATARTTG SDAIAYIAMYVRDNVAQSAFNSQAESEQWEVPEWIPRELERQKLAEQLPPIPLPTEDP GAALPAEAEEIVSETAGIEEREFQVVDSQVFLQHEGPGFFDAFDQKWRSDSSGLLASI TLKITDKCPDIREKIATIFKDVKNIQDPRQVKFWFVDTYQGSSAHPLLVGTGKIEYSS GSYNRFAEDVKDWKLESASGDWACRRLWVHIVPFTDLPEAPKESVPTPEETARGPSES AQSSEQVPTSYTPEDNDAPAPVIEVEQPVPHPDLEDTPMSEPGEPEPAPPQTSSPPSG VERQGSPTNATPEVIDTAMVEVEASAAPDPPVVDVFIPNDASDDTEMGGTQEEVTPPP PPPIDFAMPPPVDIQERPRTPPGEAPRPRTPEPPPDEIYFFVKYFDAEKQTLEPKGSF ITPKPARLDITVQKLLELPDTKFEWWEEEDIVQARSLRRNKSFNSNDLHNAATIVYTT PLTDEQKSALADRAAFADLPQYLRFRANARNFPSRMNGHFTFNYFSSQYYKGEYVKGH RHGHGSRIYHSGATYEGSFRLGQRHGHGLYTFQNGDTYDGDWVANQQHGTGTFVEATT GNTYTGGWKNDKKFGEGVTHWKSAQETERLCRICWEEEAEAAFYDCGHVVACVQCARQ VENCPVCRRRVLSAMKLYYVA CC84DRAFT_1163996 MAYDSSAGYAAPGASSFQDGDATLPMFNIERVSLQFSVASDFVA AAVANNVLVLALSTGRILRIDLDSPADIDDIDLPKKTSEVGVIKRLFLDPSASHLVIT TTLAENFYLHTQSRTPKALSRLKGVAIESIAWNPSQPTASTREVLVGASDGNVYEVYI EPSSEFYRREERYLKGVYKTNDGPITGLWTDIVPGRNDLRRVIIATPSTFLHFAGKVG RHGHEGSGSIFSKLFETESATVHEIANVASTATSLLAVSPEIEDIPSSDHANTERIFG WLTSQGVLHGKLHLASDTSELGGKVLGESKMLPRSQIPPSQSASGRNRRTQESVTSMI LSQWHILQLIDGRIVATNRLDDTIVLDQVVLEPGQTAVALVADIKKNTYWLFTNQDIF EVVITEESRDVWKIMLKAQQFDAASQYAKTAAQKDAVATASGDYLVGKGQYSEAAAVY GRSTKPFEQVALTFIDKGEQDALRKYLLTKLSTLKKSSTMQRIMVATWLTELYMAKLN TLDDTITTKAELSESMNTAETQDQLSVVRKEYQEFVTKYKSDLDRKTVYEIISSHGRE EELLYFATVVNDYNYVLAYWVQRERWRETLDVLKKQTDPDVFYKYSSVLMAQVAVDLV EIMMRQTNLNARKLIPAFLNYNNITKVPLNQNQAVRYLLFEINQHQSTDAAVHNTLIS IYASHFTSDESALLAYLEGQSMAHEQNYDADFALRLCIQHNRVQSCVHIYSSMGQYVQ AVDLALKYDEIDLASNVADRSNTEPALRKKLWLAIAKKVISQSSGIKTAIEFLRRVDL LRIEDLIPFFPDFVIIDDFKEEICSALEDYSRKIDELKLEMDESEETAAHIKTDIKAL EQRYAIVEPGERCYVCGLPLLARQFFVFPCQHAFHSDCLAKKVVELAGMAKGKRLGEL QMEVSKGTSTGAKREKAIKELDALVGSSCVLCSELAVKLVDEPFVSATDDKNEWAL CC84DRAFT_1163997 MDISYGNEPSLAYEYPPPYSPVRGAGEGNNGLWDEKKDDGIVVR IARTWTRTATFQEAPKKLARTTSDFLQQRTWPAIRDTTTTHVTPMIASAPRTTWSFVR DHVCRPIWNYPLKASQFWGLLLLLFGIIPFAIYGLIVGAPYGRFYYGAFAAKTLGCGD ALGVPQNSTVVGIEALFVLDWTFGQFSFSRVKTIDVAWDIFVGRGVQMIFWAISYRVF SDALLRLIERHPASFETFKSISLEGPGLGSSCILLKQLFRNRGARTWFLFFYMLLASF YVLSIPPLLGAMSGYDSTAIAWVAVGNDDTIVPSSQIIQSYAILGVRNQTFEQPICDT TTMELRDFFYHKMDIEKYCDCKLPNGTVLPFQEWQYRYYSYNYNNEPNWVPPQGPYQY EDCKTHFDGQTGVYLSSWGQDSDYPRAKNWGEYACNDTFPVTLPDGQTYSIYDINYTA SGYCFGNKTYKYWDLVDSTRCLPDTANPSYQWGFSSAMIGVCFIINLVWCLSMWVVWQ DALRAKLVRRGYRMSPLRAAFILTEAARRRTGVNGVEGLVLRDRRLLRRELRRGKGRK EAVVDREVFEEGEGDDEVEYGKGNAITIKRRPVRTRSRDDVGV CC84DRAFT_1216813 MLAFGASVLAAPAAEAAPVPEANPAPTPEAASSLVARTGEYLGG IDMNTACREQWFRFAYAYQVDNSCNAWKCEEYVDYCHYYNIAWDTLEWQLVWPQLLDD ARLILEASDVLLSGPTNDEASITPPIVDEDKGIIFSGFADDFHDNFVFYRDTLGFGPF VKTNRKPYDLAVACVLLRAFLLAPECVHLNSDGYWTDEEWIDAVTLYKQLWPDEQIEC PWGITRQARYHLTGKADRLIHDGGTAQPVNQSARACVAATAVDEKSCAIHGEIAGLDR AQKWLATCDQDLEHVACGAAPITWLQLPGVSLKVIDVERACIVEAPKHCFFMALTYVW GKINHPKLTSKTAAVLMQEGGLDTIWSDIPMTTRDAILLCRRLKDK CC84DRAFT_1216814 MRQFIVPTAAFVNALGALAIVACNHEHQSDKHVPGEDVAKTIRH FLEGPGFELCNDLPFANASEVLYYESPHYTFQIDRQEHTDSVGNCRAAFQSILNECVI GENVLGGDAYVNDIGYEIYHTDLRERDIVGGGELDGWMENLEGRSVDDGGDDDDDNDE YEENDDDNDDDGEDEEGLERRDLDENRAADLEIGDEEDLESRSLAARKSRGGSRRTRT RPSRPKKKPAKKPKKKPAKKPAKKPAKKPAKKPAKKPGKKPAKKPTKCPSEKKTKPGK GGKVQPLAPAGKTPSGKKQPAACQREDKLDCKKILRKAKAKNARENAKSKLLSRDVDA PQGLVDSLIHSLTRRGSKPGTACNEGANTFNARSYPSDANARALANARVFGWQHPQQC QNMAWGHTPAFRKVPTALGGDYEVEHILEWQMVTKFFEWLDEEEFGGQAQFTDPDPAQ NGAKIDFCTYWKKTWTPKFTIPVNGNRRLNAKKHLAWRYPGVDFRNEFVWLQEDINAP AKQQMWTRKTTNQIYDLTEARRSINRNQNDAAVEAIRVKALLGAQKYHKNPLVKQYFV AQVQRIRDALDEIDQALPNHPPAAGHTAWQRQGLRNLWIKYMNEAFTLAKSRTDNTMN TMLNALENKWIKRANTPKNDNFKRTIRALRTEWRKEKRSGWTRPNW CC84DRAFT_1090745 MDNLPQELVDHISSYLGPEDLKHTLTVSPAFQVAAEKYSGAFSD FELNKETARRFVNTFGGRRLGYLQHLTFRSTIPALDQKVDWEAHPDGHPVRDSEEDLR EADESFTDQIKFLFSIVKETEDIARSEKAHGKVKLTLYTPTRHIDQGNYSIQRAYVSW RVHLLEPESLPDLTSIYALRIENGMKVSFDVEYGPVSLWKIDLRMMVDLSNKFPNLAA LHCSIGGDEWLDCNEDYRQRYITKDWAGPRRDSRHDFARILDVAHISGLRVARLNFLA PLAVAFEQREPFPDLVSPARHDPLSSSLRVLSYQLRRFSVIALIDPTLFWPAEGASQF WPNLQTLHVMFQIVSPSGDWYFNFSDKGGSKQGYEIKETDYPPYAETELDRTNLRHCD EVDWANFIHQKERTVPNDETLVPLLQSFARATSFMPRLKQAILWAPVRSNAMGEYKKK KGVYTNNVWGLVYTSPDTEGFMDCRGHQVEGNRQLWWQVANWRPDKATHEMLRQIGKD KHGEDIAEYWEHDYTISDRYEFERFESQLLSTGFPAAAPLIKGRKKN CC84DRAFT_1205265 MPSGYISLYENSQRSFLFYVVANVGPRGSIRPLAVAYRQGNDVS PSPQARIENLVNDILSLLKVLSEPANRASLEAERARAKEWYLQRQEAGTEARSDALPD SPQPPFRGWKDEWTPIWQPELPQNVAPRDFPVTSTCLVEGLLRGNESTRTSDVQFQPL STPFHGDCLEYGMVVINVSNIERIKYGIVAFPIRYMADVIYHSPDGEWDPVEDDPPRK EPDKVLVDKRPRVPLSILGYLDRYFPSALVLRKDSKVLELEACPCVKDPSVLDYIWPF DPKDASPVESSEQSCSSKCNIM CC84DRAFT_1243774 MSDSDSSETPLDSLSITFGVSSNIQNITDYYRRATEGLFDNNGN ANDPTPGAVLGSLMLGVVRGFVLEHSTQGQEAMLSLLWSNVESAQKSFREVAQQFEVK IEEAKVANSRWNQVNKDKIRQSANARELYQMVEKLIEARWAVPRFPRVSIPEPTTRSF LEPHTTPWEIFEYTRLESPNNTIRLVRMESISESLELPIRITTRMVCLEDSVPFATLS YTWGNPFGVFCSEKDRDAAPRTDIPIICDGRLLKIGENLYRFLCRWRQALANFDERMR EYDAPSEVLEVSRPPAEFWIDAICINQEDLEEKSQQVSIMGDLYTKSAITWVWLGEHD QFSKDAFGVLQDLANQSDGANDESLQTLDVDNELLVSSGLPDTNSWKWFAVFALFQRQ WFRRSWVVQEAALSSRILFQCGSLNVPFWMIVSACNSIRRFGFLEKLITNVGMYEMGP TRFELRIANGPTQRTFFKTSSKGPSELRYYHHREGVELQSARMISRIIRIKTLDSEIL INDRNFLVVKDSNNTFDNVSALLDLWKLSRNTLCGNPRDKVYALASLANRDVYKTSRT VQDRRLLKPDYKRSVCEVYCEAAWFTILTHASLDLLSMAGHTALNNNKHGLPSWIPDL SQSPRFLALNEYLKANPGIGWRASGGVRWEIPAPSMRYGRHLGVQAIFMGKIRETDHE EPDFSDKEFLKVNFKQILEFSKLLPSTYLGHQDGQARFEVIWRTIIADTINGISPAPS NYAVEFERLYMRAIKKVVDNRAVGNFGNGEMVGSWPSENDEVLSTWSIMKDMGRLHDH STLAVAMEEGTNETANFQQRVIATMDKRRLFVADTGHVGCGDSRLSIGDFIVVIAGSS IPFLLRSGSSGSYILIGEAYVHGMMFGEHAFRPNAKWEHLRLE CC84DRAFT_723456 MTALALMVAVLVILVVALATELRANVGFTRAILMSLMSFAPILI NIVKQWTLLELVIGVIGRLESFNKAVRTENGNSVTQQLPEDWP CC84DRAFT_1243790 MAPRLWIMTTFSSANIHPLHRQRVKGREIVVTEEPRLHLVWIHV RIFVKPLPRYLLSQTFWKTHLDAGSTQAGYSRSDLFKVATGFLRTYRYLIQHESDFHI AQQDDFRLVPKDIDWPSFCRFVLELSHIDNAAVSKRYYYGELRLTRLNLYALLLLRKF HFEQVHGQYGDFFRRLYGPVLFVFTVLEASHWEAMWHVSRYFSIISLMAAAIVSLWFV VLWLWIFLDEWIYTARQKLRKKQKA CC84DRAFT_1144860 MALFTGRTPEALIPRSDSRNPATTCKGITKSGRPCRRAIDARDS SHNNGVLAVASVISDNDDEETGAAAFFCWQHKDQAEHLMAPNAAGSGAQDTHLYPLQE RNSIDTLVARLGVLDMEEPETAPQRRRRRSRQENSTSRPPRRINRPPTWDQVQGPLMS VPSDVMAERRRQISQRPEPRTQRKKRSFWTSLCCGSADDDDDYVEVPARPLNKTSRPS ETSALLSYIPKSISPQTTSALLTELSKPISGHDEEGYIYIFWLTPESAGPAPSSTASS LLAPPTRPEQGRRTSDVLRQYSVRRPQRPRLDAAEEKNTILLKIGRANNVTRRMHEWT RQCGYSLSLVRFYPYVPSTPTASPQGSPAHSRRPSYNNQRPPDPARRVSEGVRKVPHA HRVERLIHIELAGQRVTKKCDACGKDHREWFEVEASKEGVKGVDEVVKRWVEWAERKD QS CC84DRAFT_1205267 MANEVPDPSQSSTHSRSPKLNPHYGIEGILESLSPHVPTPDGAT PLKLFLQAQDEYFSKITAEAKDALFPKGSFKSSEDLIEDLQATNALQHSNHSRLLRGS LENIKRFADRLQPYFEIIGIIIQSHPEIAAIIWGCIRATLLLACNHTSFFEHLANTIS VLTSHLPQLENVYQLLLHYGKEPSERLKFALQQVYLDLFFFFERIKSIFIQKKGSPRW TIAVIAKLSVTSFESRFKDLQGRMRYQTSIISSEVQLILLENSMAQLAIQKETYELEQ QKPNGKSEVLLSDKAVVRQEFQEQEMHCRTTLHKYVREAETALLRLRELAEEYIGPLK DRGHTKVNEGKNKEERLWYIRSWLDAPEFSVAFDQHCHARQRNTSQWFLEEPVFNAWM SSLLQASPLKDLSHENTLFVSGIPLLLLLHRFITDIGNSKGHPGNGKSVLAAAAVEYL LGLPDSSCYYFFFSDNDSASKRACAYRALLAQVVHKRSADTSFMESIEVTMFKKSIGT KASVADMMDLLNICARTEPQCYVVLDGIDECCDRDELLSDLREIFGKSEVKLLLFGRP SVVGLAETLPSHRQFQLGRRTEPDIQLYATSKLQELMQSKLIPANEDLNLMVDRMVVG SDGMFLWARLMIEYLKLPVLQPRKRVKILLNLSTPERLDDMYDRIMSHISRLNTTEQH LAAWIFAFLAYARTEISAEELRESSRLVYTDTEEEANDEDHFESSVIVSCACLVTKDT SRKPALFRFMHTSVRDFLARAKTNVPREIALSAAESHAMIARVCIQYLHTVMLSYPLS TGREDNQKSSLTNRYPLSEYVSVHWIHHLQMALKLPCSPDRLDPLHNQKLTELATTAL AFLSAPTQISIWLEAVYLFGRATHAGASPKRQIELCISPQIKSLAVCANTAQRSFEKT PELSPKDVWDQLPQLAEFWFELNREWGEQLIQYPSCIWTECPAFNPNPWLHPTNEMKV HSLTTDKDDPLLSPNLSTTSLKTVSKTSSDGVHIAVLGIWPSRAYQEIAMQTGQRLSW DENLINVLKQLSSGWVARYQIFSIANDPELLFEMDCPLEQPKVWTQIRQSLWKEGDSN AAENFRLQCPVAICSSLRRFAVLGDVYSPQASPGSGSSYQLASIVPSFNEVLRHNWSY MSPDSTQNTFHACYEAATLDSWVHRNCRLYLYWVWFSLDGKYLFYVDKAPSQPSSLAI WDLGQDDSSPTLQLINSGSLTLYRGMKEVYCDAGTFHPTQHLLLFSLVGSVYLWPFDH DVSKIIQIHHSFDSFQSVTFSDDGEFIVINPVTARYPVIKKIPEDILTLLQAGRAIEG SLTQETKITRIKSSGELSKTFGVNVYLSAAVQETQVTVHKDGSSSGVSANPSSSNAIE VHAWKEHAGGEFKRSDVHFEFSKLPSGFDIQNMSTTLLPQLRQGRIRMVIEGSRRPWS GISKNRGSRPLLVERHRQSTKYFQRQIDWSRTVEQSFVPVIAMEDHKDL CC84DRAFT_1175637 MDTGGLQVSSDIRAVEHCMHQQRVGNILHTLGPCTEHGQFLSVG VDATVFGITVEAGLELEVKVSERSVAYRGSTNRIVFAYRVVRIKLKRDGEVKYRYKSG GKYAEVDENSGEEEKWVV CC84DRAFT_1164002 MPPRAKPKRPWQEVAKEAQEHRDSTLNALSPPAPKLPTELPRNV LPIAPELLHPNVIFITDLPPEQLLTFLSTGQVSAVDVTTAYLQRAAVAQGLVNCLTEL LPTQALTRAKELDAYFQEHRRPIGPLHGLPISVKEHIGFQGLRCTTGYVSHYDNIAKE DAHILQILRKAGAVFHCRTTIPQTMMHLETDSNLYGVTNNPYNRNHSSGGSSGGEGAL LALHGSCLGIGSDVGGSIRNPAANCGVYGIKPTAFRIPTDGWGYIMAGADTVESVLGP MSTTLSGLRLFMKTILDSQPWLTEPALVPFPCREFQLPQDRPLRIGVLWDDQIVRPHP PITRALRTVADRLQIQGVEVVDFNPYLHEEAWAIIASLYFTDGGEADGEAINSSGEPW RPLSEWIITQNTCVKKLDVGELTYWLEEREAYRKEYALHWNELGVDAVLCPVNPGVAP KHNTAKYWSYTSQWNLLDYPGVAFPVTKVDKSLDKWDREQSPLSEHDTYNRELWDPEA FDGLPVSLQLVGRRFEDEKILTILEHITEQIKLPFEQFP CC84DRAFT_1164003 MPPPPRRRGGGRGGRGGKRPGSPYTVRNMSDGRPPRATQEQGQE PQIRTAPADSKPPLVVEGQKPYSDMKGILHPGLLEGVDAMGFKFMSPVQEKVFSELPS LSSDCLVQAKTGTGKTAAFLLPAIQNLLSGNKPPRGGVAILVICPTRELALQIAKECQ DITARLPQKLECHTAFGGTQRASSLKRFITGNPSILVATPGRLDDILGEKEVRERLQG LKTLVLDEADRMLDAGFAPAIHKILKRLPPKSDGWQGMCFSATLPERVNDVVKCVLFP GYTSLSTVDPNEAPTVARVPQFSVSLTSIKQTFAALLAVLQKEHESNPDDFKVVVFGT TANGVGMLYDLCKVALPQFRTFELHSRMSQNARTRTTNEFKEAPSGILIASDVVGRGM DFPNVSLVIQLGLPSDAEQYVHRVGRTARAGRDGRAVIILFSNESFFIHTNPALPIKP YPTDIVAELQKYQPLVEKSFPRVDEVAKAKAYQAFLGYNKTYLKKLRLQPKELVQVAN DYAYAMGCPEPPMIDKKVVGKMGLKGVPGIRIGSTY CC84DRAFT_1205271 MASPSDAQKYLRASILHSRIHHRATYTRFSCSFVRSLRFRLLDA MRSPTVLAVLAALPAISAQVTSAPATRISTVFSTETGLPCSTLKGPTPFVIFGITTSF QFTYTVTQNNTLPPSTFHSANTVTVTRNSTTVTSLLTTTATATITSTVTPAVVTVSPS PGWLPLQGAQAVASATPLPRIARGALEGQSMPFNLFKRDEPITRQIGWIADPETGARV PIERTWYTLAVFCPVRVTQSLEYYVTDPDVTTTQQATGTAVATTTVTTTTSTIVSAPT PTFWAACQANNIVNHIDYDVNGKPLYFDGVYFRRGSGNNTDINEIGVDATNAVDCCIR CQSAPEGCSEAFFVPGEGKCQMRLRRTISPVAGVAALVVPSEFPTGTATGALAASTGG VNINAVCPGGSMSDYVGVIRGREGFNPKFAWSFTNGPCGRLSVNTVPQDFPANVTVPE VGSAESGVATVERPFKRAVKELSG CC84DRAFT_1243791 MSRSTRLASGLLNGCCGRKYTGQQRRERQTRQRAAEGSVSVSGR AQREDDRSARWIGCARDLEPAELLFPWPQTQGRRKGARPNQARRVRLPRARTQRTSWR AIAIARCGARSSKRCDGSSRPVRLCSSPAYRRLQEPTNHGSHETAPRLQGTTPAALSS PPTKPLHAAGLHGSTWELFQPGTARTQVRCRQSLQRSPIAMQLTLTVALLCARWEEMR RTETTDRTPAAPTPPTCLARGYQIARSKSLAQGTDCGAHLPLAHARGNNAVPPPRTSP SAAPRQQPTSRCQSAHQPLPSDRRIR CC84DRAFT_723540 MAATRRHASLQIFQDPIHPQDHHEVAAFDPQYLDAFPPVTDVSN DSHTLLAPPQAFAQERSPRKTRHVSSSPPPSALAEKDLNSVSLPPPREPQFETDAPMK KAPRPYGGQAHVMAPNPHFFASFPGHMDKENVYALPESSLPKLAAADLPAKPMSKRPL GDAAQPRDRSKKPKIREARVVEEEGPLPGPDEMPQVDDDGLKPPYSYAQLIGMAILRA PKRQLTLAQIYKWISDTFAFYRNSQDTGWQNSIRHNLSLSKSFNKMERPKDDPGKGHY WVINEGFEKQYYKVRTTRRPTNPDGFAPVYPQEMARPTTSASASFPPLSSSKGFDSSK FPEETELSSDATIPCSDPAAHDGHDPATMLPPRRLPSSPPPADIRSSPPAPVSRSLRD DTPPRAPRFPPSSRSGGRKRKFGDSGYYSSIESSAIKLGPAQTSEADLDRPSIKRGRA EEEIARIRGSSYDSPTKLRPHVKQPSGHSLISSPSRPATKVVDPLTPAIVFKRPALPP ASASPNTNLRNHRNKMRELVGGSPDKSLATWVDTSFLDFKTWSPAVNVPNEESVNLVG NGFESTFDIFGDFDYQESPLKKSAKRPRLERAVTSTGALADVTGTKSNMNITNSPTPN WKLSSAFLNIPNFSPIRNASPLKAPMLKPPTSTPLPDFNFPTLDQENAHTGLTPLKSY GRLPDMPNEEEDFSHLLHSDESEPGIDLLQGFAKIGARASSSAVAPNGSPQKKTATRP GLARSVTTRF CC84DRAFT_1164007 MPRTATINRDTNETKIQCTINLDGGELLPFESTPFWDAIDKAAQ NGDKDHASQTSTSQAISVDTGIGFLDHMLHALSKHAGWSLRLRCRGDLHIDDHHTAED TCLALGTAFKDALKGSTGLARFGYAYAPLDEALSRAVIDLSNRPFCVAELGLRREKIG DLSTEMIPHCLQSFAQASGTTLHVDCLRGDNDHHRAESAFKALAVAIRQATTVVKAWE GEVRSTKGVLY CC84DRAFT_1092476 MAAKPIIQGQEFPVITPTSIKDIDEIPVSVDQERVRVLPGSTKS AASFQFDGEDHTLGNALRYIIMKNCSPDVEFCGYSIPHPSEAKMNIRIQSYDDVDVFD ILEKGLQDLMDMCDVVTEKFIIAREEFRSNKMQS CC84DRAFT_1216827 MTINPTYLAQRTRSSVNWTDAKKRVLHSYRDWLRSAPEIQNMYT LSMPVSALRTKMRQEFERHRYVNQLKTVDVLLFNSHQEFQETLNYWKQLSHVLKYFRT EEEPKARLPSNFINGFLEGRNA CC84DRAFT_1091421 MPPVRTARASKKAPPEGFDDIEDTLLEFAAAMKDAENASHDGKK KYEMTWPIFQITHQRSRYIYDMYYEKEAISKQLYDWLLKNGYADAMLIAKWKKQGYEK LCCTRCIQTKETNFRSTCICRVPKETLKEGQEVQCVNCGCRGCASSD CC84DRAFT_1164008 MRLSPYDWRRQAEPIPAPTRVNLGPLTTVFVPPPYCSRAALAGS QAVLGQSCNPVNEKSATAADDTGCWPRATAYPVNAKSKLAGLGFYSPGLTCPQGHYSA CTAVSTSKGQTAAAPQVTGKFQFPLVAGETAVGCCPTGYTCGASGGFQTCHQVATQTS FDAMTCSGVSGSNLDDFQVPVTMDGETIATMDLYAPMIQINHMATDLPETSSEASATL AATTESTDTAEASRSKTKSGVTAKVTASATATATATDDIVKVKDFEPGETSTSAKATK TKTSASASASETDDVVKVKDVNEDEPTSSGEESSSKAAKTTSAPEKSDDATTTHAAPN PTINWNTPDPTLAIAGQATPNGLVFDTRLPPSISTLFPSATVGAAAADTTASAVPGST PTNFSTGAKIGVACAPLAGAALILMGIFYYKRRRQNAAYKEGDAKSISSDSTGASTYQ STYFGGNASTAQTNRSGFDDMNAYPAPAHTSPYNEDHGEHEMAMNGPGPAVIAPVGYG KTENKMTPADFYANPWTEKDEYETRPFETAASRFKHAHSLSDDASSIESPIDGSSPFL LKRKSTLKGRDKLEKRITGQANERHVSMEGSIVTPADRESAEHARSSQESVEWDDKGA LQRSTSFSRPRPRPKTAASSLYPDDRDSIMSWDAAMAPDMPDKPLPSIPEKGGLDRSK SFSRPRPKRDESADTLVEVEGEGRATTPVPKGVVAPPVTADGVSF CC84DRAFT_1175647 MNLNFPLTANTPALTCHVTSNYNSFVQMSAHFALRSSGAYAKNF ALRTDEFRGADGSPPCRQYERTFELTSDFQYLSTYEPIHSHRVRTQRTTCSYASENWA LQHHIRHCYRAEEYAADDSIVRFAHREQRSIKSPAELFEAISIAPPLARAGFDYRNYQ PIVQRLCPPDN CC84DRAFT_723585 MALARPSPRWLTRSRAFTAQVRSYSAPGDGTIPASKKKYIPTSG TYPLGFKAGSAHVGVKASNTRFDDLALVASDTPCAAAAVFTTNKFQAAPVTVSRDMLS KRKGDGVRAVVVNSGCANAVTGKGGIQDAVRMGLETDKQFIEDSNGEDDGQGSRSIVM STGVIGQRLPIDKIVSKLPHVYYKLGDTHEHWLGAAKAICTTDTFPKLVSKTFTLPGS QEEYHIAGMTKGAGMIHPNMATLLGIICTDAPVSAPLLQSALKEAITKSFNSISIDGD TSTNDTVAILANGAAGGQEITSSSSEDFKAFSATLTDFAIDLAKLVVRDGEGATKFVT IRVTNAKTYEEAKQVGNSIARSPLVKTALYGKDANWGRILCATGYAGVESIIPEQTSV SFIPADGSEELKLLVKGEPEQVDEERAAKILEAEDLEILVRLSEKYDAEATVWTCDFS HEYVTINGDYRT CC84DRAFT_1118680 MAARAILITGATGKQGSSVVNALLRSNADFEILALTRNASSPSA QSLARKSPLIKLVTGNLDDPSSIFASARSVTKTPIWGVFSVQTPFGGKASTKTEERQG KALVDASLENGVSHFVYSSVDRGGDAKSAKDPTNVPHFISKHNVEQHLFAKAKGSDMT WTVLRPVAFMENLVPGFLGKVFNTDWKMVLRPEQKLQLIATSDIGFFAAQSFLQPSSR LYANSTLSLAGDELTYAEYAKIFQERTGEKLPTTFRLIVKLINFMVKEMGNMFVWFRE TGYGADLEELKRLHPQIKGFGEWLEKESAWKKGGEKA CC84DRAFT_1175650 MKFSQKSTLSAIAAITAFVGRTQAVGDCDGGGPWNSNPVGYDTG GEWCATKWKDGAAINGIQGWANGDSVNGIEFQYTNGVAPERFGQMTGDKKEWLSWDTT TDTVESITMWPNGYSDVRRVAAIEIKISNRDPWRIGTVPPKTQGYDSPVVKGAGIIMG AYGRHGGSIDALGFMMLDSAIKNRNVNDFALNEDSVNGNNEALKNQKPEAIDSFSQEN WTGENMTYQVDRRIEKTRSWSTTRTSSKTFGGSVGASIDFGLPAIGLGGSVTTEFHWE TTNTWENTEEGSDTTELFFSVSGNIPKGEAIYCEALAFSGKYDLDYGSTIELELQSGR TWSYHEAGTVKGVAWSKNENKCISYKEGESGPAVLEAKLAEWKQSPEKEEQERQEREE RAEESVKEPEPTSSAEASASATESATPSKTKGATPTKTEDSEPTPTDEPTEDEEDEEE PENVDDEEAVDGDVTNDDEVADDEENVDDEESLDDDESLDDEELVDDEEPVDDEEPVD DEEVADDEEVADDEEVADDEEVADDEEVADDEEVADDEEVADDEEVADDEEVVDDEES LEDDENLDDEESLEDDENLDDEESLDDEEVVDDEPVDEEPLDEEPTETTESTATAEAT FGATIDLGGATAEPTATEEPSTSTSSKARETTTARARTSTRHNSARAEMTPAPAAFDG KRSLFFTA CC84DRAFT_1175651 MLQQPPPQVNIPASAASSTPLTVFAVPVLSGKLPSPPLAAGIGA ARSFPSPLTELSLPVPSTTTILRLRNQQLTATSEETMLEAGLLDVVKAGYVTTKVGDL FMNGFELKSSGEVVDSALIEKERHGMPLEGAPDVMSLGEDEGMLLEGDEVVVIIDRDK AAEIPNEAENLAATKNQEDSVTLERDEHTMLLDASDSILLSGHDGSPVLVAVDEARGY WETLRLWSWKNAWMPTSNHHFLPRNLGFQWVDKSGNVPYGWFLISVVIVGVNNQ CC84DRAFT_1216833 MRFLLPFFAVVTVACAAVVRRDLGTAGDVEVSNGLSGTLSAAPP VVILREAIPDPADVEESLNSARDDKDVVKLFVKKGTKGQYYRYTKRDTDDYICMNYQR LVHEEILSIRQEVPPRKKGHVRCEYYADTDCGTAFGKMTHEDEWAQGDLSKEPRKAPG EDPILGDWADRIRSVQCFWIRAAARRAEESGSLTPISVRDNTDVVELHTKLGNKGRYY YYSAGSTDDYICMNFKLKKGDSILSVRQRRFPNHSNVRCEYYAEADCGAAQGQLTRTG DCEQADLEAEFRKTGDIVLGSWKDQIKSVQCFWVRSTVRSTEETGSPLEFANAHDDVS DTSDVRALVDDTPITKDLELRDALNDDNVLTRLYDAENFIGRQLAHATQKDAEREYCI KTNLEKGVFIRSIRQNKDSEDSWFVCWYYDDDHCNSDWNPHGVFDPIYLERDASIQFN FAYKIMSFKCRYSDPSKRAAGSG CC84DRAFT_1118684 MLMKTMSRPARYDFKTYPVAHPSAIVAGDKYRFTVLTDGLLRFE WAADGHFEDRASTFAVNRKLAVPDFYVWDRGDVLEIVTKRFHVVYNKKAFSAGGFVIH LKGAITAKWTYGQEVSNLGGTTRTLDQANGRVHLGPGVLSREGFAVLDDSKTMLFESD GWIAPRRSGEKKDRSDEYIFLYGHDYREAIRAYFAVSGSQPLLPRFAMGNWWSRYHRY DEKEYLQLHDHLNKDDVPINVAVVDMDWHLVIAGKEIPPGENGWTGYTWNKELFPDPD AFVKKLHERGMKVSLNVHPADGIRHWEDQYKEVAKAMGIDPKSKEPVRFDCTDKKFID AYFDIVHHQHEARGIDFWWIDWQQGEGSKIPGVDPLWVLNHFHFLDIGRGNERPLIFS RFGGPGAQRYQVGFSGDAIITWDSLHFQPEFTATASNIGYGWWSHDIGGHTAGIHSDE LTTRWVQLGCWSPILRLHSDVNPFNSREPWRYNDEACKIMEDTLRLRHRLIPYLYTMN ARSAINDEPLVTPLYWDYPEVDEAYEVPNEYHFGSELLLAPITQPRDNTTHLGSAKAW LPPKRYADIFTGVVYYGDRSLTLHRPLTQTPVLAPEGSIIPLDAAWRPKSGSPNPEAI ELLLVVGADGSFDLIEDDGKGTTVDDGDFQLVSSATSSASDDDNGMDTDTKPNETVRF VHTPITYKQDTGVLKIGPVYPADDPAVPKSRQWKIRLVAHTPASSSTEGAGIRCITTS AKRAKSVAYTATSDATGTKLHLASVPTSQAIILELASPGPQLDVVDPLPIIWNILDQA SMELNTKRWIWDSVFANPGKVEVMVNKLQTMGLENALVNAFVELLCADDRYAETGRGG GKGVGVGEE CC84DRAFT_1144890 MLPRSLLLLLTAALATSSSNTPEQSTLEAPPFTEFPWIQRFASI GDSYAAGLGAGDRLDFYCSRYAKSYPNILHTSLLGYNKNRTHQFLSCSGQTSTEILEI QVPTLSDDLDLLTISAGGNDIGLSPILSNCVYQFYMASEDDCKSSIQEAAQRVASKDQ LYKNITTLIDATKPKMSPHGIIYVTGYAGFFGVDDDTCDNVTWAVWSTVESSKQYLKL ELRQALNALVRAVNTVLEEVVADSGPGVRFIDYDAHIEALRGRYCEAGVTEPAPNRPA LAFYEWNTVDSGEDPKKLRNRTGEDVPKGTFEGDIAERINKTLKEHPEWEFDPEKGFV NKTKAGEVNGEGAIENTIHWLLPDSWKRVFHLRPEGHGTIARLLLEDLLRNGDGREKE RLEL CC84DRAFT_1118687 MTTPDSQQSLQDEIADLERRLQDAKARLNAVDSPTTSLQTTSDV ALHALLLLADSALPLGSFAFSSGLESYLAHHKPSPPSASQLPSFHVFLRLSLSTLAST ALPYVLAGYRNPADIETLDNDFDASTPCTVARRASIAQGRALLTVWERSYKSQYSSTA TEISGDDSSPTQGSAAAEALISFSSALRKSDLINAHLAPLWGLVTCVLDVPLRDAAYL FLFSHARTVVSAAVRASVMGPYQAQAELASTELQDRIRGLIEEGWNRKVEDAGQSVPV MDLWVGRHEKLYSRIFNS CC84DRAFT_1144893 MSANVLSNRDTNAQMKPASPQKKADKPEPKSLDAHRQELASRLK ENDKTQQYVSPSDSIQSPATQKLSAFRNKQITKKSKPQTLFKTTSSKKIESAKGTAMF ADIPKTDQSTNNGEVHAEA CC84DRAFT_1118694 MATHITDKILAFCREPRSVSSEYAKDPTKPPGHYADQLYGKERT AVTKQQPPHLRKPPSKEEILRARQCGNWGDSEPSDLFLQCYHDALCSLEYDPLAGMIS PSLMGSNGVLPLTIIAPLPDICRHMSNVIARAEHEVFLATNYWITSDASRLITDSLLE LSKRAGARGQRIVVKIMYDRGNIKQVKENHQPVTVEEYTGKAIKLPHPHEVPNLDMQV QNYHRPALGTFHSKFMIVDRQIAIVQSNNIQDNDNLEMMTHLEGPIVDSLFDTALLAW HTIMEPPLPRLHSPASAGRWTSFDDLTFQSMFSGAESGMGLRRELMPLHAPGDPHYDP NIASEVRRMQDALAPLPGETRAEAITRHLNFATKLSQNGVVPEDSLDKEMTPMIPHRP HASFPIALVNRKPWGALNHKGVNVPQNEAWLSAIRNATSSVFIQSPNLNASPLIPALK EALERGVDITYYVCLGYNDAGELLPFQGGVNEMVADHLYESLDKASKQRLHVHYYVAK DQMAPIHNSFKKRSCHIKLLIADGHVGIQGNGNQDTQSWFHSMEVNIMIDSEQICGEW RAGIERNQNTHQYGRGSQVDGIWRDESGNEAEGAVGKDPGKFAWAKGVVGAVQRVRGA GGF CC84DRAFT_1243827 MVGRRYMSLGPTRPGKRHNSWIWPMLGMIATSALGIALTIMNAR DWRSSEHTANIVLANRSSIAVAVQILSSTLGFLQIHALCYTIASSFRVSQLIRAYSLD TLRFVDAISRPTVAWHGRWPLSLASVVFLGFSFVPAALWSGALTPVVAEKHVWRAIDI PTFMSSNYSDVEMFKARNNTASRRIDGYGTSPVWITSEGLFTFDLSLSSVSGTFQGLA SASSNASHTDGVRPKFDSSGYRFNGRSYGAGASAGLIDIPDTSAPSWYTYYEVGLKTN TTCMRNQSAKFSIAYAETDDAHFRHEFTSNGTFANGVRVPDHYPMLAPQRQDIFIWAV GHAAPDMPAANKSLVSLAADTTTTADVWDFHQFNNVQCEVTYEATNFSVKVDVIEKTV KIADNGQVPTPEWANVVLQHLDDPFSRYSGNDRIVFGSQLGHSIVLNINQLRMLPEFK DDKSDRTLFQGLKDYIESLFDNGVGMLSATRLIGANQTVEVPALVGLPAVTYGKPAFV YVLLGINSVVLLVFLTEAMRTRFWTGMSNLQLADVGSVIVAASQGGNALALKANGRSK GNISEIMVQLIKTGDENREAIVLPEDAAGVRGDESTRGSNETVRLVPLPAQAYNDT CC84DRAFT_1243831 MLPFVLTALCAHLATAQTDPYANWPSYADLPLNASFPPKAAWGV WGNDDVHGALNHITNDTRKKSAEEIQTGQAFNLNLELSFFPQPANIERKPLVHLFQPG DGYTDDVITFNTQMSTQIDGLRHFAYSIDGNTSTYRYYNDLIPDYEHVIGHDYTSVLG IQQAADKGIVARGILLDYKAWMDSQNKTYDALSGWSVPASDLEKVAEWQGLPSNWSRP GDILVVRFGWIEAFGKLNETERAIIVRGPGEWVGMKADDESAEWLWNKKLAMVGADNP AFESTPFGHVIGGHDGMSLHQLLISGWGQSIVEFLDLEKLAPALHALNRSSFFMTIQP VNKIGGIASPPNAMAVI CC84DRAFT_1164015 MAYGKVRGISKLLGEIPARIYPIESNASESRAAILTVPVDFNAG DELDAKLVEKLHHDFNEAIDEGRTYPQEDIMDVEAYKNYFMSYDLVLGLILTKAQLES LHSTSGVPKLGVPLSESQLSNVKVGSSKIRALSQSSARASIDLPNQADTYAFVYYIKP NYPGRSSHLCNGGFMVPSGARGLGLGRIAARSFCFYGPAVGYRGSVFNLVYASNEASV RLWTKLGFTNVGRIPEAGRLKKVGGGGEEYADAWVVHGDFRKIGYRDNEEQGGVGLVT PAAS CC84DRAFT_723788 MTVQVSLPLHAMESLKPMHLPLELVLNVITCSLPKPNVLLRPAH PITQLLLQFTLVCHETRRVANRALREHCVYLSSQARLCSLLLAIPTARPDLRQITALF LAPFRGSIDDQPTATWVRELLQYTCSTLKRLIIDIPLRSVYPERDHLGVRNILREGFQ RLEHLEEFVSVQDELFLDLYWHGGEHAFWTRWSKLRRLAVYNPASHPSFWRDVAKMET LETLVMTRADGLRDYDIKAQYFRHCNKPLKVLLINIDSQQMRYGNFRRGHWDGTDPKK VMTIMTYSIPLFMDDDPIEVCQDYVRIGAEHGTLWDWEGEIIQHPPRFEQPSRPTEPV SFFDQ CC84DRAFT_1144902 MEFEPKTPQYNTSDPTSFAHTSARSRWPIIITQGIDDVHRSIYA TQDEEKVKEGKWIVSELAGLKYELQHDRELSPIPDDGEPDVADYNKELEALKPAKWHS VPWLYSECYLYRRISSIFKRTQNWRSYDIFARQKMSTFKSSRPAVIELANRYRDIVTE LESKHTIKGAKTAEELEEAEKVLFVEMCEICLWGNATDLSLLTNLTYEDIQKLQGSEA RKKSEKNILVNDLDKAFKILSTAQKEGKKERRVDIVLDNAGFELFVDLILAGYLLASG LATNIVIHPKSIPWFVSDVLPQDFAALIQALADPQGFYSALSEDSEDSGTRTPPLSEA EVDALKYLFQHWSGCHAEGQLVMRPNTFWTAGGSFWRLPKTEPSLAEDLKQSQLVIFK GDLNYRKLTADANWPATTPFTEAIGPLGPGSGIRVLALRTCKADVVVGLPEGEDERIK ATEGGGGDSGSRKWAWSGKWAVVQFSDGKA CC84DRAFT_1164018 MVVHLVNHTHRTEPPQKVYLKPELRPTNETLKHIHGVLASNSPE AGILFMMLEITLPILGVIAFLTLMLLIMRHVTNKLYPNNEEDSEAGDIELSSLGGTSV NSDGTLVNPRKLSKPGSPKAVDRFPDSKNGGVFAWRLAMEKPKQP CC84DRAFT_1090790 MAKGLRSSSKKSNRTKLRARVFEPVENARLERIHQKLLETAQQP KPETAKEKEMDVDSAEDADAAANDASKEEDFPKGSFFLSASIPQSLCATSTSIPDAKP APAHEDLESRTLYDVLGLCSDIVGFTPTGALEFAFDPLAPEWLNMDVDGERSGSKRKH KDRKTIHKEKMLRRKKPKNQISFPTSRGKGALKPFSESKVRKRRS CC84DRAFT_1144908 MASSSLQQMAPTLITRSKTLLNKDLQKICRAEGVATSGVKSQLQ SRVTDLINKSVVNNDHALFNRLQHHISNGPGAPAADYGSYPPRSATASSPSMPSGRGS MANGYQPPPYPNYQTPATQARPNYFFKESPFFEIREMLLNNITLDTSPSHRQSVNKNL MLNDSQSARLRSDPTLRVLLFCAVEQPLAPFTRLDIAFPSQIEVRVNMDEVKANYKGL KNKPGSTRPADITAFLRTTPANYRNSIGITYALTHKKYNVFIYLVRKHSIEELVKRIK DRSVITKESVLREMRKKAEDPDIEVGDSVMSLKDPISTLKINTPCRSTLCTHNRCFDG ESFLQLQEQAPTWTCPICNKIISFEALAVDEYVQDILKNVPRNTDQVKVKADGQWVNE KEPSAPKRQSNGNGYGFGFDDDDDDDSEEGLVELPDYRVAAIKSEAVPTPQSLPSIRT PPAPSREASSAPRTGSKRGSEVIDLTLSDDDEPRPKKVAYSTPNSVPDRMRQYQMHSY TVPQRESVRMDPPLAYSRHSFSGYRDPQSHSPQTSYSGQGTSAYPAYIDSSP CC84DRAFT_1243839 LYLGIAVRIGANGTLDIGIALHDGTYSIDFEVTTFKLKSSSSTS SSAISGTSKELPGELANYVIGKLEKLQKEHLYKFVGAGVNKKALELNPTFAALLWKEL DIVPLVLPNQPSPDLLSQHGRHDVTVDEEADSMARKALVEFGPANQPRLLVGTRKNMV EVDSDGRTQISVIDDYMDTVNKETTWKATLKYAESLKERKVKVAFFNSTPQGGGVALM RHALIRFLRILGVDCEWYVPKPKPEVFIHTKNNHNVLQHVEGAGPPLTREEAQLLDDW ALHNAERFWIIDKGPLAPRDNGGADVIIIDDPQMPSLVKIAKEKDPERPVIFRSHIQV RADLADIPGTPAHQVWSWIWNNIQAADLFISHPVREFVPKTVAPAKVGYMPATTDWLD GLNKNLSDWDQQYYMLEFATACFREQMTTLAWPDRQFIVQIARFDPAKGIPDVLAAYA ELRRNRMANFDTEHIPQLVVAGHGAIDDTDTQRIYDATILELHTKYKDIKSDVVVMRV GPTDQILNTLMSTAHVALQLSTREGFEVKVSEALHKGVPVIATRVGGIPLQVQHGKSG YLVEPGDFKAVAQHLYDLFTDEVLHDRMSRYAATHVSDEVGTVGNALSWLYLADTLSR GKKLEPSSRWINDMARENLGIRYQNGETRLPRSENLDLMGDETRG CC84DRAFT_1164023 MNHYPPRIGPPAGAPSQRLNELLDQIRAEFETESNRSVDYEGQI TRHIQEIEMIRGKVYSLEQQHVQVKAKYEEEIARLQRELEARGGPSQNSQHHGPSQPP PPSIGHGPSNLFGGIMAGNNQGGPGLAPPPQETQQPGGLPPHLGAGPQGPPGLNPAPG PPQHFGGYGGSAPSMNGYGQPPQPTASPGGKRPGPGPRGPPGPATPQQNSAAPYPGSP QVPRPTPPPHQQHPLGPPGGPPLRESNILADLDLESLPANLKKEEQDWFAVFNPRARR VLDVELVHNLPHQSVVCCVRFSADGRYVATGCNRSAQIFDVETGNPIAHLQDTSLPED GDLYIRSVCFSPNGQYLATGAEDKVIRVWDIASRTIKHQFTGHEQDIYSLDFAKNGRI IASGSGDRSVRLWDLETNQQVSNFSIEDGVTTVAISPDSRFVAAGSLDKSVRVWDIHT GSLVVRLEGEQGHKDSVYSVAFAPSGDRLVSGSLDKTIKMWELTTPSRLIPGAAPSGK CIRTFEGHKDFVLSVALTPHGDWVLSGSKDRGVQFWDPHTGVAQLMLQGHKNSVISVA PSPTGGIFATGSGDMRARIWKFDRYTGP CC84DRAFT_1175667 MLAGISTGGVEAHCAKRLVGEPMARSPCRWQQRMRRRARVGPTS AHTWRTLAPAVRRGYCGYGPRRAVDDEGDGIETASGCCSGHGARRVARAAFSKRERAG SSVSQHRATRPTGNHLIRPDGAHARQTRTRSDAAQSRARCVPASLLRRSRLPAAGTGS GDCAPASDVSSSTPQQQPHCLTPSSATPRRPPPACSRPRRQPRPPLGRPRAIPPPRPP PYAPAAQISVPATTNSITFPNWS CC84DRAFT_723945 MPQEAHPHTMQLETNPGAATKPAARLDRTPVPSPIGIKMPRAHA EMPTWSNPGPLSRLSHPPSAHAHGWHAAHTLCTWQGSLSQCPSLLAHLKTACLAPSIA RSSFITPWLHGDCSPCSASPRSRSPASLPVSSLFGILHSTVILAPRGMSRIAFDSQIY VYVHPPNSPPTTSGATRYTLHLLS CC84DRAFT_1090600 MAAVVAHQPGLWQHRSDQHIHFPNINMSDFTSSYDESHRSVTNP PVSRPFQTTSSHLNMHGLPIFSAPAMTTSMPYQPGAFAFDSMATNPYNMQQAFPVSYS QAMTQGVSYPTTSDMQPVPIVRTARNGFTSLRSPTVKSESTSPVQSHHGLHEMSYGDE YKRSASEASEGTEGAFATHVDTLMRAIQAKQSVASSEDPAKEDENKPGRRAAKRYVCT IPDCGKAFYQKTHLDIHRRAHTGDKPFDCKTCGQSFSQLGNLKTHERRHTGERPYTCE ICGKAFAQRGNVRAHKIVHQQIKPFTCKLDQCGKQFTQLGNLKSHQNKFHAPALRFLT QKFACINPGDPVHREDKELWEYFASLYKNSNKGIKGRGKDRRIATAPASAAGSSSSYN GTSAPMSRDYSGYQHSGSDRSSRCSSTISDHAMKADAGYDFNAPIHSEYQPPSVYDDS AFPERRLY CC84DRAFT_1205293 MGYEILGALCPLCLPASWAPKQQSAPRLRNGHRVRSVGARAVTF TWDARCQARRWSFLLREVGMSDLSLSGYTGYPVSTCSLTSGKNVITDHTPGMLVSRAS SLAKGGNPPHAATQNHSPPDQR CC84DRAFT_1175670 MAAAKGDARGPTWWMALWFQLKGSVPVMVRATPTMEACSTALQR ELSWAFPAYGAQSEEYRDTGSMSYRRKFTFWGCARACCHSTDRECKEEIDPATRFVLS VAATP CC84DRAFT_1144920 MKFHPAVPALFLSIVPVLVHGAPAPEAKANWVKSGRGHRVIPHD SRQAKRQYLNTTSPEAPGCGDAAPAVTAPIPNVWEGFTDEEAASVVYWLFEQSDLNLT TSEKAGEWDNSVLLVELQRPNKTDVVAYLDGSGPAPDRYAHVLLNIRATEEPYYADIL VGPLPVIANVTTWQPLDYYHTRKTEGRVRNLSANDDTLYEKLLYPTSASIKDITLELW GGSALGYDNDTITMWGIDPMGQEDGRITSWYMFVHKSATGFDTTSILSLGLYFLVDQS GRDPTQWKVLGWFYNDIWYDSTEAFKNAFYSPGFVKLPINIDGPWATTDQSGPILPKD TLSPPMAIAPAGSRYSVDVNEKYVEWMDFSFYVGFSRDTGLALYDIKYKGDRIIYELG LQEALAHYAGADPYQSGTAYLDSYYGFGPYAFQLVEGYDCPAYATYLNSSFYVDETTH THVNSICLFEFDADYPQQRHSTTNYVSSTKNTYFSLRSVSTIGNYDYMFTYSFYQDGT VGVEVRASGYIQGAYYANNQDYGWHIHDALSGSMHDHVLNFKADFDILGTANTVQLVK NVATTETYPWSQGKPRNTMKLQKSFIESEDESRINFGENALTQVIIVNQNETNKYGEN RGYRILPSSGTAHLTVQNSSSAVNSANWAGYDIQFTKQKDTELSSSHPYNSQDVNDPP INFDHFFNGESLVQDDLVAWINLGMHHIPHTGDLPNTVFTTAHSGVQFMPLNYLLGDA SRQTVNQVRINYDAGKATAVETFGQKTDTCPLNNEIVDVNKELWEYVGDVVVRKYPYD PNHPFEEVLGID CC84DRAFT_1118719 MDLVLEAFDTFLFDPLYATFLPAKTPGLAPNGTYPSLKEVPTTA AYAAQHTWTYEPASQYLSFTPGKDAYMSQWPRDDWRRQLLTLFLITWVFGLVIYYVFA TLSYVFVFDKATFNHPKYLKNQISLEMRQTNIALPVMAVFTAPLFLLEVRGHSKIYDS FHEAPGMWYNYMQFPFFFMFTDFCIYWIHRGLHHPLVYKRLHKPHHKWIMPTPYASHA FHPLDGYAQGLPYHIYPFLFPLQKFAYVFLFVFINIWTVLIHDGEYVAENPIINGAAC HTMHHLYFNFNYGQFTTLWDRLGGSYRKPADELFQKELKMCQSTWKKQNQDVDKMITE VEGSDDRKYEPEEPKKVR CC84DRAFT_1144926 MIPSNLWPHTHHDVTRFYHEQYAKDVQAGKYQPILYPWDTLAVL LVIIYMLIPHQNRAWLRKARFFIFAINLMYTAYLIKNVRAKGVANTLGIGLIAAWGTM YILAVIVCNDPQRDFMRIERTEGMFSSDRAPVNGTQNGNARTTSNSEDSNGVLKDLPE TKESLGPSRRHGEFAWQAYPSTPFIERLDWVLDLFANFRGANWNWRTISTPPPPKPVQ EQLLRNSVLQPKYNFRTHPSQSRTYPTRREMLIGNTKTLVTGYLILDLIKTIASHDPY FWGYIDRAPAPYLPAFLLNSPVLIHALRLVICQFGIKWALESLFALSPLFFSGVLGPA VLGARAEPWIYPSTWGSYALVLDNGLAGWWSGWWHQTFRFAFEQPSRKAIEVLGWNPK GLAARALQLVVAFGLSGALHASGSVTCHGATFPLRGPMQYFLLQAAACFVEGVLRQGL AGSRVTRSMPQWVKRTLTFVYVHVWHYHTAHLLCDDFARGGVWLFEPCPVSLFRGLGF GAEGDGWLAIEALPSWHRGDTWYKTGITF CC84DRAFT_1164031 MVNITEKIKEIETEMARTQKNKATEYHLGLLKGKLARLRAQLLE PAPGAGGGGGQGFDVSKSGDARIALVGFPSVGKSTFLSKITKTKSEIAAYSFTTLTAI PGVLEYGGAEIQILDLPGIIEGAAEGKGRGRQVISAAKTSDMILMVLDATKKAEQRRL LEAELEAVGIRLNREPPNIYLKPKKAGGMKITFQSPPKNIDEKMVFNILRDYKILNCD VLVRDENATVDDFIDTIMAPHRKYIKCLYAYNKVDAISLQHLRELAREPHTVVMSCEL DLGIQDVVERCWQTLQLMRIYTKRQGVDPDFSEALIVRTGSTIEDVCDQIHRTLKETF KYAMVWGASAKHVPQRVGLGHVVADEDVVSIISSYRA CC84DRAFT_1144934 MAPAGKRKRGDRSYSYDEEHSSRPSPHRPQNLAMASPQQSNSPR GGRRGSRGRGGYSNNGTHNPGSAQQSPTTMQPPANTPQQTAPRPAPATPSQSPAPPKE PPFKPASRDVPESNEYLTPERVLQWNAAARSAVVQAAIAAQQAGDGFNLNIIFFEIIH AAGEGLMAPAELGSVVRDIVTAPTDDLIDPVVHFLDCISSYAELDPKREDVKKTQPIQ HLLIATEIDPNQMRRQLEEKLLEQTELVRGTFHVKGVRATTKAMYQQANYNLLREETE GYSKLMTEYFTTVNSEPPRHDVVSDTFERVNALIGTFDLDVGRVLDVTLDVFANLLVK HYRFFIKYLRVSTWWPTQLGTDNVEWQEPDVKTLPNWALPTSQNWYYTDEEKEEQLRL RELRDVKFWQRVKELGERNAIKAFFELGARPITRTLRESDKSNPDTSLVSKKSATQEW VDQWIEETGTLPPLGNEVAAQLLGFKLQFYASNLRDPSDVLPDNLIYLAALLIKIGFI SITDLWGHLYPQAEDLEKLQAKLKAEKEEKEAKRKGKTANALTMAGALSDDTPAVPAV SRLRDAENKPSSRPETERNTPAQSDEEPKPALPEPVDQKVALLRSLLCIGAIPEALFT LGTNKWMLELYPDLHSHIFRLAHHSLSKVYEASRPVPLNTVPVSTKGAIPNAATRASE YVPRRTLRWAKPELKDAGDGIDYRFYWDDWADNVPVCQSVDDVFLLCQSWLGMIGPEC GRDVLLLTKLARIGRKNIIDDPSAQNMKRWSDLLATFLVPALTFTGENPGAVNEMWEL LKHFDTATRYTIYHQWEQSFKPVMKTAFAEVIYKTNQILNRVANTNLGQMGRAIAKLA NTCPVKVFKQTLERGQQYVNMIKALVRCSHYLTQLGYDCLTYSLVVQITAGNKPTIQS DGMLTEGWLKNAASFVGQVYKEYSKYMDPKPILEYISTQLLNREGELFVMKVFEELIT HMGGVKIPGVMAEAKVIALFAGPRLRKFTLKSLSDDRYSGGFQKPAERLMNHFRESGL AAPILIALADQVQAYLYRENQRKVPDKVVLFNYDNLKLNFIQFLDFLRHHLNTEEFDK QIPGLVELMSEYYLDTDLAFQISRDSITAKANDFRLRSRAPVEKVSGGDAIMEDATPT ESGTTKETPAVAAAGIEDVEMGEATTSGTISGDQTKPREGYVTVPKSNAEIDMLVSKL KATLPGRFGSHPCLPFLVTFWQLSLKDVQDPKMALLKRLYQDAKDSTFKDATKNDGRR TNTTVDKVREARAEADQVMVEFEEFGKQSQLIQSSLQEEMHFWFSDVPSMDPTLHMTL LQDCFLARARMSLQDAQFSASMLFFMHKSKVPKFRLIKVLDDLFNTGRLSAMFFSMSE DESKNVGRFMNDILQELGRWHSSERIYKQFECVKVFNDEGRPKNPDSFLKYTEFRLLL GKWHNKMHDALHGCLRHGDLGDKHLKTTAQYTELRNSINILKAVAPSFPRMRENATSL QEDLIHYADEKMEERADIRVAATSLQSEFIKRQKTLRSHTMFVTGKEDPKESTPARAA TPASKTTDQDTGSKKLSAAAEAFQPKPSTTNGITSSASEKSASDERKATHSVSSMSRV NGNDTARPSRASEQSASSQFQGRDSAKRLGHGPGSASANLSPVPPRPDSRGGYQAQQS SRAGHNLPTRPDTQPLRPRPNDRPSHPPVEYNQSHGRHETRTNGPSEYGRLERPGALR GRSASPGHHSRPRSQDRAAAVGDRQEWAGREAREYEDRSVRGPPVPRDARGGPLDRNA QYADNLRDHRDNRDQRDYRTRDSTRERTDSRGPAPLPTTPADNRGRLHAGSNMAPNEA PAHRRDAPGHHNERGPLPPRPTIHTDTPGPSVNPARAALIEQGDSLDRGPPPRSAYSK DDRINPERAAFIPDDRSRNTPVRSDRDSRDPRAPFDKEGDRHGRDGRSSFIEGDRHGR DSRAAYAKEDQIRTPGGRPDRDVRSDDRDLRRGPGSDRHDERPPAAYYGNHNRPEYRD ERSQAQPYPTSRDRRDEFSSNAPTGPRSGRDGISSSHVSREMFQPSQSSRPVASRQDP SYGRLNQPAESIPSGPRNPSSDSRDMRSHPSTSNPNATPVTPQSTGVHPSRMGLVNSF DGARGPTGPPPPPLQTDISNAPSGPRGSGRTPLPSPSTRGPPTGPAGPDRHVRRQDSR TALGAINNVLAQSGQTQASPPAAPAPVERSGERSHRKSERSRDDERKGDERPREKRDS SRRDRTEREPRTERDGSHRERSERSDRDRRGDDRRKEDRERDRKGEKRSREPTDQPHG ETKRSRR CC84DRAFT_1118732 MTRFYSCLLGCTLIASVFAIETAEDVPRYIMYLTGQHQVVPPPP FVNAVTHVAMAFMRSEIFNEPDRDEWPLFTSVKETREKFAKDTKIMVAIGGWGNEDGF REAARSESRRQQWAKGVRKMVEKTGADGVDIDWEYPGGNGDDYKRSPNSAHTWELTSY PLLLSALRTALGPSKLISAAVPGLPRDMLAFTSAHIPSIMASVDFLNIMTYDLMNRRD NVTKHHTGIKNSLEAIDAYIAAGVDPSKANLGLAFYVKWFKTDPAWRQACTAHPVGCP AVLMEDPETGVDLGKAGAFSWHDEFPKELEWSFYRAINEGTYDKDGYFYFDAEEDLFW SFDTPDAIRRKVSQIRKKRGIGGVFAWGLGEDAPDFAHLKAANKAVQLWRGGRVDRTE L CC84DRAFT_724435 MATRTHCLYSLAKTPAHRTQWRRIWIQRRLYSCETPEDSSNHKP KPQSTDPEDATWFQTLRSEMLNRELPLERDAIEAQAVKKLDSTLFSFFPKGWQASSTE PQRTRQTANAAMPHAIGEHLIFCNPALSDDKLLPDGTDQLHSPGGSFVRRMWAGGAVR VNKNLYFDENAGWALHKHILCFERIKDVRLRGQGEKEKIFITIERKFARYDPVFQAIQ DSRDKPRRGPSLAVALKEQMRNWNQAFVTEDRNIVFMRERSAEELEDIKAGNMAPVKY LQPLGEPDFSHTLTPTSTLLARFSSLTFNAHLIHLDREFARNVEGHRNLLVHGPLTQT LLLKFIGTHLKLIPEGPHIVEAIEYKNLAPLYCGEKLRLCAKEKIAARMDDARMYDVW IEGPTGGMAVKGLVRTVRRPTHIRSNRSTSSTRIRNVPSDQAAAMDKPRQSKSEKSNE HRQPPAQESA CC84DRAFT_1118737 MAPKATKASKPSKQANAAAKAALKGVNSHKVRKVRKSTSFHRPK TLELSRSPKYPRKSIPHQPRLDAGKILIHPLNTESAMKKIEENNTLVFIVDVKANKRQ IAAALKKQYDVSCVKINTLIRPDGSKKAFARLTADVDALDIAATKLAIV CC84DRAFT_724413 MAIKKRQKTLSHGRPPISKPKERMTSQRSRTIIRTHHRLHKEHA SAVKNGDTKLAASVAKAIEENGGLEVYQAASKQGQSKDRGGDSSKVLVDWLRGANILE RPKGKSDGDDGGSVLQCLEVGALSTNNEISKYPKRIEMTRIDLNSQGAGIKKQNFMER PLPTSASERFDIISLSLVLNYVPDPSQRGEMLKRITKFLRHTDTNQKINASVLPALFL VLPLPCVENSRYLDEPLLLRIMTNLGFKLTTEKKTPKLCYYLFSLTGTSNSAKTTKKK VRDGPAMNNFCVIVD CC84DRAFT_1090333 MSDSTVFSTAEVASHSTRDDLWIVIHGKVYNVTEYVRDHPGGVD VLIDLAGKDATEGYDDVGHSEDADEILHSYLVGIAADSNKARKTKAVKLVQQSSPLPT KTQTSSASSTALKAILASVAVAAPAYYASQRELFSQIPIDKLPSLALIGGASSGSPQS PFFKGFAIATCLSAIAFAVVGKELAKFTHVEEGFGRYPPYRKARPRVAPANPHLARGF LDAKEYKPLKVAKITKISPNVFKYTFALPDPRDVIGLPIGQHVAIKATINGKTVTRSY TPTSNNIDTGVLELVIKIYPDGALTGNYFANLKVGDEVLFRGPKGAMKYTTGHCKNIG MIAGGTGITPMYALIRSICEDPRDTTSISLVYANRTEDDILLRSELETFARRYPKNFK VWFMLDTPPPGWTGGSGFVTRDVMKERLPTVGPDTRIMLCGPPGMIGAAKKGLVDLGF KEPGAVGKMADEIFCF CC84DRAFT_1118747 MESQQFHLLGESPASTRTVTFHKDASLEDVKDVIASHFAIVVPE GIAFERNGIAVTEVSDILSSEDAITITIDGHAVRDAPGPKGLPYVGNFFEVFPDHLGN HQRLFEKYGPLFKTTNMGRTVWHSNDPDIAAAAFAESDFFTKKINESHPLFGIKQPQA GVFLGDTDTPEWRVTHKFLPPALGPKAVRHYAPTMQKTIEEAYKVFDHFDEQGQSFNA YIYMLKLGSQAVAKLTLGVDLEHFSSPNAPVHEIVRLIAETLTLNKKVTSWGSWYASL PFGDPAALKSKQHRMEQIIIKAVEDAERGGTSDLPLQDAALTAKNMVDYALRATDNKG EKLPLTSMAHALVVATGAGFTTTSSLLSWLLYGIVTYPGVQEKLLQELVDNDFTDDME LTSDFTDKLTYLDRFIKETQRRHNPSFQPGRTAKADLILPGGYKLPKDSVIIPALHHI HNNPALWDNPAKFDPERWETPEVKNRHKAAYIPFAAGPRMCIGFNFALQEVKIFLPKL IWRYHFTKDGDETIEYDPMFQLIRPNNLYVRAERRGKWPPKTEKADSVIDAAITGQP CC84DRAFT_1067354 KGSQILTIIIVFPTLALLIVGFRLYTRCVVVRNPSYEDLAIVLA LIFSIATSICQGYQVKYGMGRHIQALTLEDGIKSLKALFASIMMYNFGLTFTKASIVL QYMRISIDQNVRNACWVAMGVVVALCVEAFFANVFACSPVPKFWDDRIPGRCINKTAM YYANAAISVVTDVALVILPIFIIRKLQLRRREKYTLAFILGLGGFASIASLLRIHTLQ KLETTTDISWDNPGTATWSVIEMNLGIICASLPTLRAFVIQHFPRVFRS CC84DRAFT_1195944 MAEGHFPLYPQAVWLPVLAFPSWILCISPMVWHLSQRNIAAGSL IFWIILLNFFNAINPLIWPRDNMEEWYNGSGLCDIEVRVQVGASVALAACTAIIARRL ANVMDTRNITVAPSKRSVLIEKALEIGFCWVYPIILMVTYYIVQPFRYYIFGISGCVA VYDSSWPSLAIVWVWGCITTLVAAFYSGLLGFRLFHYRREFHNLIAARNTTKSRFVRL FLMALYVSIILVPYSFFILYQLADTIVDDYSWSRVHGPNWNSVTKVPANGVVRWDRWG EVAAGYIAFILFGTGTDAHNTYKGILTSVGFGKLFPSLYIMSESSGVQTPTGVTFVKR WTSSWSTKAKSWISRNDSVSEPSRNESFTGSVVHSVFTNPELVTVQQQQVKPPNNTLS IFSRNLNRRTTNQSILPIQNKMSTDTDRLPVLDKTPSNPSAFIARAWAAEKYTGEKAD EIAGVHVVCEVHQASQDRSGEKKTGDDAWV CC84DRAFT_1144956 MAEAPAEQIHPLLRQRMLNRAATFSEGAQAPPAPRRRSSILSQY SDTRHSFRSSTDDLLQSLSKNDMEKLTTTDEVSLWHSAPLAFAIVPAFAGLIFQNGGA IVTDVLLLGFGTLFLNLCVRTPWEWYHAAQHTQYFDSDEPERDEIILEEDEINGEPIK DEVQASSPAAAAPLDHKDQADHGNAPPSKAQEEAHMELRNNEMLALFTCFIGPLLGAY LLHAIRSQLTRPAEGLVSNYNLTIFVMGAELRPIHHVIKMVKARMLHLQRVVRSDNNF EYSRADIQDISTRLANLEARATDSPQNTDIESLKIGATVRQGMQPQLDALNRAVRRYE KRQAAQSMQIEARFQELDARNRDALALAAAAARTGQRPGMGTMLFTWVANLFSLYIQT IWSVATYPYRTAVGVAAQISNLVTGSDRQPRKQMKGQSNVSQYAPVSTSRVQSRSGR CC84DRAFT_724484 MTRKHGAFLLDDRDELRISESVILVYHDMEPNSSFSLTPTQKDE VPRFALRYSITRRLLGKGGFGKVVVAIHQKSQRQLACKVINLKEFYPEEVMHDLRLPT CEETQPSIACGSRKRWPSKVTRCFREFRILEDLSHPNIISVEKVFWSPNTIYLFQELI SGGDLFSYMERKGGALNSIDAAVIVLQILKAVNYLHDRDIVHRDLKPDNILLTYPEDG ARIVITDFGNARVLPKSTGNDRRRMFTKTGTIEYIAPEIYEMNKTCPKGSGYSKAVDM WSIGVITANLLSNEALFGAPCYEPEPHNYTLKLAAKCDLSVIDNDDHPAWSKVSDKPK EFVKKLLMLRETDRMTVKQALAHRWFTNPYLAEEFEALYARSIRDWQPRRKVSKLVEP IAAPTPNLGLGSQIHSHYFQQPSLPVSLREVRERFTGSQDWNLSVVYPPSEGESVKAE HEEAQYEGPSRYEQYSFELGTRSGHEHASKSFNNSMNQLSLDPDPSASG CC84DRAFT_1258953 MSSNRARRIAKELAEIQNDKLSQITAEPAGAGDDLTHLRGSFPG PPDTPYEGGTYHVDIKIASDYPFRPPNMRFETKIWHPNVSSVTGAICLDTLSTQWSPV LTIKSALISLQSLLSTPEPKDPQDHEVASMLIRNPSEFEHVAREWAVKYAGAPKREVA EGSGGATAESLRKKSQDAKANEAKVALAAYHGYNKDLVDRFVAMGFDVEAVVSAFEYV GIDRNGGEDYELEEAYMGDITARLFGEA CC84DRAFT_724515 MCPAKKSDGAGRRCAGDGRGCVFVMLMLAALRADSESCRRRPSG VTCPCTPLPQRYLLHWTPDTSETVANSLNSSDVV CC84DRAFT_1118763 MGNQQSSGAGGGPGGDDKNKKDKKDKPKYEPPPQPTTRIGRKKK KAAGPSAAAKLPAVYPTARCKLRYLRMQRIHDHLLLEEEYVENQERLRKAKAAASSNQ PVNVNAEGDDRNADERSRVDDMRGSPMGVGNLEELIDDDHAIVSSATGPEYYVSIMSF VDKDLLEPGASILLHHKSVSVVGVLTDDADPLVSVMKLDKAPTESYADIGGLEQQIQE VREAVELPLLHPELYEEMGIKPPKGVILYGAPGTGKTLLAKAVANQTSATFLRIVGSE LIQKYLGDGPRLVRQLFTVAAENAPSIVFIDEIDAIGTKRYESTSGGEREIQRTMLEL LNQLDGFDDRGDVKVIMATNKIDTLDPALIRPGRIDRKILFENPDQSTKKKIFTLHTS KMSLNEDVDLDEFINQKDDLSGADIKAICSEAGLMALRERRMRVNMEDFRTARERVLK TKTEGEPEGLYL CC84DRAFT_1205308 MMNSPLDEGQLVSFEHMNRAQKRAFILRDQLKYDEEQHLDVFID GIRNPEPPRYKRTSSGIQPSFEEVTIKHSTTRFDLGNVEFSDKSVRRVHLACVGDSYE MHESDAISPDEDDFNSVPLNYYIAQKIMPSFKKKYEAIHARVQRVNDMRRHVYEQASN RQPKTSSRFKEGESLAKLMSSDPVTESTQPESDSRKRPSDSIIRHPLNKKKRIGVENV SSAIKTDAVNRLPDHAKIDLFNAFVKSAFPDFDNLLMASWNVVSIFVNVGSEDLMLHN SIGDLHEVLLRFDEFFGKKTRGNGGGGPVSCQMPEHYAAHHGVPQRIELVGCYSVD CC84DRAFT_1164041 MRVNEDDLYRQSTQYKHWSFTPSALTRLRQKTNAHAAAQVKLHI AQDRAERAKLAPLDASSAPDSGANTPNGNGAGTGANTPVPGGAGDRGGEVDCLTMDEE KMVVDKFCETAMKLGDFLKLQPDVTCTAIQFMRRFYLYNSPMTYDGTKISKTAFFLAL KLELGTRAGSAADFASRLPKTTRDDIVAPEYTIVQALRFNFEVRHPFRGLKGVHLELE DMIHGSYAGLAHDGRTPADLRAEALAALQATDEAVAEGKLGNIYAHAKDMLAMAAQLT DAYFLYTPAQILLAAHSLAAPALTAFYLGTKVPPSAPARPRILSTIQSCADLLSSHRS FSKNPTANKYGWADTDPPETKRAMKKLRGCKDPTTQDLVALNAGKKRDVVRDGELEEG KAKRRKLNRENAEKESDAFWGPELKKEG CC84DRAFT_724620 MSSPEKVEKGGESPERVHIPDVEKTDSTWNDPADIPVELDKRIT RKLDKRLMPWLFGLWLLAFIDRSNIGNAKIDGMVEDLRLDSNKFNIALAVFYVPYILW DVPSNLVIKHLKAGYYLPGILIAWGLVSMCTGFVKSYAGLLVARFFLGLAEGGLLGGM LVYLAMFYRRHQMLYRITLFYCAAPLSGAFGGLLATGLAKIQTGGYNGWPFIFFVEGA ITAVIGIAVLFFLPHTPSDIKFFNADEKRACIARMRLDAHGATAASTVDEERFDWYWV RRALLNVNTIVLSIVFFAIITPIYSFSLFLPTIIRSMGYSRVTAQLFTVPPNMGAFFI VLLTGWGSDKWRMRGPLMLVGCTVAIVGYIMLIASERSSVQYGGTFLVAAGIFPCSPL VMGWLSNNLAPHYVRATGTGFQIMIANMAAFIATFTYLPEDAPRYTTGHAINIGMLGL ALISIAGNIWYCRWENKKRATGQRDYRLRDEKEERLGYRHPRFEYTI CC84DRAFT_724762 MQLPGKLRLLTRHPPSPLNYLATFTSVSSPLSWLFRADSDVCQA LSFAVKQSQSVRTMVPLLAPSLMIARAGASSCFKSIISIVLYYLSRQKRGALRPTRAT RAPIGRWPIHFLK CC84DRAFT_1205311 MAKFNTKSKAKSTNRCTCDPNDLLFEECLCGQSQDQHQSSTSGV REDWINDYTTLLDDNAAETNNNSVAPAGEDAGSNNSTENADRESSIGFGSHDEQHTSR SNDAQIREGVQHTVYDRHTPGEEEFQQFMDEHEEQQRGLASSPFFEEYRRTYSSDNTL NGVDVGSLDFTQERADLNNAMNTSSGLGTFDNFNDFGNELFPSVTTSMCGIAEPMSTN YSNTMNPSSFFGDSGNFPLGITGIFSTTDSSMQPISPVAQNPAPMAFENMDFVDVNYF DPNVNPFIGATIGNHSDVNTFGNRPEIATFDNGPNTKNSAISSQMPVSAQSGTTSSNA NRAPVDRPQNQPNVSGHGIRKARPNAPRRAMPPSRLSAFVPIDQPQMLAALDKQNQQQ TQDDAFERDRAMARANVRTAREAGQDLFGIHQQTQQSAQRFSTPTTPVATADPTTQRV SINKIGGNTTIEYFDFSYPGNRFGNQSGLQQPVSSPVERAQLSTHHLGNQTAQQRQSS TPFNQFGDQPVQQRPPTPSTERTPLSANQLGKQPVRQPSSSSPAEPTPSKKRARPADI QIPDSAPKRVRPSAPPRLTATPAPVRPPFADEVEAAVELRGRMVSELLKTKWLDMTVT EKARILLPICQGKHPLQFEKEELERGLTYGATRQREALHKAAKLNDVAVKEAQAIINT RAATAAEQPPVQTEDMDEDDELAALKARMAEIEAKKKAKLAEEKAQAVRDKRAAAQRR KREAEREARKKAAQDVAQLKQREEVEEQQRLPEEQFHFQQPYAHMGFYAAQSFQG CC84DRAFT_724801 MFDMISARYKLPQSRGASTSWAFGTLLAQTTLQNLARSFPASHI YKNLPLTSQGMVLARSPNGQPSGVVAQNRGDQDAPQKWLVESGDSPNIIALRCASTND YLHANGGGNWSTVGTGAKQWWKLDTDNVTVPGACRLSPVEYPKVFLNHFQGIRVAKGQ SMKVHMWQWEQPNQFCLTWYFFPADGSFGPSSGTSSAASEASTAKIEELETGKKEAEK KLKELQDNKTASDAKLKELQDSKKEYDAKLAELEEGKTGSADTIKELQDKLARRDREL EEHKAALKKNVDDQKAADAQRAHDDAAKQELKTHKKAAKLEDKARKKEAKQAEKAQKK PQKLELTSRLPPSPPPSPPQEKVTVSATSGVAEEVKNGCKHVVLPPPRKIRRKVVGIV YAQ CC84DRAFT_1258960 MICKKTEQPQSTLPGIPTEVPDIGARYDPNDEFNAALMPLSENV PCMICGGKQEHQSDCMAADFQFGPAPEYNEAMLEELRRRVEYFDPEQWREHGHRDLLD RLNTTDPQPMSEVWPGLIDVVKNESSYENDAFLQAVPDQVLPLMWALRTSENVGMQLV NENGEIYDPSDLFCSEGGDEGSAEAMEGVELPP CC84DRAFT_1186759 MASHDFEKVIEMACTDLDIVGCALLATNRDGSFTYAKSFGKAAI GGDELFQVDTVAWIGYVHESNSSCTKLLTSLAALHLVSTNNLSLDDPDLLPKHIPELA SKSILLRPGEDGTPREGPRTKPITLRHLLTHTSGLVYDSMTPPMIAWAKANNKDTQRG GTVLERFDSPLAFEPGASWMYGPGIDYAGLLVERVSGKTLEEYLKTHILGPVGVKDMT FHLSKRRDLKERLAPFAYRTAGGKVAATPEKPEHVTTPYLDHEGNEVSGCFGGQGLFA TAEEYIKVLRGVLDGSLIPSSLAEDFFSPQLNQAQADAMNELLQIDQANNVMGYTRKN VRKNWGLAGLMLDADDHAKGRTAGTMVWAGMPMQQWFVDRKTGLCGFFMTQILPTGDQ KAAELSGVFVDGVYALVSEGRKENGRL CC84DRAFT_1144977 MAYYTSIRNLFYLLIQLFIFGDVAASPYSYQQVARHSIDIAEDF TQWNHRQLLPRAGQWLRIMPLGASITYGQRGDGLTTNDVSHNGYRKALRDQLRSRGYN VNMIGCVSSGQMRDKQHEGHPGFLISEVATKVSCAINQKPNLVLINAGTNDAIRADQN GGASFAQGAQDRMKLMIDDILKQVNDVTVVLSTLLPNGNAAANNYVETINAGYRSLVS SYQSAGKKVQLADMNTGFIVPSELPDGTHPTDTGYKKMAAVWDQAFVAATNKGWIKDP ISTGKPDDVYDCDTSPASFSEATLTQQGSGADDGTYAHASQGMGVVTSDSLHENSTAA TQGQWVKNYHFAHLVNDGGDRGQETDELIRITDSIQPVADNGIPLFSFKNNNGGTFAS TWTTFDPKMHCNNRGARWGDVNNDGLDDLICIGPEGNMYVSINKGGNPPNFVYLDNVK SAEGTQEFVRVGDIDGDGRLDYCVIKGTGSLYCWRNGGTGDNPVWEPMAGGDVVFDSS SIGAVASIRLVDINGDFRSDIISISSTGKTRIFINQRGTKDDGAGLKPHWIEASAAHG GGFADRTVDYFKFGRVYGSGRADYIAMKETNVKDTLGWKHTYDFEIYKNTGSGGRKVK GDGVYYCDMFGRGHDDYLWVYEAGNVNLYENTGNLPNWKGHGEIFNVGRDRKGIHFGD WNGDGLCDILAVDKKSGAVDWWQNTWNVNKTSPTFSYKGQAIAGSCSQGWGVSRYDLG VRFADVDGDGRVDYLCLDPQGTTSAVLNTEKGFSNVGQIKYTIGKDRAEHRFADVNND GKADFLAVDKFTGKVSVFTNQGQNKALQAFGTGDSSFTWVEQQGMWMDGVDRGANMFF ARMSNDTKRIDFVRNLPATDIAYTYFNRPCKGGGDDNTSDLPLPTVPRT CC84DRAFT_1092513 MHTTIQLEPDEMPTNWRASKKWSATLIIVLMTANITFASSIHTA AIMGVSEAFHVSETVATLPVTTFLLGFATGPLLFAPLSEVLGRTLIFRVVLGLFICFN LACALSPNIYALLILRFLCGFFGAPSVTNSGGSLADIWPQSQRSVPFALFTTGSSLGP VVAPIVGGYVAEHLNWRWHYWIVTSVSGAVYIAMVFLLPETYTTVLLRWKREKTGIKP PRMTFKERYATDLTRPWVMLFTEPILFTLGLYSAFIWGILYLDFTAYPVVFQQGRDWS QGKSGLSFIGIGVGMALATASSPWINDLHGAVVRKIGLKPEARLPHLIFLAWLIPTAL FWFGWTAPPPTHPIVPIAAGVPFGIGFVTIFLGTNAYLSDCYGRFSASAWAANAVLRS LFSAGFPLFARQMYVRMGTPWASSTLAFIAVGLAPVPLVLWKWGGWLRGRSRFHISTV ELEKDEEG CC84DRAFT_724833 MIDSSRPGSTPHSPGSAPKHPGGRQRVISSCLTCRRRKVKCDHV HPICGACTRGNHVCTYSTDQMLGSTVGSGGTRISKPASTGNGKLSRNGDVQARLDRLE SLLEKAVAGHAPPQALPRYVRHEEHGHDSELSPSATSQTSQGAGISSDNHDGTLLLDG GQSKFVSSLHYALLADEIQDIKALLGDKTDENSDGPTQNNLIHAIGLGRAKLGVSLET LMPETQEQRDALLETYFSNVDPVIRITHRPSLISKLPSYVQNVHPLVFAIFYSAINSL PPALVENRFGESREELMAKFELGIEIGLARGNYLTSPSLEILQAFLIWLTCITREEDI GKAWALLGIAIRIALNQGLHRDPSLFPAGTFDVVTVELRRRAWHQICSLEFRAAEAKG QEPSIAEDDYTTLLPRNIEDEELIEGQSPGATPYNEERWTSMTYQLIRFVGSRASRRI IKSTYRLERRMLESGLHGTSGPDPALELQTIYQQIQNMVEEMHEDNYRKFLRFASRDV PIQRLSLGLATLLEWRCYVLFWLRMPRAFRDVVFSIDVRKSIFEKSVNMIETLNGASA DVDAARFQWHIGGHAAFQAIMHVLSELRNPLFDAPDRYRAIRALQLSRLLKEQNHTRP WQAVKSMIDRLVAEQGSTQSNQSEASPLPYSNTSQTTASLPLNGMTMYPQTMTSFPKQ EPPQPIMAPAIEPQPPQMLQPMQTVEQSEIHFNDFNFDNIIGNTQTNGGLPEFDFGFW GDPINFGSEPIDFPIDGGYAATWTS CC84DRAFT_1186763 MVCAVENKLGILALCNFLKGANFPPGVIQIVSGDSKVGLMLASH MDINKISFTGSAFDGNEVQELAAKSNLKCVVLELGGRSTSLIFSDANLKNAIQHHSRG FLFNTAQACIVASRTFIEESIAPKSIEKLKMRYEELAHATGDPADSKTPKDDARIYRE EIFGPVIPIRTFKTEKEAIKLANDTNYGLSCMQHIQVLNGTFADSSQHASSRLL CC84DRAFT_1205319 MVVATFGTLSLVLSGITLATKSPLHARDDACAALATVLPQDVAL PSTTAFTQSSSYWSQQQLETQPQCFVTPKSTKDVSTIMEVLTKGNFPFTVKGGGHIPF AGGSSIENGVTIDMVHLNDIKVSSDQQTVSIGPGNRWINVTETLDPLGLSVVGGRDMN VGVSGLLLGGGISYFSGQYGWACDNVRRYEVVLASGRVVYASPSENKDLYWALRGGGG LNFGIVTRFEIVAFKQGKIWENALSFPGSSNATVINAFQNLTARGFPADKAASGFVGI NYHGSTGGYSTDVGLLHATVPSTGEGLPAVFRPFQRIPSATANSTVTADVSTFLKNFA TPYGRRWTWGNVVVSASFSNKFLAEILSLYETRNVGLFKTQGEDDITPTALFQPIPLN VLEAMQKNGGNAMGLKPSNGPLVLISFPMSWANPQNDDLAYGATRKLIADVEEKAKKY KVYTPFVYLNYADAKQEVQKGYGKENYERLQKIARKYDPQGKLARLWKGYFKLDR CC84DRAFT_1216878 MAPSTVVLITGAGRGIGKALTAAYLSYPDHVVIATVRDPKAPKA QELKGLSVGSGSRLLVVGIENTNPEDPKQAVETIEAAGVDHIDVVIANSGISIGAAPL ELADPKAFTESFNVNVLSGVVLYQAVSKLLTNSSAPKWISVSSRGGSTSAPLPWYPYA AAYCMSKAAQNWFTQTLHVANASLTAFAIHPGFVLTDMGIAAATGAGIALPETSGDES AGKIIEVIKSATRENTSGKFLDVDTREEIPW CC84DRAFT_1195956 MALPTSGAATLSEAVLALVALYTLCVVVYRLYFSPLSKFPGPKL AAATSWYSKYFDLVAKRHGGQFPMEIKRMHEEYGPIVRIAPDELHIDDPEYWQEVYCN NSATRPIDKQEKLQHRFGLPDAIFSTPQAELHRSRRQAMAGFFSRQRLRETQGRVNQL LERVSQRVSKEYAGSNRVLDVGEMFSALAVDIVTEVCFRRCTNCTEAPEFKAPLVVTI INNIWLSHWNAHFRFLLAWIELLPNSFIGILVPAIKPILDLRVSINRQVAEILSGMNK ASVGTKDFRDSDHDTIFDEMLASKLPTNELSFDRLSQEAMALTTAGVETVKATITFAI FHCLEKPAIEARLKAELTEAIPDASIIPTWVELEKLPYLTAVINESLRLSYGTTQRSP RINRLHAMQYRQWVIPPGTAVGMDSFHMHTNAEVFPEPLVFRPERWLGNPKGPNGRQP LTNYLTTFGAGSRICVAMHLAYMEVYVGLAVMMRRHDFHLFETDRSDVEFALDMVAPM PHRESRGVRVTVSK CC84DRAFT_1091541 MPVKEDVEFKTLDGLTLRGWLFAGPPKGPAIIMTPGFNLTKDMI IFEAGQYLNQAGFTVLGYDPRCIGTSDGMPRNDTQPMKNMEDYHDALTYLKVHRRDLV DPERIAFWGYSFSGAIALCAAALDKRAKAVIACAATTTWEFSKWKQVLAKAMKDRESQ LAGNQGMYLPLLTETGDQPAGLGQGYQGEDVLGIIQRHASIEPSFIPRTTLQTYYNIA AFRPMALMQFVDPTPALLMTGENDEISPPELQRKLIYDAITGPKEFVTIKDRKHMDIL TGNGSLEVFEKQAEFLNRVMVPTKGANGVKKRS CC84DRAFT_1091839 MTSRSSLSSESYDNVATSSISEKCSSDEGVADVTSRQRTQVPVA IVGMGCRLPGHSTSPTALWDLLERGGVAKNEPPPSRFSLAGHYDKANPGRPRTMKSPG GMFIEDVDPALFDGQFFNISRTECIAMDPQQRQMLEVAYECLENSGTPIETLSGTNTG VIVGTNFIDYGAIQARDPENRAESVMIGLAPALLSNRISHFLNVNGPSMTVDTACSAG LVSLDVACRYLDSFQTDAVLVGGANLWLSPEHNEEIGMMHVTQSGSGLSKSFDASADG YVKAEAVNCFFLKRLDDAVRNGDPIRGILRGTAVNASGRTNGIANPSSDMQAAVTRQA LKNAGIKEEEFYKTRFLEAHGTGTLAGDPIEARGAAAVFSKSREANEELIIGSIKSNI GHSEPAAGLSGLLKATMAVEKGIIPGTPTFFKPNPNIDWKGLRIKASRMSMPWPKVDA DNIRRAGVNSFGYGGANAHAVVENDAQGLSRHVSSYKQVTTDFFDDDDDEFDEDQEDG TQATPTLLFFSANDQSSLDEYIKRFSSHLQNPLVSLDLGDLAYTLSERRSRHYQGAVA ITRANPQHINTDTLIRGKRTSTAPRVAFVFTGQGAQWPTMGADLIRDFPLARSTVEYL DSVLQSLPSPPSWSLVDELTAKRSVEALRQPEFSQPLVTALQIALLRVLEDWGISPEA VVGHSSGEIAAAYAAGLLSDSDAIKVAYYRGQSSKKTESGEAVGMLAVGIDEAALAGY LEAKANKIQIACYNSPSSLTLSGLVSELEELRDRIQADGHFARLLLVDLAYHSDYMSE LAEVYEGMLHEDGLFQKTATPRPKTRKPVAMFSSVTSAVVDPDIKLDAAYWKKNMVSP VRFSSATAQLLKQTSADFLIELGPSNALAGPIAQIKKGLDKDGQYTSALKRGADSTMP MYEAAAKLFLAGDQQVSLAKVNRVSPQTSKVIVDLPNYVWNHSTRYWHETRASKEWRF KKFINHDLLGSKISSVGWNAPIFKANIKLAHLPWLRDHRLGTDVVFPATAYIAMAVEA MYQTAMVTKWNQKEPERYRFKLRDVKLLRALVLTEDRETTISLSLTPVRGSTGSWYEY QMCSEQDGLDVDVVHSSGMVSVETDYKDFTKTVEPLELATSAKQWYKTMAEMGYNFGP SFQKHVSVESTMGQRQSRSLISLEAPPSQPEGQSWYPLHPGVLDGCFQATTPSLWKGQ LPQAGDPALVPKAIESMIIESGSARKLRAASEGIAYASAHYLGAGDAGNARNYSTSVD LYDPKDGALLFQLKGLAWAEMETSDEEKVPHQFMHVNWDADIDMLIEGEPTLSTTWLD SRTVQQVIDLVAHKSPELRVLEVNLSTLDGSNLWLEQGEDGTDNPVRAGCSQYHFAVR DPKTLIQAQERFSSRAITPQFHLLMDVTKPATITGADSIDLAIINPSQDELVDVDGFI QGLAPLVREGGFIVSNGFRGIDSLGKTIHLSNGVSICRVTRGAVLIDGETEIRQRSVT RVSLLDNAAQGSISEEVAKVCEDLATQKWHLENASNPFEDITSNTGIVVVLDELFSSV MQTIDAKQWELLQHLAKVQRPLLWVTSRSADPTRAAAVGFLATIRAEEQVPFFTLDVE ASTSRTSIDALSACLGRVWDMTSSKTFDPRASTDYDFVERGGIVLVSRVYRDSALTFG QSTSPADRKTEVMNLRKSDSLIRSRAEKMGNLDSVHFGEVDAESSALPEGMVEVEIHA AGISYKDVVVTLGSAPGDDTALGHEAAGVVTKVAQGVSRLSVGDRVVVFDKGCFANRI HTSPARVHRIPDSMDFEKAATIPTAFITAIHSLLDHASLSAGKSVLIHSAAGGVGIAA IQIAQYVGAEVYTTVGTNEKREFLKSTFGLADNRIFNSRSTEFGDQILSATDGRGVDV ILNSLTGDTLDESFRVLADGGMMVELGRRDVLDRNSLPLAPFDRNSSFRAIDLSTGKA NDSLVAQLMSKLFELVEGGAIKPITPVHKFAWTDIPTALQFLRPGTHIGKVVLTQDNE VHVPVRRAPKSLGLRADGCYLIVSSLRGLCGGLGIYLAQQGAKHLAVMSRSGYADEKS RLVIKQLEALGCHIDLLIADVTDAEAVTKAMQQTTVPIVGIIQGAMVLRDRPFESMTL TEYHEALQCKIRGTWNLHNAAESLGLKLDSFTMLSSLSGIIGHVAQANYAAGNVFLDA FAAWRQARGLPACSIDLGISEDAGVIAESAKLQSSTEKGMFRSLNEGQLRKILYFAML QQQETPLASDVGAVRELAFGPMVTGLTFPQPDDSALKGDARFSPLFTSNERSKDLKSS GENANADIQALFLLLRTESADPASRLKALIDVINGCFMRILRLDEPMDPERPISVYGT DSLAAVEVRNWVRTELGCLVTTLDIMTATSLTSFCEKILTKLLAAETAG CC84DRAFT_1164057 MTEPDPAVRDSTLGALKIACPSAEMLSQNPSPLDNPFVAVEADV EWSDEEVLLPKRRKTPYKPPEIRVAPRVASMHAAETSSDDSDDRDLSPNHLSVNGSPL IPPKSPSRPDVRPGSSQDDLVKRFYDVTRERDALRKELQRQSMGPHGLPAHASVVYKS DEKALIGELLGLREEIRLWTEDYFTGTPSTSRRRPHLHTSKELFSPLTDNYTAYLRHT TDRPLLIQSFLWSQLQHRIFSTLHKGCGYVWAGKLGDRKLRPLNDTLRKAVRNEDQAE AYHRWRALTVNLLVPQVDGKWSPTFDAAPVLKWIKRFCARLRRRLRPWACRSLREGKE MLYTIVSAAVALDLKMKRQRADYRFITWTGGRPNQFWGYGFYDSEMDDVESEDEGGYR GGSVVSSASRVRRRVELSLAPALERCGNANGHVFDQNFILVKADVMCRRVERAREPAR RKPGIFSRGRG CC84DRAFT_1145003 MATSNPAPMVSEAIDGDPTATEVATSCLDFLLIELVPMAYRITA DLAAKEQDYLSGPGASKASQHEKKDVDNDTSSTIAGGGGLRGGGTATVVDEEEAREAV FHRLEALGYRVGLGVVERYVSRTHPRPTSPLATIKFLCKDLWTLLFRKQIDNLKTNHR GIYVLTDNTFKPLTRMSFDTIKHAPTAHATATTTLSDRINAAGEKGVEYGDMAAFGGD ANSISRAQPFLYFPAGVVRGCLAGLGVEASVSAETSGLPGAVFQIRTVGAKA CC84DRAFT_1145008 MVKAVVAGASGGIGQPLSLLLKNSPLVDELALYDVVNTPGVAAD LSHISSKAVVTGYLPSDDGLKKAFTGADIVVIPAGIPRKPGMTRDDLFKINAGIVKGL IEGVAQYSPKAYVLVISNPVNSTVPIAAEVLKKAGVFDPKKLFGVTTLDVVRAETFVA SITGEKDPQKLTIPVIGGHSGETIVPLFSQATPSVEIPSEKLDALVNRVQFGGDEVVK AKDGAGSATLSMAYAGFRFAEKVLKAAAGEKGITEPSYVYLPGVPGGDVIAKTVGVEY FSVPIELGPSGVEKAVDVVSKANDHEKKLLEAAYKGLKDNIAKGVDFANSPPPQK CC84DRAFT_729081 MSVLVRRVDITSGRRRWDMFWRHGSAADRGLWGNGWRTYNPDIG FLKASPSAVMSIRARWTHCSTCKSALVTSGVHAAGTRFPCAPDVLTPTPPRRGRDHRS VPIHVAVRSGFACPLGMFREGRRDIKTVRPVLQSYLLVLVQQARNSSCVLPRQPH CC84DRAFT_1164060 MDPTSLQAHGQQKLRQQATAAPRRYDGQNGNPLYDPGNGGHYGA SAAIAAQGHAPDPQLFTGAWQNVNQGLNGSYRDILNTYWQQQVTKLETDEHDYKLHQL PLARIKKVMKADPEVKMISAEAPILFAKGCDIFITELTMRAWIHAEENKRRTLQRSDI ASALSKSDMFDFLIDIVPREEALPHKRSGGQSSTAVQAAGAVPAGALAQQGVHPQHQM APPDYGIGQHMPQQEDFRQPGMYAGPVQGDPNAYGQQQMFDPSYGVYNPMAQQPVGRP ISYGVASS CC84DRAFT_725094 MADMASLPAHPPQMEDDTDEELTDEQVRELLAEAAERMRVKASQ PSNDAPFTLPKLNPGHIADTYSTTHGAITKLDASKLLPKKDQVLANGIKKIEDPVQLK KQKAEEKKATAGSDWFNLPRTEMTPELRRDLQLLKMRNVLDPKRHYKKSDSKSDVPAF SQIGTIIEGPTEFYSGRIAKKDRHQTLVEEVLAQEDQSGKFKSKYQNIIKSKTSGKKA FYKALQDKRRKGKVFKP CC84DRAFT_1164063 MAETMDNLAAPNAYARASETADYVRSKLPEALQKPKVAIVCGSG LGGLVDTIDAEHKVELAYSTIPNFPRSTVQGHAGNFVFGTIGPQKTPVALLVGRAHFY EGHTMDLVTFATRVCKLLEVDTMIVTNAAGGLNENYEVGDIVCLNDHLNLAGLVGVHP LRGPNIDEFGVRFPPLSDAYDLDLRRRTHQAWKKLGLDQQKRKLHEGVYAFVGGPSYE TRAECRMLRILGADVVGMSTVPEIIVARHAGVRVLAFSLVTNKAVLDAGSRGNDQAIH AMSKSELEEHLNKGKANHQEVLDAGREAAKDMQALVKQILSDLY CC84DRAFT_725096 MRSKIYQAMCGRRGDVDFVLARCSPRQRVLPGGGPLRPSANVLL HSAMLHATSTNPSAMAAPRSLRPFLAPAKRIKITPPRSSAQTFLRCKSKRAEDRDDDR EWRTKPDENDGADVDIFAEMEKGEMPFEQRLEHMGIDAAEYKALKTSSKAAEEKLNRW TPKSKEDAQRKWKRMVNAPEDNTLLEMFKREGVDVLAEGKARELRLPTDKDPLDPKTQ EALDALRTPVNVQAVHLRPLRRAPTHGVPVCDLQLRTYSIRNLLLFADFAVRAAYYMG LCARGPIPLPRITERWTVPRANFIFKKSQENFERITMRRLIQIQDGHPDVVKAWLAFL QKHQYYGVGMKANIWEFESLEAATRAGYNLDADDHSRRREGPMIEKVKEILSTPAYKE ALADYTMPNSIELRPGGYKVPKEIMGRKARKAANKANRAEKQLNA CC84DRAFT_1091705 MSGFKHAFSLSKAEVRNATPPGTTTLIEHLEWTASNQIHDEVRL VPQPTADPADPLNFSRLRKSGILFSMCLVPFVVNFTSASISSALPVYAATPIFGLPPK PFSELTHLLAVNILMLGASNLLWVPLSYIIGRRPVMLLSLLLLTLSSMWAGLTTSFDS LLAARIFMGVGGGPADAVSPDVVGEIFFVHQRGRVMAFYTVFLAAGSFVGPMAGGYIA SHNGLAWIHWTNVILSAIAFVVCFFLQPETLYQRPIPAVLESADSPDKSVTVTKENVG ATTTSTANDQSSYAPYTFVRSLKLFTWHGGVGKKFLAPYLTLRLPGVWLVSLWYAGLV GAIVTISAVGAQIVSSPPYLWGANAGLINAGALVGSVLGAIYTYFVADFTTKRLAKKD IHGYSEPESRIVTAIPALFISTAGTIILGLVAQNPSPKGWVGLQIAFGMVAFGLMQAP SVGFNYIIESYPTIAGDCFVAITCSRAIIAFAWTFFVGTWTHDAGYAQPFGIFGMLLG IFGLLTVPYWIWGKRFRIATAPWVESLL CC84DRAFT_1216890 MAGTYTSHFGINNIPYGIGRSSRRSAPQAVTRIGDEVIFLAELS KQAPLADVSADLSSIFAEKTLNAFAAQPKDVHVETRAAIQEAYKNSLHKGCSEDIKDV ELLLPVQVGDFTDFSVSRDHVTNAPKALFGKPQLPHTFHNFPLGYAGRCSSIYVSPTP VTRPIGQFLENGKAVFGASRALDYELEVGAIVGRPLAGDTDVHAKDMDEHIFGLVLVN DWSSRDIQGCEMRDPLGPFKGKNFGTSMSPWVVTLEALRAFESPAPTHEEPVVHFLDD PNSTSYNLTIEAEIVRKGSTTTVCKVAFAQTMYWTFRQMLAHNAIGGCPLNTGDLLAS GTVSGPSESELACLMELTMNGKEPKKLSDGSELKYLEDGDTVRFTAVAGDSSAGVGFG ECVGTVKPARLL CC84DRAFT_1243939 MSTKKIAQDSPLPNLNRYITAHDESTGKAIFSNAVPEQNPTQVV PGAVFRQGYVTKKFPVQINGDEDLNGYKSFLESPPGLVNSTGTVLRIVDCPPQSTSPM HRTVSLDYGIVLIGEVDLLLDSGEVRTMKPGDMAIQRGTMHAWVNNNPTEWARLAFVL QPCEPVVVGGKALEEELADMEGVKKSE CC84DRAFT_1145028 MPPQIKDAHKVDDSSFPYLFEENAVVPLKTSSDGIIRCNIYRPK AGGKKFPVLVTYGPYGKDIHYKDFHGKSFSEVNPEHHSDHSAWETPDPGFWTKHGYAI VRADERGLGQSPGFLDTMSRGTSEAFFDVVEWASEQPWSSGKVGLLGISYYAGSQWRV AARQPKGLAAIIPWEGMSDYYRDRCRHGGIFSNKFINFWWNRQVVSNQYGLPGRAARN WGPDCVDGDVSDEERQKNRQDQNIDNLNNKFRDDEYYASKEYDMGDIQVPLLSVANWG GILLHLRGNIEGYLQAGSEFKYLRVITGRHDLPFYYTDEVEVQRSFLDAFLKGDDRVG WSVKGKVPAVDLVLRKGDVGFDDAKAEKVYERRSETEWPIARTRYTKFYLTPEQSLTP VQPIVTRPKKLSYEALGSLENPNLIQFTTPAFEQETEITGHIVAHLNVSMSPYASGST PSDIDLFLTMRYISPSGKEVHYTGTAGDPIPLAKGWLRVSLRKTNPSHPRHREWLPHR DYFSTDVQPVIPGDIYPVDVEIWPTNVIVEKGGKIVFEVSSGDTQGSGIFQHNHPEDR SEKKFAGMNHVSFSERYSNWVSLPIIPQR CC84DRAFT_1073802 LANLNATALTGRSSNPFFRREQLKFLHDTLRGEINAIRDALRQD QHVTDAEATMEIAITLRHLKTHYAGIDQKAELESEYRPTKAKDAADRREPWGVVYIEP NLGHTPFFSVIEPLGTAIAAGSCIALKLENTPRALPSLLRSLLPKALQPDAFQIISTS PQEGTTSNVLSVLQETNTEQSNYTRLVSASGRVLAVVDRTANLSSAAEALVAARFSFG GTSPYAPDLVFVNEFVKRDFVEQVLKHGIQHLAATSSNAVPNASSQSKKRTTSDALET FSESKHWHTNIITQGSTGAIVELTSKSTTHVPLPPRSDAPVLAISAVSSLDHAIDIIN NDLDDRLSAAYHFAAPAHAKYLSQFIRSEVTFVNHIPTSLLLGPGAPLFHEFDLENRY KKEQFQRASPVLVRPANTTTSTSKDAAKLLEDAAKEIKEPKRAESIAIGFFEQGILIG LGVFGVPILTCLGASLFFGVRAGLRRF CC84DRAFT_1145034 MSVTTTANAQTYNLRAVLADYDLHHTPETDPLDTTLNVHPSPNS PLSHSNPADWPTNERRVPPYRPINTELDQTQRRVYQNGVERAFVAVMFSGVFLESTAS KLWRNTFGRFWNVGYNIGGEW CC84DRAFT_1145037 MASPTNGTGHANQAAQPLDVLVVGAGIGGLSAAIFLRQQGHHVT LLEQSRFANELGAAVHMAPNATGLLLRMGINLEDLGAVPCRILSQSLPNGKQLFEAPL WRSAGRWQHQWMLAHRVDLHKELKKLAIAEDGPGKPAVLRTSSRVASVGTDGSVNLES GEQLKADLVIGADGVHSKARYALPGSQGFKPAGDGKSAFRFTMPRSRALEDPLTKPLA EKEGHLNMYMGKDRRVVIYPTRHHELLNFVCIHPTSESEQKDEKADGWQTDANLQKML DVYRGWDPAVLKILSMADEDTLKVWELLDMGQLPTWTEGQLALIGDAAHPFTPHQGQG AAQAIEDAASLACVLPLGVALAEIPERLKLYEQCRMKRATNIQEYSRQSGADLGSGPP LDPGRFTDENFGHDEWHFTSQKLREWEWSRKPTAYRRMPTVFGPFPGPRQDHFGNSRD WTNSTFTTASIKFKTSRTLLENLLPAGFKFASPNSNCFATFSLSVLGNLEWLGGNGYN HFGLYIHGVEYTKENGDKVVGTYLPVLFENLADPIISGREELGMPKVFASLDVAGEES AFKLKAGWMGNTFLDLSITGLVTKPEPNGVHASGPPPGAGPPPGHGPPPPPKEEGLFF HKFIPTTASEGSKERGQADVEYVGFLPNDEEVKAPRQVQSTLVADKAEIKFDSLDWKR LPTLHHIVDRLAEIPIYEVVEAKVVEGLGGSDVKGARRLV CC84DRAFT_1195972 MEAALNPPTIPDTEAKERLFQCSTCKRSFTRVDHLMRHVRSHTK QRPYVCPTCSKCFARVDLLKRHVANHASDSGKKRSRTDISRMSRVGQACEECSKSHLK CEDEKPCRRCRQKNLTCRLSTAAQEELDAAAQELGAAQDLLDLSNNLDSRSLHHHAAQ QSHHHSESTVDEAIMVVQQEVPQAWDNIQGMEPRYTDVVSGATQEPEALPMYMQNPAI SYSEGSPGDAFIPDFLRYMPSVETSLPGYATPRGLAEYNFNWDIDFSSVDLALYDPTT VAAATTTTTTDQHPQSGSADSAAADRAKAFDRSLWRYMPRSKTNPVMAGESNLAFADR ESEGQSPALIPPRNVTSERLSYTARDRFLALVIESSSKENSKRIAAGFPSLELLDGMI QIFFTSPSVNAGSCFHLPTFSPAKIKPLLLVCVVAAGAFSAPDDILRKLGQALHEVAR VSMSKSIEEDNSNIRDIQYLQLLLCEIGMWSGVSRKMEISESFLQPPVTMLRRGGWFS RSVWKKIYPSPDDEGLTLRKKWKEWVLQESWLRLVYRYFEFDRQSSMALLKPPLISYA EMNLPLPHSDALWQAPSASAWKTTYLTTLGATARRPTLFDCLEDLEYLSLFPTASSAH LYMIWGMIWEYRQLCALSSKKRITNDLLLNSRQAELTKMCEEFRLNHVSSSVQELLTV EIMLMHLNAPVEEVQTFAGIAGLDEARHAYTSIRNWTSTEAARQAVWHASQVVKISET ASQGVLQDFYAMAVYHAALVLWAYGLLKRIADDASNEPNPEPQVFILGATEERDVKKF VKFNRGEPAIRDNSTQTVIHLRDAAQVLGTVSQLLLHNHGSVSGSCPPLVEGLVQLME VLRSASK CC84DRAFT_1118842 MVLPPVHFFSHGSTMMLGEESNSATYWKQCGDEALAHNIKGVVM MGAHWDARGTNRIDVATNPNPQKSPVAYVHPSKYVDYKLVPDVRTGNRIVGMLNDAGL NARPNETFDWIHDTYLILIRMFPCGCPPTTIVSMNARFDPHLHARVGSVVAKLREENY LIIGTGGAVHNLYRNHWWQMLRHTDNFAMPVPPEAAMMEFRQSFEDVFLRNCKPGMRK GTLTNGVTRLMKHPQFRDAHGTDDHFMAACFVAGVIDACEGIADDGAVLGAEDWELVN MCNSQFTVGKWVS CC84DRAFT_1091879 MLPPTSVAAGSATATSDAPTPTSTSIIPPYGSAQWNSLIGIITA IVGNVLISFALNTQRYAHIRLEREWQQKERQRKRRSASYRSLSGAKQYRDEPQGDDAA NEEDPLLPASPRNSTGGSGSLSPVEEEGVLAEPAYKQKSYLKSPYWWAGIIMMTCGEA GNFLAYGFAPASIVSPLGVVALISNCIIAPFMLKEPFRARDALGVLVAVGGAVTVVLS ASDNNPKLGPDEIWSLISTWEFETYFGITVGIIIALVFASNRYGDKNILIDLGLVGLF GGYTALSTKGVASMLSYTLWRAITFPVTYLLVAILVGTAIMQIKYINRALQRFDATQV IPVQFVLFTLSVILGSAILYRDFERTSADDAGKFVGGCALTFAGVWLITNGRPKRSD CC84DRAFT_1243953 MHCLVNDIGSPAAVQYRLVGKNFAVAIKKDLLQDQSVIDTFGGL NEVQKVRLLIRHRGAMHANRTGTAANVVTRLAKQMTDELATAGYISPEDIGTKAIAYV CEAQIAGVKFLVEHGREVGLDLGGLNALQVAMRNTRHTEFYEDVASYLREATASANRM QRPGGSGQFHIDDAGLLMDLLHELPAGDASLLLGRIRKADYIAGVTGDYREYHVAPQR TARKG CC84DRAFT_1205338 MAPLSHPIITLEEAFLSPHVPSFYAAQNHPDPHAESGLTGFATP SLFEFGAQRLSSMNDNGISLQIVSHVPSPLPLDVRTAAAVNDDVAAKIAANPAGRFAA FATLPMRYPEDAAKELRRCVRELGFVGALIDSNCGGRFYDDSTFFPVFAAAADLDVPI YIHPCPNEAVKQLLYAGNYSADIATSLSEYAWGWHNDAAVSFLRLFACGIFDRLPALK IVLGHCGEMIPFQMERTANVIERQWPHIGGDHSRTFRAVWNENVWVTTSGFFDTGNMA CVLRQCRPDRVMFSVDYPFGKNEAGVAFLNKLLEEGLVDEEGLERIAWKNAEGLLRVK VETW CC84DRAFT_1118850 MADMDVGSGDRYRGSGYRGGGNKRRRDDEDDYHYSPGGRGDRRG PQRRRYDDAPPRRRYEEPAHAKLRRTILNIASSTKLPQDEAIEIAEYLRDHFDEEDAR GEFYDTLLQLIVEQPFKIPFVAAVIFYGNQAKIEIASEAIKLVGDRLQETFNAGQWKE FKLFLRFFACLQPLFEEDGIFALLGQLFDTVVDLQSANENDVVGIELVKIILLTIPYA LVSGGSRYHEKAGELLSNTGIVAGNMVPMESLIHTYDNENEDKVIGYHSIIGILQQQL TKESENGWEIACIPKFDAEALQKKQSEDTLPTAPPTHAFPTFIIPSPVNPGPKPLFPE AYFSLFANHENGTVPKTEDIAASLIRDAIVDTIDQLDFNREAAAKFLIELDSYWSLDT FAKRGTPFDKFREVVGDKVMYKSEDMIIDAIFSQLFKLPTADHKLVYYHSLITSCCKL APQAIAPSLGRAIRTVYQSLHVLDLELTYRFLDWFTHHLSNFEFRWRWAEWIGDLELS NLQPKKAFIIAALDKEIRLSFAKRIRSTLPQEMNELIPARLDEDNSPEFKYDNPDVPY SAQAKELIQQLKKKAPAEEVQKTIDQIHDLATEQGVADVLIPSTDAFVTAICRLGAKS LAHVLSCIERGKDRLIEVAQTSEAARRQIVASVFGYWKDQPGVAVRIIDILLNYTILA PMTVIQWVLGENLGAGEGLAESWVYEIVSITIAKVSSRNRQIVNSRVVKGLPQDMIDM VEATLSKDRDAARELFKYLEDVLRAFAQGSTDRLMEKEMNGEISKEDSELIKAWGKRW HTVFVRKSAVEESVVGEQAVEARIKLLAAEPDPEPEAMETEVANGDATEDVATNGD CC84DRAFT_729541 MVLPVLSMQLAGRFPRCAISFSLYVIFRHDGQRADEMQVLFAGR GRTQKSGTGTNILGWESGTLWFLRLRPSGATVFLPYFLHAGAPSLHFWFFLRMRVWSR GSVQTGGLLRVWSEMFWRRWCGVRVPQCGPQAERWAETWPDARGDV CC84DRAFT_1091074 MSQNRPSAFSSLRMGEIIREKVQDGVTGDYKDLAYTQCKIVGNG SFGVVFQTKLSPSGEDAAIKRVLQDKRFKNRELQIMRIVRHPNIVELKAFFYNNGDRP QKDEVYLNLVLEYVPETVYRASRYFNKMKTVMPILETKLYTYQLFRSLAYIHSQGICH RDIKPQNLLLDPSTGVLKLCDFGSAKILVENEPNVSYICSRYYRAPELIFGATNYTTK IDVWSTGCVMAELMLGQPLFPGESGIDQLVEIIKVLGTPTRDQIRTMNPNYMEHKFPQ IKPHPFNKVFRKADPSAIDLISKLLEYTPTQRLSAVDAMVQPFFDELRDPQTRLPDSR HPNGATRDLPELFNFTLHELSIAPHLNQQLVPAHIKPRLQQQGLDFESPNFKPLTKDQ MMARLD CC84DRAFT_1175723 MTFVFRATHYAARVAANRLAHAPGLVAAARPCLLSLLSLLLKLQ RCTRGPCTTNTERQARGLAAASVCRPAEQGASPHRAPRASSLALPVSSRRLLPGHAQH RRARCCTTPGRRPRRLHLVMIWASRHGSGYVRASSRARCTGPPQLSETAWEPGRQALP GSRNSSFCRQAARRAPPQFLNLVCTRQAIVQRRRHCREARPLSEPRRPSVTHHEGDAA ARRLIEPNVQQPTQGPSAMTPFPDSPTSPSALSYSRLVSPWRRTARESELPHVSSGEG STAPAVALAETILRWPQRPSAITQHAVRQPAGRLRHWGLAASANELRVAVVQHSRANL E CC84DRAFT_1164068 MAKLRILCLHGFTSNGAVHAHQLRRLTSQLPDYDFLFPEGPHRV DIAEQMDMSKPANQAWSDIVMSASDSGHRAWWFARDGEWKSKTGGGFIGLEESLEYLG AYVEERGPVHAVWGFSQGACLAGMLCALLQSKHAGHPLRKHLEATGTGIATPLASVIF SGFRARYSQYDGLYEPGIDVPMLHVLGEQDPLVRSERSEALLRVCKRSERIVHAGGHD IPKGAEDVAKIIEFTRRHLRSGGESAGQGFVQALI CC84DRAFT_1145060 MHFTTLLIAGLTSSFAAALPQQIVSGSEVTGTTCLDPSIKFDTH STNVAILSICGGIAGAITKCGGAPTSTTGISGTSKFTLDATQAGATINISKGRWERCV KAAQLTCPGGSFASTCLGGAAPRGDVKFSLTEA CC84DRAFT_729612 MHFLKLHDTKSPFRCLSLLPLFQPSTALPNPQDSHTNPASAGTH DLISHFQYFLTPNPPTCPTMLINPDRTPYRLSKPSPSGPPLPPESSVYRPHLPNQHRV PLSLDVSRLPRPTACHVCSEDVVYKALASHALLLTSRLQGRAERATSFRTGDRLFLPS S CC84DRAFT_1175728 MAALPTARAPCPLRFAAMPGWGRSVERRTPCCALPSELAASPLG GEPATPEPASQSVPALQTRALASTQGPAARTARGCTARATARPVLCRAHCLSTRARRA RAPAGCALHAAPAPPAGPAALERTVAGRGAGTTRYFASCVSRLFADPGPPSQRGTSSP PGDPILPLALPSSARIRLLVPDMLACMRSSQRQGSTAPRGHSQTGCTRAPGRARTGKK QLHARHILITPQRKRAPAPSLTLRPPRAACDAGRPATAACASADGRAVVVGGPPLPSA YSCQRPACVAD CC84DRAFT_1175729 MLQSIRAPTLAMPSFGHDLPIGGAQKMEGYGDTDAWQWPQSATQ PSSYTPIFDHSVYAHINSQQPNPVAAPRHHSIATSHVVATGRAPPPQRHQLTGAIVKA QPAFKPTWHGFLDTTKDAMTIVEAALQGRLGHISRRPHDKERAEMLTSGTVLVYEENA SGIRRWTDAVHWSPSRVMNNCLIYRQLTRALKPEEKRSALNPSCNGKRKRKGSAGPTI TKAGENIANSDDEYDNPAFQGAVPGDVTPVEPSEKVYANFAQGLTPDQQRRFCGSLID SYEFKEGGLMKKTISVKYQGTNHHIISYYTLEDVVHGKLKRPCEDPDLRDIQPRPELL TGWKVSLEDEESKEQPQFPTHMPVAHPQTGLPPQIYVQIPGSWHGSQVSQYSSPQSAH PYPTPQVNPAQYVAQPSQSQYPVQQHSSPQQYSPQVPHAAQPYPQTSSPHHLPAQQGS AQRYPSPASLAHQYSPHAQQPVQYPRAQQIPVHQQYGPPAAAHYPTAPQEVAQQATQY SMPQAPGVDYSGQASLQQHGVYRRTSAPMVMQQQPYGHPGYPSAPQTQPSSYYVTDAK YTANQTFEY CC84DRAFT_1090412 MICHQYPNSPHATLATPGLIFTHGAGGTLDAPAVVNFCTGYSVV HPVLSFQGSMNFNARVKGFHVSISYLRLEKQSLTLGGRSMGARAAVIAGTEILSSEDG QSELSLVLVSYPLKGPKDVRDQILLDLPASVRVLFVIGEKDAMCPLDLLEETRKKMAA KTRLVVVRGADHGMHVRPVAEEKRLGEEAGRLAAAWVGGEADDNDIHIGRDEEG CC84DRAFT_1092514 MPAATIPSLPFTADEVMNASDKDKAQLKDIHALLDKLFVRNRNQ HRRNHWFRSLWQFRKEMRLLVEEMEHKKKKWAAEQIAHRLQYWDEKCIHQWYMHFTQL VAVGPFAVLGLVLMASVARVCRITGITAVYEEMASEDVKGVLTAVDEGLLAEEYGGMM DVDEPEWDEGEPVKRED CC84DRAFT_1186790 MSPNHSPPPSSSTRGPPSSPFAGTDPTLYTPEAVRKGGRTAVPE SSKAAGKKPVIEIPAIRHTAYHGLNPQPHDLPVFVNEIETASRDFISRYVLVQLIPDG NRDIEAGSDHETELAKVQDKMKEYAVFDMCVPIYSRGVYLRFDNLQDAGAGKLILEQH LFRCCYVDNYTFAIAKSQDTAAIDEFEGQIRVEVQAAPVVDTLPIMFSLNDLKGMHDD LEAALSRFGPIRDFVHVSTDQNLMAFVFRFEFYSVEVANRTRASLLETPLTRMDQHVS VPVSSSASLHVNSSQGLWSWNIIRSENWTGPRPPNSPHRRLPRVDDKGRFMEFRHAPL VNAPTMEPREERDGAHNKVYRSRIVAGSDVRTTIMLRNIPNKLDWMSLKTLLDRVCFG TYDFIYLRIDFKSGHNVGYAFINFVDMTGMISMLDKVEHRGWPGYRSSKNAELSYATI QGREALVQKFRNSSVMQQTPYCRPRLFITKEEAWINQNIRKTGVEVKFPDPDNWSKFQ RSIDSARTVGLFPPTGVVHQSIDRAHMSSYDRGTPRDMVHMYSQYGHPPTFKGFSEPS KRTVEQLFHAQFGPAQSGMVTFEYIPLRLAQQLLGENGSPTFMGRSADPAYVATPPIP MYAYAAGPSYTSNDAYNNVSYYQNGDELGDLE CC84DRAFT_1205349 MASSSKSSPSRDQPGSKLSLVTLDLFPSCTRRAPASSFSARTIN MLLPEAFGSLVCLSSTPQFVTKFASASTTFLPVAHFSKPIFPSKSIPRTPAIMADFDP IDDGVDDAVENAAALANCWLTHLRDFDCSTTRGGVTEVLAEIISDDSDDLIDYDVVDK AECYTRMLEASSALRDWLKFEHHTKPLIDSGFSKLHLAVNVADFKDTAKKYK CC84DRAFT_1216910 MPLFAPLTLYTAHILRLRNLIHRCIKALNRARPAFLALLTSCPA DPSPAQLHPFLNYVEIRFAIGLNIREYWAWRAVLAVVRRWGDTAWWVAGLLWAAVGCW VLGRGV CC84DRAFT_1186791 MDRWGRKAGVLYCAIFSLVGGAMLCGARNIEMFIVARFIAGWGS WGFLAVTPTYSAELAPPGLRGFFVGMNGVNIALGYAIASYTGMAFYFAESDAAKWRGP LGIALLWPAMMIAIIFIVPESPRFLLMKGRVEEAEKIVFRLHSVKGDPEQEFARSEFY QMRKQTELDRTLEPGWIAMFTKKGYRRRTALAMGFAFVGQSTGVLVINNYGPTLYATL GFDTKQQLELQCGWISVGIVFNAVGAVFMDKVGRKPLMLFGVAGCGVCLIIEAAIVAT YAEEATNKAALAAGVAMFYLFLAVYSMGVDVAGVVFYSELFPNHIRAKGVCLSMATVA LTDLVYLQATTTAFANIGWRFYLVFIIICALGTVFFYFFLPETKGIPLEEMARIFGDD VVVTLDDVHINHNTHQLVYRTGDEKDGLEHVATHQGLTPEVERAIKANAAEHKETVGK E CC84DRAFT_1164078 MRPPTYHGAIGVTTTSPMVQEEPNAQTRQGAQDVANLGPLPGSL PSKPPKSVHPHPDDDNDHHRENERTSPPRKRPRTQAIPRAAPPPPTPSTQPPQMSPSI STNDRVPAPAQPSARFHIQPVNQFRGASASIKRPQEVVHFSYDDHHQYKEDESGINYY CPPQLGADLKEGYNTFRHYEDETDPHLDSLLRAVMSKEKQTGKKEQADFVTWRGMMTK IMTAPFDMFAEFSMFVTLRDGTIYIEEDFPARAAQRAQEATRPRPKWQDPNMPDHKMM TYWGYKFETLSLLSTPPPDTPKETIEARPRAPVSNYAQHCSIVRTGFGPHTLLLGGEV DGLSAPKPSSPTEPIPWIELKTAESLPPNPSNRDILKFERKLLKFWAQSFLLGVPKII IGWRSKLGILTGVQELETNKIPGMVRRGTQCWDGNTCINFAAAFLGWLRSVVTEQGKV YRLKLEKKAGIVEVGEVRDASGGIVSKEFEEWRTELEQRHGDAKEEE CC84DRAFT_1164080 MDHRRPIRPPPGHPVGAPRRPLFTTTSTTSSQPSRANSRTTAAS KPTTVHISPDDPNNPDDDLVERDATGSYKIMAPSAAMKAGVAPPISAEQEEKDQEDQI IALYGKSSCHWDQAAVLDEIRAALKSSLERKVASLEADRWMFEGENGKGLR CC84DRAFT_1258997 MPSAVDPRDDLWLAIKQISQSSSDSDYIEQLVPIMKDARHTNQL LQAFDQYSNDREAEIERICSANHQEFIGSVDSLLKVRSGTVDITDEILELQASIQESA DKLHAQKQALKESRNVRQNINEANAALNDCLEVLRLANQVYELLKEKNYYAALRALDE LQTIHLKGISRYNLAGMIDKSVPVAREQIREAVKADLSTWLYRIRESSQFLGEVSFYY TDVRRTRNQERAEADPRFAKFKLNSAIELVADETDEFDVLNNEEAGNETDFTPLFEAM HIYDTLGKREQFKAEYAEDRKLQKDLILPQTLSLLDEECGELSSLLESIAGFAIIEKA TAAKTINLRSQADIDELWDTMCARAIELITKALPQVSNDELLLRIKGRVALFMLTMEK WGYSVSTMNDLLLTLFSKYSELLKSRFSEDFTEIVTTDDYMPMTLNSIEEYDKVVTVS WYTPEKERDELTFPCVLPFSQMYPLCCIDVRNFLNQIYLFSDDYFQKSSIIDETLRTS LDDLLCNEVCQSLVDRLASQYPGQIVQILTNVEHFETACHELEALLFQARSSPAATGP ITLQATEKFKAAKKQASDRIFEVVNSKIDQLVETAEYDWMAAKEASEPSDYMKEMTRW LSDISNSVLLALPEEVKGFVYFDALSHAKDAILALPLDESVKRITPAAVSALAIDTRY LANFVEGLGDPLLLDNLDELTQTVQLMGAEDHMEYFDVAQRNKKYGKVDPMRGQTLIA KVQEGATIANQSPTKPPERERFGTLGSRFRFG CC84DRAFT_1258998 MEAITNKMQQVLGGGAPTSNHSVLLLGAGFVTRPTAEVLGNSGV KVTVACRTLAKAQALAKGISNASAISLDVSNDEALDAEVAKVDLVISLIPYTFHATVI KSAIRKKKNVVTTSYVSPAMEELDAQCKEAGITVFNEIGVDPGVDHLSAVITIDDVHK AGGKILSFKSYCGGLPAPEASGNPLGYKFSWSSRGVLLALRNQAKWWQDGKVKEVEGP ELMAEAKPYFIYPGFAFVAYPNRDSTPYRERYLIPEAQTIVRGTLRYGGFPEYIKALV DIGFLVEDPKDFLKEGSTLSWREATSKIVGAKGATEEDIVWAISSRTKFPSTEEKDRI LNGLRWLGLFSDEKITPRGNPLDTLCATLEKKMQYEEGERDMVMLQHRFEIENKDGSK DVRTETLVDYGDPKGYSSMAKLVGVPCAIAVQQVLDGTISKKGVLAPMDPEICRPLMK ILEDKYNVRFKSETIRQ CC84DRAFT_1216916 MSSKIDKTIQRQQEKIAEGQFYEAHQQLRVIAARYVKTSNWDAA TDILFRGAQSLLQAGQGGSGGDLCIFLLEVFHKSETKPDAASKGKLLSLLRAFPKNEP TRKKFVNEMVGWSAKWGEYPAGDPEIHHVAGTLYAEDLEPYDAERHLIIGTKDSPEAL AGLEYSWYTSDDSHTAPLYAARGTLPYLLAGNLRAANKYFLLFTSYLARNPSLATQEV STKSSDLRVYPSLPLLNFLGLLLLAVERGDPSLFRQLKSHYASHLKDVNWTEALDTIG EMYFNIKIPRQGNPMFDMLGSMFGGGMGGGASKPAKKPPVAGLD CC84DRAFT_1243985 MAELDDRFVKRGYWVDWSKGPVMGQTYTVDAQTGTLIVAVLTIL ASVATAQLWSLLTFVLHQVRAHGMGSEGLFWQQQALLRTMPTPAAFLADSFKLSWAWR SRVSHSVLRSLPVLAFALFFTIGSIAGGISTSYAVDNSNIEVLPAPCPWNETMCSGEG SNAIVMDSGVLDMRMHFGLNIAEKDTVKLRRRTTCNVLPREGHIFKRPAEYLNRGNPA ERWTLEYGSWKGVPEYQRPEVTFNVPSVLVEHQMSYYTTAMMSYIHSDSVSGFITPLP EMNRSDADVALISVWSNAVVYEKPVEDPLFAAHRVEERQQSSGKNKTLYWSDHYAGLV GCAQQIQFCYPRADQEDFCTPLGPGPAPDTPTTSYPSATPLQSSLLTLLQSISRITDL NRSPQLGNLRASKSIMPTIPGDRMLCQALRMRKAGGFANVNVFALVFLTTVAAVVTFL NVFILRFCIFLSRFRRALAPRIERWVQDGVFHLQRRAFEARGEGTWVDLEKEIPVTRV REMLGDLGVASHTLKEEKSVGSFETGESSGDRGKRKVVREEGYEMKEDVEWRRFRVSV GRVDTSATLVDGDAGADEIEKNGKGGKL CC84DRAFT_1164084 MASSASSVSSERLDANIPGAFPHSNASQTQISTTHQNLTQALYA RRAEYTRPRQVRIKVGSWNTAGLKGVEQDIGAWFVDGKGIEESLAGLGVRESDASAKE SISAQEARQTSLAPTVPKNDTAAVASNEEVGLYVLGLQEVVDISSATEALRPYTDPSV ANKWKASVATALPNGYQLVAEQQLIGLLLLIYASPEVHPHVKSVSTTSVGTGLMGYMG NKGAVTARIVLGETTRLVFVNSHLAAGADKTSLERRNWDAGQITSRTRFDPITDAMDL NQGQGEGIGDEDFAFWFGDLNYRLEGIPGDDVRRLLTVHTLAHDHEDAKDNTSISGDS GYASKRDSEDAVPVPPELDPASLHTTINSLLPHDELRQQQKAGKAFHDGWEEGPIRFL PTYKYDVGKVGVFDSSEKRRGPSWCDRILYRTRASRNQYNLKAKEREEAQKKDEEMKA QGLDQDDDVLYDYDPDTDGDKNDSYDEYDESQDPEPEIVPTKAGFSDEFLLESYTSHL RVISSDHKPLEAVFSLKYDAVMPELKTAIHQEVARELDRQENEGRPSVALVVDHSTHT FEDKDTADSNFDGVDFGDVSYGKSRRRNITIANTGRVPATFGFAMRPVDQNQPEGAFP PWLKVRFEREPDTASKSASDEMDAQYTLQPADVCNAELKLKVDSPDMVRLLNEGTSSL DDVLVLRVENGRDHFLPLRAHWQPSSLTRAVDKLIKIPEGGIRKLQGQKPDGEVRWSV PREIFRLTDSLEDMTERALAEWDMTGHEGNKENAPWQHNAAWPFVSQVKDSEDDEVMG GIIESLDCDESFDLAFPGGMPPREKTEYVAEALLLFLRTLQDGVITKSLWEKLEEGIA TREKSKQQLDRDDEKMWVLEILATAPNHNATFLLLISMLQNITHQIAEAGKAKPSTPR ASTELPASPRAPVRRRTLSKVPNIALRQLIQRNYATVFADVVFRGVSAEKMREKEKAV RKEKMARVVELFLKNEDDGTG CC84DRAFT_1145085 MGPNKGRSRAHHSQPQAPPQPSDYETDAPPNMDYPPPPPGRSNE ELNLSVIRRHYAHVNAVHYVAPYAVLYAFSLETEQWEKVGVEGSLFICGLVPSAVGAE RYGVVILNRRSMDNFYMEITGPDGLEFTDEYIIMQGDQVYGLWIFCEPPPSSTANTRT ETVAKIQELAARVQASQAAVDQTSDASSITSDQVQSSVPMGRQLSLRELFGQQRTQDA AWSVVNHHNTQALHQGYQPTPSPHSGQPDMLNQLFMRAHQDYNNFG CC84DRAFT_1164086 MAVSPVSRARVLDLMKVQCKVFSTTFNPERIRLGSRILHQRLKG PSVASYYPPRIGTIPHLRKLYPNFSVMDEQEEDWLEHLGVAKSRGKSPPKKKRTAAES KKFSKKR CC84DRAFT_1205357 MSSYGAQKRGGGFDHYSNGNSQKYARPDNSNFHPRNPNFNAHQP RNHNYPTSQSGPPTSKERRGETAHLDYIDRLPDSSKCEPCKLAKEEADAGIIGLLAKV EADEQMPEGDKDILRCAGELRRLLSARNDKKHAKQMKQLDKARPFEGKYVMIPGYIEQ QIEKAKSLPSLPPIEPSLEVQVFTHASVYESKAKAKGLTSTDDLTYERLEFIGDAYLE VIATRLIAHRLPHLDVPSQAHFREQLVRNDTLAKFSNDYGLVDRMKHASHLKPGTASK VWTKITADVFEAYVAAVVLSDPVEGFLTAEKWMNQLWAEQVLEYRAPILVENVNAQEE LHRLIQMNHIKVTYMEEKQMEMTATGVQQYYQGVYLTGWGYENEWLGSGVGRNKSQAA VNAAQDALTRNNNALQTATSKKKEFLAHQQIERDQKRADLRRQAAAGDEEAIAELRRI ISNDIRIKTKLAGKGDEAAAATLKELIAEEAALPKEVDSKDGDGRNAQSQISGRADET KGYTQFQNTANGNPNTTPSKKTKKNKDAIREGSKTTKDTKLSSEDFLANIMAGGNTEL TAAKDTRKDGSSWLEKEQKKKEKEERKKQEKMAKKDKRANAA CC84DRAFT_1259005 MAAAAAASIAGVSALAAYLDAKYHIGQDLRIKRRVGQAAKYYQE LVKHNRLSLWYAFTPHVTAHPNEECIWSRTGSYTWQQAHDRAIQWARFFLSKGVKPGD LVGVYLINSVDFPVIWLGLLCIGCAPALLNYNLKGDALAHCVRISGARVLLVDSDGEV NGRFHEVRGRLEEEMGVEGTVVTDQFLADLYKTSVNVPGDEYRAGVKGNSPTCLLYTS GTTGLPKAAPFLTSRYHERGNPANPPFGQTTSDRWYCSMPLFHGTGGLSIMSSLTLGL SVAVGRKFSVSTHWDDIHDSGASVFVYVGEAARYLLNAPPHPLERNHPRLRAMYGNGM RPDVWSRFKKRFDVPQVIEFFNSTEGVLSMVNWSLNGYTQDCVGRHGAILRHALRDTY VPVAIDTETGNILRDPATGFARRNAYDEGGEILVKVPSEAAFAGYVNNPAANAKKFER DVLVKGDLYYRSGDSLRRDADGRWFFLDRLGDTFRWKSENVSTAQVAEVLGKYPGVAE ATVYGVTVPNHDGRAGCAAVLLADGVTVHSFDWKAFLEYAKGELVKEAVPVFVRVVGQ SSRTDNEKQNKGPLKAEGIEVSSFGEKVVGGKGDVMMWLGGGRGTYELFGARELEDVK GGRARL CC84DRAFT_1205359 MKGDSAPALVRQEIRTAPTERSIWTSVQDETTFRQAAVDLALAT GECPWDAHTGLPPSPGAPRIDDYVQLSPPRSESRDQYILSEHIENRLANDLALLAASK EAVFSVTAACIEERADANGHLTGLKLWLAANEGVSEELKGSLAEIWHCQSASTSEGET VSNVFAKIVHLNRLRIYQRVRKAVGHPPIFREKGRTRTNPDDKLARAFMRMPKSRPQD KWKPPALCNELVRRGLSLNVKLLALLESLTVDEIEQGSDHVVRQLETIARDCFNVTTD NGRVPFKHLLGECELDAGLWLRNKYIGEVEKTGAYWRMAMSICRIHRQISGARPKDLP PVKLEIEGVQPYVSVINEPSIQGRPMPCYVHAEVQLITHLAQQEARREFEVSSTQKVI TRRPRIIGASKSACFLCFLFLSCYGGPETPATHGRLLRTYVRLFSECILRWSGYGENT V CC84DRAFT_1118894 MTDKLRVLLVGNGGREHAIAWKLAQSPRVEHIYVVPGNGGTASS ANTTNVPSIKQEDFPALVQFAKEKNINLLVPGPEAPLVAGIVDYFEKHGDKSVRFFGP SEAAARMEGSKTFSKDFMKRNNIPTAAYENFSDYEKAKAYLDSIDHNVVIKASGLAAG KGVLIPQTKEEAHAGLKDIMLDREFGAAGDEVVIEEFLEGDELSILTFSDGATIKSLP PAQDHKRIGDGDTGPNTGGMGTYAPTRIAPPEVIEAVHKDILQPTVDGMKKEGYTFKG VLFTGLMMTKQGPKVLEYNVRFGDPETQSLLALMESDLAEVMVACAEGRLSEVDVKVG NKSAATVVVAAGGYPGSYAKGTVMTLDQVPEDVVLFHAGTSLIDNTLKTAGGRVIAST ATAATLEEAVAKAYKGVDCIHFDGMQYRKDIAGRALKK CC84DRAFT_1175747 MPWLTFGATRATAILGSNDQVKKFEEVDKDELIEKTLDEAVALS LQRDAVGAGIVLIPQNHIDIGFCLYYNIRNRPDPSQERRYGILAKWIRSTYRFWASIK TFCGWCVADVAGCHDSARGGQPAERSSAGLSTPGYGPGLDPGLRVVFLHRPGEGAGGN WMKLDAILSPGVDMRSSAWWKKKAVKLARTSRRVP CC84DRAFT_1259008 MNGNTSTSSLPNSQVSRASTGALSQQSTLVGQQTSAAGGDALDT AIRKFRARLTGTDLTEFRSTTYEELLHSILRIQYEQEQTKSMVNLGRIQSFLEAMNQF SKTIEIFLNVSDMVAFVWGPIKFLLLTASTVADSFETLLEAYYEIGEQLPLLQEYEQL FKENPHMIQALELMYLDILEFHQQAMRFFSGGRWRKFFRAMWKDFETKFGGIKKSLAR HKDLVERRATISQYRLYQLDIVEMRNRLDELVLEERGKKLAAVREWFAVGSIQEEDHT SFNEIRATYKDTAKWIVKNEKIKDWMHADNPTTPIVWLTGMPGAGKTILASSLISECQ SKATCITSYFYCHYEGQGCNTAVDVLKGLMHQLLDQYPDMLPPCHTKRTGSGEPVLRS IERAKKLFEDFCSIPEKMFIIIDGLDECEQLERKQLLDFLVGMVTQCDTSEPGKLRVM VVSQEYGDIKRALHNPSSSKIVPSIVSMSETDNRHDIHNYVRIWVDRIAVKHEPFDDE AKEYLHNLTVARAKGMFLYAKLVMDNLFQQPTRGDLLDAVSENNFPDGLKEAYERIVK RIKRTHAVEWPKVKKLLGWMVCAQRQLAWREIQVALSIDIESRSIEYEDRRFREDIHE VCGSLVTVSGNRVSLVHSTARYYLTKATEDIHQPSVECELATLCLQYLTFPCFQTKIS IEKPELRKMMLEGQFAFQDYAVAKWFQHVNAFVKFGKDLLQDWTKAEELVREMSHAID NFMDHYHEENFNEPQNIVEECRETCKSFEEQDFYEDLVVLTSHIYTFQKKGFEARHKV SIKSLEYALNRNRKFVEDTASKLKGTELATFKQFYDNERPYKCTKITCMYFSEGFREA RAKKRHVNIHDRPFQCEVPDCLGAEGFANSKDLEKYTFTRVLVQEVRASVV CC84DRAFT_1145105 MSVVDSKLASGDGQPIPGHFQMLITKAEDRYLVGFPDGTLLGEA NALLEEALESIEKQRHRVDLEAFAPIRPIRETIYRATKEKEAVVRVNINIYGPSSVTQ EIGSILSSKKIYLQRPDYIKPGMSYENPHVLKLGNFQHSFHSQEVDLRTEQQPESEVA KAEAFQKTIDTIYSSLTRGQTLAGLEGDDRLKTQLLTHQKQALHFMSQRENGPIPEKF RLWVPSEQDGRPCQRHVITNNASLAEYSETGGGILADEMGMGKSLSILALILRTLGDG HKWASEHDTMLDNGSSHYKSRRRSRATLVIASSDLMINEWFQEMKIHFEAQIWGALRT VKYHGTNRESNIDTLAESDIVVTTYHTLYMDSQRGKNPLRDIEWYRLVLDEAHIIRRL STVFYRTVAELKARSRWCLTGTPIQNRLEDIGSLFAFIRIIPFNNPSNFRKYISVPFD EGGKRRDLAIERFTRLLDSLCLRRTKDLLHLPEQHSRVRKLEFSAEERMQYEQTKKIM LRAAKNQVGGFDQKSTLGLFQVQLQLRILCNHGTFQQPFSWNRRKMHLLDEREAMEAS SGRDGEVTCSACKQTMPLLGAGSMFRRFDEHCRHVLCSECMEESMPEANPGVVTNCPL CSSLWKITSRSANSTFLQQEDGRYFRAQGRSSKIQALMEDVRVDIWSTKSIIFSCWTH TLDLIGSALGQLNITFRRIDGECPTAKREKILDEFAHDPSLRVLIMTTGTGAVGLNLA TANRVFLVEPQWNPSVENQAIARALRLGQEQAVQVTRYVVNGTVEQDMRSLQDRKLKM AHMAWE CC84DRAFT_1118905 MPDYDNASSWPPGTVTLERKISLSLFEGYEVVLVPAPSIDPNDP LNWKAWEKNLNIALTLFYSVLVFALISAITPTWAPMNIELGFSYETLQNSYATGTAAL GVGALLFIPFALKYGRRPIYVLSSLGQVAVAIWAAKMTSTADLYLIQVFNCLFGSLAE VIVQMTIADVFFVHQRGLMNNLYVCTMTIGTSMAALVAGYITVGQGWRWVWWWTAICM GVCLVLFVFFYEETKFVPPIESFAEAAQTPVHSAGNRITDPDLKFADDQLKPVGSIIT EIVPPRNTYIQRLKPLHATRGSIKQLAHHSYQPLLVECTIPAVLYVALLYGLITAALQ VSVTLVATYMPAPPYNFSPSAVGLMSLPPLIGNIIGTALSAPFTDRLIIYLARRNKGI YEPEMRLWLLLVFAPVYPAALLLTGYALGKGMSWPVVAVGLGLQGFAMPPIASVALTY LTDAYTDIIADAVIGVTFTRNLMATIFVLVLTPWVQRVQLHNVMLTFAMMTLPVLLGG TVALIIYGKRLRCWTKDRYHLYAARQMDTRS CC84DRAFT_1145111 MAPKIFTNARVFANSSAQQREIDFCNCMVIQDGNVIHVGSEHDT TVRDLLDSGAEVTDLKQQLFVPGLIDAHTHLLFFGLSRQKLDLTNCASLDEVRAAISD YGKRNPDAPRILCRGWQQPSTGRLALATMLDDLDPRPIYVEALDLHSSWVNTAALREL PLENAKELGPHQVVCGEDGRPSGLFAEAGQVDIIWSFLNAQYTTEEKQDALDKTFKAY IAAGYTGAIDMAMDDNAWDALKLYRERKGALPIHIAAHWLITPPGSGGSLEEKVDVAI ARMREWPPSTCPEFCIIGIKLICDGVVDGCTAALRHPYTGHVDIVQPLWPADAMNEVV HRATQAGLQVAIHAIGDAAVTQAINAIASANTPGGRHRIEHLELTTPEDAQRLGQLGI TASVQPVHSDPAILHDYQKLVGAQTFARAFAYKDFLDGGACVALGTDAPTAVHLPLPN LYNATTRRSATQPEMEARTTPHQALTLTQAFHAATTGAARSRFAEGWTGRLEKGLWAD FVVLECEWEAGRLLEARLEQTWARGKRLFPTEVEQA CC84DRAFT_1216929 MPINWQDPEVKDRILASIIASFGTPINCREVARIFGPEATYDAI ENFLRKPKKKAKELITEAAARGNSAPARGANSPAKQRAPRTPKKSDGVKTGRVTKSTP KKNVGSPVKKEMLEGDEAFNGGAVSTPQGDYEMDEDFDAEI CC84DRAFT_1164089 MAPRLNCLTGCFGWQPAKLSRCSELATRAWMWAIHLILIIPTAM AAFIARNNYLLVEKHLELQQYPYHPKMRLGFYMTYIGCAVLFPWVFLATLLLKKWYGT WTLPYGIINSGLATTIAIGISMQTQFLPASSSGCKDGKAMNWQVVDGYDSMFTLAAKL DRNDANKAEAICKNMVAGWTVGGTVVFFQSVLAYVSVFFDEREFSLLNPMRPLIWLVI LFVGPLLFVHDVIFPRIRLWLSYAKKGVAELRSTRDFQIPPQARFTPQYQSFEAPKTK LTNVLAIEHVLLNVTDYLHHDDVVHLSMTCRAVREVVYPSEDLDYRVPKLTRHCCSIS EASKCLYCNNKICGSCQRNPLWPGLSGRRHVTDCKPYCEPCYYKSFARHPRGYKKPCK CYSIDRSNEFQDVCRSCMSKDVDTLQAARHRRYQQEARDIAYDENSKCGDCKKVLKDG MRWWKCGKCSGECRDKIHPGFVKTKKVRDPEKGDVGNGGIDEDVSWWRKWRNVLLPER RQ CC84DRAFT_1164090 MASTYNLEPHPTAKVVLHTTTGDLELELFAKQTPVASRNFLQLC LDGYYDNTVFHRLVKGFIIQGGDPTGTGQGGESSYDGEPFADEFHSRLKFNRRGLLGM ANTGKKDDNGSQFFFTLANTPELQGQNTMFGRVAGDTIYNLMKMAEAEIAEGSEDRPL YPTRVTGAEIIINPFEDMVKKEQVAHKAEPDTKKPKKTKRKAGRNLVSFGDEEGEGAE VAPVAKKAKYNAKLVSGGAQAPYVADGPSVDAMPNEEKSIPIRKPRKSPSRSPSPRPT SKPAQASPLPAKDPSPPPRELSPEAEKQSRLDRVNAQIAELKASMKRNTGSQRAEPAK KKSLLEQMIPTSTTKGRKRGAASSAASEKTTLDILTKFKSKLESAAPADTKMKSPEIP EAVVVPATEANGGKAAADEEEVPCDLHFVMGCQSCTAWDKQDEEESDDDTGWMGHSLS FAKDRLGKDLEWKRKNEEELVVI CC84DRAFT_729979 MLPYINAPFEYVGSSLGTSADELKLVFTFYLSFPLAAVLKRIPD KAPWQKNLFIIAVSLFYLVGLFDLWDGLLTLMISAYGAYFIAAKIDSPFMPWIGFVFL MGHMSVSHIARQRMHDPSATDITGAQMVMVMKLTAFCWNIQDGRLPDAELNDFQKEHA IRTIPSLLDYTGYFLFFPSLMAGPAFDYCDYSRYISTTMFTLPPGVDPSKAPPTRKKR KIPRSGTPAAIKCVIGSLWIFAFLKFSSWYAVDMYLSDEFLKYGFLRRIWLLYMLGLI TRMKYYGVWSLSEGGCILAGIGYNGLDPVTGRAKWDRLTNVKPMEVELAQNTRAYLGG WNINTNNWLRNYMYLRVTPKGKKPGFRATLATFVTSAFWHGFFPGYYLAFILASFLQT IAKNARRLIRPLFMTPDGKQPLPSKRYYDVFTWVLTQVVFAFTVAPFIILTFSGTWLV WSRVYFYTLIGVLASFAAFSRSLPVRRHLQKMQAARQPASSNDNIEKVAKEEIEADLK RRQSALSMESNASSVRKAPTLGIADDPEAEVEEIVREVRQEIEERKRRGSMMQGFDIK TAVREKIQEFQKGSKGALGGISGIRKSA CC84DRAFT_1090748 MKTSFAIVVASAAAVMGHGFVTNFTTDAKYNQGFLLDYYYQKVN TGSFPSIAAWYAENLDSGFVAPNAYQTADINCHKNSAPGALTATVKAGGTLTFSWGPN GWPHPYGPILGYVAACDGDCSKAVKTNLQWVKIQAEGIDYASQVWASQKLVSQGGKWT LTVPASLKAGNYVFRHEIIALHGANSPNGAQNYPQCFNIAVTGSGTKTLPAGTLGTAL YKADDKGILMNPYTTITSYAMPGPTLWSG CC84DRAFT_1244026 MRAHCSRRTSLTCVAMARILSFVSSLAYLSLLGLSSAAPPDING KTYEYIVVGSGPGGGPLAANLAIAGHSVLLLEAGDDQTENLNVSQWVNFNAAGNDPAT RWDFFVKHSDDAAREARYLHRTWRKTDGSFYVGIDPPTGAKPLGIYYPRAGTLGGCAM HNGCLTMNPNDVDWNEIAETTGDESWSAENMRKYLVKLEKAHYNSSYVHGHDGWLDTT MLDSGYAGFEDARELTTLAAQAAGYKTSDTTNLLIRDMNGNQTNRDNLVGPFGGVSHI NPNGRRSSPGYYVRDTVAKNKYPLTLSLDTLATKIIFKSTGTAPRKKAAGIEYLQGKS LYSADPRSNANVTGKPGKVYASREVIISGGAFNTPQLLLLSGIGPRKQLEKFKIPVIA DLPGVGQQVADNYEAGILSLAKRDVNVGQNIFPNFFKSSVGKIRDIYMWCGNFAFEGF WPGFPNIPEKNFTGDYGPREYECALVHMNPRSQAGTIELQSTNPRDVPAINLNFFKDG ADEDLTAIHEGVEWVRSWLSKVNASDANSLAPFEEKHPCAGTIGKQNCTAAAEKTYIK EQAYSHHASSSARIGADDDRYAVLDSEFKVRKVAGLRVVDASSFPKVPGGFPVLPTMM ISEKATDVILQGLADDE CC84DRAFT_1216935 MQLHILALVLPTLLYLFASTTSACKCLVDGVNDWEQTEYCCLAH VHGEYDEDKKDCVAHSIAEKMTKFSYCCWLRTGVVGTEIIDGMTRSTE CC84DRAFT_1118920 MPPKGQIRKNTARPSRPIGSSQRSARKQDTARKTGTPRRSSGGA RVGDPGPAPKGKRRYKPGTVALKEIRKYQKSTELLLLKAPFQRLVREICQNVTTEDGP NRWQSQAVAALQEATEAFLVSLFHDANLCAIHAKRVTIQQKDIQLARRLRAAWGIPV CC84DRAFT_1090448 MADALAGGGMVGQFPLEQWFYEMPVCTRWWMTAALSASLLVQCH IVSPFQLFYSFRTVFVRSQYWRLITTFFYFGPFSLDLLYHIFFLQRYSRLLEESSGRS PARFSWLLTFASTLLLCMAPLFSMAFLGSALSSTLVYIWSRKNPDTQLSFLGIFIFKA PYLPWVLLCFSLIMHGTVPKDEICGIVVGHIWYFFNDVYPPLHNNHSPLDPPAWWVRL FEGPPPPPEPTGEDLTDLTGQPVDEIDVQPVPIRDAHE CC84DRAFT_730031 MAFHKATLLSLLPLISPSVAWGTLGHDTVAFIAQSFVSKKTASF AKALLNDSSDAYLANVATWADSYRYTAEGAFSSPLHYIDALDDPPAECNVDYERDCPE EGCIVSAIANYTARITPANVSLVERQKALKWVIHFLGDIHQPLHVENLEIGGNGINVT FNGTATNLHHIWDSNMPEKLIGGYSLSDARAWAGELVGAITAGKYANASSTWLNGIDI KDPVASAMVWAQDANSYVCTAVVPKGQEAVEGKELQGEYYDEAIVVIQQQIAKAGVRL AAWLDLIVEGKTGGHGGHGYGHGYGHGYGHGYAKRGEAKLEDWMVEARRVRRAFGYNC GAEGHQH CC84DRAFT_1259019 MTNESSFLSQTLQSITSTKKREQDKRRTTFEDRKSKLLQAVDAA ENQTAKLEVLLSGLQELSFSNKGVWYVDSDQEKSVQNVSRYLEQSRHDSSVSMNILRR FETKVREKLEQESERFNFANLYYRLLTEWTSTDSKPIAESELKQGDLDGSFEHVQRYN LQNLKDKFSSVVFTPLETDEVEIDNYLSSLFDDEHAEKILNDLRANVARFGADLKQRA NPFDPPVLKQCICALLTNDLLNDDAKATLSDFSTNDVVLAEIADVLNLRFSDLDNWSW EAEDGMYYEPRRQANGKYRIMMDQDILQAIFLHYIAVSWSAHLKQQFTSLAYDDKFWK TKNKPSRLEESRRTYFSNKLQQSNQGVAGAQLETFRNTFLLSALPSSLHHGSDPYGED PESGGKKKSGLAIRQLLLQQIATDVIIRRALHGSVAVVQSDLQWYATGLPHSTLFAVL RFWGIPEDWIMFFKKFAEAPLRMDPTPGQNVQIRKRGIPITDAFEKLFGESVLWCMDV AVNRLCSTTLIRFHDDLWLCGEPSLCAQAWETIQDFVKVLGLDINTKKTGSVYVSDRP KESIAASKLPHGPVCMGMLQLSESGDWTLDQKQVSAHVRQLQKRLGESQSIIGWVQIW NACMGKFFKNAFGRPANCFGHAHVDAILKTFAKMQRELFEEHNGSVSEYLRQQIHQRF GVEDVPDAFFFALEELGGLGLQSPFVPFYILKDQLIKNPLDRIAEFHKAEKAKYKELQ ESFEMLSAQDRQRRFDSSFHSARETGFPNEPFFSFEEYTAHRETYSYELKQAYDDLMR EPVEKSIELTNDVAPWFEELCHSHKIRWDDNMSSENKWIMHLYAEELKHRFGALSIVD RNLLPSGVMQMLKKKKVIWQLIIWD CC84DRAFT_1164095 MAHPQPKPTAMYRLPPELLLQITDHLRPETASDLVHLRNLCLVS RKLLPIAREQLYANAELSTSCGCHPTVNSALQLLRTLLEHPALVHHVKALRFSVVRRN VGKLYQDEYPNKHLDLPALRDLCAGQLANLGYSEEHPWMVSLRNNVESAYAGLLLCIL PNLAKLQYAVKELHRGCGVVDPIPAFFATCYPPATLASAFKANLQELCMSDLTFLRSF TFDNLNALRITSVTVQTLLQLNGPNTFRGTARLSELCVGLSVYLMDKDCINDMQVDFR DLIDALGCDRLSTLKVKLEHESYCLIHSPIFDVQLLVDQLSSLQSTLKVLEIDLDPLD DTDEWNYVLKHCKNVGSSMDKFRKLELLKIPQDFLFGDIESEYKIMPSDLPKKLRRLE IICPDEDIVPWAKFILNAPDELEDLRELFLRCRDEVKTPASTFSTGVKPVWPDLLFMC DITSYIIDLTANTTKSLPTLYEDDPGESDDEDEESEHGLDEVDKRESDSGDSEDESDE DMPDLEPFEAFPSILTDDLD CC84DRAFT_1259021 MAIFLSLFLAFLLIRDVVSVSAPILRSAWTATADSFNQGNEPQK AIDANLNTFWHSQFNPTPDALPNWIVVDMKTIYNIHAVGYLPRQDGSANGRIGGHKIE VSTDGTNWNLAATGTYLNDAIVKKTTFITRAARYVRITATTEAQGAGLQWTSAAEINV YHEVTYDYASRTGWTASADSQETVSENSPASKAIDGDPTTLWHTKYNGGVVAPLPHWF QIDAGTQITVEGLSYLPRGSPGNGRIGQYSIQVSNDGNTWTQVATGMWVDTEDEKISL FSATARYFRLNALTEAGNRGQWTTAREINLVRGETEVVPAAPAASKGLWVNTVDFPLV PAAVAMLPNGKVLVWSSFSRDNFGGSNGFTQTAIYDPATGESTPRTVTNTNHDMFCPG ISMDFNGRIIVTGGSNAAKTSIYDSATNAWTAGPDMKIARGYQSTATVSDGRIFNIGG SWSGGEGNKNGEIYSPSANTWTLQSGALVSPLQTQDRQGPYRSDNHAWLFTWKSGTVF QAGPSKAMHWYDTTGAGSVTAAGNRGDDGDAMCGNAVMYDAPAGKILTAGGAANYQED NARTNAYVITIGATKQNPQVTRVPNMAFARGFANGVALPDGTVFVTGGQSYVKPFTDT TAQFTPELFNPATNTWTQLNPMSIARTYHSVAILLPDATVLQGGGGLCGGCGVNHFDA EIFVPPYLLNTDGTRRTRPVINNVAATVRLGATVTITTNSAVTRFALVRSGSATHTVD TDQRRIPLTPSGSGTTYTITIPSDAGVALPGFWFLFALNSAGTPSVAKIIKITT CC84DRAFT_1186812 MDRPCGSQTRFSLGLAFILALVVGSLGSSLATFSDDKCLNSLEG IDGPNGYPNGTCTPLDIKGPAWKSFQIVGLDHGCTPTIYGKDEDPTSPCSSKTLEFAH IATCYNRTWVYYSIDFCDVPNGTPSPLASAVPQSSPGNHTGAIAGGIVGGVCGIALVV GLVFWYVRRNRDGQRAGHRDLPSTPPLELPSLHAKQEIHSNQAYPPQEIGRSSVLIGL QKPVLSEQLDRIASIANKV CC84DRAFT_730070 MPHATPFHREIRNVAIIGCGSIGASWAALFLAQGLNVSVYDINP SSKTFLHTVTATALPTLKSLGLLQNTSATVDDITFTTDLQEALRNADFVQENGPERLD FKQSLFTDIAKHLPDHVIIATSSSGLMCSKIQEGMPAASKPERCVVGHPFNPPHLIPL VEVVGGQLTSKSTIERTLQFYEDVGKKPIYVQKEVPGHIANRLQAALFREIFHIVKND ICTVKDIDDAMAYGPGLRWGVMGPSTLMHLAGGEGGVEHMANHLLQPMTTWWAQEDPV IDEDLKNKWVAGTLDSVNGRKYVDLSRKRDDEIVQLLNLRRETEAEAGVEKESKRLFI LDTDLSKLPNTRGSIKSCDTNGSDLRTVVENIKTLPDGITIDQDHEFMYWTNMGTSLS SNSGSIERAHLDGSERSVIVAPGTPGVHTPKQITIAPLSRKLYWCDREGMKVCRSNLD GTNIEILVDTAASEEPHPQCRWCVGITVDEARGFFYWSQKGAPKSKMGRIFRSRVDKP DECEVVFTDLPEPIDLEMDEENAMLYWTDRGDPPTGNSLNRAKMDGGKREREVLATRL HEAIGLALDKDAGLCYVTDLAGGVYKIDIEKREKKVLFAELGDLTGVALA CC84DRAFT_1164098 MSEAKRTKDIYGPGTYTDKEFIPVPRDTERIFRQLAEQTPSFTR DETLLSKVQFTGEDFPIIPGPIKATSVAAALHAMCGVLADEILTLRGAQDSSRKVTVN TTHVAFWFGAVATAYLGGEDVLTLAGKGALKNVVPDWERGWVDTPLKYRATGLYPTKD SQTWYSLHGSMNAEPVLRSIGLDPATKVGSNEEAAQLIAEHTKKFLPAELEMNNLMNG FCGSVCFTPKQWNESNMGKRLAEHPLVDVEQQTHAVPTPPVAFLPLKKGDLRPLAGVK VVEMTRVIAGPQIGTILTSLGADVVRLNPPHLPDINIMQLTLNAGKRTTTVDLRKPEE LAQLQQLLSDADVFVQGFRHGKMKKFGLGLEEMLEMAGKRGKGIVYVSENCYGREGYY RERPGWQQIADAAAGSAYVTGKALELTQNLPAHEAVLPSLPISDMSTGVLGAVGTLLA LRDRATKGGSYSVHASLTGVNAYALREDVGLYPVDVVRECQERFEWQSMRGSHHVLDI LVTVWKGWKKVLGQYLEEESGWFQTFDKSAFDGKRLSILKPVAQIEGAETEWKTPSAP YGTEKLASIEWL CC84DRAFT_1118945 MAGPAARHWEQNKEATVYVGNIHEDVTQQLLTELMNHHGRVRTI NMPVDRVNGKHQGFGFVEFATESDAEYVISILNGTRLYNNAIRMNKASADKAKSVEVG AELFIGNLDHGVDERSLYDTFLRFGQLVNAPKIARDELGLSKGYGFVSYADFDASDAA IANMHGQYMQGKQISVQYAYKKDGQGARHGDDAERLLAKQAKAHGVAPAVQPLPAHLF QAPPPQAPTGPRAPGMPNAPSGYAGPPPRGPPQAPPPSLPPLPSGLPARPPSGAPPTN FYPPPPGFPGGPPPPGFVQPPPGFGR CC84DRAFT_1216945 MFQLSEESKERIARIIDVSRVAIHYGYLPLILYLGYSRSEPRPS LIRLFSPLA CC84DRAFT_730149 MTVPSIGDILLLSQTAWKVGRAFTAGRTHAPTEFQDVEVEINAL AKALKLLAEALFAESEDGLLKQADAKTEHGFATIVNSCSRAVHDLESLLDQYQVVKKT RNSGGFAVERSWSDMVLAQYKTMMWTTDGGNLQNLRYLLQMHANTITLTMRALQSKSI TQLECVVNPIAEQVDSIQHRTRTLSQQLDEANRIVRDIASRSHFPPTPNTMASSPGTL PLQPSQNSPDLYLASDFFPPRKSSNSNRPRSPPPSFGSPELSAPASPPRSTLPSPRTT RKRISEFSVGGPGSRYSGSVASSDGDSSHDSKTPLRYAYLSRQPSTKAPNLAKSVTDR EARSRPDSGVLSPMLPPPAMTLPPLPDTEAGAETASLISGLSLGQQSPSAGSEIKKLH RSSMTLVQKEQFEKVAFRNAAILCDVRGTTVEYAQKVSADEGSHDVEMVEACHECRIA VVRKREAMADAKHDIRMMTSIWVFSDDNTVRLELKMVDGEMYVPYSSYFSPEKVSVTV PCELKFHDVKYGQRVLRTAKTTWINYIFESAHSAALFQNELMGRTLLATYRTSKTLRI HEGLSGAFSYAEQMCGMENLRIWEDNDSLAVIVLIHFSPQFRNGYLAFYLNSANTPIR VKDDGGREVKVKGLRVPLEGKGSGRKDSVIAEGKNRGEGVDKKKMVTGARIEFASEAE KRDFLSLVWEVQKEMRDLPGLAGVN CC84DRAFT_730151 MPFSKPTVPVHTRVHAPATLPKSCGKSNVKKTSKVNTKDGSTQP RPDRLHIHFHPHPLKSTNVDHINNVNASIVPGALPVDTGLYLRPSRSLFSHGGKAPIM AYIPPGSKPSTHCMWTTDSAHFNEAIDLYMKHGFVKAVIKNTNVDGEKVKCSVLLIGP WEQVPKGAFKIHIWKEPVTWVRAAWMAVKDEFRKSCEEVREQIRKEKEENEMKKRAEE KSKALESESEDGEWDEQIPWE CC84DRAFT_1145151 MELNLPVADSFDPDFRPTALDVRATRILLQHVGLPTELILLILD FARYWTSCTTFRASKLCLLDWNHDLNHSSTVAYLSAPVSLRPTSTGETPKIREIEFCI LSHDQGWTSEFTQNKYKTSSWFEVSIVRGSPSRMLGDSTRTSGTNSSCMPRNVAMFAD VERARAHCKYVEFVRRPDEGMEEQRTHCREMIRVFRDESQGEMPLEEGMHAWWLQGNR VVTATGDPDRWARRENAVENRVKWGCRAAPAWEGNEGAGKGEGFVDSLKDGDCVVLWA RVKRRGWENHVYEASISVRYTMGPSGTKEVKAERY CC84DRAFT_730177 MDTVTTHYDTLGVTQAAAPAVIRGAYKALALLHHPDKTLRLPAE DRATHSSLFRSAQEAFDVLNNPSAKAAYDRKLQRASRSNSHSHVHSPTTSRRPNTIHV TSPEEKRALKVKIEQDIAYLRERRAKRDLEDAHMDIAALKFMAQTDAEMAAKYDEDAL GHGHSRAYRATQMQVYLEKIEKRERKHEGWLENMARPRAPGMKPERPPTSYNIRTAAP SPKPAYNVRTATPMPKPANMEEYTWISFEPFWLYQY CC84DRAFT_1164101 MPHIYTLQTPGAPTLIDKSARPSSFGLDDFVTPVDSDLMDREWY HGQDYYPHTALHAHSRLSPADDVLTSVAFHYPAHTDPGSESLTGPLYPPLDRPMNGHA FPQYHDHGAPRVDDLAPISPLQPQYNGTAFPTPYPDSTASSAPSEDPIIADVEEDKRK RNQAASARFRQKKKQREQQMMEQTREMQEKNKRLEGEVEGLKRENTFLKKLLVEKIDN MSPEDRDLLKRTTDNLKEKD CC84DRAFT_1216951 MRFSLSAVALLVTAVAAVDISGAPACAQTCLQDNQGLSTCDPNA TEYTCFCADTAFYSAVQQCVLADCSLTDAVATLTWYNSVCAA CC84DRAFT_1118955 MANPQLEVSLLKPEEAEEFMRIRHEVFRPTINKILYSRGEPSQK TLDRVTEEIRDGIVNKGILYLKCVDKSTGEMIAGARWRYAKPKEDRARERTWEEVEAG FTVPEPYDESEPELFRHLFHLLNTNKRELLETRPYYTLITCAVLPQHERRGAGSMLVK WGCDRADEAGVEAYLEASLMGVPMYERHGFRPVKRIELDMKKFGAEENAPFVVMIRPA KEQPADPSTAA CC84DRAFT_1164106 MMEHYARNLWRRKTLLLASTLMLSFCIPIFGPEFSVSRVLGVYS TYLSILNILPVPIDRISTLWAFSFAFHSFTDIERSSRQLFFRFFHYLVCCSIPLCLWG ELSHLYYGHDVCDKYISSWLPSSLTLGVLINAMISFFVPVDNAQLLAKKDNHSNSDVE AQTAQMLSQAGWSKITYSSTWICVFMKGLY CC84DRAFT_1244040 MSIPAIDFSAFRNGTEQERRVLANRITEEFKKHGATRLIAHGIT GESIKKTYEQSDKFFKLPEAEKVIIANIRDANPQRGYSYVGSEATSKVNKRDQKGEFQ ICEDDDVDQELIDAKEHFDYTREGDEYSTPWPSEASIPGFRDWMEGAFLPDCMKLARD VLTAIEIGLNVPAGTFTSCMESHVDELRIQHYPPLAVEELKAGKIKRCHPHTDFGFIT LLFQDATGGLEVEDRANGGWLPVIPTGDPTEVGVYIGDTLVHKTNGHLKAAVHHVVAP VSLKDREDGVMPERFTIALFAKADRHADVGPMPQFITSETPQRFPNLTALELHNKRVG QLYDARPKVNMASA CC84DRAFT_1244044 MASPSINLTERGTTTRQSESPEQRPVSASIQDGGYGWIVVFACF VQTFWVNAWTGSWGILQAALLAQSAMREVPASTLSFVGSVGLSLTVALGLACVWLAGV IGARWSTLLGILLFSASTLVGSFTVRNIGGLFASGTLYGIGASLMYTNSNSLPVQWFH KRLGTANGLVKLGGGIGATVMALIVQLLIDKVGVAWTFRVMALASLASGVPAALLIKE KERSSPSINPSLFRDLTFFLLFVAGFVGIFALYIPSFFLPTVATSIGLSPSTAAAVMA CYNACMAVGRLSSGIACDKLGATNMLLATMALNAITMLAIWSVASTFAVLIVFAALNG IANGAFFVTMPTAIGRLLGPGAAPAGIGMAVTGWTVGDLLGNPIAGFLITATHADRGS SIVPYRPAIFYAGGTAAVSTALVLLARLKMDANIIKKF CC84DRAFT_1196005 MALRTLLLAAANLLIPVAILIFATGFFPYKPFMPGLAEYEKLGW EDAMGWKEGSVPEAPFDKLVFMVVDALRSDFVFGEESGMTFVQSLIREGTALPFTAHA ASPTITMPKVKAITTGSIPSFLDVILNFAESDTTSTLSTQDTWLAQLKAKKGGKLVMY GDDTWLKLFPGFFERADGTSSFFVSDFTEVDNNVTRHVPEELLNSDWNAMIMHYLGLD HIGHKAGPRSPNMIPKQKEMDDIVRDIYSAIENEDHLSKTLFVLCGDHGMNEGGNHGG SAAGETSPALVFMSPRLRDITPHTNRVSPTKPKEGGGEFEYYRMVEQSDIAPTLAGLL GFPVPRNNLGVFIKELLAFWPNRIDRLRLLLRNARQMKRIVEATYPSLNYGDDSRALG KECEPPLSDGQELACKWRGVVDILSASSRHITADLKIDQLYWFMDAAQEKMSSTASNY DVPRLTTGTALAFVSVVLTFFTLPALRPFTPSGIAYALTLILYSVLMFASSYVEEEHN FWYWAVSGWFFYLFIAESRKEWQSKFIFHPAIMLLITHRIIRRWNQTGQKYAGADDIV HSGIFHGRSSFILWALVGATYMDITIRVSRHIARSIVVFDKNSNSEDPQAVDANRFLG VVAVLPLGATAFIFKLAFTARDAPELTYGINQGLIQWVEDLSLVDVARMVFAGTALSV VWIMISEWKRKRDRRGEGSGDLAIALFDLLSLFIITQTKAQNIPLYLLFRFQFFFLSL LDLSPAAITTTTLLLTQTSFFALGNSNAISSIDLSNAYNGVSGYNVLAVGALLFLSNW AGPLYFTAASSLLLSALGRPRRNIAMDEVDSRDWVQKEREHLERMAKSEEEGRKRREN GEAWGRHVALVTLWVGVATASVMVACTSEVSVCDGVGDRISFWGYAGVRIVGLGGGKV VGGLMGRIEA CC84DRAFT_1164107 MAFLLPGLRRGLVLSTPFILSAPLLVQTMRRPVLCDGPDPLSKI TSDLKNRYAGEAQTPVIKTSGAPNPRALRQVSMGSILGVLAGLGVSVFSKPLAILIGL GVICIQLLESRGIHLVPYSFLQRRLKETNVRSLIQDNAAFKLSFGATFALAAFAEF CC84DRAFT_730254 MAAPQAQALPLALPDIEALVKTLYDPGHATKIPQTEATLRVLQR SPQGWEIGDALLNSADPNVQFFGALTLTVKVNADSADLSEEESAQLLSKLIHHLVTNP SSTTATRKLCSTLAQYFTKPISSWVECIRSLTLSFALQRPVLDDTLDSHPSTWDVLPP LADNHLLTLLDFAMNVADEAKKLSNRPDQRPHERLIANVESLEVLLQVAFGRGIKYLS TPPDDPNYERLLQAGEKLCVASFECFLGWIFYAQSEFKAVPEKLRHLRSITELSFSCL EYHVDDAMEHIATVLEGYPKFFEEKHLHMLWDIITSQWGLEILKNLDAETVSLARIIV AYGSELVETKKLYQEPDNGHYQQVSSFLHELLKYPDPVGVEDEVALVALDFWSTYISA IAEESFLYSEGEHPPWFVVARSHAFQAISELLQKIIYPPSEVTKTWDSDAKKTFKVFR MDVRDITMEAFELLRNELTEQFIDFSIQALEAKRWLELEAGLFCLISVADAATAVDDK LSRLFERPLFSTITENTGIPAVTRRTAVDLVAAFNHFFMRNPHFLPQVLPFLLGALAQ PSLAQGAAKSFASLCSECRRTLTGELPSFFQMYDQFITYATAEESTKSKVLEGIAAIV QAESSEEKQLAGLQQLFQYVAHDAMQALNLTKDGNDAEQGLMLALTALKCLSCIGKAL QAPDDGVVDLDEVATGSTFWTQGLGKDIQTQILNFITYLTQVFPANDEIIESACNSLR AGFKEAGPGPFVLPAAAAVDYITRTTLQTPRLTYVLETACCWVSCHKGSSDFEPQSQR LLHYDLGIMQALQHPRNEPEVSVGCIELIQSFIKQNASILKHEHPDVLKGTFDFSVEC LKSPEVLPKRAAASLWREIIEKTASSGNPDQVMCQEIVDHFGQAVTSALIANISGEVD ASSLEHIVIPLRKLIQNDRHSKAYISSALSEQPLLQRMQGEQAVQDMIRKFIESLMRN AKNSTAFKEAVKTFWQGCKQLQMQLAPQTMHPGHRFNY CC84DRAFT_1164108 MLLSRSLLRTHATPAAHAARRLPFPCQRAFHASAIRRLLDMEKV NTSERLAELRKLMQERQIDVYMVPSEDSHQSEYIAPCDGRREYISGFSGSAGYAVITH EKAALSTDGRYFNQAEKQLDSNWELLKQGLQDVPTIQEWTADQVAGGKVVGVDPTVVT AADARKLADKIKKKGGDYKAVDENLIDLVWGRKRPSRPSEKVIVQPVQHSGKSFDDKI ADIRKELEKKKSLGFVASLLDEVAWLYNLRGSDIPYNPVFFSYAVVTPTEAILYVDES KLTPEAREHLGDKVTVKPYDAIFSDLKALSAEAFDANSEAAENKKFLTSNRASWALNK ALGGEERVDEVRSPIGDAKAVKNEVELEGMRNCHIRDGAALSEYFAWLEDQLINKNAT LDEVDGANKLESIRAKHDLFMGLSFDTISSTGANAAVIHYKPEKPLASVIDPNAIYLC DSGAQYRDGTTDTTRTLHFGEPTETEKTAYTLVLKGHIALERVKFPKGTTGFAIDALA RQFLWAEGLDYRHGTGHGVGSFLNVHEGPIGIGTRVSYSEVPLSVGNVVSNEPGYYED GKFGIRIENMVLVKEVETKHKFGDKPYLGFERVTMTPHCRKLVDMSLLSEAEKTFINE YHQEIFDKTSKFFENDPLTMAWLERETAPY CC84DRAFT_1259035 MPTELPTTNGNTAQDGENNFAVKAGLARMLKGGVIMDVVNAEQA RIAEEAGAVAVMALERVPADIRAQGGVARMSDPQMIKEIMDTVTIPVMAKARIGHFVE CQARSPSSLLILEALGVDYIDESEVLTPADAIHHVDKHPFRIPFVCGCRNLGEALRRV SEGAAMIRTKGEAGTGDVIEAVRHMRQVNSDIQKASAMSSTELYTYAKELGVDYALLK ETAKLGRLPVVNFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDAAKRAKAIVQAV THYKDPKVLMEVSMDLGEAMVGINCGDLGEQEKLAKRGY CC84DRAFT_1196009 MSTSAAPQVGENQIVLTTSDNATLPVDRDVAERSILIKNLLEDL GVDNTEAIPIPNVNEAVMKKVLEWCEHHRKDPPASQDDDSDSRKKSTDIDEWDQKFMQ VDQEMLFEIILAANYLDIKALLDVGCKTVANMIKGKSPDEIRKTFNIQNDFTPEEEDQ IRRENEWAEDR CC84DRAFT_1118975 MWRRTYFLLILVRIYFALSPSYLHPDENFQGPEVVAGRVFSYPV LETWEFTSAHPIRSTFPLWLAYGWPMYILRWLWEGFRYGEVSPSVVYWTLRVLMLSLS VVLEDWAIQELVQSRRARRVALLLIASSYVTWTFQTHTFSNSLETLVVSWSLVLIQRI VEDKKRSGILASSLLGFFVVAGTFNRITFPAFLAVPSFSLLPHFWRKPFSLVFIILFA ILTAFLAISVDTAFYTPGDFTFAQVLHNPVITPLNNFLYNSDSANLAQHGLHPRYQHF LVNLPQLLGPATALLFLLRRSQITMTLVSALSGIALLSVFPHQEARFLLPAVPLILAS VSLPRVQRKFWISAWILFNAVLGVLMGVYHQGGIVPVQMHIAKTNEAVGHAFWWKTYS PPIWLLNGKNEELRTVDLMGMSGDKMLEQVGAVLPTCRTRKPPKLRDRPAVYLVAPRS AYFLHPYHDSAKHEDISLEEVWTFRRHLNLDDMDIPKDGFWKTMGRVVGDRGLVVWRV TRNCWSKQTQPIS CC84DRAFT_1205391 MEDAYSDELLAQLRRRSGFGAFANVNDFHSMSSSMGGTSLDRSE TLPSKQLRDEVGRTAPHLFSEYPEYAPEVQAILETELPSVEKISKIAAEILRTDGFPF PNIKVLEKDSSRDASPSEEDPESASETLETTEIELPLVQKISEEEEAETEAERTDETS DNDIVEETHIPEEDSTLDLDTSETEVEAECLDESLDFNDEVSQHDTNEEVHAREHGLS PVEEISEKEAEAELAEESSDIKDEGRDNDIDEEMYAVDQVTPLHQHSTPEQEPTLDPE LAEEENPIPGSESFVEVTTKEDLIPEPEAVLQHEPIPESNLIAEQEPHQELELIPEQD STLASESTAEPELVLQKKLAMGSESPAEPSITPESTTVEPEATSAPAVQSESTEEPAL EPESTTIEIRPTAGPAIGPESTTGEPEAIAEPEFFAEQDPELAQKPASISPSDQDSIL DQGLAPASASEDSFPDEEKLILDEAFETEAEVERMEGYFDSTVEVPESPLANRVRTPD RRPAPIRTSTSDRKPTHMRTPSSARSVKSERTPNSTRSRPTPDRRRTIDTPPRPPITP KAWVSRASFAGETISSMLKKRDSNASLRSPSSASRALARTLRRILPLHHHQHAVENPF QGVLKGILHLVSADTADAANALPNPIADIIASIAGFIHRVSTDAFADPFERVDDVACA HAVERIDDHGHARADPLDHVADLADGILGEPVQDDVEDTGDDACEAGYGAGCDDAQHC ACTCSAAVVDTCKVILGECKGGELKDHRARARRLRRGVWADV CC84DRAFT_1118976 MASEAAAWFLHGLLKRDATDADPDETPEAGQKEIFTSWGLSILI SLLIVALFTSYILQTRKIQAVHETVLSIFAGMFVGLILRLTAVASVRETVSFDYQMFF NLLLPPIILSSGYELHQGNFFRHIGTILTFAFAGTFISAVVLGVILFLWTRIPLDGFK INFVEAMSVGATLSATDPVTILAIFNTYKVEPKLYTIIFGESILNDAIAIVLFETAQK YKDGAEKLGVLSLFEAIGIFFGVFFGSLFIGVMVGIGASLTLKFTYIRRFPKTESCLI ILIAYLTYFFSNAIHMSGIVSLLFCGICMKHYAYHNMSRRTQLTTKFVFQTTAQLSEN FIFIYLGISLFSYDDYKPLFILITVIGICAARWAAVFPLAKVINSVSRYRSRRHGAPD QGEELPYSHQVMMYWAGLRGAVGVALAAGLSGPNADTLRATVLIVVVLTVIIFGGTTA RMLEILGIRTGVVEEIDSDDEFDIEAINGGTYSRNKGLGFGHTPRPSQNGFALSSVDG REGAYSSSSGHTPPTRPTLSSRRGSSKPRRKDGADQSLLSPVDSGSLSSDDDNDLDLP PSARRSPNRVASPMAPEAPYPTSGSTRPGTSGGAEGSSRVATATGAVKQLISDISQDP ANAFKQIDDGFLKPHLLLDPKSSGGGPGNSA CC84DRAFT_1186827 MHPRLSQDTDELHKVRSPVDSDDWQGDYEQEETKSSWFLFLLTL GGLGLQIGWSVETSNGSPYLLSLGLSKSMLALVWIAGPLSGALVQPYVGMKSDNCRIS WGKRRPFIIGGAAATIVSLMVLAWAAELMGGFLGIFGADREGEFVKNSIMFFAVLFVY VLDFAINVIQAGIRAYIVDVAPTHQQEAANAWMIRASGVGNIMGYLAGKADLPRYLPF FGSSQFKVLCALACIIMAITVGISCACVSERDPTFEGPPTPQEGLLHFFSSLARSVRS LPLQIKRVCQVQFLAWIGWFPFLFYITTYIGDLYFDEEYEKNPNMTDQQITDVLEEGT RVGTGALLIFAITTFAASIFLPFIIPPTYTAPKAVGGATTPMTPMTPGTPGGLAGSGY FSLKETSRSSRQSKSLSERLAAYSTIFQIQSLTLRRGWFISHLMFAALMVATFFVRGT TSATVLVGLIGIPWALTNWAPFALLSSEISKRDAMRRGLLRPDPSDREASRIAAGEDD AAETGADQAGVILGIHNVAIAAPQVIATLFSSIIFRLLQKPRGTPGDNSVAWVLRFGG LCALVAAWLTLRVAEEKEDADDIISRRRRPS CC84DRAFT_730408 MASVQPASGFQGPGQLPSGLTKEMIGTVYAKYQQMKQQGVSETD PEFLKAKNILTLVQRQNSAQQQQRRMMQQQMQQRQTGQGDQQPQQNGAAAGMTNGSKP AASADGKAVAPLQVSGATSTGQVASADGPTPTSATAAATAFTQDQLVLLRKQIAAFKH LSKGMALPLNMQQQLFATQQARKQSTSEAVAAASQVLDNATRAGSAATVGANGLGSGA NAPVKHKHPDKFENPWGTDTVKKDIHYLAHMYRANRPYISSIMPLGVSVEEVRREMNL IDQREREAREVILYNRLTARKAELEKISANIGSWDTSKTDTPEDDGKVKLALVIEQKM LNLLPKQRLLRKQISREMIHADNLAMTANRTLYRRLKKQSLREARLTEKLEKQQRDAR ETKEKKKHHDFIEAIRKHRHELTEASMAQRQRLQKLGRTMITTHQNIEKEEQKRVERT AKQRLQALKSNDEETYLKLLGQAKDTRISHLLKQTDGFLKQLSASVKAQQRSANTRLG VQQEESEDEDESEDESGDEDAPPRPGKKKTDYYEIAHRVKEEVTQQANCLVGGTLKEY QIKGLQWMISLYNNNLNGILADEMGLGKTIQTISLITYLIEKKQQPGPYLVIVPLSTL TNWNNEFDKWAPSITKIVYKGPPNQRKQHQQQIRWGQFQVLLTTYEFIIKDRPILSKI KWLHMIVDEGHRMKNQNSKLSSTITQYYTTRYRLILTGTPLQNNLVELWAMLNFVLPT IFKSSKSFDEWFNTPFANTGGQDKMEMTEEEQLLVIRRLHKVLRPFLLRRLKKDVEKD LPDKTERVIKCNFSALQAKLYKQLVTNSSIQVSDGKGGKTGMRGLSNMLMQLRKVCNH PFVFDAVEDQMNPSRISNDLLWRTAGKFELLDRILPKFKATGHRVLMFFQMTQIMNIM EDFLRLRGMHYMRLDGSTKADDRSDLLKDFNAPDSPYFCFLLSTRAGGLGLNLQTADT VIIFDSDWNPHQDLQAQDRAHRIGQKNEVRILRLITSNSVEEKILERANYKLDMDGKV IQAGKFDNRSTNEEREAMLRIMLDSAEAVENLEQDEMDDEDLNVIMHRNPEELTLFRE MDKQRLLTEQYGPGKQFPRLLSEPELPDIWLQDDNAVPEPVEEIPVGRGARERTRVKY DDGLTEEQWLEAVDNSDDDIEAAVARKEAKIAKRGKNKQVRVGQDIGDSPAPSRDESE EPQPKKRGRKPKEVKRKADEASLDGDPGPRKRGRPAPKVPETLNPEQRAALQKIVNEA YEVLNDLEVTDPNEPDLPPRGIIDPFIELPDKYDYPDYYQLIKTPICMQMIKKKINKK EYQNLRQFRTDIAILCNNCRTYNEDQSLLYKDANLIEATLDSKLTEATLDYPEWQNFN DRDDGSVNGGLSTGLPSAVGTPRAI CC84DRAFT_1196014 MKYSIVLPLLATTVLAAPLEARQATCKVPTSFPTTANAKLPNPF KFFDGTDVKTKADFECKNKEVSAALQAQELGTFPPKPSTFSATYSGGTLSFTAGEGGK SISFSVSIKGNSGTSVPAIIAYGGASIPVPSGVATITFNNDQMGQQSGQSSRGKGKFY DLYGSGHSAGALTAWAWGVDRVIDALEATTGHNIDTKRIGVTGCSRNGKGAFVAAALV NRIALGIPQESGSGGAACWRISDSEKAKGKNIQTSSQIVGENVWFSPAFNAFSTKATT LSTDHHQLAGLVAPRGLIVIENEIDWLGPVSTTACMKAGRLIYKALGVPDNMGFTGSG NHNHCSFPSNQQSDLTAYINKFLLNTSGNTANIEKGPTADVASYIDWTAPTLT CC84DRAFT_1164115 MPTKTKPQSIPLKDNWDSSSSVSSSRPSSPSPLPVDSESPTQTS FLQLPREIRLEIYTLACLPLHTRDHFLHYDPTNRWGRNMLTLVHTYPSCGALLATCKV VRWEVCSWLDLLGFDLGRGSLRVVGDASCLDAAVFRGLMHCASRTLADCSMPKELVPA FNVAGAQNVRSHAELHGLLDGIAIDASGKRLVELAIYTPCLGWWDVEYVPKEIPYWAG LAHDVPRFDNWARTKSREVKTGLEVVIRPALLSECALGAWQRERPLEGNGERVVGSKT KRRSKGKEKKVSRSEGKLVEEEEWVGRWLGEGRPRALFLDELKNGRFGSYTRVIDQGN CSWFLRLPRRKSFTGDWNQTPKEYHGGWRCIDSASWNGSEARRQQWRDRFEWRTQFFG DDRNWSLKWTTIYKQGSILLDFHEERRRMEEL CC84DRAFT_1164117 MEPRRRPEYHLDIFADLACVKDVVKAILHTIFFHRYFTSISPLT RDLLDLTLPAIDDVDLETLIDQRTIALVRAIESTHQQRGRGQLVVQFFEKRRRKTYFF GKADEDVCWEQWTLDVTLATPRTETDVLKVRRAMTKSLEKAAHKIITIVNRDKDHIPP ITTTDANPFPYQVIVNPKAVPDQNAWGPRMGIFSNASQTAR CC84DRAFT_1145191 MTEPPQVSNAKIPSFLGFSGRGLRVLVSIVCTTGFLLFGYDQGV MSGIIAADPFNNYFPETKNDATWQGFVTAIYEIGCLLGAIIVLVGGDFLGRRRGMICG AATMILGVIIQVTATKGHKATAQFIIGRVVTGVGNGMNTSTIPTYQAECSSTKNRGLL ICIQGGIIAFGTLIAYWVDFGCQYGPDDLTWRFPIAFQIVFGLVVLIVPFWLPESPRW LLTRDRADEATKVVAALRGLPTDHEETTLQITIIVDSIRASGHHGGITPFSALFTGGK TQHFRRMMLGASSQLFQQVGGCNAVIYFFPLLFENSIKETKQMSLLLGGVNMIVYSIF ATVSWFIIERTGRRKLFLIGTVGQGLSMLLVMACLIPGTASAAKGAAVGLFTYIAFFG ATWLPLPWLYPAEVNPVKTRAKANAVSTCTNWLFNFMVVMITPIMIDNIGWGTYLVFA CINAGFFPLIYIFYPETKQRSLEEIDLIFAKGFLENMTYVRAAKELPMLDDHGVAAMA RQYGFHDLSDDEAGQIKEAQAGVDEKDVATGTNAQMA CC84DRAFT_1164121 MASAKRKRDDQDAEDTTPRKLRTQPVEDENDSLSELEAQTPSRR GRGLLAENGTPRSILKKSGLANELNATPKSNRRLTFTTPTKAAGDETPNGTPTIVRNA DRSARRKSNRQLIDRTINGEDSEDEVLGEEDALAQQILSEEEDGQTEKRDKEADAQEA IPVPDTPSKRPRGRPKGTGKNQLAAKARKEREPSPPTELPAQEQYFWQNRPGGNKTSN NTLTSQTLLNHDEYFDTMKSCVDRHEPEKEFLLELHSRAYDQWIFELEEGFNICLYGY GSKRAITSNFTAHVYQHLLDQAPYKGTKRTPNIVVVNGYTPNLTLKDVLTTIASATIP KGLKLPNQISSLLAYILDTLARDPPPHPLLVVINSIDSANLRKSLVQSTLAALAAHPS INIFCTADTPNFPLLWDVGLKAQFQFLFHDATTFAPYSVEIDPVEIVNELLGRSGRRI GGRDGIGFVLKSLPLNARALFRIIVTEQIKAAYMDDEDQDDEDVTATSQSKKKKNAPR TPSESSQGVEYRRLFHKARDEFVCTSEVAFRTLLKEFHDHQMIESKKDSMGTERLLVP FRTEELQMLLEELLLENAF CC84DRAFT_1216973 MRLYTFVSVALVASRHASAIPHEDEEHDEAATTITSSASLACGT GKAMSQWNSCSASISREIDKCDANNLSCACSHANVGFDCLTSFCPSHTPDICKASLAI HGLCALANAPTPTLTGITCPSDVPDLLASFIPSGVRNLIPLALTELLPSNINNNIPTD IPFWNGPGDPVRKGTSLSLALGDVIGAGLPAATAVAKDNSGGGIETGRVGSLDRVLVV GIVVSVAVGGLSAWL CC84DRAFT_1145197 MNGVSRFLSRRDKHHEKRSLKHPLGKSRQAPPSVPPELYKVFTN DEKPVDKDAEKRKTLLQRLQAAGVTSMQEEQVNYALSWSPDNVDEAYDLLILANETLE GELKEFDANITMLGAINRNMVTCYLDALLFAMFARMDSFEAMLYDEFNDEPRKKLAAI LRLWVNFLRTGRLIRVDFTKRLQDALAQCGWEEAAEVRQQDASEAFTFITGALELPLL TLKMDIYHTGREDREDDHKFVNERLLEVAIPEEDEADKGVTLEDCLENYFNNRIEVKR YLQRQNTLTSIQTGNTDNEKKETLHIETVELSGPETPLVATPTTFGPPSPISPVRPLT GRRRGDSIFTERYTVSGATKDYNRFDEKKHLEEMLNKSSSGRPRSASLLRKEVMMPAW QFFSLIPWYTDNVPKTDAQVAAHFSSKRPVLGICLKRYMMLPNGTTKRLNTYVDIPLE IGLPHFISDERMQEEGPLFGNFKLVLQSVVCHRGVSVDSGHYISLVRANKPPSQKRPN TSHTEQEDEEDSWLRFDDLSNPRIADIDIHKALREESPYLLFYQVQPIDEELANRGDP PSYNEAQSVTLSTAPSKETLSSETDATTDTETGEWEKVSSVEQSASTVGSITDFEAQG RTSTSSNRRSSIAIEDLDNSISNTARGRPPPTPDEQRTGFLSASRRNSKAWLSGNKSR PSSESGENRLSMTLSRLTGRGSKDKLVASENVSEEPVIVVNEAPLSVESTQTIKPQAQ PPASPGKLHKEAGVSRSKSKKHFRSKSREPAPSTTDGVQVKGKHKAKDRPERECVMM CC84DRAFT_1196019 MALRQLPFRAASGLCREVRPAVQACRPSLRRNASSPAIHEVEDS SSFAVPPPSKDLVQNFDPIARSRQRRQGKKQLPASRYQYRSPKYYRGPLHPHQPPPPS DPASREFQPGPFSLPRLEQTYQSTIAADILTLTYQHYPPGYRPPKVENRLRQWTGASP YFKNRPLRGPRGRGEELRLLHEPRTFRNIPKITKITVHSFVPEAQENSAHLHVAGMIL QAVSNVRATAHKARHNVVGWGLREGKYVAVTSDMEREDAHHFLAKLVDVVLPRIKEWK GVPGSSGDGAGNMTFGLTPQQVALFPEIEVNYDAYPPKMIPGMHITIQTDATNNKDAR LLLQAVGLPFYGKLND CC84DRAFT_1196020 MGITTTTYSTFTKRGIAKRRSPHRGSLKVRRLRSRDRFFWLSAS DGVSRLVNANNSVPEQVNDYTFAPSKFRHEPYPITLPVGRVWPPRQIDDLVGAIGSEH TDCVGDTCYNGNICEDLDCTHTLSDWRTATSDWETYFELRMTEHRGVGVYTKRAFRQG TILGWYSGELRTLSSMEYNTNAYLMEIEIGDLGSNTPVESVPTVFIDGEQKGNWTRFI NHSCAADCVFRIMRVGSTRIMAVQAVRDIPRGKELSVDYGQEYYGLTTLKICACGVPG CVSRKRARIGNVKRCKRVAPPVFV CC84DRAFT_1216977 MSMRDLRLLAENARRVEEVILDIERAFIPVVRGEMCVASWGGKE CRIYSVDTSHPAILTPTERARVFWAYYQVMRLMLCDEDTIFAEMALMRLRNLFYVNEM AHWIRARCADWGLIYVVRASGRAIERVYQEQYGCAAPEFQSPLDFERPVVLFVVWDCW QGRLDNIVRRGSEGDG CC84DRAFT_1244080 MTQALLAASCFSLFPHAYSATYVILDIVVTAYYPFSKRHSNYPQ FVLGFCIAYGVVVGALSTGIVPITAWGGLFSVDPQLLSLFLAILFWTVIFDTVYAHLD VHIDALMGVGSTAMRFRHCARRFLATQLFCMICALVVLGVAGDFGAAYG CC84DRAFT_1164124 MLPRILPAAAPLWLSVFNTLSCAYPNHGHPNAAAQLRHWPAEAA KALGTMIEKNANQSNYAVFDMDNTSYRYDLEESLLPFLENRGILTRENLDPSLKLIDF KDTANFTETLYSYYLRLCDVDDFVCYPWAAQVWSGLSLRELKGYVDELMTLNTTIPVK YWDGDEVAVDSVNPPKVFRGQAELYNALMSHGIDVYVISAAHEELVRFVASDPKYGYN VPPENVIGVTTMLKNSTSGSLTNARKQIAAGAYNASANLDLVVGPYLWTPATWFAGKW AAILTYIDPWKKPILAAGDTPGSDTYMHFQGVDVDKGGIHLWINRKEELYEELQQLIE ENAEGQKENGFEVTADRNWVVVKPEDIL CC84DRAFT_1244086 MKTFTTSAILVSALFTALVSASPAVLFSNANVDPAECAEQGGVL QTAASDLPEGAVLSDVRKCVAHPLGRDRLKENWSLPPLEEDPAANATSPAARDVQDIS QAQACYYDAKYGCTKKGDTGYCWKHCGENGKWCWTASNLGLGDWITCSTWADCGTTTY ACGIGGASGGCGC CC84DRAFT_1259051 MRTETMTYLEALPNELLARIVSFSDRRSLAQLRLTNKRLEDIAV VKLFERVTLYAHWAKQSDEESEDRSARDYQSPVLDKSNDHYGDDREDYVPRRDSVERL GSEMQKDEEDGFIAGSDEDEEYGLVPTPDLHDQGRRDLDQRSGQARKDDDDGFLACPD MDDDLSTAGDNSLSVRPRFRGGSSLQDRDSASEASDRPPRPDPFSVGLPDFRLYEKQR HQKWEAARPQWARDNFPGPSDYDAAVFLNIVENERLRNFVKEVRVYTCETHCDHHPKT GEQLFPESWPEPNFHPHYVSSIQGLGEFPNVCGLNVHFDRHAAYGDSDDFFQGPEFQH LWLEKITKAAKESISHLAVRHFANPQLPEGKRMDVPRFMRQVAKASSLRLSVKHAETH PGAGTTYRDGHVHPFWESFPALFLLPASTTLTALVLYSDIPLGWFPKLDLRAVHLPNL ASLTLGHHILHHNHQVDWIVSHGETLRELIFDRCSILYQIGCNTLGWLDDDGYPKNDP TTDFDGWGWSADPEDDGDEEFGRNLLFKSNDLRWGTVFSRLASSLPRLHEFRFGTSSQ WDFDTTTVHFRSGATTHMPIMPWEDEHNLNNSLFEERYVIWDDWQNEYRSKWMKTLHG RDEFGVDWRDEWLELAEEYPQCERDDLEALQALLETIKGR CC84DRAFT_1244090 MHLNKASIFSVCLLALRIGVAAAPTENINTALIVDQDHNTTSVA DGHLPLGNTGIEFPVPVAGLERRKAKGSSKGTSRKPSKTTKKKRPTKPKPANSKVTSK AKLSPTKSKSVSKSASKTKSAKDPYGSCKPKKGAKGTKGTKTKGKKGVKREEEGFTPI SDEQYHSLAARDRKILQAGAVELQFPTHPSSGDAIRVKRYKADGMKAYGTVNPDPCDD DYALKVVTYPTAQGQGLIGENWDTEHVMDAQIIQQFFEYLNDNLPTTNMPAQWWSRDS QTGKIGHFQVQRAFRYMRDFWEDRKGTGGITATDHLLAVYPGTHQYTGELTLLGHGIN RKKESIFGHGGGSCIGSSTWRGLDYWGKVDKLRECVLLAKYMNHADIQDSFEAVATRI KKRLTKMEDVGGFMESTDDYWARKMNNNKYLDRQGEVYKDQRLDRYWQQWLKQFMATR LSNLSTEITTKLRELDTIQKGAQKDRNLSQQRRDRIASRYRRIDRAWTATKPLRNPAP CC84DRAFT_1186837 MYPLSLLLLGWTSSVSAKSLKSPTPPMGWNTYNAYNCNPSEQKV QLNAQGLVDLGLNKLGYNIVTPDCGWNANSRDSSNKLQWNTTLFPSGGKALGDYLHGL GLKFGLYSGAGYLQCGSTVIPGSLGYEDIDAQSFSSWGGDSLKYDNCYATSKTTMVDS DSAEAKSPARFQKMATSLESVDRSFQYFICQWGIGENVGEWASKIGNTWRMSNDIYNA WRSIWRITNQVVPYWRNTRPGAFPDMDMLLVGLNVLSAEEERFHFGMWAINKSPLMLG GILDSKLSKTSLAIMSNKEVIAINQDSLAKQAQLVRRYTVEEWDVWLGELSGSRKVIG IANWRNQSQIVSVDLTSLGILSATVRDVWAAKEAGKLSGTQTVNLAAHELKLWVLSNI TTANTPTSTYYQAASARLAGGSSVSTCSSGDCLPAGKKVGNIASGASVTFDSVSAKRT KAVVGVDFINYDYAFTTAWDWGSNTRNMTIAVNGGSAKRWAFPLSGEDWYETGRLNVE IDGLKVGSGNSIVFGNFGTAYAPDLVGIEVFG CC84DRAFT_730544 MVATVCLVLFSSVLVTTWAGPTKSAPFSLNRRSDGFPYPLDEVD KLEEDSLSKFESYLAKKTNNNGCTLETAAKRQEWHDLTISQREEYIRAVLCLQSKPPK ADKKKYSGVMNRYDDFVLTHETQAMHLHSTPHLFPAHRVYIWAYEKALREECGYTGYQ PYWNWGRTAADPISSPMFNGNMSSMGGNGAKSDYPGVMIHGAGFKPPYDIIPADLGGG CVTEGPFANMTVSLGPISKMMPDIPSNPQKDGYGHNPRCLRRDVNRYASAMLYANYTY NLITQANTVDLFQKNMLGIPEKNDWGVHMSGHYTIGGDPGGDFYSSPGDPLFWFHHGM VDRVWWIWQMQDPENRMNVLPDTPAKDDYVDLNWTANRTNTWDLLDSIGGMGGEFCYI YV CC84DRAFT_1164128 MPLRHPLPFPPTHPPQILLAGATTYIGGTVLATLLAHQVHPLNE VTITCLVPSNECASKLMRAYGEDINLVIRECLDDVELATLIASKHDIVINCAPATHKH SALALMEGLAKRQKNTGKDVWFLHTSGTENLADLPTSGKWVHGDTVKEFDDINDDIYG FESMREAKSSHPQRATELAVVHKGLELGLKTLVIMNPMVYGRGLGLFERSGVRVSAMA KVAMAKGKIVVIGDGKGMWDHVHVQELAELYKVVVIEMMRDRGKVLPSGKMGIMFSAN GRHSWHAVAQEVADICYEEGFVSQKGLTHVELAEGIKLFSTYLPQVVNEEDIVGLRLC SNAETRSSIARSLGWKPAWGEEVWKQAIRDDARIALRPEQDVLSDLSPEAQSPIHKW CC84DRAFT_1092010 MENEKPPPLPPRRNASPLLAPAKPELKKTSSWDEIFAPLATTSP LPLTNLSSENNRSQAGEDSVPKSPPLLAKKLSSLSSPDNGSKGIASPASTEEIKPGKI WTSVLLEEEQYIERMNKFTNIFYGVVIKEWPVLEKHMEAIVLARQLAPLHQEYILDVV KAQTQQNPFAVCDPRLFATWASKTYKVLKEYSRRFPHALYALRLTQSRDKKFGPCIET IGLNLTKAGQSWEDHLVLPIAQLDTYITKLQGIAQWLDEGSAPILTKEQSRVKATLET LQRLKTQCSDLADKSISQEEIQSLHRKVHTVDTSLVDVLELSSPDRRIVYHGSTALKL NNRGPWKPMQVVLLDNYIFWGKLKSTKDTNHKGMMIDSIRVIEKPVPVTQIAIRLPLD STKTRTSSYLDELPRGVILYELFVDINVKPGSFTTLTIGTFSYEERSNWYQKLSEVAG TKSPQIPE CC84DRAFT_1145217 MSYLQTPLQPPSPLANGYLEAASHGRQPLCGSSEGWGPISRVRY DFTPCFLDVWIAAVAAVGIVGGAGAAWYLLSKCSAQPVKKNWHFYAKLTTILALVVTT ALQAALQIEYYKGIWAGDFRFWATILNLVSLGVIFYVQYTEHWRSRNANGVVLFYWLF LLIVYAVKLRSLISQQIHREHVAYFAVFAASVGLAAIEFVLEWAIQKRLSDYDALGDD DECPYEYSDVFSVLTFGWMTPMMKRGYKTFLTQDDLWNMRKRDTTRASADAFEEAWSY ELEKKHPSLWIALFRAFWGPYFRGGLLKTLSDTLNFAQPQLLRLLITFVSSYQTDNPQ PVARGAAIAISMFAVSIMQTAFLNQYFQRSFETGMRIKASLTAAIYSKSTRLSNEGRA SKTTGDIVNYMAVDGQRLQDLAQYGQQLWSAPFQIVLCMLSLYQLVGWSMFAGVGAMI IMIPINGYIARLMKTLQKQQMKNKDARTRLMTEILNNMKSIKLYAWTTAFINRLNVIR NDQELKTLRKIGATQAFSTFTWSTTPFLVSCSTFGVFVLTQHKSLTTEIVFPALTLFN LLTFPLAILPMVITAIVEASVAVGRITSFLTAEELQEDAVLWEKAVDDIGEESIRVRE ASFTWDRHEERLALQDINFSAHKGELACIVGRVGAGKSSLLSSVLGDLYKTKGEVVVR GKTAYVPQQAWVMNASVRENIVFGHRWDAQFYEQTVNACALRDDFATLPDGDQTEVGE RGISLSGGQKARLTLARAVYARADIYLLDDCLSAVDQHVGRHLIDNVLGPKGLLSGKT RILATNSIPVLMESDLIVLLREGKILEKGTYDQLMAMKGEIANLIRTSQNEDQNESDS RASESVVSEEETIYGGGSPMSDDQDQEEAEAAQDNAHLAPLRTGNGTARKSSFQTLRR ASTASFKGPRGKLTDEEGGNMKSKQEKEFSEQGKVKWSVYAEYAKVSNLVAVAFYLAL LVGAQTASVGGNVWLKNWSEYNQKHGGNPHVGKYIGIYFAFGVGSAALGVVQTLILWI FCSIEASRKLHERMAFAIFRSPMNFFETTPAGRILNRFSSDVYRVDEVLARTFNMLFV NTARAGFTLVIVSVTTPVFIALILPLGALYLYIQRYYLRTSRELKRLDSISRSPIYAH FQESLSGMSTIRAYHQQKRFELENEWRVDANLRAYFPSISANRWLAVRLEFIGSVIIL ASASFAIISVASHSGLSPGAVGLAMSYALSVTQSLNWIVRQTVEVETNIVSVERVLEY AALPSEAPEIISKNRPPISWPSRGAVSFHNYSTRYRPGLDLVLKNINLDIKPHEKIGV VGRTGAGKSSLTMALFRIIEPSEGNVSIDDLNTSTIGLLDLRRRLAIIPQDAALFEGT VRDNLDPGHVHDDTELWSVLEHARLKEHVSSMPGRLDAQINEGGSNLSSGQRQLVSLA RALLAPSNILVLDEATAAVDVETDAMLQATLRSSMFRNRTIITIAHRINTILDSDRII VLDHGTVAEFDTPAALVRSKGLFYELVREANLLSSLDVTQT CC84DRAFT_1259057 MAKGNMQQFIHEPFSCQTRHGATHQTQCDAEIFSLVRNSDYVPP KPSESRAGEEPTAPLESRIALGYLTVNGREEPVFAPIQGFEHLFAHADVAAPWPQQSG ETQATATTAAPTTTTTTTHPPSVAAPSKKSRMRKRRRTRSQSHHCLQAKAAVVEDCEA AQVPKTFSIGDVNSLQTFYATRFRELTMKPMRDIVTAWVKRLEPKRQKKYGPYQRYDL DPRSDKVKSIKPRWWPADIPYVEPSHLKLEHLVPLAVDFMLVHRLVDEEVGKRKYASW IDQLQMDAVYLISSKDTEHFSSSKGTKYNEAMKRRALEIILPSIFDVAKSHEDYIAQY DLYEGSGNMDPGCGKQVSWLATPRPDRGLVFKRRARRSIKVEPLSDDEGDLTEVDEEA FEQSSTYSSFHSTEGASEPSSTVSSFHSTEEAAQPSSALSSFGSTLSFDSAFSYTEDV KMFPDDLRMDPSPAPEQTSSQCLYQALTTTSEGTMVPTIGRPMERLQGYETDSKAHSA FGMTSSATDLISHCRTGLPYGYSNYPHMTQAPFPVHESNGFSTCGPLVPHKIAAVNDH GLPNSSQLHGLPLQQQQPTHSYDSHGLPLAMDWIDEL CC84DRAFT_1164131 MTGGRGRGRGNGGFRGATRGRGGFNNGTHTPNKSNGLDALTGGT VARRDNGRGNSSGAGKSGRGGSSNAGGAKKAQEQTPEPDGYTGIRYSPTHANNKDPLN STPYKRHEYELRFAYLKHAQAFKKQEQIAKGQMDPDGQMDMSQSVDKHGLCEDLCPEY ERVRRIVQNDVAAPEYSKETAHGPRLQREVDEGRMIAAHHRSSAGEDLTLMSDLRTPA ALRRSMEAMINRLKEDSFEYLDGWIWDRSRAVRVELSKNYRRREDTESFLACYEMCIR FHLLSMHQMLRRSEGVQYDRQTDWDQLAASCNQIKELWDKIKVYEPEDGKTPCPLEAS RVAEIHAYNIILGLKERDHREEIRRHPKVRVAQALVRAAQDTKNYTTFWRMVRSSQVS YLMACAAATRFMSIRSDTLEAMVKAYMVHKQKVDDWTLEKLVDIFGFDDVEQVRTFCK AYEGEFDLNAQGATYLRPETITMRKDPFGKDHFFSQKYVESKRGGRNLAAVLFGYNLP RAGQEGLLEPISNQDEDSLFIPESRTSSAVSNPFAAAAAAARTSAQPSTMFPSGIQPG LFDASKNSVKFAPTPSAAPSTTPANPFAGVAAKLNVSGQPTIPAAGFQTTSTGFPAPA TTASQGLSAKASAFTPSANGNPFAFLGGQKAPDAPTASNGAGTSVPGASIFSTSTAPS NTFTGFTMLGSIQPTPSPAPTNGLPTFAASSAPSASSTGLGAPTAGLSFAKPAETAPP LVTEDEQRKKAQEEQRKADEARQKAQEEEQRKAQEEQRRAAEEERRRAEEEQRRKVQE EQAKARVAEQQRRAREEKERERLRAQQEAARQAEAQRKQALEALATNLFDDPRDGMMK QFLENMVENLVKDTQAALLREQQARDRARADEMWQRKRLTLARAAFYRWAYQVNKKKS RAEARQIRDRRRKLKTQLEAAKSSAASSAASPPAQEVQVVAKVPIDQPVTPRPSGLNN GVSRRTQPTQPRAQRNQQNDESSPQPIRDFSKSYYEARAQINERRVTVDRTETDYFRL RAMGIDPNKLRKRSHDSSDEEDAPKADNKRARTSSSSAGQQAPVNFRKSLPPPTTDEE RLARFRAIKESNAKHNRGHTPSRSVDFNSSRMSTSFNASMTSQIIQRARESLARSPSQ LSSTPDRGTPGLPADKPAYWARASRFVPQHLYGQPEAIRAYRAQVAPRTSSVPDAVGT SQSQSLAKGGDVARVLSVSPGFLSSPITSQQSYFPAQQPQSQSLVVEDEAVLLADDAD GEEDAGFDDYGEDGVDEEGEFYSEDDEEEGEGGEYSEDDGDEDEDEDAEQCAQKPGGT EDDAIELSD CC84DRAFT_1164133 MYKAPFTSSLRSCGGVSGRPPSVLTLPLLWQSSSQTAALLQHQN LPSTDTCCTSPPYFDAHRLRSIHSPPSPLLAPPREVSSARRPGQRAHAHT CC84DRAFT_730721 MGMLHYRASAASALTVRACNTKIDEIDRWKCVCGLRGRGVARTD EVSVQNMTRLDSTRFHKRHLADAVDAVVSMQVHRDSLLGKRQTVRFMCRATQCTTLPA RPPAGRRERKPHPGVGQRRELCSASRRLHRRAALDSRRMGRRWSADSVCDRRCNLDAM RGRLTRLELGPKVLGRRESSCNPDSRIRAAHGEAGVVGEQQYTTQTPHVPENIHLNRG IGIVLKPPITIPFNHLPISPSRTRNRTASLLLFLPAHPRPTSNCPTVLCARRYEREQR QSPHLPARRYMPSRRLGEGGTSADAGRWEQDLRAGGWI CC84DRAFT_1216989 MPRSQPSSPSPPPFATGGFDFPQASASPPPAYPPRTSPRTRINQ LTDFINQRRQLPAAGAAHGASQRRPPSPRDATSRRRQTARPVPRAARMPLHAEREPEV AHGPRSSFGRPSATPSERYLRRAHQRVRDARSVIEDPATDVLHSLSDHPLSSFHTARP ERSPPSALDGPRRQTKKRKLDHSVKPVSEYDGFKYGYKGQVACGRLRMEVASCDGGEY DRDSSLYRVQNVLNNDKSVYCSQSGRCNILLRHIGDMPFCLEKMVIRAPDRGFTAPVQ EGLVFVAMSPDKLLSGTSAYEIEYHPHADTVSPPTPSSNVPSGPDDDGELISFQEAMQ DEAVWERTRQAVFEMESRRTRAEQTSSMRYPRTAGPASVVRDRSDQQLGIDDEGDFVN ECPYHIEEGDANAAGISAPTPPPFTTTMESEPEDSEESEALPSAAVMADRLRRESRYR SEGEDDDDHEDFIHQPPAILRRAEPLGSPRLRALREQAERWRSLHHTHNIDPIRATRL RNPSRIEPKHSRSESANILQPQARFFIARHKNKITIKFHPAISGKHVLLKLWSPTHDG NIDIESVQFYGYSGPRFFPAVQPR CC84DRAFT_1186841 MADPAATLPATNGQAALAAPDAAGELAASMAQAATVSADEIALY DRQIRLWGLEAQNRIRNANILLVNIKALANEIAKNLVLAGIGSITLADHGVVAQEDLG AQFFVTEADVGSNRAQAAAPQVQKLNPRVKVNVLTHDVSKETDTSFFVPFDLIIATDL DFIGLTNINSCARIANRPFYAGGTHGMYGYIFADLGQHDFVIEREVSRKTELKAESAT RSVTAVRFKKENGKNIELVTKRELYTPLLLSNTSPLAPELQGNTRKLKKVHPLLTCIR TLWEWQRQGNGSPLAALPAFTASAMDRHKELTLPAETLTGDFLRDFLSNLDCEIAPVT AYLGGQLAQDVINVLGKREQPIQNLMLFDGEDSSGPVYALHPIFVNDPVPPIVPLPVD APIVLA CC84DRAFT_1259061 MKQRITYLVEDPDSFTPEQLQVKDGSLSLIGLKAVQEHRVTFTL DELPTELRTSLKQWRELHIKWASHKPYTAIPPFTSRVSPGLHVSFTRRKSETTGQLCE LIHTFFDPAAKCSSGPDANEVATPLFQVDEDGKQTASASLQYYTYLPHLTHFASFLSS NLCSASSQCADHAASLQDASSFDIDYTPSSRTLTLTSLTTPPSSGWKTLIPAPSPDRP GDTTEVGVLTHEPNPDPEDLAFAGFLTTLGPDAAPKPTRFQAPARHYPLPASSRDLAY SASFATPTGLHPTLELSFSPAGRLAPPDESCGLYAHLTLPSALFLDRYQFSDPLFLAS KNLVSLRHVTGATDLEAPDWVVPQWGSAALVQLALPEQSPKDANTTASIPLHLRYLPA APRAHTLVPAPWPVVFWACDMAQDPSGNPFDRVNLGYETAFAPGTRFMHVPPATGNGT AELVSWIAVPVLDTSAAGWVEMGTIGVVVAAFAGLCWVLFSGGGKREVQQDKKTQ CC84DRAFT_1164136 MHPLTFLSTALLATTSLLSPTSALRSDKVKLSKIQSLTLRKDAP TSHRRVSAIPQLKCIGGSARGHYEVDVMRCKNSGADYDDEAIQWTCTASLPEEFKLGS TDVICEGYDYPEDPYILKGSCGVEYRLVLTEKGEEKFGRKKPWFGGDDDGEGHHKTTG DKVVASVFWLIFIGVAAWIVFNAVRNWATGQGGAVGGNRPGWGGGGGGGWGGNDDDPP PPYDWRPGQGRDKRSYGSTGTQGWRPGAWTGAAAGAAAGYAAGRMGGRSGNQTGGSGW GNSGWNNGEGSSRSSSGSSSYSGTRHESTGFGSTSRR CC84DRAFT_1216993 MPWPAHRTAIPEPIHHLIQQKAAELATLRAEVERELLLVTLLAD PFKQKPRHLDPRNASEIESWLPVQLFRDKLAQHISDVSKYASPSLHFGRLYRRIHRGD WNWMFTEYIWEMLESVINRSERTGGMTCGS CC84DRAFT_1244095 MHLENVLTIQNNRKKQQGDDRTRLPNEILMDVFERLLNKPSNLI AVMRTCKRWHHIASTVLYQHISLDSKLREDTSSARFGKFARQYHLIQSLSVRVTQVHL MGFSVRSTDAFDRLAELCEAVRRMKNLRTFALSFEESLDHLEGFPVPSAVIVLILQSL PASVVNLNLDCDCINRPDVDQPHMCHAVSALLPRLRSLRLRTSHLCSGLLSSFSPAAT PDHGHPSSPSRSKKRLNRASELEYLVIRIIARPECAHLAHSPLCHSGDKLLHGAKLAT TLQEMCNIGAFPSLCEFAVIGRVDAPSTIQNDNWNVFKIRTFARGISETITLPYCARG GSSSLYMIRDGDGDWFGSFPDISSALEGPIVWTKTGLKATRYLKPYEWHNDWVLDRAK LAPRDSVIKKFGVSFRLWKHEGATRLKLLSARKVAGFTDTEVATQIVPEGWRWAVAEG PWNWTIEPMTAY CC84DRAFT_1119028 MAPHPFKILSIEETRLARDVILSLHPDVVVDFREIFLNEPNKEL MKKYLEVEHAAQPGKSVHSKRPPRLAKCQYDVIGSDKIPEYHESIVDVETRKRVKHEI IGKEQQASLTLWEFENLVQACKESEEFQQAIKEFHLPEGFELVIEPWPYGGRDDTSET TRYFQGLCFGQDTRNGNPDSNFYAYPLPIIPVMDAHTKKICRVDRLATGGKGDSLTGK THSPQILAHCQPAEYVPELVEGGTRKDMKPINITQPEGTSFKVSDDSFVEWQKWSFRV SFNPREGAVLHDVRYEGRSIIYRLSISEMSVPYADARAPFHRKQAFDFGDGGAGNCAN NLSLGCDCLGVIKYFDAVIVSSDGEPKEHPNVICLHEQDNGIGWKHTNWRTGRAVVTR MRELVVQFIITLANYEYVFAYKLDTAGGITLEVRATGIVSTVNIDPGKTSEYGNVVSG GVLAQNHQHIFAARLDPAIDGHNNTLLYEESHTAPWDKETNPNGNFYEIRKTVVNRSQ GLDAAPEHNRTFKIINESKKNKHSGNPVGYKFQPPATQKLLAAPGSLQYQRALFAQHH VWATKYREDELYAAGRYTLQSHVEKGGVHDMVARKDDITNQDLVVWSVFGLTHNPRVE DWPVMPSEIFQVHFKPSDFFERNPAIDVPSSRNQASVLAKDCCSAGQKL CC84DRAFT_1244097 MSSRLEPEYFEEALAYVDHQGPRAQRKKEIISPTSTSSVEAKSN VRPFARSETHGSVVDGEFFRVAQLWCLIFGDRNQGKKSQVTDGGIRLWLMPTEKLIML EPHLGIPYCQRTPADQIRDKSLDEGALFLSSDKEADQQSPESDSNGLLSARSQAQRTL HQASATEIADNEAFILQYDGLGATSEVHMDECHVFTLLATIFVVAQRPTITLPTRQFA AGEQYKVNE CC84DRAFT_1145232 MGSEQADRAVLFYESRSFNYDDSWHDDFTKRFAAEINIQPGQHV LDLACGTGLLTFLEADAVGPSGHVTGVDVTPGMLRVAEFKKRKEPEKYANVDFYQGDI LKLQEIEALKGKQFDIITASSALVLFPDPKAAIELWAEFLKPGGTFAVDSTHPRNLVP GMVLERVARRLDLSVPYNREWSQNESSLKGVLESAGLKVDKVITVENQSGYGKRQYEV GQWDDFFVENVIVKDVARTFANNDIRRKAQGLYKEEWERLAVNGQVEEVDSVFLGVAR KPADGSRYVPSSSSKETVFEGGCRCGGVRYTSTQQPSQVTFCYCRACSRVSGSGYLPF CHVDDDALTFTHTSTMKTLVLSKAAERFFCTGCGAPIAMKYFDQEVTGLVLGSLDLDT FKGAMPNVEQHIFLREKAPWVTVPDDGAKRMEAFEEMEIK CC84DRAFT_1164140 MAPAAHAGMHGLDAVKSPNPLALQSPQASHATSISLRDRRNSLH PLRQNAPSIAEQKVDAFDDVDISDSIQPPPSALTSATATAYNPHGEVPSPLENYSSSP RTSHQRSLTGTFFDNCQPLINRATSTLQQHTSRTSFHSPTKSLASFIPSRSALESKAS QPNIRAGAKALQNWFNGATTGASGQVAFGVGIRQEDSDSDEYDSEEDDIDDNMMSGIF NRGTSLTRASNDSQEPRQGPTSTKRPAMPLSTSTASSRFAWLLNTQKNAAIPPPVASP TYHNPEDELLNLNISQALFPHGPVDPLAPSSFNDLLSTAESLLSRYQTSYRQLSTALV DARAEQSAQDDELDEADTRIMHLKSQLETMAARANDQDEQMRRLMEELIFERRAREEE EAARKQSLAMVRRDTHHDTQDADSVHSPRRRNRVSNSDVSVDSGFESECETDAASIFS RNNCLSPTGTDLSSVAETDDRQTTPKGKKPALQTRSSTYDKVRDGTARLENNNGWGCT NCEGGSQAAVWGRLAREREENSVLRRRVGELEEAVEGALNVVDGPWGM CC84DRAFT_1175815 MSGPRQRASLSKDEETNAAAWEAARGAGWGATKWGAFSAVAGGV AYALSPVYRGLTIQFKVYIQMSGMILGSMIEADKRMIAYEHHVRHQKRLRRDMEVWRR YEEDFEARGTPGVGAESNVKGKGSDV CC84DRAFT_1164141 MDVFWTLPPVTRTITAAAVVVSALGYAHIIDLMRFIFIPQYVFT TKMAPQVWRLVTGFFITKKNMAIALDPYFLYQYGSGLERESSRFSQPGDFFVYTAFVG SFIAVLAGYFLSAWTFLPALTLAYAYTYAQDNPTRQVSFFILTFDAKYLPLALIFLTM IMESADAALAQATGLIAAHLYDFLTRIWPTFGGGTNYITTPATVKRWFGGGPGTQQAR GYGFAQAARSTAEPPAAARTTGAAPQWGPGRRLGE CC84DRAFT_1164144 MPKHGIEIGSPSNIVNSTNMSANTTSAADALGQKKGTNAIPQQV RLLPLPPYPAHPFLCTNTPQNPSIISSAGAIGKQFNPDGAIGQIGQKIGGPLSKEGVI GSQFDASKDGRTGSRDTWRGRWMGRGIRRGVRFNKSVVGCRSAR CC84DRAFT_1217002 MGTDKKRKRQEQGGERPSKKAAIAPAHGNVKVQYVDNDEMLGPI IASTPGLKFPSQITFKSYKRTKLLPEGSHTDLLLQSSDHSRLDYLAREEKDGSAESRL KDYIGVYDPATAKMQLMPVKRVTLRSSLRSEADELHNRQARIEAAQSTFTAKRHALAA EFGSKRSRKAIEDMTQNAIQRGDAAKDEGIAQNVLQGMSGATAAMPTSEELAAAVDES KPRPKANMTAEYPIDVYTVDNVVGKELMALIEVSDWVSASEAGTGVEVRSRFVAKRIS KLVKNKQYQKLKLLRFILLCVKLNDIAKPGRGAKKIPPKGKFETAMGDDVPASLSNTI RRKFASETNELPRWNIDNLMTHACAAALMVDDFEVDVNDLREDFKIENKQIKQYFSEL GCRLNVPTEADRNKLKITKAESNSHTIAKLRLPLRFPTLRRGPPKGR CC84DRAFT_1164146 MSGLLNSVQSILGKLWTNTANGFDKILPPDRRDELLSKLQDFAN KNPKLAAFLATHVVLTGIPLVLFTFFILSVFVFSLITALLASILAAVFFTLFMVGVAL LFLVPTLFLTTFTASFVFLWGLGGWFVLKWFTQEGSASDRKRFTSRADGFTGGRAEWV GRGAGEKRVLTHSSDEEGAERQSDSHRLSEMANVKASGTAAGRELEKVTESLEDETNR QPLHLPVKILKIVEAEENAAGAENSVVADAKETVGGAAET CC84DRAFT_1259072 MSAIRVGAAINVREFQSLLNSLGALQGHAIDFEALQDELGRFRV WSGNLGVLQKGHSSLDYRLRDAPLLSNEVLKLLNELEENLHAAHEIVTGVRLPYEQQS RPAGSEGDDGDDPFFSEDEDDKSDPGAPKTELRMRFQEVVDIIDHLYKISVRIRAPTV HTRSLKAASYQPKDPETGVDILSQYAIFDRFHTQELVRHLRVPYVSAREPTEENDDLV DRLARAVTLRRRQFKYWRRHRDKLGISTIQEEPQAPAAIQRPEAPHRHDTLEAQPGTP GLDALPKQAPSQKTGKSLLSGTEATHHHQSLDDIVDSKSVTSYAVTVKDLSGKGIDLP PPPKAADGNKDFECPYCFIICPARYGKGRPWRTHVLQDLQPYVCTYPECQAPDQLFRS RRDWVEHESGHRKAWRCPEHSEAVYRSHSGLEEHLRTHHGESVPEGQVSSIVKVGETS TLDLRQECPICYASVEIEGMGTLNNHIANHLERIAAFSLPIDMDDDSDGGSSQASRGG TESSAIPTASRSSDSDYSGYSNEEEITSDLFLDADVTFGHVATDQQPKSPARAPGLLS ESLIRALPDDSGHRMKLLFSSQKDDRQDAEEDQETGDSFNESDTAVEEHMAEREVFRK YLLSLEGAQSVRFYTRYGSWNGNINFRNGDAAVRAMQTFDKERYPRVQLRQKDAKKET LKFTALNQNWTKAARVSPLYAQEPDANGLFHCPGKEWSDCDHKPTTLKTVYDSYVDFH LRKSWNNSESKEVAFDPDVTVVQTSPSYSQSSGSQQSSTHSDVRDVGEISPILDVADI PSIRALYRSSKLAPRDDPNYPPNDDYNGIISFCYYDITRLKVDAIVNSSNRGLAPSRA DWTLNSIIHKAAGPGLGEECSRIDRLKVSQSVLTGGYNLPCTHVIHVARPHYSNSKGM GEYNLLTEGYRSALRLAMKKDLKSIAFCCLGTGGIGFPARIAARIALQEVREFLDAHP RHSFEHIVFCVFTEDDLHAYTTFFSKFFPPTREDLDTAVSDEGNKDTARLSALIQEVY TQVKLINQDIKTFNAEATNMPQRLVQQLSSIAVLLETFKEITSGAETERLIARVMQYI ELLCSVMNAICGNLMEMIELAKAKENLGMPSHKILWDDYNDHMYTYQGLTIVELVDIT QEFAKHVNDVLDRDVPIPHEMKTIRTRLSAWLTKQTGQGPQNTHDHFEEVMLTREYQR DVPISIRTDIVKLHQVPTLARLYEQGILPANEAPATPSARFNGIVCLTKEDITKIEVD ILVSSTDTGFSGIGRLDRTVFLGGGLELQQECAKHVPCKEGEVVLTGGYALPAKHILH AIPPAIYRTDTLQVLRDIYRKILYMARNLKVTSIAIPTLGTGMLNYPRRDSAAIALRE IKEFLAFQELNEQSSSIEKIVLVVFSPNDEFVYSSLLPAYFPPVNLSVNDPENIRSSP KPIRQESRGLLTTLGEQIRKFGPGKQSTSNTRPLEPTEEDMLIVFESHAQGCPTCRNI PKLYSEGRDLCDDGYRFAAQILRHLHMRTDQSVYQLRTVEDFPQAVEIPDVFPLSLEL LHTVEKSFRDPTRDRPFVSNQPSLGLKGRILEYAVYNIEVTVSSQPHFQQAFDRIYIW SDPASGLQHFEAPVPTLHLTRGAILIRQGSDDNVSKPPIRLVLSPRSVINLPSGTEIA VVDGPIEDHDSVYDFRTRFTLGLRSQSDCKMLLTRIKHAAENVPTSAAQEEFGLALPQ NQAQKAFAKVYQWSQATESWEPFDAELSEASLSVRPGSLEILQRASKFTWRLKLTSYS IIKEQAHVDIMIDRVTTAGDSDTGLGHWKRIMLRCRSQTERDALLECLQRAADSSGRP PTPSQPATPTQDTSENQFRFDEEMKAPMHWENAYQDHSAPVRDNPFKVLVPGIPPDSR QPEILGDFDVQSFLAADSMSVTDQPHVSLNEAINTVLDDGGFAKGPVGQSTTSQNRRL SDVAETKATYGPDLVSKAKARASAATEETEFARASGLTLLEHRILAYIEWLPQSRDAG VPEQDLVAELGESSVNLVDAIEHLRSLNLIEIVPSRERRWATTTVAKTRLRLNQRLQT VLPRNDPHRSSATPETSTESHEPAAEELLHKSSSTTVAEPKEPVDASQSSTQTTSALS DPLARLIDPKLIPLEELNIEAYFDHEVMHSTQIDKRLVYEEVLVKAGLPPTERKGWWY VDRVLTKEEVQELHEETRRTHRFTPLEHRWE CC84DRAFT_1186852 MSGIEVLGALPLIIAGIEAYNDGLDPVKSFMRWERELPALIRKL RNQHVHYAQTIRILLEPIADEFELAELLQEPNGQLWKGDKMARKLSERLGDSYNAYQG TIGDIERITKKIASKLDLDRAAELTRNELEAMLVANPKKGNDRFEFRRRVKFGMSKKS IKALLEELDDCNKELERFTDKSERIETIRRKIIKPSFANRLQRIQKYAFTLHETLSTC WSLSFSSDAADSQLWGWQAAEILVEDEEDDDLSLPRPPTLSKSRKTVSFGDKPPPPYS VDDPTTSGASPSSLEEIKDLCASIQKLHKSSPCIGFSFDTKSRLRGAYTVDTLTRKQV APSELLTLEQLLDQPPTIKGRVVKLSKKERYSLALTLASSTLQLNATPWFKDQWCAKD VFFHQTESGPRLVDIDRPYVVPKIEVLATLTSRPKRSFQNKNTVLLALAVALLELYFG VSAENHRNLETQPAGAFNPWMLCAMAYEWADEEQENLSAAFSGAVNHCLRCFNDPSAN LQDAEFLQAAVECIVLPLQEELHQFLGKAGP CC84DRAFT_1186853 MAQSIADSWKSFWHTMTSYDRHASHNSPYRTGRHIPLPQSRHAP LSNVATSGAESRVDLSSPYTHDEPAMSNGFIGSPKGPLSPSSPYSPGMRSTLSRQATA DSDTFDKGANGEIQMRDFNEGLPPAPPVGHSWRRIDRWVEDKYEELFDNLCEGATSND VNELEHELDATLPMDVRESLQIHDGQERGGLPTGIIFGCMLLDCEEIVMEWQNWRKVA EEYLSPKTDPRATPQIPVKAFAGSSTAPPVAQVQQSSNPLWRQDLLARQDSQPPNAVQ KAYAHPAWIPLARDWGGNYLATDLAPGPSGTWGQIIIFGRDYDCKYVVARSWGALLAM VADDLNTDKVHIDEDSGELKLLEFKRQNVEPPYLEILRWRTDQKYGRRQPKKRPNSTL RVNSNPPTAAGPSSAGSSPYGSPVIGPEDRGRSPHRLSQKPKKKGASPMSSPLARVAE EGPQPIRIQTNIDAKAGLPMEKLISVDTPRPSDDFPPVRQSFGSDKENKKESKPASLK SPATMEGPELKTVEL CC84DRAFT_1145248 MTTRKRRAPGASPVVPQNTFQDPSATGSDTYMNNWEPASAAGDM SGFGDGAFYDQLGGGYGANFGQQPSTHNRVVSLDGLNDGADMGAGQLVRRNQNQQLAA RGRSPWGFNEQNQPNAWENTDDDEELERKAAIAKKDASAKRKTIPPFVQKLSSFLDNG NNTNLIRWSDDGNSFIVLDEDEFARTLIPELFKHNNYASFVRQLNMYGFHKKVGLSDN SMKASETKAKAPSEYFNKYFKRGRPELLWLIQKPKNAPAGGPKRKRDDDLKGDSDEER KYAPDGGGGGYVEELAVRGNNEGMAMIPRAEYNSLRAEVRTLQQQQKVISNVLGQIRR QNDQLYQQATAFQTLHDRHENSINAILTFLATFYNRSLEGNTNNINIADMFGSAMGNQ QPQGSVVDMENYPEVPIAKSPQLQKRTPMKLLPAPPARNVESLSPRAKTVSPTVRTAS NTPIAIPDERSTHFQPNLPQSRTARPSNPRTPFGGPMKVESASPSQEPMPLPENHEIM SAIQNANANATAGGFSHAPQIDLPAALNSYQHQDGHTPLTTQQRNDVLSLMAQNTSAA SPNSAFAANTNNALNNPQPPPMPNLDQMQETEAQLAYLQRVSEEQNSRVQSLQERLQP LSPSGQIPGLDSGNGYFGGAGLGEPGAYDLDLDSFVQDNDYFPGTTQDGSASMQDPTL PDLNYQLTGDNSGFGVDDDVGEGFNFGDGDAGVVDGVEGNGHLGVKDAGGTVESVSSS ATSPASATVEEVEDESRRRSPKRRRK CC84DRAFT_1217008 MLFEDVLGRTHRLPYEYFRHWDIFKANLREIFQHHIGWSLIEQN QFHVIDANWVK CC84DRAFT_731273 MSKPALSILLRRHHPLRHLLHHNHRRLLRLHQILHLLHHRHPRN LHSHRSKRRSDGILRHVFYHAAASLGAGSRNTALRSRNPCRMIVGL CC84DRAFT_1164150 MQPTPGEPAYQRPTPTTQQTPSTARPLTSPTTPAAHHPTYPHNS PHHHRAPTSPAQTEQLPPLSTALYTASKSSYYDPTSDHGVGQPHGTAARYESHYPSQQ TRDPHAYPDTRPPQSPYNNPYRSPVASSFPHHSPLQRPASNGRIAASMEAMSHSPASP SAYHAMNHGAVQPPPQHYERRPSVKEEAPPPAPKRDPMSLSSIMDSGADPEPPSKPQQ LPSINDSRAFSKPSPNPLYVKQEAVLSPAPADLPYQDPRAVHYESMPPVGSAPPPSRL VPRELPAPDEAAVEAALAHIETNEMKDVDTFGIEYQQEKDEYALQTRKHALEVEATES SKRKRRRHATLSRFIDVSSAHRDIAKQTYSVEHESEAWQQVQNQEIAEEKERKKDMQR KRRREKTIQNEEQKREEALQRANAAENEEEREKHLREASKAERKAKTTSQLLQGNAPS KDFREVTPHEPNFIGGTMSSFQASDELGGKRKGGRSGGRLKKSKEQKQAEKDAAAAGQ AALDRGDELPPIAPREEARLDALKSRSGTEDLGPITLTSYNSQAYQNLYNQIWKDIAK KDVPKVFRIKDNSLATKQSNLRKTAQLASKEARRWQMRTNKSMKDVQARAKRSMREML GFWKRNERDERDQRKMAERAELENARKAEAEKESNRQKRKLNFLIQQTELYSHFIGKK AKTEEIERSTDDADAAADSSAPAAGPSINVSHDGAPAGKVTDFKDLDFDNDDESALHA AAMANAQHAVKEAQDRARAFNNTGGEDEDEGELNFQNPSGLQDEKDFIPQPKLLNCTL KEYQLKGLNWLVNLYEQGINGILADEMGLGKTVQSISVMAYLAEKHNYWGPFLVIAPA STLHNWQQEIAKFVPDFKVIPYWGTAGDRKILRKYWDRKHRVYNRESQMHVLVTSYQL VVQDAQYFQKMHWQYMILDEAQAIKSSQSSRWKSLLGFNTRNRLLLTGTPIQNNMQEL WALLHFIMPSLFDSHDEFSDWFSKDIESHAQSNTKLNEDQLKRLHMILKPFMLRRVKK HVQKELGDKIELDVFCDLTYRQRAYYTSLRNKISLMDLIEKAVGDEQDSATLMNLVMQ FRKVCNHPDLFERTDTWSPFSFAHYAETASFMREGQNVNVAYSTRNLIEYRLPRLVCR NGGRLDIAGSENPKAGFKGHYLYNLMNIWSQDNVQQSSKENGAFSWLGLANVSASEAS KIARKDLFRRAVDLSDQTKQLARFNILYDEEAGAYAPKHSMLNIVERQDRVALAEVTT NGYMQNLLNVSQASFEETGLNIIEPCAKPAASAPPVELYCSSQGVIAEKQNTFFNTYM RNALYGFSQNSEREQTLLESKAVTSDLAVAGKLPSPTNTRTRYGHIDVPSMQRFVTDS GKLAKLDALLKDLKANDHRVLLYFQMTRMMDMVEEYLTYRKYKYCRLDGSTKLEDRRD TVAKFQAPGSDIFVFLLSTRAGGLGINLVAADTVIFYDSDWNPTIDSQAMDRAHRLGQ TRQVTVYRLITRGTIEERIRKRALQKEEVQRVVISGGAGAQVDFNTRSRENRTKDIAL WLADDEQAAEIEKKEAELAEAEKNAPAGKKRKRTKKVAEASLDDMYHEGEGHFDTSER PSGAATPLSNAEAPPGGKRRKGMTKKAKTAKQRLAVADGQV CC84DRAFT_1092283 MAAVYKTLSAASGNEKESGERRNKQRVLILSSRGVTYRHRHLLQ DLYSLMPHSRKEAKFDSKTKLYELNELAELYNCNNVLFFEARKGQDLYAWMSKAPNGP TVKMHLQNLHTMEELNFIGNCLKGSRPVLSFDAQFEKQAHTRLIKELLTQIFGVPKTS RKVKPFVDHVMGFTLADGKIWVRVYQINESEPGKKKPVDGEEMDVDPAPKKKGKTEFD VQLVEIGPRFVLTPIVIQESSFGGPIIYENKEFVSPNQIRSDLRRGKAGKFNSRTEAA RDSKIRRGELGLLSHGGRKKEKDPLSDSVLFA CC84DRAFT_1164152 MRSSDDYPCIDKATFLSLPKELRLSIYSYVLPNTESFDLRFQRG DGEAKPPSEYNLTFVREANDSNGVWRMQKTLPRSDREVGNEIVWRLGCISLLSTCHEI HDECVDMIYGDNTFVIDVSFDTIKFRQRWHTANNLTPGRSYAFLDHFSQRNLMKIKNY IVNVEHVDDYTGMIKFNCGGRGLTAGIRGRVQELVDLLVAVPNLHRLHVHLIDGAISR VRFPSGRVHRVQDVQNFSTSQTVLDPFKGLYGVRKARVTGVSEAYAKLLEVSMAETRG APQG CC84DRAFT_1164153 MLFEYLATELVTQIFLSCSTVTEVLALSSTCHRFRNIYTSSQKL YILETAAEAQFGPLEDLTQLLTHNASQPAHIIRTVPFSIALLQQIVHAGRVAEKWCDL YPFKKWKNNFELRRLLTSEEQYRLRRAVYRLWLYSRAFHNREHPREYRSTRLVVQKRA QLLHNWSTLELAEIADVHNVVREVVHSNICPSNGTIARKFKKRYPDNDHHLLFNIHLN YPPPSHAPPAYNPFASQYSNTLSSHFNSTSTYNSRHAASKYSLHPSHEVGAEGWGDDI PHYYVVEDMLKLDPEQIMWLKENAPLKGMVEGYVRELGSWFENNGETWVQTLEWVLEE RCEDVSNFIIAVSDGEVGVAV CC84DRAFT_1164154 MAAEKRPSIEGLVDNMDPRESQELEELPKYEAPEEPVEIPLKEK LITSVWIFLNTISTLGLVFLSKRTFEDVQLKNCQLMVVGWHFTATGLVLFISTKGPFR AFKPVRLNPWNMLPVCAFFVGYVVLGNLSLTFNSIGFYQLSKVMTTPTVVLINFILFR KTVTRWMLASILITCLGVSFTINQTAGPALKGVIVATMAFCSTALYQIWIGKKIEDFA VSPPQLLLNQAPLSVLMLIPFIPFIDKIPDFSAVPADKLYSAFLSGIMASLYNLSQFL IIGRTSALTFNIVSHIKSILILSFGWYSEGKILSPRDWLGVLLALGGGWVYSHLAMRA KLGRK CC84DRAFT_1164155 MKAYWYDNLPGDQRAPHDSGREVSPDSLKQLGILFKHCPSVADV DEIATSRSYKNRDEITVSPQTMGAAYEEKVKNFFHEHLHEDEEIRYILNGAGYFDVRN EGDEWVRIRLEKGDLIVMPAGIYHRFTTDEENFTNAMRLFKEDPKWTPLNRGEETEKN QYRQEYLKTRHTLAA CC84DRAFT_731308 MTMTATNNRRESRWSLYLHRPNPRPSIIARIPKRTMPPPDIRLH LASTTFSPSLYASRSPTPPYIAYLILHTLPPPRRRSETDIYIRRRESPRIPPALRAIC SHYCCSCSCSCRYRCCCINITVTILFQACTHTGAVCPRIRVVVLE CC84DRAFT_731304 MPQQLDSKVAAIIDRAKGDESDEDALIAELENDSELDAFREQRR QQLHAEFERAQQLRNRAHGTYAEVKDEKQLMDITTSTKLCVVHFFKSDFHRCSIMDTH LEALAPAHYEARILRINVDNCPFLVTKLKIQVLPCVIAFIDGIGADRIIGFEGLGRTP DNFTTRDLEARLLRAGVFERQKVTKEDERQAPRRTRAAQEDENDDDWD CC84DRAFT_1145273 MADDKKNDEYIEMPSVKEFRAPSPTVRPSQRPHGAPAAAVTESP LFAILAYCGSSILMTTTNKYVLSGVDFNLNFFLLAVQSVVCVAVISTLKASGTITYRD FNSDEAKKWFPISLLLIGMIYTSTWAIKYLSIPVYTIFKNLTIILIAYGEVLWFGGSV TPMALGSFGLMVLSSIVAAWADIQHALTSHEAADASKDAVSTLNTGYFWMALNCFASA AYVLGMRKRIKLTNFKDFDTMYYNNLLTIPILLIASLLVEDWSSANLAKCFPPELRNR VISVMVISGMCSVFISYTSAWCVRVTSSTTYSMVGALNKLPIAISGLVFFDAPVTFGS VSAIFIGFISGIVYAIAKVRQSSKPKEVLPTTNAQK CC84DRAFT_1244133 MSIESNGYMFSSDVGERISKNTHARGTMNFDLQVGRRAAVEVAS DAEIERQWWAHEAEKRTEAERPTQGARLYDIVDLVKEIKYDGVEEITEAQKQEMVEIQ LAKLDRKFYIDPGRLAMINGGSYRPGRDGPLSVPYASEIDVQAFLVAAMEAGRQKAER AREDAARKQAIRDCAACFKQRRRNDRRPCEQHHNRNMHDNVMTSVALCPHTDMRLFWF ADDPANKKDTWLLDVSEVHSQDLDAHAACKIMPVENALEELVGLLKKSMEHKNLRAPN LSRVAWQLPAVWDLLNKDEKTGVVEVTHESPTDLPPPEYNPYDAGSIAPLTGQYTGVH RMVRPKIELSKTDQRKLKVRNARREKRVMGTRRKGTRVVGSDYEDDSSDEDTESDTET KLPVKKAPTKKAISTETKTVEPENRYALSKSTAKPKKHVEAPTPTEKATKQATKAKTT TKNAAPKTPAAEKQANLSEEIICDSDEGLSDDDTFTSIPKPPPKSQSTKRKVEESEVS SSHEDIQEPAAKTRRATPTNEVVQQPTPETEVASPESSEPETPSSVKFEVQPSSPKKR KASKSFASAAAMKRKTSIVEEESESEVLTVEIAEFQQPTPSTTSSDTLAAGTRSRSST PSLITDSDEDVVKSSGCRGFSQWVKVEDEVDYGSSGEE CC84DRAFT_1196047 MSDPFNPYAAEHAAPAGPGDARPTAFQIVKDEGRVGKLSDKVIF ITGASSGLGIETARALHETGAKLYLGVRDLEKGKKVVDDILSKSTINGDIELLQIGLD SLESVRKAAEEFKTRSGKLNILINNAGIMALPHRTLTQDGHEAQFATNHLAHFLLFQL LKPLLLSSASPAFSSRVVALSSLSHRVGTINFDDLTLKDNYHPFLAYGQSKLANLWMA NHITRLYAPQNLFANAVHPGVIFTPLVKHMDAAELEEVRQDEEKMKGIKSVEQGAATT VWAAVGKCWEGKGGKYLENVRVSPPAPEDADFIVEGYSKLAYDEAGEKKLWETSNALV GFED CC84DRAFT_1259086 MRNFSAVTFFAFLSSVELVFAAGGGGSGGGGGSSGGSSGGGSRG GSSGGSSSGSSGSGNRGSSSSSGGGSSSSGNRGGSSGGSGLRPGSRPGGGSGSPDEPD DGDSGAGGSSGGDSYYAPLSDGYLPAIEQVQDTFGPLELSFNGTNITSEKPSIERIVE VILSSPTCTPSSSDEGVSVPESVYQACTSKDVGFQPTKTLPASSYAACTDYASILNSC ASATPSFYALPASQQISCACYTITTTSVDCSISRASTYDPTYKSSGEQYVSVPTQAIG RFDDAANYCRDYFGQQGYINLASLLSGNGDNKDPVLGAAFCSNVGQRYLQTAATTTQA YYDIPTASNGLPLRLEPTPYGACYTVREIRYTRGAGIRVFSVDTMLLVMALIACIFFS CC84DRAFT_1259087 MLVDLSTDAWRCRGVGILGRIRTVNAYRSKGAQVPPSLPAATPS LPPAMPHTPNTALREKQRSAAGFLTFGFILDTVSWALFGYLIHLVHKETDAYYAALQA CDSPNPSPSSCNLDPRTHSLPWALTLTLPPLIFSSLTVPIALALALQKRLHPVLILCV AVPSFCLWISLGILNALSSDPYLTENMNPMPVDKWMQGVAGMQCASGAAWLIGMSMAA RWVHVHNQEKWATRWMEERARSMEGTGLPPYNV CC84DRAFT_1164161 MTFVPETRVGGVPSRSSTPSSAHRSSSIVSISPTSPTGPVSPRT AMLKIITAKQAFQNLSHQPSVSPPSFPHPSFPNLSPPSPYMGPSPQPAIQIANGFETS TASPLTASGSLLLYTSNRNVDSGLLAVKPLSEAQVAEYRFWRPCGRRACAFGCGDGSE GENRAARRLFRSVEEIREEIREEEEDDDKGEKEREWKMKEEEEGVRVVLESDGEEVVE IRV CC84DRAFT_731369 MMTGSTELLECPLCDFTVLPTDDYIIQLHFEQVHTTDSPFRIED DPEDEHPPPLPRRPRTALSTTDAQLTPASDEDQNTVECPEPDCGESIPLSDFNEHLDY HAAESLSFDETTGEYRSKNRAKMHGPKPSAHHAGSSKPSFLEHNLNIELPDALRRHND HARRSKKRGQRGRSDTMSSEKSTLSRSIQTFNPFAQPNRVKPPSSNCRLGRAELGPYA WEDRMPKWLHDQLAAGPKITLVNRIGRDGRLIKQESVQNETPGIIPILAQLSALDRTV KEAYYCHPSTLHIGKTPKEGGFCGYRNIQMLFSYIQGSRAQGHKDFPGRTPGILKLQD LIERAWDKGINTIGRQQTGGIRDTRKYIGTPEAQALFLSAEIDCAVQMFSDNRDGSIE AHDSLLAAIERYFAQAAVSDGSNVYKTLLPPIYLQQPGHSITIVGFERRRDGTGNLMV FDPMYSTSPAMHKLIGRKDIKAPRPEVLYAYRRVAKQLRKHAAFEILMLTAHPPLFPV WDVGSNPAPSDHNSTQH CC84DRAFT_1259090 MAHIFDVGTRAWQPDTAEGWIASEVTDKQVAGDQVTLVFTLENG ETKTVVTTLAAIQDGNDPNLPPLMNPAMLEASDDLTNLSHLNEPAVLQAIRLRYAQKE IYTYSGIVLIAANPFARVDSLYVPGMVQVYAGKQRSYGAPHLFAIAEEAFADMLRDDK NQTIVVSGESGAGKTVSAKYIMRYFATRESPDNPGKRRGKADSMSETEEQILATNPIM EAFGNAKTTRNDNSSRFGKYIEIMFNKETDITGAKIRTYLLERSRLVFQPLKERNYHI FYQLIKGATEAETQELSLKTVEEFSYLNQGSSPTIDGVDDKAEFDATRKSLTTIGVPP ETQSQLWRLLAALLHIGDIQITATRTDSALDPAEPSLVKACDLLGINAANFAKWTVKK QLITRGEKIVSNLTKQAAVVVRDSVAKFIYSSLFDWLVERTNESLATEEVIANAKTFI GVLDIYGFEHFAKNSFEQFCINYANEKLQQEFNQHVFKLEQEEYLREEIDWTFIDFAD NQKCIDLIEGKLGVLALLDEESRLPMGSDDQFVTKLHHNFFGDNKHEFYQKPRFGKSA FTVKHYAVPVTYESEGFIEKNRDTVPDEHMEVLKASSNRFLIQVLDTAAAIRDKENAA TASAKSAAPGRRVASTRKPTLGGIFKSSLIELMNTINSTDVHYIRCIKPNEAKEAWKF EGPMVLNQLRACGVLETVRISCAGYPTRWTYEEFAMRYYMLTPSSSWTPEIRNMAKAI LHKALGDGKNDGTDKYQMGLTKIFFRAGMLAFLENLRSARLNDAAIMIQKNLRAKYYR RVYLEMREAIIAVQSLARGYITRERAEEARQVKAATTIQRVWRGTKDRKRFHVVRNSV ILFQAAAKGYLCRKNILDKRLGDAARIIQRSWRSQRQLRAWRQYRKKIVLIQGLWRGK KARREYKTLRAEARDLKNISYKLENKVVELTQNLGSMRQENKSLKQQVENYEGQIKSY KERSRALENRQKELQAEANQAGITAAKLSAIEEEFKKLQTNYDESNSKIRHLQEEEKQ LRTSLKQTSDDLESAKRRSNITETEKLSLRQQLTELQEQVEVMKRSGPINVGHLENGH TPMAASLINLVSSKKPKRRSAGPDTRDLDRFSQTYNPRPVSMAVGSTVHRPNLSGSTF NNNLDNVELELENILGDEDNLNDEVTLGLIKNLKIPSPTTTPPPTDKEVLFPAYLINL VTSEMWNNGFVKESERFLANVMQSIQQEVMQHDGDEAVNPGAFWLSNVHEMLSFVFLA EDWYEQQKTDNYEYDRLLEIVKHDLESLEFNIYHTWMKVLKKKLHKMIIPAIIESQSL PGFVTNESNRFLGKLLQSNNSPAYSMDNLLSLLNNVYKAMKAYYLEDSIITRTFSELL RLVGVTAFNDLLMRRNFLSWKRGLQINYNITRIEEWCKSHDMPEGTLQLEHLMQATKL LQLKKATLNDIEIIQDICWMLSPNQIQKLLNQYLVADYEQPINGEIMKAVASRVTEKS DVLLLTAVDMEDSGPYEIAEPRVITALETYTPSWLQTPRLKRLAEIVSQQAIAQQEKM EFEHVNGDIINGQPMIEEEVAA CC84DRAFT_1092527 MSNLSRQVSNSLNLSTSPNLTFHSPPTSFRAPPSYTANTPGYSG GAAAANAKQLKPFDTKDVKVLLLENVNLAGVNILKEQGYQVEAIKSSLPEDQLIEKIR DVHVIGIRSKTKLTERVLKEAKNLIVIGCFCIGTNQVDLNYAASQGIAVFNSPFSNSR SVAELVISEVIALARQLADRSMELHNGTWNKVSKGCWEIRGKTLGIVGYGHIGSQLSV LAEAMGMEVIYYDVVNLMGLGTAKQVKTLDDLLDQSDFVTLHVPETGDTKNLIGKAQF DKMKNGSYLINNARGKVVDIPSLIEAMRSGKIAGAAIDVFPNEPAGNGDYFNNELNDW TKDLQGLKNLILTPHIGGSTEEAQSAIGAEVSTALVKYVNEGSTLGAVNMPEVNLRNI NLDEPNCVRVVFIHKNVPGVLRQVNGVLLNHNIDKQSSDSRGDVAYLMADVSDVKEDE IKNLFQSLEELPSSLRTRMLY CC84DRAFT_1164165 MANTVIQPEVEANAGNLELKDNTVIVVLGASGDLAKKKTFPALF GLHRNNFLPKNVKIVGYARTKMDHEEYLKRVKSYIKTPTKDLEQQLEEFCSFCTYVSG QYDQDDSFINLRKHLEELEQGRSETHRIFYMALPPSVFIPVSQHLKRNCYPTKGIARV IVEKPFGKDLASSRELQRALAPDWVEDEIFRIDHYLGKEMVKNILILRFGNEFFGATW NRNHIDNVQISFKEPFGTEGRGGYFDEFGIIRDVMQNHLLQVLTLLTMERPISFSAED IRDEKVRVLRGMPAIEPKNVIIGQYGKSLDGTKPAYKEDDTVPKDSRCPTFASMVAYI KNERWDGVPFILKAGKALNEQKTEVRIQFKDVTSGIFKDIPRNELVIRVQPNESVYIK MNSKLPGLSMQTVVTELDLTYRRRFSDLKIPEAYESLILDALKGDHSNFVRDDELDAS WRIFTPLLHYLDDNKEIIPMEYPYGSRGPAVLDDFTSSYGYKFSDAAGYQWPMSNAEK L CC84DRAFT_1164168 MSEQSHRLYVKGKHISYQRGKRNTTPGTSLLKLEGVDNTDSAQW YAGKRVAYVYRAQRTVRGSKIRVIWGKITRPHGNSGVVRAKFRSNLPPKTFGASVRVM LYPSSI CC84DRAFT_1196054 MVAGGGSMGGGLSAQAQWSGTPSVKGSSEAMKMALLTLSLVGLQ VTWNVEMTYCTPYLLELGLTKSKISLVWVAGPLSGLIMQPIVGVVADRSKSRWGRRRP FMIIGTVLVCMFLLLLGFTKEVVQHFVKDKESAKSATIVLAVLSIYGIDFAINAVQGS CRGLIVDTLPISKQQSGSSWASRMVAVGSLVGYAAGALDLKKVFGPMLGDSQFKQLTS IAALCLCITVGITSWAVTERVLIHDGKEEGEEFGPLHVLSEIAHTAMNLPRSIQAICY VQFWAWIGWFPFLFYSTTWIGEVYLRYDAPADAKAAGDLTGQVGRVGSMALIAFSIIT FIMSVILPFFVKSPDEEGPGFTPRPPASIAGFVTEFEKYKPSLLTTWTVSHCIFAGSM IMAPFVQSLRSATIIVAACGISWAIACWAPFTFLGVEINRLSQTGHSYGHLGRNSIEL ESPVQLHLNHGLEEASGSTGESSGKYLGIMNLYTTLPQFVGTGISWVVFTLLEPGKSP ELSKESDPSEHHSTDGPNAIGVCLFIGAVCAVFAALATRRLKRLQGH CC84DRAFT_731632 MSEPIRNKKLENMTAPTPQNTPLNAAPISSRAQQPGVDSIKEGK FSISPRCPSSPPAVTSLTANSNFLPTEDLERQAAGLFAANPALASLMQAKLGSLVGRS SGYIESLPGSVRRRVAGLKGIQKEHSKLEAEFQEEVLQLEKKYFAKFTPLYQSRAKII NGQTEPTEEQVTVGEKKDDDDEEDDVPEEEVNKDEGKDVKGIPEFWLSAMKNQISLAE MITDRDEGALKHLTDIRMEYLDRPGFRLIFEFEENEYFTNKTITKTYFYQEENGYGGD FIYDHAEGEKVDWKAGKDLTVRVESKKQRNKNTKQTRVVKKTVPTESFFNFFEPPSPP QDDDDASSDIEERLELDYQLGEDIKEKLIPRAIDWFTGEALQYENLEDFDEGEFEDED DEDDDDDQSDRDEDDESDEEDDGTKPKQEAAECKQS CC84DRAFT_731578 MSISVWGQVVVYGLCSVSPSTYACAAHAMPAKNFQAEFHPPRQR APRQPRTKPLPATGRHCITAWTTVRYETLAHPASRSQPAVRSRRVGYEAIFGCIRIAI LHQVSWLRLERVFAESKLDALLHAFNIHIPSSRHSTNPTYQKHHGYQRTDNIKGSYHD RIEFATQPSKTALSPTMPEPKLFAETD CC84DRAFT_1164172 MARQNPNIVITGTPGVGKTTHAEQLARNLGFTHVSVNQIVKDEG FHEGRDEELGSWVVDEDKLLDHLEATGISEKGGNILDWHACELFPERWIDLVIVLRCD STVLYDRLTARGYKGKKLEENMDSEIMQVLLDEARESYKEEIVVELQSSGDGDVDGNV ERIEQWVEQWRKDNEGKSEE CC84DRAFT_1164173 MGSRAAVPKHLNFITGNKNKLAEVQAILEGVIELRNQNVDLVEI QGTVEEVTLDKARRAADAINGPVLVEDTCLCFKAMNDLPGPYIKWFMLALGPAKLHHM LDGFDDKSAQAVCTFAYCEGPGHEPVLFQGRTDGKLVASRGPTVFGWDSCFEYEGTTY AEMDKSEKNKISHRGKALDKLKVWLASKVDA CC84DRAFT_1196059 MGSLKGLFLGVYASNSVIRTLFLPLCYLLLSSPFLLVFARYYGF DSRLFEFIGKDKSVKEIALQVFVGLIAATLPTRILSGKNWNSYQNGGTRRVQQIPYWI PQVRHWGSVVFGGEGWLKKVRDSALAPVVAFNIGGAKHNVVLSPALLEQVQKDPTGLD KLDITEWSVLRNAFNLPNDTEMGYHDLRPKLKDALDDNIFKAQTAEKLISASLSVLSD SLPDLVTFNTSIVDQLPWERVANLELTDGTIEAECDFFTLINEYFCSAIVAPITGAQF PESYELLASDLSTVTECYYALALGLPRLFPKPGLPGAMLAKKRLFQKFVQFFYDLDNP KKRVPDDDESMSGEETDAETPTPLTRMNEFFSKNDIPLEARAAITIQLLHALVSEVVP LAFWTLLHLHTSVAAQPKTHKADTLIDEIRKETQIWALATQPPSIHPAFPAPPAISFS GLPRLVSPTSFPHLRSAINEARRLYKAPITTLKTNKPITLTEVESIRPGVQEKWELDA GSYIAIGLSQSLINTSAAHFIAPAEYRPDRFYHTAPPLSITSHSDPQESLTTSLLIAF VAGILQLWEIAPAPKKSFMDHMQEAQAAAMGPVDGKAQSAAQSGVQKKVGRWVVPRAV DGASVKIPKGDVRVRIRRREGLPEQRLLRKGK CC84DRAFT_1092140 MSLRPIHLWGKLSGPNPVKVRMILQELAIPFSDDPIDDSGIKQP EYLAINPNGRLPTIYDPNFDITLWESGAIVEYLVEKYDTERKISFEPGSKEAYHTKQW LHFQATGQGPYYGQLVWFNFFHSERLPSAVQRYAAEVKRVTGVLEGHLKKQAELFPGG DGPWLVGGKFSYADLAWVPWQVEMMLIAEKADLIVVGFDVEEFAVVKAWIERMRCREA IGGTAQQFWDRLAGRLPFEK CC84DRAFT_1244173 MPRLAPAVSALARQTMKTAFDELERTITPADARDFRTTSLDAVR MAALELEKRMEAKQALRNMRRLKPLLDGMEHYAKVVDILCNGTPFLPWIWAPITLILR VSSEYIEAFELLMKGYARIASNLGRFERLSSEFLTNQDFQQTLAVYYADILQFHKHAY IFIRRSGWRLLFITSWGRFQRRFDNLLEDLKRHGELIDSEANSHNIVEAGRMRTEIRQ WREESLDQVKRDEEERASSHFRSVLSWLKIDESEQLSICDSIFDEGSKYPGTCSWVTR HTKIRLWLQQQQTNPVVWLQGNPGTGKSVASGQIVKFIDNNGHALVLRHFCTYSHAAS TKYDNIVKSLLTQLIRKNGELVAHVYQECILSKKAPTITLLEQLVGALCASTTDEPGK MRYIWIVLDGLDECEQSKQSRLLTLMNQITSRSASEGNTVCKVLISSRPSSAINKYLR RKQVVSLSDEATQLYKSIQLYASQRLLSAIKRLRQLEIEHEDISELANNVATKAHGMF LYARLVLDYVTSNIFYNPDEIRDSINRLPPSLTEFYQTILAQMIKGLDARSVDRVKTI LSWVSFAKRPLKKLELLSAVTVGQGNPEVDRLVPSYILDICGPLMEERRDSTFAFIHV SVKEFLLSAQGLLSVSERTAIREHATATVTALLAGRRIFREDFDERLRLLQLVRGVHG LHVYATEFWIEYLLSDAALSNGPDVSSDLFALASRLAHELSAGRIESLPKELTAQPSD IDERLQLFQEYHPLYEQMKASLNSRSRKRLEVELFKQQDPRSVTDHSNALDAVSYMLQ SYQKAVELLLNEESHPGVSAEELENFKSQFRASAFTCRLRSCPRATLGFENDSLRREH EMTHVGGHRCSVVNCQYPPFPSAKSLKNHTENVHNPDRAPKSIRHVGQLNGSNTNMTR LRMDPSHLTGSKTRVASSETPLGPLPSKSPFQELKP CC84DRAFT_1186890 MVQGLLDEKTLQLHTTCTVNIDSAPKRTDFGAQTSCTLEITVFG PWELFASIGLWFQEYDVYLQDPRTCHLDVKYCNPHKLASGDLETCPTLSEVTSRKIGL GYMQDITSRPDLLDLLNGQNDLEEAPTPSVIQAHLHKHQRQALTFMQQREQGWALGTH TYDIWEISDSTQGRYFINRISNTHQQDPPQQFSGGIIADPMGLGKTLTMIALAASDLD HKNVDPMDLSFGHDSKPMVQATLIVVPQPLLGTWEEQLRDHVKTNGLRFCRHHGKSRL NTLSDIDSRNVVLTTYHTLSADWQAAKGKGDHIVFSVRWRRVVLDEAHIVRNLKARMA RAICDLDAVSRWAVTGTPIQNSLNDLAALLKFIRAFPYDEPKHFDRDISRLWKSGEDA EAVQRLKRVARCLVLRRAKHTIDLPPRHDQICAVEFSPAEKVLYDTIRQQTIRRIDDA LQHELEISTSGVYVNVLQQLESMRLVCDLGLHYQSRHEKKPSHESLDWSIVAQETFNT QREMEPIICSQCTSALDLTGTYMDDVAQEAPLFSQCLKYACPNCARRLRSAKQKMVCG HTPRCPVARVSIFDAALEETPGHLGSSPREILTEPPSKVKALIDDLQKQPSDVKSIVF STWRLTLDVIESGLNQHNISCVRFDGKVPQTQRQVVLNRFKTDPNVRIMLLTLSCGAV GLTLTEASRAYLMEPHWNPTIEEQALARIHRIGQTRPVTTVRFYVQGSFEKRVMEVQE SKKNLAGVLLSGHDGGQADDSLGALQGRCTFMTLALIGRTA CC84DRAFT_1092013 MSGPLANVDVSKLSDRDKQELQQFVVNESQKARIQSSIHSLTDV CFKKCIPAGGVKNGKLDKYEEPCVRQCVDRFLDANLVVLRELERLRG CC84DRAFT_1164181 MSEESGEKGAQKPVVTFLGPVASYTHQATLSAFPNSTHTLTPTT TIEDVFASVQSGAAARGVVPFENSSNGSVVFTLDLFADVQAKYPDIVVCAESYVPVQH CLLGHARSDQQHATSLSSPGNAGGAGRRAGTGVIPSPLSSGAVTPTPSIPNPTKPTAR PLSDLSRITKLYSHPQAWGQCKVFLNTYLKGVERQDVSSTSRAAQIVAEDESGESAAV SSAFAAEVCGLGVLARGIEDRVDNTTRFLVIKRRGDGRADGKDGADGEWKTLVSFTVD HANPGSLAHSLAVFEKYELNLTSINTRPSGVENWNYIFFVELKGRREEGTLDGPVNEA LRELDPVCRSWRWLGSWESRLPQR CC84DRAFT_1119122 MSAPPQGQGADAEKNIEIWKVKKLIKRLEAARGNGTSMISLIIP PKDQVSRAAKMLAEEFGTASNIKSRVNRLSVLSAITSTQQRLKLYSKVPPNGLVIYCG EIITAEGKERKINIDFEPFKPINTSLYLCDNKFHTEALSELLESDQKFGFIIMDGNGA LFGTLSGNTRDIVHKFSVDLPKKHGRGGQSALRFARLREEKRHNYVRKVAELAVQNFI TADKVNVAGIILAGSADFKNDLNQSDLFDNRLQSKVIKVVDVSYGGENGFNQAIELSS ETLGNVKFIQEKKLINRYFDEISQDSGKVCYGIDDTLKALEAGAAETLIVYENLEMTR WQLKSSEGSEIILHTTKAQEADRSLFMDKETGQEMEVIDQMSFLEWLAEKYRDFGANL EFVSDRSSEGNQFVKGFGGIGAIMRYKLNFEQLAEYDDEDEFYDD CC84DRAFT_1259105 MDIRGKQPSTWDEYEHRGSVDSTGSTPSRVRWGAGADLERRESG QSTATGLEGRLPGLRRRGSSISQGLHAIGQAGGVNSINNFARSWQRAAGFFEITPVRP SFRVSSDDGADDDAAFPRAGLTPSDHRSLLRAAFETDGRRSSDDAVADDEHGEPTEES ALLSTPVGQRLGGLRSQRSESIFQIEPSLSSPFGGSYGTSYGSLSARINESSMRHAGR LFTEQQMKGVAEPEQQREPLLVKQIEEDGHVINVVVGQSTLPQTIFNSVNVLIGVGLL TLPLAFKYSGWVVGIIFLIFSAVSTQYTAKLLAKCLDVDSSLITFADLAYVSFGNSAR IATSILFSLELLAACVALVVLFADSMDALVPGYGTFSWKIVCGVILVPLAFLPLRLLS FTSILGVMSCFGITIAVVVDGLIKPEQPGSLRQPATQYLFPENWMTIPLSMGLLMSPW GGHSVFPNIYRDMRHPYKYRKGVNITYIFTFLLDAGMACAGILMFGDYVRDEITSNIF ITPGYPAPLSVFIAICIAIVPLTKVPLNARPIISTLEVVLGLDNRSLGVSSSMTGMSG MNRGLFKFFLRVIVIIVFVIIAIVFPSFDRIMTLLGSVACFSICIILPLMFHLKLFGQ EIGRAEKVMNWVLIIVSTIMAVVSTVFACLPKELIGAMPKEMGKAM CC84DRAFT_1145321 MSYNFKDKVILITGAGSGIGRATAVKLASLSGTLALCDINYDNL EATAKLCVGTPTPPLLSDVDVSSVKEVQTFLEDTLKKFHKVDHVFNCAGVNPTSIPLE STPDEYWEKLVSVNLKGVFNVTRAAIPHLTRGSTFVNVSSISGLRPSAQQAVYCTTKY GLIGFSKCIALELGPRGIRTNVVCPGYIDTPTNAGIVKGGSAIEGMVNGNALHRLGTP EEVADVVAFLMSEESRYMNGSVVEIDGGVKSNSDPPPAGLSK CC84DRAFT_1091449 MYCFREILAFFAFATHTSALTDTYDYIIVGGGLTGLVVANRLSE DSNRTVLVIENGYIDSSPNVQVPYLAGVLNSNPPLYQSLVSAPDPQLGNRTFSVAVGN VVGGGSIVNGMMLDRGSDADYNAWEELGNVGWGWKDLAPFFKKVFTFTPPSEETTKAI GITYDKTAYGNGPVQATIASFQYPDYKGIFDAWKNESGISLPKEGFASPLGAFWAPNT IDNVTGERSNARTAYYEPVKARPNLKLLVGTHVDEILFNINSNGGSLRANGVKMTDRN TSSTTSAWASREVILAAGAIFTPHLLMVSGIGPASDVGAANISVKVDLPAVGANFQDH VPAYMTFNLSNLAFPNYSTLATNASFNASAAQEYADHKTGPWTLSRGNALAFLTLPQL TPSYSSLAATASSQTPTDFLPERYATSKPLQAGYLAQRSILLKQFTGEQGYAAAAGEL AIQPSNRAAVALQKPLSRGTITLNTSNPHGYPVVAYNSLQNPVDAAILTALVRFNRKH WASPALSRYAPVENVPGAEFVSDEQIMRALNEKAAVSSSFAHPSGSCAMLPRDLGGCV SDQLLVYGVDGLSIVDASILPMIPATHLQATMYAVAEKAADIIKNRG CC84DRAFT_1164186 MTEVQSPPPTLAPPAEEQPSLTADEPSTSTSLVPASTTDQVIIT TNAEGKRVKKIIRRKRRPARPQVDAATFKTDAPPPTGTIFNIWYNKWSGGDREDKYLS QTAAQGRCNVAKDSGYTKADKTPGSYFCLFFARGICPKGVDCEYLHRLPTVTDLFPSN VDCFGRDKFSDYRDDMGGVGSFQRQNRTLYIGRIHVTDDIEEIVARHFQEWGQVERVR VLTARGVAFVTYMNEANSQFAKEAMAHQSLDHSEILNVRWATTDPNPAAQKREARRIE EQAAEAIRKALPAAYVAELEGRDNGEAKKRRKIEGTFGLQGYEAPDEVWFAKEKAEWE QTKELGAPDELLMIEGDTAQDEQEIPMPTQQEPPAPQISGILSGSTLAALKGYTANTS AKKPAPAASGLLVGYGSDDDSD CC84DRAFT_732399 MHREVQDSRAPCVVFKIWPLAAAIELGTRMKPSTNDAMEDVCMA LVGGCHRDVEMYLKDFAAMRLKFSAASSKRKPKGPGSLLPEGLSAAQEAAREGNSNKS MSKDGYMKRKRIFRTECGLICSGPAPLWAGDVCHILSGGWVPFLLRPTGPAYRLAGEI YIDKVMQGEAVVDYMLLTRSRTRCLTLSEGL CC84DRAFT_1164187 MVLHATLSSFRRMRQLASSSSQTTGSKSSTLAWRLAEVATRTWD LGFTAFGGPPVHFQILYRRFVEGQGHFGAKWIDEQTYQELFAICQALPGPASTKMVFC IALMHAGFIPAMLAFLLWSVPGAAGMYALSLGVQKMPDRLPAIVYALLSGMNASTVGI IALAAVQLAEKAIKDRLTRILVIFGACAGLCYNALWYFPVLIAIGGIATVVWDGWLRQ KVAKVKADYAARRRRSRTEGGDAEETAAVHDIPLVQLERPEAVKRRPQAASSADRILP VEEAAGPSQTTERSNTETRALTPVESNRPHTITIKLGLTLTALFFASFIAIMVVRGTL SSRALAYDLFANMYLAGTIIFGGGPVVIPLLRSYVVEPGWVSPRNFLLGLAIIQAFPG PNFNFSVYLGALTLASTRIPTVFGSILAFLGIFTPGLVFSVGAQSIWQVARTKAWVLS LLRGINAAAVGLVFTAVYRLWEIGYLTAESSNGKSLAEEPWWVVIAAVTYAETAWFNV PPAVAIIFGAVLGMGWYGAVGS CC84DRAFT_1244228 MKSKMWYTFITPLMPGTGLAAWGRGMHVRALPASIVVAASSGTT EVLNEYKTINKDAREILMELFRLLGCSTHLAPLHLHYI CC84DRAFT_1205449 MATPCPLLPTCNIVTPIGMLGYGLDESITASLLAAVIPNGSPTA LILDSGSTDSGPEKLALGQMTSPRTSYVRDLRKLIRLAWRFKVPLVFSSAGGDGSDEH VEEMVNVLKEICDEEGNEHYKLKLIAIYSAVTKTFVHERLKKHAISGCGSQVPPLTAE LIDSAPRIASQMGPEPFYDAMMATPDFNVIVGGRAYDPSPYIAFAAWASKTSLNDTAT PEAKSLWGGFTHMGKILECGGLCATPKCSAVRATVYQDGTFDLTPGEPQSRCTPVSVS AHTLYEKSRPDLLYGPGGWLDLTKSSYESLDDGRTCRVRGGCFTFSRDAGQKYTVKLE AARVVGYRSNYMGSVKDPILISQLDSVLKAIKTYVAQQHEGVDGQWDLDWHVYGQHQT TADGRPAEVFLIGEALASTQELARSIASTARIATVHAPYPNQKATSGNLAYGLGGKME SDLGPCAQFSIYHLVQLEEGEERLKLGTDSNALYRQELTVIGRGHSQPAISDPPYSKK EEHGQTPPLLETSIGGAHYPTSPKTLGDIARVLRSKNAGPYEITFDVMFATQPVFQLV KSSGCLNAAVIAGLNGISKDQVIWSGFFDQALAYKATIPRLWRGNPTPNGGFMESDVH GSQRYIGLLNLPLSKAFLVEWSDLVAKRRVDSGV CC84DRAFT_1217044 MAKELETLRSQREDNGFKSTVSPDTHFDSPESALEQSGTAVVTD FGIKEQYQLEGFVIDKNTVADIIKVFAAFYYPHFPVVNPNFSISTMYDTAPLLFWTLI VIVASRGPLPQHIALFEQLKEPYLAKLRLEILAAPVPLQTIQALIHLIMWPLPSPRQS HDCSWLYCGVAINAALYMGLHHSKPPQSLRSIGVPSGSPRARASTWLGCFLASTSVGM HIGVSPHINETTELATIENFVRTYPIPPEFAYQVMVHHIMARFTTIVLENSQETVSQS LVKLIDTELDSLKSRFPTPWSPRIEMAVLVAKLHLYTMTIIRMQDVTSREVLMKNGYS VALRIVYLSDQGLFHRSDDYPDITAAFLQQTCPKNYFRALMLAAVFLLRFFALNINAP PEEQETAKNHVAIAQRFLAAGAREATDEKARAALVIEVLSRQQPMDIDSTKLKIDDRM GASIVFDAITRGHELRNIKADVEERTSPQENGDLQQHSIADMPPPPAAPEFNDYAQLT QADMSAMSDFDIPLDFNALPQDLWGDSMWGMFGTFAPPY CC84DRAFT_1164191 MGSTQENTVFLTEKEAERIRNTVKETIRKSSELCGHARESRDAK SAISQATGASLMADMESMQSSGRGETLPALAVGEVYPPCTVTSEELEPMKISELKMDT HHRGRRLHVKRASPVVVLTARSWVMVEDAEGGDIERLEMSLHKSRHGEDILEYAKNYI IKEPFFTLTEEGEATLRIDHPSDLISLSDALTGKTFSSAKAAEKFATTCKSGGNDELK NGDLAAAHEKYTDGLTVARRDSVSQTNPDLARDIARNRALVNLRLSHFDEAIADAKAS LIGKDDQKSKDLDSKAYYRAGSAAYGLGQFEDAKKFFEEQLKLAPNDKDASIYLKRID VRLREQQTGAYNWKKLRTGLSKARPHVDAASFIGNVKVENSEGRGRGMYVTRNIPAGE VVMADKAFHVVWGHDKEALTAMTYDVRDDRIRVQPVGLSKSIVQKLLGNSSQIERVMD LFGDYEGDGKKIFRTGDGPIVDTFRIHDIVSRNGFGLGNQYGEEGARNASTGLCTWAA YINHSCVPNTSKDFVGDLMVLRAIRPLIAGEEVFFSYNEDGDYDARQAALMTTWGFEC KCPLCAAEKADDLAVRKKRAELVQQSVDFVKHENWPDANRLKIASAQRLAKAIDDTYD NERYKGVPRLAGRIIQEWLMKASPRK CC84DRAFT_1196074 MGASSDFVPAKNGTAAAKPKAGVRPLDKAIITYYPNFAGNTIYG VCFIILLFMQLFFGIHKKTWTYMSAVCLGIFGEIVGYGGRLMLNNNPFDMNNFLVYLV PLTVAPALLTGGIYLCLSRVIVAVGADYSRIKPKMYTYIFVGCDLLSLVLQSIGGAIA SMARNTSSRDLGVHIMIAGLISQIISMIIFFALWGDFAFRVRKAKSAGNLTGQAPLYE SLRADPSFRWFQWSLFVATLLIFIRSVYRVAELWGGFQSHLANDEVTFMIFEGPMIIV AVMMMTAFHPGRIFDDLWIPAGKGYRFFKHGDTGSTDKIELIERNY CC84DRAFT_1217047 MGIKIREASDADIPRAAQGEADAYGAGQNGPSLFFPGPFPVAPT ESRANQIINMRNSDPTCQLLIAVDEETGEQMGFAKYHIYKTSEDVSSSAGRPVPSGPG VNEAACEAFFGGLVVRKKAIMGTKPHIYLHMLHTEPKYQRRGAASALLRWGTERADQL GLPVYLESSHEAHILYGRHGFNDAETFECDMRPFGGDKTFTAPLMIRGPL CC84DRAFT_732716 MFEFVTVNHPHEMHDRQRQKRIRQHAIRNGMQNKRKEEARRNDN FVASVIDARTGKLRLEVRSSSALVLPKPLSGGRLDPFDSLPGDGERLRSLMAHKSARS AGEPVFCVEDAGKIFFEGLDDVFRGALSDPLMFHALSLALALAANMNVPNVECLTQRG ATLRNLRHRMSNPGLVPSVSTLTAMLMIIGYEYRVDGSNANSISTHLSAIKNVVELTN ASNVSISDAIRRALFWQDLYSCLFVGTTRLLSHRDYEETSRETLPSSAAGSFVSPGFE NIISDFPGEFAEIMGDLNALCTLVDTRCSPGDLPLRECPIDNFQYYIESRLVDLLSQN RFSGTEDHFLQACIYASFFVTYNLSTGIWEGCFIPEWCATQVMTLLTKTRSDPRWKEE RYENLLLWLLFVSGAMAKRNRIRSRAINMVHGCFHDLLDGLYDDWDQLLKVMKTFVWS SHSMEQKVWQFWQDLHSPQPGIGRFEEEIGTMHPA CC84DRAFT_732720 METQKPFDVLVFSKTCGYRHDSIPAGVASLQCLAEQTSQFIVTA SEDASTFTFDNLSNYAVIILLQTIGDIFTQDQLDALKQFVRDGGGVVAIHGAAAGMPN DAWYENLIGAHFDQHPPHEPGIVLVEDMNQDHFIMNCCGGRESWTDEFYNFTSHPRGN SNLKVLLRGDPKSFEGGKHGDDHPLAWCQEFEGGRVFFTALGHFDEAYSDEWFIEQMK RGILWAARKDNKG CC84DRAFT_1259115 MVYKAGQAQGGRVQLSKTFSSFTFTDFSVTVFLSEYTVCLAHFS TSASICIPEFPVLQPFSPSAFTASILVTQVKMKFTALTAAFIIAFASAQESGSARPQF SGVPSFSGEHSFAARPTGAFPSGASGFASPSGHHGHPHHRPSGASGFAHPSGARPTDL PAFSGFPSAAGPSGAAPTDAGLQRRQESSGLPSFPSGLPSVLPSGLPSGLPSGFPSGS GGVAPTGLPSFPSGFAHGSGRPQPTGPPPSGFPSGSGFPRPTGSFSGVAPSAAPSFAA QAADASGVQGGERPTGTLPSGVAHGSGRPHHSGGFSGSARPTGGFGGHGQHSGAPGAG PTAAPSFSGSIAAPSGFQTLRRV CC84DRAFT_1196076 MAGRRLIDAAKLFNASKGIAQQHLKLRSQQLDVFTKTSSLAKAA KNQTDRITLTLEAARVLSQRLNEEAPRYASAAVQRATGAQHADVPTKDSVKGERPRED TKTGLEQDHHYDRSAKNTQAAPPADGELGVRQEEAGQAPLPDGTIPSAGVTLEEGTRG QDTFSERPVPEAPKAPLAEEHRTKPRDDEGMRPVESRASTIPVPGKPAGASSDKIMSV DEMRAQREQFDQIPSHANEPYHPSPAPRVQQLQEGHDRDVFYTRSVESEPTTSSHQSP KTRIPRYGETHQESDFHVRDEQMNQDVYYSVAEPDQEQMQREDIPQRVAVPLQESVPE GINTDVFLTTRYKKMLGGNPYNQKPHMDLKGAARTPLDHTKTAQGHDQDTFNVRRSEE SQPSLPEEPLQQSHPAQPTQPTQPGTSEKEMHELASQLAKDAEAAASPASEIPSEVID EPEKARYELRESRVPSSRFGRIWQYAGLGTSMAFGAVGEGLRRVTGTAAATGGSLMLS PGNLEILVAKLSRMRGAALKLGQIISFQDIKMLPPAIHEVLQRVQDSADYMPAWQRDK VLASNLGSEWRDLFTSFDEKPIAAASIGQVHKAVLKSTGHPVAVKVQYPGVADSIDSD LNNLSILLTASRLLPKGLFLDRTIANARTELAWECDYVREAEWQERFRAAVADDSSVF KVPKTFPEACGPQVLTAELVHGVGVAKLPTLAQEKRDWIGTQILRLCLREIIEFKFMQ TDPNWTNFLYNEKDHKIELLDFGASREYAEEFVDPYINVLIAASLNDRNAIRDLSIQL GYLTGAESQPMLDAHIQSVLTLAEPFQESGPEIYDFRDQTITDRVRGLIPVMVRERLA PPPEETYSLHRKLSGAFLLCARLGSRVPCRALFEKAIETYRKGGKITNP CC84DRAFT_1164195 MKIALLQFAPDVGTVQANIKRADDLLQRAKIPVDLDWLILPEMA FSGYNFHSLEEITPYLEPTTSGITTHWAIQVAQHYNCHVTVGYPERTLPSPSSPHQEP TNYNSTVTVSPTGAILQNYRKSFLYYTDETWAAEPPERFKVEHLQKLGNVIQGICMDI NPHRFLAPWSDYEFASAALAPVPPLSHSDSPTPQGTNPLIVVSMAWLSHLTASEIAAE PAAPDVATVAYWVERFQPVVERSKDVPGPWYVVLANRCGSEKSVCYAGSSTVIKVEDG GVSLFETCGRGEERVCVVDLEGEPRFSVRSGR CC84DRAFT_1145347 MPSHKSFRTKQKLAKAQKQNRPIPQWIRLRTGNTIRYNAKRRHW RKTRIGI CC84DRAFT_1092167 MEAANQDNDDGRSDADNNLTGNDNDNDNDNDNDNDNDNDNDNDN DNDNEGDDGDAANDLTGNDKDAPTAKPRLPRIGSGGIHISAPSTTKFANTAPKPHNGT NSAELEAGASGQSHLGYTGQWSDENRAVAYVCGTNWDISRYQEKERPANWPSKGWQCG EVVLKKGEPIRCKECGGRLLYKKRTDRMVQFEAR CC84DRAFT_1164197 MRSPRALAILCRPTNSSSILRLVSTLKFSTIASEHGPPDRVRQI PPEFINLVQEYLLPEYDAVHIIMGKETGTKDIPLLLSGRF CC84DRAFT_1217055 MAQALSFSPLALDLPSSRMSVDFSTFAASRPDTQNLKPGREAPP VTTSKLITRKPIAQYVPLPDLREEQAAFNNPSTQKSRKWPWRQPPSGRESPVTVLSRT NSSASVSSSTSSASTTVSSVFSRNQSTTTSRTSLSSVEYVDYSPKPPTKKRASLSSLP ISLLESILSYALCLPLTVSVGPQCSENRHMQYRYHRAGLDYIDLQLIRKHPLFLVSHH IRSVALDVFHEKCDFVVDFHRIYHTKVSSTVNDNLKAYEKFWISEQAPKMVADTLRSL SRLYLRLPVPSCENGGHRGRDEDDWMDGSDGQGGGNWKIKSMKREQEDATRVLRCLDS VMKVVMADPATVDSRGRTASVSRSTSLRRSLSRARSKSRGRRSESRSESRQGADEERK RHLRRLEVTLVKKNSHVMVLPDILGLIKLLRSVPVDGFTKYFFELEEQQVLWATKHRK KWKGFEPDGTRLLNDLQNLTMADKPIEPIRTPTQFQFVKVDNTGKLQLSSSPIIVLER PEPREEPHPSPAAPAARRMGLPWARKKTHARKNSNDSFAIMIDQGKEKVGSSGTTTSG RNNPPSVDELKKIAEDIKNGLY CC84DRAFT_732900 MVLTPVFSGMNVLVVSVACLILLLIIASLSVINTLVLVRRSSRR HGPDSFRDACELLPKLFPPSTIFMPNGIVVKQSAFINTRMRGPDSFAANATPTMNKTA SQFISLLLRRRNVGE CC84DRAFT_1259120 MSRAGSPSEISPAHIPKITDLRKQLAYGDSGLSRCIAFTDDLRV FRRRYSVAGLRGIDLCDWRLPRHQEALKQMTSSFLDQEGHGERYWPSDPTAANFNSLQ YSTHRTFINQTMRKLIFRMNLQQYRNAKYKKKDVQYGPEVIDQEDELPNSRPDRSDSS VEILSMSAATATPMQTHTGGNVAARLPLAAEGSFRQDHDIYEVPTSPEYVPEKNSITK RKLKAPTKSSPQKRSKIVSLKVGNGKPPRHYLRSIAPMPKRTSPRGKTTVPPVNYVDR LDLDQFSTSVISTTEPTLGPDSVTGAGHQDQANVQQRSEKEDIFNYRITTQSLMRIGS TPPTSAVSITSANVDPNIDPNLDRISSTEFHMNPPMQQASHQSRPSWSNPPLTHVGPS NSIQPEAEQAPSSTRSMSMSTIESMHGTNKTPIPLYPSPVPSDAKKPAKEVPSTTISR PAVASSTDSKAGISFNYRVILSRTPIYQAKSWHPKGHFLEKSLSELIDELPFENKGAV IGLIIQLTGPGVAVEETVARGEDGMDNYSSTKKELMQVVKMCLKKHSKAKPGSRLEMN FKIEAVREGGVDEDAEDDDDVLLF CC84DRAFT_732972 MASSKRRSFFGRSSLLGAQSIQDDEEPANLLRKRRTASIRTTLS AAGSSSLLADDDTTDQHTLGGSPTLHSPASRSSGKRASSVFGSLKSPRIPDEHEDSID TTFSRARTLSNNWTPPDDPPAARQPLLHGEVQTSSSVFRKKKEYLVLTETHLMRFKSY YKAAEAFPITSSSYKRMSSYRHSQSPSLGSSHDLQSLASDHSGDRDMGIPLRQIVAVF HQDDGRPHFAFEVFYLDDETNHASSMTLQFGDPDEMHAWLAKVREAANNSRLADANPL SAYNSHLAARVVESQSDYVPQHYAIYKVVQRPNGKGSTRASSDDLPKALASVCFLAIG VHKVHLIPLFKPQSQRASSPSLLSNNTQSSYGILSITELRVSELDDTFELTFRTPLQR PKVLHLASLASHDIAVRLRYVEENLRPEWESRPYLFIVPDPVKHDILRQASPHGISQD SLDRTLIGYCAAYGVKAENIRYRITYPDEDHPRFELMPPGGRRAHYTDLELLAVMRSL RYNENFGGISFKGVSLDCLNGLTDDFGDDHVCTKTKRGTVLRLDVEELGRSCLLVQEI RALAVTSRRLRRLDFSGCISRKPRDHLASTAKARDVGCGIVEALFPLCKYQSTNVDWI ALNNIQLGETDLDYLVAAAVERSCHIRALELSGCGLTDRTLSLILDALRPQENTLEAL NLSSNPFRVSPTIFDSQIGVFGYLTKLHLSNVARTSGDEPLIAVETFQAWRLQELVLS GTSLNKATVDAIASYLVNYKQSAALRDLRLDHCYLTGRSVAFLLRSMNEKPGIARNLH FDVSENYIETGLRDLTDAIAGGIAPSHLTIRLIEFEREEDFRQLILAFAQNNTIQHLD ISRASLPSDASEETCQALERMFSDNNTLEWLDMSGEDSRLETTKLGVGINRALRGLQR NRTLRVLLIKYQKLGLQGANTLADVLKINTTLEQLYCENNGIPLQGFTDLVNALHRNT TLLHLPSMDESRQLALKTTEDQVKQIRDEASSTTRPSAHRRSSSVRQKFTQRVRGRSA SDKALPMGLSDQDIKAALSLVDESWERQEYRLQQYLQRNYNLANGIPTALDVDDEEFE RPGTATSLGRLVEKVQIERTPTAEKDVQLGGGDSAAPAPAVQTQTQTGGVPSISLNGS TQGWNGQPLDRVTSLEKEIERSFSGIWSRPRLPS CC84DRAFT_1186898 MRKEIDAINKRNQKVLGDAPGKHGSDKPLKKASGGKSLKEALLG ATAAKEGGRSPRTKKPVLEDDFAKLDIKHLNPEELDFQPVDYEIPPVPRLAYGLDRVL FNPGVYRLQDPRTRVYNFDPYLEKIMNVNEFNFSALSEYKTSSKDEELLALTKKMETK FTGSTSSMSGVLQHFHFLLSNFRPLNHDMLSQEFPKPTSKFSKITLGPSAIFLRRKDG LYAIDADKAFDSPNIMSWLGHSLEKLLTVDRKEFERYRRSSGDAVPGEDESARCYHYS KLGNFLMRSQLDAYDPRLPGKGIFDLKTRAVVSIRMNHEEYETGKGYQIRYDRGEWES YEREFYDMTRATMLKYSLQVRMGRMDGIFVAYHNVERIFGFQYLPIEDMDNVLHGQKD TCLGDQEFKMSISLLDELMQKATEQYPDQTLHMHFHTHQSNRGNPPFMYIFAEPVTEE RADEIQNKSQDAQRAFERDIVGIVKDDPSLQAEWAEIQDRVNEEMGSEDDLDMKKAEG AQDEESIANALEQSGEETSQVTDEPTPENTQDSDAPEEPKGPLMGWTLAVRHRLNGEY VERPAKLTPDDSWTLEYHIRELAESDRWSLYEKVKKTRDNLIGEARDKEGNKGLDQYR QLIKRYSDKGRAWREEQDALAAQVDPMVYEPLGPGSEKEKSSSA CC84DRAFT_1164202 MSTNMGDVPMQSSFYSEDGGWHNIEPLTGFSGVEIGQESGVVGK AGTAGVLRPATQNYYDSASWALVPAAKSTEFIPDASLNDQERKEQPGFIKPAVGDNHL PAFITMLHSIPLVRNTLLAPAISTNNYWRGEDWWKGTASATSIIVDDDTGTKNGAELE LIYEVQRLIAFLDASDRTYASLEAFLSLDAWAQPRFTVPGEISENDLVKFLMRWSSMY RAHVPDALPENVLSSEVNVSGKLERSHVLQIDMANIDPGFVEPTLYDYIDEILFTDYA KAHITKPSSILVLSLKSMGSKRSCKIPAILYADRYLEENRAAVDANYVERKKCNEVLA TLEAKVQDLKYHTPQKVQYPQKMETLTLLKNSMRAFESDTEAMIESPRDVAVLAHLQS LYEKVEKQLSELEEQMKKAKEALDGIAQLFRAPLKGSRSDQGALEYDTQHKFAHPYWL CGVAKSNTEYYICQPAKESGNPEMDYAWWHIQYTLPKTAADGEYASIVRSRKDIADVL ADMSEINESTVLVYASDAAFSAEPIPLSAKLQTFVKKDNASFQQALAVARAEWDEVND QNVTDWIADDGWGNDDAKQGYQQDTFGSTLSSKTLTPSTDPNDPHPYPSDDGVVDITL SPEHESDQPMEMQEVNGGISAWAGTSNASSETVGVEPPVSMEDVVRSDGRESRVGMRG EDALMGETTDASGVTDTSMDEDEEKVVKHIEVVEKKPNTG CC84DRAFT_1092613 MASEFDLTTERGLSAYLTSRSVSHTSVSLLTGGTANYVYRVTLP DGSTKIYKHAAPYLHSNTAFAFDPSRMDYEHRVLELQTSSPHLLSSHSPEASVHAVAV HSYDKENKLLCISDGGSRHLKDAYADPTLDMSSIGAALGRWLAALHTSTVATPLSLDP TSQNLKDNNAIAVSIYRHSYRNLSSAFAEYGDSGGNVPRYADFIDLPDKDIEFAQQIN DAYGSRLAHENECICHGDFWPGNVLVKPASEEGGKVVLTVVDWEMTRRGTSATDAAQF LAESLLLDRFCGHRGLVPAFLNAYLSARPEIPGKEWFRRLAIHAGVHIAFWPTRVAWT DRRGTHALVELGKSILRAASESKWETLFGLPLFSGMEEIFLPAF CC84DRAFT_1217061 MAPELDDKTSTKYVYFHSGSEATYRKVSEKPTGFTSIPTVDVSK IDGSLEQRKAIAAEIRGACEECGFFYIKNHAIPQEEVDEVFGLLKRFFALDTDVKMDA HVQKNPAIRGYEPMLETRLDPRTQGDVKEAFTMGDCYLEPEQDYAGKTGLQPPAYVTR PQNIWPGEAPWWREGLYKYYNAVLPLAMKLVRILALAFELEETAFDDIFRFPITGMRP LHYPPTPITENANNVGLGAHADFSWLTLVLQDSVSALEVLNQDGMWVDAPPQKGTFVC NVGQYLERHTNGTFPATVHRVRNKTAQERYSLPFFLTPDADAEIEPLECCKADGEQKY EKINVGDLYIRRILPARRKHPTSIRYLDVPQEEWSYKMLLS CC84DRAFT_1091209 MALSPVYYAKNLFASSYGKDRPEFFVWHPKGTPSSEKKLLHKID FFILTYGCLAYFTKWLDQANLSNAYVSGMREDLNMLGTEYNLAVTCFQVGQILGPIPA NLLLTWIPPRMLLPGLELAWAVLTIGTTFVTSTHQLYPIRFLIGFLEGSCFVGVQYVL GSWYKKTEIGKRTAIFACAAYVGTMISGYMQSAMIAGMNGRNGLEAWRWVFVFDGIIT AIVAVYGFFFFPDTPYKTKAFYLSAEEKERCVQRLVEDGRQEETKFSLDLFKRTVNSW QLYVLTILWCFWNTTVGKVANTVAQLFLKNDPHHKWTLYEVNNIPTSTNGFNIIMVLL LNVYIDSTGYRMKAVALNLVILLFGTICLVIWEIPLGLKIVSYMFAGLDGPLSPIFYA WANILTTGDAQVRALTLAVMNSCGAALTTVIQQFLYPVTDAPEFGRGFKASLGFLIGM CVWVVVVRMFEMRALARKQTELEGVAREEGSEQDVTAVRLDASSKA CC84DRAFT_1145361 MDAHRSPERRRRRSLYRSGSNVSNTASFMEDVEMAQDEVELQPL NARRTRTWRQQLTPAIKMFAGPMSESVPTSISSFAHRRARADSTTSFTYYDDEHDSDV DIEEEAIAEEYGEIEYDGYTVEELDELDDADSPAPSHRRRKSSGFSRRSSVDRPLLRR QSSGSSVGSADGTRGRRNNQKIYILTEDLTIVVAGFHTSKIGFAMYLAICISTVGLAW LIFQWLPRWKVALVGRPAPLKDCSWLVIENQWGEFAVQHIAEQEYGRSLSTVFGVPEK DRHRDYDEDFDPVIKQLRCLDYRYIRFCYNPLKDKFVLANTWQDPAWTNVTALREGLD TEERDYRELVFGKNMIDLAEKPLGQLLVEEVINPFYVFQIASLFLWSVDEYYYYAACI FVISVVSITATVIETRATMKRLREVSRFECEVRVLRNGFWRTVDSAELVPGDVYEVTD PSLTQLPCDSLLLSGDCIVNESMLTGESVPVSKIPMTDEALEVLDLGASTIHPEIARH MLFSGTKIIRARRPHEDDSDEEAAALAMVVRTGFNTTKGALVRSMLFPKPSGFKFYRD SFRYISVMAGIAMIGFVASFINFIHFGLAWHLIVVRALDLITIVVPPALPATLTIGTN FALSRLKQKQIFCISPQRVNVGGKLDVICFDKTGTLTEEGLDVLGVRVVERPANRFSE ILSENVDVLPNSRYDRDPTIDYAKHKAILYTMATCHSLRLIDDELLGDPLDVKMFNFT GWSFSEGEQGAGAGDDEQDKLRPSVARPPPGREYDIDDEEDDENRKPIELGVLKSFEF VSQLRRASVIVRQFGSKTAQVYVKGAPECMRDICRPDSFPTDYEELLAFYTHKGFRVI ACATKSLKANWLKLQKMKREEAEKELEFVGFIIFENKLKPTTSPVIEELDRANIRTVM CTGDNILTAISVARECGLINKTAHCFIPHFVEGDSRTALASLSWESVDDPLFKLDENT LRPLPPPAEADISLPYDISNLRNYSLAVSGDVFRWIIDFAPEKVLREMLVCGQVFARM SPDEKHELVEKLQSIDYCVGFCGDGANDCGALKAADVGISLSEAEASVAAPFTSRVFD ISCVPQVIKEGRAALVTSFSCFKYMSLYSAIQFTSVSFLYASASNLGDFQFLFIDLLL ILPIAIFMGWSGAFPQLSRKRPTASLVSRKVLTPLLGQIVLCILTQALAYEYVQKQPW YLPPVVDKDHSNSLNSQNTALFLVSCFQYTLSAIVLSVGKPFRQPMSQNLPFVVTILF ALVVTCYMLFDPAQWLVRLMELTPMSLGFQIFLLVLGLGNFALAYLGEKYVLPVLAKW IGLAKVRVNPGWRKKRKEYKLIREDVKM CC84DRAFT_733780 MLVISSVTLSRKELTRTRFTSSKPYHFKLGSYIIHACHRPKHFD RTYHLLNSCLCESPTSHASPHLTSNHPLSIGVKRTMILEMSTPTLSTTITRTESYHKS SKLAWLSTNPAHCFVSFRFRVHSIIPPPPLPPPKCIRIPSQNLCAENRCKTSPPRIGT RKRSFINPPKRPSLSLRICPKKYPKTVCGLYCPGFSSARLAATRWRCIRGHVI CC84DRAFT_1217064 MVKETKLYDSLGISSSATQDEIKKAYRKAALKWHPDKNKDNPSA SEKFKEVSQAYEILSDPEKRKTYDQYGLEFLLRGGAPPPEGGAGFDGGNPFEGAGGGF PFTSAGGMPGGTRTFHFNTGGGGGAGGFNFSNAENIFAEFMRGQGGGVFDEDDGGFGS FGMGGMPGGLGGGSKRRGPGGPRFEGGRRAPAPEVTVVEKPLPVSLEELFNGTTKKMK IKRKTYDQATGKQSTQDRILEVPIKKGLKAGSKIKFSDVGDQVEGGTQDLHFIVSEKA HPLFTREGDDIKHVIDLDLKEALTGWQRTVQTIDGKQVAVRSGGPTGPDFIERFPSLG MPKSKKPNERGDFVVGVRIKFPKSLTGHQKEQLKQIL CC84DRAFT_1145367 MALVKQDAAPDYTIKPQAAAAPIDTSDWPLLLKNYSDLLVRTAH FTPIPAGCSPHARDLKQYVSSGVINLDKPSNPSSHEVVAWIKRILRVEKTGHSGTLDP KVTGCLIVCIDRATRLVKSQQGAGKEYVCVIRLHDKLPGGEAQFARALETLTGALFQR PPLISAVKRQLRIRTIHESKLYEFDNERQLGVFWVSCEAGTYIRTLCVHLGLLLGVGA HMQELRRVRSGAMDESKDMVTLHDVMDAQWMQDNNRDEEYLRYVISPLETLLTSYKRI VVKDSSVNAICYGAKLMVPGLLRFEKNIELHEEVVLMTTKGEAIALAYAQMSAVEMST CDHGVVARIKRVIMERDLYPRRWGLGPVATEKKKMKENGTLDKFGRNNEKTPATWTSN YKDYDAQNQGSTDVTMGETTTTSDVLAAPAVPPTGLDANGEAEAEAEISKSKKRKSRG DEEEETVEEKAARKAAKKAKKEAKAAKKEKRKSKGGAESEDSD CC84DRAFT_1164207 MAQQMWRSTTRRTQLVSSRLATPAALRPRTNGELRWIAEENNKL IILQSRGASTRVRCKRCFAWVPSHQCSHEVLSFVIIGLMQHGSARRTR CC84DRAFT_1175871 MPPKKVVATKGDAGDDGDKFSWTAENERKLLLFMIGTTSFTKED TERLAEHAFNGGLLTTPPTSTTLICNTGPSANAIKQRANKIRIEHRALYEEHGWPAPD GKPAVKAATPKTKKRGAADGTDDAPATPSKKGKKGKAAEDGDGPSNDLDRGIKAEAVE EI CC84DRAFT_1145370 MFNPGFYDEDDPMQMYQQLRRGGAPRRFDEYFRCYPISMLPGPD REDANHGGKVFLPPSALDKLTRLHITYPMLFELTNGAKDGRKTHAGVLEFIAEEGKIY LPYWLMQTLLLEPGDLIQVKSTDLPLGTFIKLQPQDSSFLEISDPKAVLENAFRNFSC LTKGDVFTFAYNDNQYAVEVLETKPPHASNGICTLETDLSVDFAPPVGYEEPKRASGT STPRSSKGVMAGKGGTLHSHGTMAQAINYAAIAPSATTAAAGAKATSSNFLTSGHKLN SKKGSKAPTPQASTPVAGQSTNAPPPTVRRTNGPQPLRLPPGKLFFGYEVKPVKGKEG DEKKDESKHFEGSGQTLRKKKDNK CC84DRAFT_1164211 MASSSRPFAAARPKRSGEHFTRTHHLDADEPSTKKPRFDPRNPS TLAPDNDDEEDPTLEADVIGKGGAGVKRNAVNIDGYDSDSSTENFDTRAEARTKKDAP PKDEDDDDDDMFAEDQNDDEQNEETRRGNKKKKQLRFLDTHEIEGQEEDSKAGGHVAV DFRAGNDMQTEEVESSSEEGDDEERDRLDPGMDEEIGAGGKKRRAPKLDAFNMKAEQE DGRFDEAGNYVRKAFDPEAAQDSWLEGLSKKDIRKAKEAHDKLEAERRERERQEDSIA TSDVLSSLIQYLDVGESAMEALIRHGKAAPKKKNTSNWSKKKKSQEMDVETDPAQEAA AAKAKEAIDTITECASRLTDRGVEDIYDQPREMIMRAYKRETGEDWKNPNPTEAAWEF HWLNAPEDAINGPYDTATMQAWDESGQFAAGAEFRRVGETEWSRLLDLDD CC84DRAFT_733380 MARRGAGMRRGLQRSAGIPSAGFATKESPVRSVERGPVNCHAAL RDRVGARWGTALHMGTRFAGKTFRSQLVVKCPPSAPSDCSGAHGTRSHSSVGLRDLHA HTEAVTASEGRVARQMAGLRCLRFDSLSALASHSRRFPLHRRRCRPVTTRRELSPLPA GRIRYKDRSQLA CC84DRAFT_1175874 MYSAYDPPSSRSPGSHRHQPQTLHRQPSRQFDAYGQLPPGALYA GDDHQQGYQQPQPRSYDRLNATVHSPYDYNMGAQGWNANAFGQNTIGALGGAATTRSK QQGRGGGRSALPAGWLDQSQGLPTFALGGLGNGHPNSLLNPAMQQNQFSHDVDEELIP TAIVIKNIPFAVKKEQLVSLMTELRLPLPYAFNYHFDNGVFRGLAFANFTTAEETAQV IEAMNHFELHGRKLRVEYKKMLPLAERERIEREKRERRGQLEEQHRPMPGSLQTQQSY SSLASHIPATSPSPVSGLRQQKPGMWRGDLGAGPSPTNPSAEVDLNDPQVLQFYSQLL LFKESPERDSMTFPSTLSPPQRRIVHTLAHQLGLAHISKGDSGQRQLHIFKVHDSQGL SPPMPQMPTSHATEQPGRRGLNRAATTDFSDVRASEGFYNAFGRQASGLLGFPDSPGG LAAAPNLRAAKSYADLRSYTPSPAPSTASHPIGRLGGPTLEGLSFTGSSTNPNGTPTT ASMSQRDDGLLERELGRMQIGGGFAQGGSPRSLRQMTSWDNPGPIGGHRTFGGGNYDD RPSRQPRGPVPERGSGFSRPRQNGHQGRGSDELSSQSNVEILVGQ CC84DRAFT_732913 MPGGKGKTGGKTGGKGDSHVKTTKSHSAKAGLQFPCGRIKRHLR TITRQKTRIGAKASIYLTAVLEYLTAEVLELAGNAAKDLKVKRITPRHLQLAIRGDEE LDTLIRATIAFGGVLPHINRALLLKVEQKKKGIKPIEA CC84DRAFT_733803 MAWQSPSAMGPGGAAGGGDGNAQTGTEYTLQGVMRFLQLEWHSH ERARNAWDIERAEMKAKIAKQEGEVRSAKKLNDQLNRHVQMLEQALKNERSKSKAGAS AQAAADEKKDKAKAEAKSGMGLAKPQAKPHNSFLDVDPESSEHAEAERDSLREKSKMY LTRCVEEITYLLTPPAQPAPQPGHHGMDNGSGFLNHGQPSMDDLYPGLRQHQGKPQLP TIPGTSLSNHQVHGIPTTTEMHPFGVQPPQFPPQAPPMTREPSGQPLISHTGPSTDFL AQPPQQQQQQQPYASVPEEQVEKVTHTFDNQGRPIASREEGSLGNTPVTVDDPGSWNF EEEPITEIPQEPPLPRRPDTESFPSANSIPAKSPPRQGKRKTSLELHRRRASQGEARE VASQNARSDPQNFKVRFALRGHLDVVRSVIFTGGGSPTEPEICTAGDDGMIKRWIIPA SYANQHSMNNDLDISPYWSHRGHDGIVTSLAACPSTASFATGGRVSGDGWIFSGGQDA TIRVWERGRVDPKATLEGHTDAVWTVCVLPATCHSVFGADCSNYGGPDRVLLASGSAD GTIKIWAVSAPPQLVSPQTGSRRGVGGSRRHSVTSGSNYPSSPQPSIATSTPFHYMLV HTIERATHPSPTCISPLSPNGENFVVSFTDASILVYDTRTGEELIGMASAETYDGTPA TGITSVVTTSQSLEGASAQDSNRGSDEEGAVHGPTGSGTSGGVEGVIISGHEDQFIRF YDANSGQCTYNMLAHPAAISSLAISKDGHEAVSAGHDASIRFWSLDKRICTQEITAHR IMRGEGVCSVVWSQDGRLVVSAGGDGVVKVFAR CC84DRAFT_1205474 MAQGADLFVSGDMTRPDLCHNAITSITRASRHFCSSQARLLIPI LEPRQHTCPPQWHNGQPTASNSSSAKLGTRRGLSTQSCHGLKSLLKSPSSLSYLPDPA ELVDGSWIEAQVRKLIEERDDVWTDGFLDVMAPVDPTSAPTGLVLLSVQRHTSHWQRG HFKPLNVAGTYPHSLHIAVPSKLSFLPTPSRPLSGLKDLFTLRALRVSLSTKCSSMIS RKDTIEAMDSRLRGTLGLCYGRPMDVAAASRWCIGELEEVVRAWVPEGVSDTSRKPTV ILYPTDYLPVANPMQQDMIDQTVDDVAKTFSIPIKSPEFYLRNVGLYTFVYGFSYKHH GFRKIEPPEKLRTALREQSHAVRMGCRQHHHPRPARRRLPPYKSVQDVAVANDPGGR CC84DRAFT_1175878 MPPPTTEWLAEQYHSGQFRLQEAHYDVLTDREHEALSNGFNSLA TQASGELRLTRTGIVKRIQSQLPRGFPSIFADLVYRILAYHSTAPFYKAPSTPLPESL SLEDTQRALAWLLPDRHVLMATIGNHGRTRTRADQRRLLFQSLATCSVSKEDPAESAD EDARRRYAHRNAFDVKHDEDYARVNRDDDGDEMYHDLLDVLQRNVPDNHPYGTTRDDL RPLAKELKADFAFHELGIDRREMEKFSRALLALQFETAQTSLKDTDLDYMGDVEACVQ ATFSTSAGEVGEDVHIAFSDLIAWPAFDQGLKQMPHLFDPVYRVLNNVLLNGEVDSET IPPVYGIPPELPGQEHSHVLNDRLIALAPAVLPPLVDWDSLHTVVPWCRDPPEFPSSD TLWTHLRHAAPSPDAEPPTTILTFTGRIRGSEGASFTGGVLSAADYDANDGREQIYSV YVFQLEPVVHCKKLVGQEWRRGPSGELVFDGASLADWRMDVEARVVGMEGDTVVELEG LEVWRDG CC84DRAFT_1119188 KNNDNDNDESYGSGRDNDNSYGSGRDNNDSYGSGRQTTGGDSYG SSGRDTSDTYGSSGRDTSDTYGSSGRDNTVGSDSYGSGRKDDSDTFGSGRQTGGDSYG SSGRDTSDTFGSSGRDTSDTFGSSGRDTSDTYGSSGRDKSDTYGSSGRDKSDTYGSSG RDTSDTYGSSGRDTSDTYGSSGRNTGDDNLGSTGRSGGDDSFGSSRRDDVSSGGENDD DSFGGSKTTGDDSYGSSGRTGDNEFGSGTTGSGFGSSGRQTGGDSYGQGDSYGSGNRR DDNY CC84DRAFT_1164220 MRTAGLVVALGSLLNVAFANVVCNTEAVHSKPRIVSAAEKDGLA TSIEEVCSTTDSFSESRSGSTVFTITGTDEDLGSDDCEAQFHAIIEQCVAGHNFGGGR LITTDGLTLEVSTTDAGGSHALEARRSRGGRSRTSRTRTRTKRPKKTKKPKKTKTKKP KKTKAKKPKKPKTKQPTSCPLPKKKTGIKRFIPDFILKRAGSSSGGGAAGCAPEELTE TNAFKSLAKEFPNAKDGEFYKFTSKSPFTEPGPNETNDELKQLQRTLGFNHIAVVVGE VKITEKIIGKGAKAKKKVHKDFVASIIDLWKMDNGDSKYNEKRFEPKKVFKLWDGQKT TRAKAGKAKSKGKEYFDEADHKKYSVATNNCNTFAQTLMKEI CC84DRAFT_734670 MHTMHIPVPDLVPTPTHIPGQDPIGTRTHSAGSRLPPLQSAVFL EHRIDSTAYSPPKPASKISQTPVWQEGSYITTHAARATYSGPHPTFPPSKHTRSTVRP RPRSKFSSQVPTSPTHHLLSTKPPSSL CC84DRAFT_1145385 MAVGKNKRLSKGKKGLKKKTDTFAKKDWYNVKAPGTFQVRDVGK TLVNRTSGLKNANDALKGRIFEVSLADLQKDEDHAFRKVKLRVDEVQGKNCLTNFHGL DFTSDKLRSLVRKWQTLIEANVVVKTTDDYLVRLFAIAFTKRRPNQIKKTTYAASSQI RQIRKKMTEIMTREASSCSLAQLTQKLIPEVIGREIEKATQGIYPLQNIHVRKLKLLK QPKFDLGALLGLHGESNADDSGQKVEREFKETVLAEV CC84DRAFT_1092259 MANNTRYYYSLPTQPPQQPRSTSGSRRPPLTPSTVSSPCLTTQS TIASRRDSGSGSPTKASALLAKVASHPSTPRAETPMGSPMSSPEERMHSSPMYSPDRP AVWRSESARYVHQYRQQDGYISFPDFEKFCQSQNPYQQPRREHSAVRT CC84DRAFT_1164224 MYIHPRAYARSPRAAPYHPKSQSQSALPAPPTCPPYDRLTPQAA PSASVGKARLEAHGRHTLPARPALGLDRLSAPLYLHVRVYLRVSGLAGLGREFFLHMY RTRDVGCLPPHVECVRQGDAYRRERHHCLLYTALESKVSGSGCVCFGMGFRPRRVGPA RAGRYLPTLRPWSRVEWRLSHVHV CC84DRAFT_1164225 MSAESSSDALLKEILEGLHSLRKENSQLASAVDAINGRVNMLSE IKQIKDEAKGQPQPSNGLLEKAKQAVASVSEKAAEAVSTQYEESPSVADAPPRRASVS KTSKIILTSYPGQAGVDPLPMEWGHKDPAVRGPVVVSRHANTIRRRNAIGAHGGSYSI YHALAVASGNLDITHKPDFTNTEPAATIGPFPQWSDKKKIVAMDPLGHLAPWLYKEIM DKDGVEIRPSIAITKAHMKLPELEDSVRKGRLVPDGKICLNESGELAVTKVAVEPVWY LPGVAERFGIDEGTLRRTLFEETGGSYPELITRHDIKLFLPPIGGLTVYIFGDPAKMS DPNVRLALRVHDECNGSDVFGSDICTCRPYLIFGVEEAVKEAQKGGSGVVIYFRKEGR ALGEVTKYLVYNARKRGEDRASEYFKRTENIAGVKDMRFQALMPDILHWLGITKIDRM LSMSNMKHDAIVDQGIPIHERVPIPEEMIPADSRVEIDAKIQAGYFTTGHVMSMDELA NVKGRGWEDIDH CC84DRAFT_1164226 MGKRKSAKKPQGPRKKEKLPTTFQCLFCNHENSVSVSIEKKSGV GNLQCKVCGQTFQTNTNYLSAPVDVYSDWVDACDAVAKEAAAANTSTSRPVNRGGRSR VEEETEDFVVQDDADAEGEYDDY CC84DRAFT_734704 MASESQPPSQPLGLRDDAQRPTATVSKPIPQDNVFILEQTPQLI ALLTMIRDKNTGRADFIFYSNRIIRLLVEEGLNHLPVVGHEISTPVGRHYVGVKFEGK ICGVSIMRAGESMEQGLRDCCRSVRIGKILIQRDEETSKPKLYYDKLPEDIADRWVLL LDPMLATGGSALMAVEVLKNRGVPEDHILFLNLIASPEGIKKFADEYPKVRVVTAFVD QGLDEKNYIVPGLGDFGDRFYTM CC84DRAFT_1186915 MAMPMSSVFTGPERRKKLVTYGRGARPSNAQIFNDDAPSPERPR KQVGRLAGADASVSQKTGAGLGDRTGAVASPYDEFDVPDEDEPAPRVVVKKTMFKSTP RGDVDAEVPEDGVPRPRVVPVKKLAQKAPPKAVDDLDVFDVPSSGDEREAMNYGKVRR AQSPRKNLNAMRPKLPTHQAKTTRTQAPRTRAKTPVAIAKPTSTMAAKAAKQNAAASK ENARATKANPAPAPSVTEAAPTPPTEPNSDFAVFDVPSSDDDVPTQTPGSSRPITARV PLSPEPSAESDTSNASRKRTRRAGVAPMKREAHRKREASIPIQRKREASAAPRSRKQQ KTDENMSPGHSANEATQPNLVAVVHEAPTNKPKRTRIRTVPVISKPTIAKAQSSPVKL HSMLAMRSATKPSPVRETPAVPVVEDETMYDIPDEATPRAQGMRSTLPGSVTPRQRDL FSNLLEDDTDLTTPMPSISKLRITERTPGSAFAALARSSSDIPQSTHTRKGRLLDMLK RAAPSADGESGSEEESDADSTEIPIAIATDRPKVDYAAAQKVHDAMEIDPDTQASSQS SRAPLSLGEGTRTYAQQRSYLEETNPEDGLLNWDDDIEVDLPTRQGSVTESEDDSQQV TGLPELRRKGQLYKFEAETQAIIEDISGNTNTNISARRSAMMEFATQLADVSYVNQLL ESAMTSSLLRAVSATGDVIFDFAAAGAVVFILRTKPSHAVVEQIYQAGVLSTLQKLLA SPMSSLDIHRISKDRKTNMSRNAQESVADFRALILKLLDWPEADVPKLSPQLLAMKVL EELVIGLRIPGNTDAIVDDGMIGRVLDVASGPVQRLQSETIFSALESLSISKDGQATW PDEIVQRLADMMSAFFDTTRPSPKRLVIRLCMNLSNSRPKACQIFAGQTFVTRLTSFI VGCFKILGDKTSRQPLARVRDDLILALGAMMNLAEFSDEARVNVVHDGDELMGELVRI FLEGSERADRAESEEESHTLVPVGYLTIMLGNLCLNTRVRRKVTALLPGNNIDLLVRR VREFVAHNQRLDQVSGEFEGAQGARTLKNFTLRLMRVVERLEGVGV CC84DRAFT_1164230 MAGPKQRKIAIVGSRAVGKSSLTVQFVDGHFVESYYPTIENTFS KVIRYKNQEYATEIIDTAGQDEYSILNSKHFIGIHGYMIVYSVANKQSFEMARIIRDK ILNHLAAEYVPLVIVGNKSDLRPEQRQVTAEEGKALSTELSCGWTEASARYNENVQKA FELMIGEIEKSQNPGEPAGGSKCAVM CC84DRAFT_1164231 RGNRNDFIAERGGNPEKIRESQRKRHANVEIVDEIIKEFEVHRQ TQYAATQIGSTINGLQKQIGQKKKAKESADDLLKEMADLKKQKAAKEAEAAEKVVKLL AKVKMVGNYVHPDVPVSNNEDDNAILKTWSPDGKKPEFNATGIPHHGVLARLNGYDPE RGVKIVGHRGYCLIGYGLFLNMALINYGLEFLFNKGYTPNQPPFFMLRDQMAKTAQLS DFDEELYKVTESKDKPETDKYLIATSEQPISALHSEEWLGTADLPIKYAGYSTNFRKE AGSHGKDAWGIFRIHQFEKVEQFLITNPEKSWEAFEQMLETSEEFYQSLGLSYQVVGI VSGALNNAASMKRDLEAWFPVTGGGEYKELVSCSNCTDYQTRELEIRFGVKKQTSTRK EYVHALNGTLTATERTLCCLLENFQTPEGFNVPKVLQKYIPGQPEFLPFVKEWKPVKD EVKMPERPKESK CC84DRAFT_1164233 MENLDKLTAAGLPVYDLNLENLDRNDWTASSLGTRDSWPAALKV LTNSCILPIPHCAAIFWGDDLSIVHNLAWGKARGDLDGLGTRAGDSYAEEALSSLRAA VRGRTCKVAARFFLPDAPEPNDDAQILLSTVIDDNGTRQGVLAQLLGAASANRYIDTH NIDDLTATRSPQHGAAREPQTGTAVENLSTKRARQLLHSGAQQPHWRGRHNQADAQQT QLFSKFAELLPNGLAILDKEAEAIFVNDGFFKLTTNKSQNEFRAWPESIHPQDYERVM QAYRRAFSSREELRIEFRCAADAQGEEGEWRLFLFRPLSDDPEAGFICAVVDITEIKQ AEITQEKAALEAQERKEQQERFIDMVSHEIRNPLSAVLHLAEEVKEVARDIGHGREDI KTKVDDILDAADTILLCVSHQNTLVDDILSFSKLDSMMLSLVPREVKPKWEFSRALKV FNSEFRAKGIKFHYAMDYSYDDMNIDVVIADCNRMKQVLVNLITNAIKFTARKDGDRQ ICVSMGASVERPSSYPPNVIFFGNDNDAFHIDSTMTSEWGGGPVMYLMVAVKDTGIGI NDEGQAKLFERFRQATPKTQEKYGGSGLGLFISRKLCQLHGGDIGVSSKSGEGSTFGF YFKVRRPIGSPGEGRPSLNARSSSSSSAPERAQTPRPSYIRSNSNLKRIKELEHDPGA SDEMKKEKDAEQDPKRPSLNTLSSSAGINSEEMNMNESIRNPPTEYRPEAHPEAIRDQ RFQETAQIADQVEERRSSFSHEIETRLPDLSLGETKRQALHSQERSEADHNNPSDSRS TLLLVEDNLINQKVLKRQLQGKGFEVFVANNGQEAVDAVNQRGKRASGEPGSRNYFDC ILMDQEMPIKDGNAATVEIRDLQDAGQAGYSPILGVSANVREAQTKSMLDAGMDAVIS KPFKVEDLVVKIRSLLPKSGNG CC84DRAFT_1217086 MSHTRAPGSIVQLAPELLELILSYLGPQDLTRFGQTCRLAHDFV RPTNQLLWKQTFLQLFDHPKHVWEHLVPTARAQNQPREALWDWHRELVRRIKAYNFVL KFDKETLALEIDNVVRALLDVEQTASCASVAENGSPVSLNVMYLNRLVQRAPDFDSLI HDFSRHPSSLAVPRDLKMDLDRPVTRSMLRRGSVPPEWASRFHIMYGPTEREQESTAS KAAARAIVYDWGVTSEDADFGPFLKDGSGAVDWQSVEAISSLMHRIFDTALKAYHLHP TGFDMHVPNRQPINPAAPDDWAGVTGTWAGTYAFLDYRALVHYNFAHSLEHPLDLGNY EEACGDLMRFDLEANDSEELRNDERLQSDLPYCDDLPKLYFEGTSTRQATERPSIGVR GVACLAPGGREVRWRLIIRYAGADQWQLEGVQPSGIRCGGIYGLWSHVDHDDHGPMGP FYYTPCAITEFSFD CC84DRAFT_1244274 MEKAASAVETNIPNTLTKQTDTSPLLITWSSPSDPTNPYNWPLP RKWATVLLTSLGGLVTLMSGPMLAPALDAISTELSISSAEATMALSIYVLAFAFGPMV LAPCSEVWGRKVVWVAGGSWYVLWNAVCGMSRGRGLLIAARLMAGLGASAEFAVSGAI VADCFDSDERGKSIAVRSFLPLLGPAIGPLIGGVMVQQVSWRWLFYTLSIFAALLVVL FALFLPETHAQTLLSRKAATLRKDTGKPFYAEKDISGMTIAARLKITTLRPTVMLCTQ PVIQLAACLMGYQFGLLYIIHSTFASMWIDQYGQSHTASGLHYFAIVTGCLTALLIEW WAMDAIWARLKASNGGVARPENRVPLMIPGTLLLPIGLLMYGWAAEKRAHWAVVDVGV GVLGCGYILSTTAVQSYIVEAYLDYTASGAAASQLPRNVFAFCFPVFAPSLYNSLGYG LGNTTLAAIATVLGVPAPYILWRFGECLRRRGKTIE CC84DRAFT_735067 MDARKRKQRAILSCNDCRRRKLKCDRELPCNRCLGGGYAEKCAY SILEGDDRGKTPKRVRVRTEETFQSLTGKGKETESERIEALERQLRELGEAVRSLQSN RRFEEAGRREEVGLDGGEEVELEDAVVQGEAVVEKEVGLCKGRGVRTFYYGPSSPITV VAHVGRGFLGFTEGDLRHLDRSLNTTRLRVDYPVVTHRT CC84DRAFT_735129 MKQVFVGSTLQKLRQESKPQEDRARAKATANRVLTIPHLRSLLP DRHTVETLLKTYFATFETTYRVLHAPSFWAAYADYWDVPHARELDTEIDATILAILAA TLCTSTHESPRYNAEGSSFRSQAVVWIRACEAWLRRQSNKHRSLASVQVRLLRLLALS TTCLKTKEYYQEVQGVMAFMVSSGMHRDPGILGSRCSAFEGEMRRRLWATAMELELQA SVDKGTPSTLSSLQYDCAAPSNIHDADIHPEITSLPSSLPNTTFTDTSYLYLSAASLP LRAALCASHNALHTTPSPTATLASETSIHAALTALPTYTDTRALLPSTLLHLQLTQFT LLLHAPTILHTPAPVRPSPSHTHATTTVLSTAETVITLHSALTTSGIFTLTLLRLDYL RAALLTTHIAYHTPAHDTFTARLARSACDAIASHALKLLEERSMRPGRGSHHYWYLSA AVGLVEIKFCRGGEEEKMRLGREAGERVSRLLYRVLALREEGEVCPGEIIMGSGEGAA METPASMGTGFEIGNGGAAGFQGVGMEGWDVDVWGLDDFWVLGDWDERAAMGYG CC84DRAFT_735151 MRTHAFLFLAAAVPVVAYLDCAACGGNIFKDNREIWTGYLLQVA GQLGINIRTPYCNGSDIYETIVNEGGGMCVNFPGKIDLPAPAYACFDASTVKPPQNAT STCTNHAAATEYR CC84DRAFT_1186919 MRRPNFTECGYRYMSNATLVERYHYSGLVPTLTTWILPILGTLL QAPFESNAFWRTVKAVNRWIGSPMSSFASILCNIEYKMKPVTSMAKEAEGLLRIALFS EELTLPGSRKTLSQLHAKLTNDLRSNRRRGVVPIFISTLWFLLVWQYLDIGSNVQAHI LAMGLFLAWFPVLILCSILDRNPMGSDNIQRKLNKLFDLVCMSLQDETTRYRYIASFN GQPHAAQMAYWVEKISAKAQYIQHSYFCGFAGQARTRFQEVTGLVTTTRLEPRWSWDK STTDSPGSMGASCGESTDRSSL CC84DRAFT_1065820 ATSSAASVSGTASFYGGNTAGGACSFSGYTIPSNLFGTAFGGSW DASQCGACVQVTNKAGKFIKAMVVDQCPECAVNKLDLFENAFTQIGTKSEGIIPITYS IVPCGITSPIILKNKEGTSPYWFSMQVMNSNVAVSKLEVSTDGGATWKATKRSAYNFW ENSAGFGTSSVDVRVTGVNGKTVTVKGVSVAASTTKTAGGNF CC84DRAFT_735202 MDADRRLVKALEAANDALQHLSMSPDFGCLDCTHIDRLLARVVD LPTEGNQRNSRRNLLVIRQWMITEGPGVVLLEVLGQLYWRLGELNAKQFEKFKTTLQA QQSYLALIQDTGAVTLVIQRIRHIQRSKADACQDFLCELSDLTGAKVDSPMADMDVMR ARSSSPFSPGHSSHSSHHSVISFDSSASQEEAFTSLVKYVPDGRHFGGDMEQLLFDFF INGICPGRTPATQTNTYLSLFQTANICESTKFALLSLSASYIREYLHSDKERYHQAEL YYSGQAFQALGQQISNGEDYDAALSTAMLLMHHGAINDSDEASLCWSVHANIFDIIPS EFIDHASEPALYMRTQLVLARTGQTAYTLESAPLPQSLQANNWLDGMQNGDAQKICSI LGMSPQLVFFISSINSLATENAQNKHMYAQVLETQIQNLTQWTPEPQGDGRDIILATA ESFRLAALIYLRCRLYGLTRFNPAIMELNDALTAVILSLPVKGHLYTAIYPVWPVFIA AVTANSDKRDCLYQRVVPIREGDKNTLPAVLKRVSGMRIWFAKQDPTALLRDGWWDEM LLPSSSTTAVSANRLLCLG CC84DRAFT_735213 MTHENPGLFYITLFLAELGARGLSSLSSSNSLNPSARSTPDKFQ GCALCTEFMGRASCAGSVQSNDTVCGLLIGVLTRAGEQAMRTSSAE CC84DRAFT_1217092 MSSAPVPPALRKKVLRVLFISLLLDLISFTFILPLFPKLLEFYR DHEAAQNHATPTLLARILSGLNAYKNSFARPINDRYDIVLLGGALGSLFSFLQAIASP IIGTLSDRYGRRTALLWSMTGNVLSVALWVAATDFRTFLASRVVGGLSEGNVQLAMAI ATDISDESQRGATMALVGACFSIAFTFGPTLGAWLSSIESVKANPFATAAGFSLFLIV SETLYLYFCLPETLPSAAPAVDKNHANGNGTKKEELEKPRVRTNSHFILNATHFSFIL FFSGMEFSLPFMTYDLFSYQSKDTGRLLGFIGLIASLLQGSVVRRTHPLKVVKMGVVS CTIAFFILGRVESQKMLYTAAALLAVTSATVVTGLNSLSSFEASADERGGKLGNHRSF GQIGRSLGPLIFCSLYWWAGRETAYAAGAAGMIAVCALVFGGLKVPPGTETVRKSKAK AT CC84DRAFT_1145422 MGKPHAARWRLPRPVHSRVSRIRVIGALLVLWMVCQLHLFSVYR DALSAKYGPTEFGILGAEQHYRPDSEAEKLQAELVASRSEWKKLGAGFEGTTYVLNDT VIKTFTPGRSPFRNCHPKHTTIRWPTEIPASLLFCSGSSGRNATSRVSRNAPTIGCLP VKAAFHTAAGPGMITQWHLVMPLARQGTLKSLSKNVQTQNLGFRILDARYRATFHELL RSLQILHETGFCHDDIKPDNIFVGEKQRWILGDLGNVRHVSHPYHKSRIWSDNMQLPD CRTNDAVRALKSYILFLRASSINVEALDEELFLGREPLSKLFLWMLDDATTMTAEELR ERSSFKHPAQTVQVEAVHAVSSRPAWPSLLPYLSFRGQRLSRRVKHALNLSNSEMLAR WKAMTWIFGIDQSVCSGM CC84DRAFT_1186923 MLFSDSRAAAIYWGPQRIAIYNESAAPLLGSLHPKLMGNAFKET MEHLWGFYGPLFHTLENGQQGFSQNELEIPFHRDGFLEETWWDGGLVSLKNDAGNHGG VYFSWTEVTRAVLQNRRMALSKRLGLSPSATIESTWQHIHDVLAQHPRDIPMAIMYGT DEHDLHNGTLRLRHTIGLPPDHAGAPSTLDPCPIVSLFRRAQESPTDFLMIDLSKEQV DPTILENIDWQGYGEPSRHLVIIPLEISKIVRGYIMLGLNPRQPFDLDYEQSMTELTR QLRELMARITIQKDTQKRELDLKEELSHTERRISRLAEVVPVGIYELAADGTLLWANT QFWEIFELPYDQRDPEKFDWKEYIHPDDHPRALGEMGKCLVQAVEISDTLRLKKYYQP PQLGHDLPSSDEPFWLMYAASPNLNRDGTVHSLMGSLTDISHLKWSEQLHLRNAEAAR KERQRQEEFIDITSHEMRNPLSAITQCADSVIMSLQDAQSKSDVDSLFEIIKLNAEAA ESILFCAAHQRRIINDILLLGKLDSKLLTISPKAFHPQDLVNQALQMFSAECDANDID IRTDTDSSPAMDRSSTVCADPSRLMQIMVNLLSNAIKFSRSRPIRQITIRCGSSASPP PEHTFGPNFKWYSTGTRRPDLTRESDYGEGDILYLHYAIIDSGKGIKPEFVSNVFTKF NQAERRTHTEYGGSGLGLYISQELTEMQGGRIGIESEFEVGSTFAFYTKVRLSLSESA TPIDSTGHMYSVAKTNHALKSLGSTGMGNGLQAPPHSPSVTYKILLVEDNLLNQKVLA KQLRKVGCVVRTCNHGGEAVDSILRLYNRPPEFGTPAPDDNITRFDCILMDWEMPVCD GIRASKKIRAIEAEGEKKRNVIIGVTANARTEQIAMAEKAGMDSVITKPFRVAELLAK LGKYVAVPGGTNDE CC84DRAFT_1091548 MACDAYYLEHNVLHPPEDRCSLREIGARTAREVAIVGMSTTFFG VANLLVATWCIKKLGVKQALLIQIFWPAVRLAIQNLGAMTGGSKGILIFQASQIITII GGPNGYVLALNSFVTEIVNPEERTGALGQIQGYMMIGSAIGFLVGGVLGDAFGILAPF RITLLLFLLCCVYVAIALPVLPRADPNNTDTRQKSVGLMRFFGPLRIFTPQKWTLKDG RTSTQFGALMLGIGVFLAILATGYLVTLLQMYATTAFGFGTSANGYLIFMYSSLRGLY LSLAFPRIIKIGRKWLQPPTSESSKIETAASEPVGTSASEIEIADSMDAETEPLNPPQ RENEEETFAFDLLYARCSLLVDGILTGLCVFVSEGWQMYMVAVVLPLAAGTGSASKGS ILQMIASKDRVDALSGITLVENVARLSTISVFGFVFAALAEVGKTHLVFVCNAGVALI GFIALLFSRFPPDGARRIDI CC84DRAFT_1244290 MAHFLSGVPAAPQTPTGAGHRLCCQVMYLPQTAQRSSSALFCPS PTLPRLKIPHRLALCSQALSSAASRRRWPTTQVGSQHLLLFQYGTTTTGMMSTKTVAG ATSTNLCTFCSGTTSNASGATPEVTQVVTTREVKPSAPPSNAPESVKPSSLASSNTSA SISSASGSVRHSALRRGNSSTTGSSSSSMTGNGILTRTSTARASTTKQASLASPTQSS PIFSSSAGLLSIPSTGGPTSSPPNGLNPTSSGSSTSTRPSPSFLAKTLSTTSSGQSAA ATSSIGPDPTSTASEPSPQPSASSSAGESSSFGTAGATASSVEGPSGSNGL CC84DRAFT_1205492 MDPIQAAINDIESLQPGESFSYSQMARKHHVVRSTLSRRHQGIT QSRAVVNNNRQNINQQQEKEVLRDQSIRRNTSVLSASDWRKFERLLCSAVKGLATEES YKLSQTLHSISIQNQLLEHENKCLREALATQKRSSNVQRAHDRQHERDIEEQQIQLQK SEQAEARRASKKLKERLLQERRIARAAARDARAKQRADEAADRRLKQQARKAQRQLQN RIKLSKKSNEKVLKPSSRSIEKTQAQREAVDVDEASSVASASQSRRGRIIKKPSRYRS SE CC84DRAFT_735254 MAQSLPASQIYLHDPSATQAKRAYIVYFITGNPGLIEYYRTFLT QIYGLLTRSSFPSTSFHVFGRSLSAFEASTSTEGRQGRDPPFSLQEQIVKSQVALEEL VRDVKEINHVQDVRVILMGHSVGSYILLEVIRRVREKAEVEKEAMCIAGGVCLFPTVT HIAKSDSGKKATPLLTLPHLPRIASALAHALTFLLPLPLLTFLIQKFLSFPPDAANTT ASFVKSPHGVHQALHMARDEMREITEDTWSTEIWGASNPSPSSPAVPLRFLFAEKDHW VADTTRDELIAARGRPEAHSGNDAWKPVMEIDTSKGWEHGFCIRQSVPVAERVGGWLR EMIEGDGTR CC84DRAFT_1092411 MAAVHNGTNGMNGASFPPARFSKVPESISVPVADEDGIVDIDLE LGEQIEDDPTELLTLLETERSAKTTWVMVAIAYAKHKKLDVAIEVLGKAISVFAHARS DEKLSILNATCWLYLLKCREAPRVNNNDPDVKTKDYYLQQATGVINEASRISPSYPPL YLARGVLYLLRASMAKQGVSSATGPNAVNTERMDTLKQAVKCFEDAIRASGGKNLMAK MGKARVSYSMGKYAEALKAYQSILESSPGLIDPDPRIGIGCCFWQLGFKDDAATAWQR SLELNPQSKIALTLLGLHTLNKTSTLPATDPSFAEFTTSSIRNYIAPSLKVDNKYPLT CATLAKWYYISKDMDKVEKLSRRAIELTDVNAIASDGWYQLARKEHQLGDLNKATDYY QRSDLARGGDNAGYTPAKFGSAQLRVLKHDHDGAKFRLEKILQQQSPVVEAQTLLGTL YAEEVFSGQAGKDDKSAALKKALKYLEDVQKAWKDTKRKLTPDPSVLLNLARLYETEH PEKSLKCLEEVEQIELDGIPEEDRPDVEDEAELKNALRELLPPQLLNNMACFHYQAER YLRARELFQAALQGCVKAADRDESIDTDALVTSISYNLGRTYEAEGMLTEAKGVFEGL QNRHPNYIDAQIRLAYIALRQQPTDEGPKAVKDLVRGHEDNLEVRALYGWYANKSKKR GVPFAEDQEQRHYKHTLQKFDKHDTYSLTGMGNIHLAIAREMRRDTEQDKEKKRKMYE RAVEFFNKVLELDPRNAYAAQGIAIAMAEDRKEHSTSLQIFMKVKDTLKDFNVYMNLG HTYGELKQYARAIENYEIALNKKPHDLNIIACLGRVWFVRGKSDQSLSHMKTALDYSQ QALKLAPNQNHLQFNVAFVQFQIAQLVYNLKETTRTLVDVEEAQQGLDEAIKMFEAVA NSENPPYPKDDIIQRANMGRNTMAKQLQRSHDKQAEYERENADKLQQAREAREAEIKK REDEKRRVAEEQAERARKILEERQKLEERDREYMERRIEEDRRRQELIDDSEMRRSER RAKGKGGKRKKKGDADDSETEAGGSDSEASAKPRRRRNKSAGASGTDGLSDEERPRAK KRKLARKNEPAGKYKSAEFVDDDSDDELPDAPAPAADNEDAMPSGDEDISAPRAAGRK RVVDEDEDEDDDVAAPTNNGDGAGVTAVDDDEEDE CC84DRAFT_1145437 MSREPGGFRSRTNGSGGYTNGYGSREGGYGGLGAADDDYPRRPS ADRPRPSAERPSGERRRRPGGYGGYGGFSQEDEDAPQVQRPTSLERSRANRRSGEVRP RSAGGPGSNYGPGSQQMEEVLRYIKQNWEFMTSENCVPVEVALKLMDSSSLGLAGQYN SFQQTHQDMQRALKAIVNEHHQGFNSSIGTFHKIQSSLQSSQIRVRTLKESLTQAKVH LSTTKPELKEFATSSQNYDEMIQVLNIIEQLQLVPDKLEARISEKRFLSAVDILQDAL RMIRKSEMEKIGALSELRTYLSNQEHSLTDILLEELHNHLYLKSPYCEDRWKVYAQNQ PKGDGAERSKGHARARRLYEFLSDLDTSEPMVDDSQRNPEADTFEYIRLIVEALNKMN RLDIAVDTIHERLPVELYRVVEKSNNEVTQRHPSIIRAYAARKEEKRTVAAESDELRA GVLNDLLWTLYARFEAIAESHRVVYDVVTGIVRRDGIRDSNSTPLTRGFKELWKLFQN EIRSLLHDYLATDGDFGSGRGQGKATSGSAYSRTPRDRNKRMFKLSDMDTKSTELAEE RDSLEFILKSSVPGLVSDSKQPEDVSNNTSSNLDGSATGHKLLVEPSVFNMGILLPPS LDFLNRLKEVVPSGSDIVISTLHSFLDDFLVNVFLPQLDDTLNELSDKTFVELDAFQE DPQWSHYSKKPIFKGTVKFFNLITAFCKMLDNLPHDQAFSQLIITQMRTYGDKCVGNF KAMMARGQPKGPTNELLKACAVYAEFEGEIGEVIGQLFDSDADKLELINTETKLIIAA TEEAPIDQQDIIQDRKTIQMLCLLYTSMKWLATKVSQLRHISNRATDSSKPQISGQRH NRRWTFIAESRTEGTAVYLPLNQETAGQLDMVVDTYRKLATLVHRMLHVNLRLTTMHS LAATIQTTYNLDSAVTDPDPAIQALTTALTAYEAEMSTYLPTTQYTQIIAGLAPFTDT YLLYLCIQRIKSMNADGCAAMQLNILVLQQNLKNIESDAKLTYSALYFDLFTEGPDAV VARAKEYGKGYGVPSGLVTEAVVKDLLRLCYAERLKNERREVGVQAQRQLEAQELEVS EYMY CC84DRAFT_1145442 MRAGSCIFALLSAPIAHAQWDPSRYLYFNSAGSALSSSLPLGNG RVAAAVYGTATEKITLNENSVWSGQWQDRGNANSAGALSAIRQKLTSGDVTGAGQQTL DAMAGNPTSPKQYQPTVDMGIDFGHSGTLGGYTRVLDTRLGTAMVTYTLGGVNYTREY VASYPAGVLAFRMTASQAGKLNAKISLNRSKNVASNSASTSGGVNAVTLKSNGGITFT AEARVVSDGGKTLRSGSAIQVTGATTVDIFFDAETAYRYSSSSAYEAELKKKLDNAVK ASYEGVRTAAAKDTEGILSRVDLNLGSSGSAGSQPVPTRLSNYKKNPNADPELVTLYF NYGRHLLLASSRDSGDRSLPANLQGIWNDNYDPPWQSKYTININTEMNYWHALTTNLQ ETHKALFDLIDIARPRGNAMAKKMYNCNSGFVLHHNTDLWGDAAPVDKGTPYTMWPMG GAWLSQHVMEHYRFTQDKTFLQDRAWPILKDAADFYYCYLFQYNGNYVTGPTASPENT YIVPSNMKTAGKSEGVDLATACDNELLFHLFSDVLEAAEVLGINGTDVTKAKDYLSKI KAPQVGSKGQILEWRSEYSEAEPGHRHFSHLVGLYPGSQMTPLVSDKLSKAAKVSLDN RIKAGSGSTGWSRVWAMNLYARLLDGASVWSNAITFLQTYPLDNLWNSGENRWFQIDG NFGFTSAIAEMLLQSHSVVHVLPALPKSAVPKGSVKGLVARGNFVVDVEWSGGAFVQA TVTSKSGADLELRVEGGTAIKVDGKAYGGPIKTTAGGKYTVTKA CC84DRAFT_1186929 MSKFFKHGGSAEAAPTDVKGPDAAQDVDEGSLFQVRQAKRQIGV TSAVFLIFNRMIGTGIFATPSAIFSLSGSVGLSLFIWVAGMLIAAAGMAVYLEFGTAI PRNGGEKNYLEFVYRKPRFLATGLYTGYVILLGWAGSNSVVFGEYILHAANVEVNRWN QRGIGLACITTAFLIHGLALKWGLRLQNLLGIIKLGIILLIVVSGWAALGGALKIDKP NNFDNAFQGTTGSAYGVVTALYNVIWSYIGYSNANYALSETKNPVRTLKIAAPLALTS VAILYMFVNIAYFAAVPAEEIISSKRLVAASLFRNVFGPRAERALSVFVALSAFGNVL SVIFSQGRLVQELGREGILPFSRFWASNRPFNAPLAGLFEHWLVSVIIMLAPPPGDAY NFILNVISYPLAIVNVFVAGALIHLYLHKAAWQWNPPIKATLPVAVFFLLSNIYLTVA PFVPPSDGNSVYESLPYWLHCVVGIGIIVAGGVYWVIWAVLLPKVGHYRLEREVVVDE IDGWERHVFRRVPN CC84DRAFT_1205498 MAKPNFDAKLAADSLSAEQRERIHGVANELLNIYETLVAMRYVD PEALIRGPHELSEGLLASYAECELDPAIIYLYSIMPYIDGAETDARDFFQGGAFFNPL SIDDVETGRDPRYLSPEGGFDDEEGQYMYPWYTPLSNCGNHSPVIIYDAKEHRIWIVD QIEGTSTDPVYCKGWYSESESTKDEASNWGDSSSSDWSGDGDSEQDMDFSDGASEGSS EFWDDEDDVSETREIDAMVEDQAEVVEYDEGFEHIEELNDREREEAAGINNKNSLEAV RSRSAGDVLRDINRLYCALKELPGQGEYNHWMEPVILKPLYLNNGWPDHFNGEQFEID VARAYATERARYFAEEPLRKVECHVGWAEDSDRKVERYKKEVADALIPDNEWAARFKL WKAEESSRRNANDLQEAKKKAEKLCPDGVCQKEEDLPLWQVERLRVETQHKRESAQRN DYVTFAERFKDNPKQLKHMKGRHRRAQKQLEVYEKAFEASKVDAERLRPGATFHEVAG INSLGRQDTLSSIASQKEAIESMERYVQDVRDFAKTVPKNAPEGIAMVEMEIQSMGKS LTSARNRLEKTRKWLAEHGNTD CC84DRAFT_1196107 MLSIVAPAHCEPLSYELNGNVPEPTISDSHDVIIKVHAASVNPI DLKKAAGVFKIAIKERFPYQIGYDCAGEVTAIGRNVSKLKVGDQVYTRLPEAYRGSWA EYAKCPDRFVAKMPSNMSFAHAAAIPLAALTAMQALQKYKGSLAGKTVFVPAGLSGTG SMACQLAKNVFHAGKVITTVSTSKISRVPELLGEGVVDQIIDYTTESPLKVIPPQSVD FLFDTTGQAMEFLPLMIPSTSLIISIATQPSGTQLQDSGIMDRPDKPKLPWYILMGLN LVDSGRKFYARRSGVEYAYWFMEPNAEDLDALRANVEDGLLRPVVGKQVDIRDIDGVR EACKMVFDGKGGVGKTVFRVT CC84DRAFT_1205500 MSPSQPQAKDAVPAAPRSSATSFAIKTLSIVRIFTGAACLIAPR LTCGLHSYNVPSEHSFLVRMMAIREAVIGGLLITAVDGKREDGGGREIRRALWAGIMN DSVDIANLVFGLSRGEVGQTTSSMIGGAAVGAISLAIWILKNL CC84DRAFT_1244313 MFPFKLFIAAIALIPLAQGQQFQIQNWGNGEDATNYTYTSLAAG RFTLDWILGAGGNFVAGKGYRGNQNLVVNYTANYNPQGNSYLALYGFTSNPRVEFYVV EAFASHNPSDNAAQSFYGYHTSDGAQYELWSKYNGNLRQYWSVRRTNRRGGTITFNNH YKAWVAAGLPMGSLGNTFIVVEGQQGRGNADITVGVRPTTSIVETPTPITRSAVCVTP TQTIGTVCKPRGAKRTASFIT CC84DRAFT_1244315 MPLVPWRVRITDHPSSTRQEIEEEPNWSTAHRHEHRVGYKNHDD RLAGITHHCEEVNANDDVEKARYGHEDLVERAKKGDLINFRDFVMEEKDLHLQHPENR SLGWRYVLPCTEDWVKNQEEWPANTKSNGNEQGDSGKHHDAYAGNAEDSGYYNDEAGK KQKTKYEKLRERYSVQEIALLRNLQHKKDYIQNLEQNDGKRKSPQTNNRSTISIDEAD QFSPDNWLPRSPDLIRLTGKHPMNAEANLSHLYDAGLITPNDLHYVRNHGAVPPLLWE FHELNVEGGKLVLSMDALRNDFDSINIPVALACDGNRRKELNMLKKSKGFSWGSAAVS CAYWKGSLVRDVLLKAGVPGKMPEGKRYWVNFEGADEPSEGKYATCLPFEYVMDATNN VVLAYEMNDLPLPPDHGYPVRLMIPGYVGGRCVKWLKRLWVTDKGNDSHYHIWDNRVL PSFVTEKDGEFAEALFRHPDTACNEQNLNSVIVKPGQGEKISLSEAKKGNTYRIEGYA YDGGGHEVQRVEVSLDNFPEAPIRHGNKFWTWLHWHVDIEITHFLRAKSITVRCFNVF KNTQPKDPNWNVMGMMNNCWYVVKLYIVQEDDSDSPSIFFRHPVEPGTADGGWMEPSV ENQMATIKQAAGTPQKQFTRQEIEKHDKEDDCWIVVDRKVYDATSVLDWHPGGKAAAL GHAGKVHAETSNEFESIHDGYAYKKLNECAIGVVTDKTAANEQSPTSSQQSKMVLQKH RWVPVKLIDRKSISEDTRAYTFQLPDNTPDLGLATCQHVQLGYHLQDKMLIHSYTPTK PLLPDPSKQINNKTDNDSLADGTGTFELTVKPYFPSPSQPGGAMYNILDYMPLGEEIE IRGPTGEMVYYGNGSFTISGKEYTFKKINLVLGGSGITPGYSLIARTILSNDDETQIR VVDANKSEKDILLHKELDEFERGSGGRLKITHILSHPSDDWKGKKGHVDPDVLKEALF APEQGTGVFLCGPLGMVQKAALPALKEWGFEEGENLFGF CC84DRAFT_1044894 LTTFRDDQPFDRVILCNGKHLFVTKNCVDIINLSRRLSRPFHIW IDAICINQDDTLEKGKQVSLMSEIYRKAIQVNVWLG CC84DRAFT_1164251 MSTFSLFSHLPTELRLRIWGLTVEPRTVDVSISDSYLSWRYDNP LPVTNTPVPAPLQTCRESRREMQKHYQRGLVELRNRDDMGLRYVWVNFEIDIIYIGNG NHLGLYKAVAPQIQRLRFEAEYSDETFYRGSMKDLQSFTSIKEIFIVCMDGMKAWWGA LEENQENLWPCGEESIWFIDPDNGNMMRASENDANCLHQYRVQWWEMGDDYDTGLPLI LVRLCRRAMRRRAAAISR CC84DRAFT_1217105 MKQMRSMDHGSMMRALSAEPPQQLRRCKKPFQYHSGNCGPLDFV EQLRAYADRKSPSGPQAQKQIHLPSATSAVASQNEPADPPGVPAAPPQTTDHEMDALF AAYIEYPEIPTENTRPESSKRSQTLREPSKRRRTTDGPQHTKSSLLPLERVPDKCRIH NILLRLPLRITSIKAAMLKLDMRTNCIEWGYPLDASPYDGLGMSITDVLLRAYVLRID GMLESFETGLDREDVLRAMESGIRRAFSERVDGDDDVGQMEGWIGEGIGSTEAGMDFC DGEFGAVLPERSSVSASELTITHPMCSDRDDDGDFGDGLDDESLMDF CC84DRAFT_1217106 MRKKDLLVLAVLQQITVHVSATQAICNRQSLMEEYSSQRGPSQV TVENSISNICQRDFVPPKTRSLRLDDIDVTINVSGNTTEHSVDSCIGALREIVSECCD GQTCTGGAVQFQDDITYELLYQPQGYEKSENEHVDLMARGRGGGRTRRPRPKATKSRP KSKPKPKAKAKPTPARKKTKPTRPSKSTKSSAAAKATPTKTCKQLYQQQLREYAIAER TTEKVSRDSVAFEKRSSPKQVYACQIKGRAANPSRFRIKALNYPDKEDMDAMTPAARY FGYARPEICTNFAFVQSGMLKAKVKNPEDYQVEHVLEWQTVADFFSWVRDKKITGTSF QVTNLPADSNICRYFKESWFGGSQVSFSLTNDGPERTVKDHLKWGFPGLDNRPKDFAF LHKVPNRLKAQMWAYKFGSQTAGIFAADSITEKIMGKTTNKKTTPKSIDQAKLAYLDL RALMAARKYMRSSKIAITLSREVKEMEKTIRKIDATLPQHSSPKKPAWVKQNLGPLWT EYMDERFKLANQRTTADMDKYIALLVKTWSPSAGSRSNSPSPGRGRTGSQSPGIGSSK GKGKAVVKRARTRKGGSKPAPKKNPSPSPNTKAAQEKDFFQEIRALEAAWKKEKSKPW RKPW CC84DRAFT_1244325 MNSLPQELVDKIASFLDRRDLGAIVLLSRKLRYAAEYHSGAFAE ARLKADSAVIRKFSSIYCGHRSSYLRVIVLDTSVPGVYDENIEYPEQDCRDTEKDVQT MTEAFSQEMSMLFSAISALEKHTHDLGKIHVIIHTPTRCGSTYHPEPEKLFECHIEYR TILDIARRCPNLDTLKCRLGGSEWMGNFKSQTLNESCQDWAGPRRDSRHGFENAVRGI HKALPRLRRVDLDFLFPLEWMEAFPERSTLPNLVHPAPYDPFSSSLRILSHHLRTMHL RVIADDTLFWPTENDNTSTWPNMESMNIMFCNSHPSGLWYISGQPSTTPGYEITPEHY PPLIKTDRDRENDEDDDAIYDWDSGDASHISHTRVFPQEPALTRFLRAFAKAAGSMPK LKDFVFWTPIKVHADDLEENFPALDPLSISRHARSVSIAELAWGIAYTAPGIDFPSRW EKSVDTSVRNLWWKVGDWRPEAELAELFHKIGLEEHRGQMSEHWNDVENQDNGLDYRD IFERWGFEPWSRTFGCLVCGG CC84DRAFT_1244327 MNKKGFLKGPGEHLEAWKNKRGKKFESKSPQHDEARMPVQGVTV GDGQQTQHYPHTHAEDQGGGSLLRHEDDGEDPLIGVVSVARRNEATSGVNDAATSPGN AQPADTSLHAEPSVSHNYSKTPIWSGSLEEWSKKSPEVYQALGDEASGALDNPSNVIG VLSGILWELCELKSRDTVRAKLRKYLSRWAMKTVVM CC84DRAFT_1186956 MRMFLGLFIGVSHRYLKHQQVAKRLLGKVLKPANVEEARSVNST LFSVNVQGRVSDSRTFNSAELNTEYIFSLCTPSSTFSFSTTRVNFSFVSFYNTSVPVL IDTWMTWDEEKWINQYDVTFRWWGFLLDELLESIHKDKEVAERLVLQKLVASIYDTHT THCTRSNIQYPSQDACTSFLLGEVRLSQLGLHYIMLKFQPDVHCSHIGKTRGGMCEDD QTYVGKVTESYFKNSPWILGSVGRIDGQRSSMKT CC84DRAFT_1186957 MEGVWVTLLPAALATSAAYVVFIVLYNVYFHPLAAFPGPPVART TIYWKAYVECILKRSFCDVLRELHAQYGDVVRVGPNELHFADPKAYHDIYNNKNRWDK ESRLYKSFNEDRSSFGFLTYAEAKNRKDVLNRSFSQTAIESAESLLVEQTKALCEAFE RQTKAGKSSNLLYAFRCMSMDVITSFCFGKPIYAVDAPGFQAPIVMAMDASLPVFVGF KYSDVFKNMILKCPPKLSKVVSPATAGLVDLQQLLLRQINDLTSDPEKLKALPHNMTI YHRLLDADAYRDKKLPSAGSLYEESQALMFGGADTVGNTLMVGAYYLLQNPDKQQTLR DELRTVWPSLDSAEADPRVKDLERLPYLNAVIKESLRLSSGVIWGLLRVVPTTGAKIA GVDIPPGTIVSTGSTFVHYNAAIFPEPDKFQPERWLESTDLDQWLVAFSRGPRMCLGI NLAWAELRLGFSHVYRKFELATKIGI CC84DRAFT_1119258 MGSPEPANAHALPTPDELQEALSTNVHDREGKTYALGDLTKDKR SVLIFTRHYWCVNCQAYVRAISESIPPSKLPPNTQILIISNGSYQPIDFYARTTSSAY PIYTDPTCRLHAILKFGSGLKEGTEKRDYMQDAGGTVSRIVGGIKGALGNLQHTAYIG PKSLNGGEVIVGADGKCEYMYRMQNTVDHTSIAGLAQIVGVEKASPQQA CC84DRAFT_1164254 MVKPLSFKGDKKVKKRKRVADDGSGDADARASKAPTTASASATD AAQEDDSWVSADAPTDISGPIIVVLPTELPTCLACDANGKVFCSQIENCVDGDAATSE PHDVRQVWVATRVAGTESFSLKGHHGRYLGCDKYGIPSATSTAISPAESFTVIPVPDN PSTFGLQTARDTFLTVDDSKASGPDVRGDAEAITFNTTLRIRMQARFKPRHKVAKAEK ALEKISKKELEDMIGRRLDDDEVKKLRKARREGTFHEVSLAYKVKTSHDKYA CC84DRAFT_735363 MPDPTPQQSAVAVSLQDLNNDNVEFSSLEEAFGPSSLGIIVVKD LPEKFHSLRHRLLSYSSALASLPKDQLEKLESPAAKWLVGWSLGKETLKDGRYDTLKG SYYVNCSPLFPGQQATVAEKYPSFSEYTAPNVWPSKDLLPGFENTFNELCSLIIDIAA LVARACDKYAEANIPEYKNGYLEHVVKTSVSTKARLLHYFPKEGEATQDGDEDDWCAT HLDHGCLTGLTSAMFVDEAAHPPQTGPSFAPLNELERSPDPKAGLYIHSRTGAVTKVA IPRDCLAFQTGEALEIITKGKFKAVPHFVRGAGAGVRGKVARNTLAVFTQPNLWEMVD GKRDFAAFAKEIVEKNH CC84DRAFT_1092358 MSTEKSHDMIEPAPSNDQVSIEKIDLENDGEIFKRGEGYEDFRT VGWIQTTIIFTKLIFATGVLTIPSAMYTLGAFPGAINVLGWQFLNTYCAQVQGQFRHN HAGCHSIADMGNLVGGKIVRELTGILFLVAFILVGASGIVGTSTALNALSNHSLCTNY FSIIATIMVFVAGSPRKFEKIAWVTWAGFLSVFIAIFIVVVGVTTLDRPAAAPQTGDF DFGYHVIGHPTFAAGMVAASTIFCSGAGTGAFLPVMSEMKNPKDYKKAVNWCMGFVTA AYLTFSIVVYWYCGKWVASPSLGSAGPLIKKIAYGIGILGLMVSGALFNHVSAKYIFV RVLRDSKHLQANSLVHWGVWLGTLAAVNLVAFLIASGVPIFNYLLSLAGSLAFAPLAL GLPGWLWIYDHKDYRKGTLIQKILYGLHVLMIMISLFLCIGGTYGVIVQIMDAYKDGS IDSAFSCADNSNSS CC84DRAFT_1119268 MGKKRVLISYGVDVDAVAGWLGSYGGEDSTSDISRGLWAGTVGT QRLLKMFAKHDIKTTWFIPGHSLETFPEDMAAVRDAGHEIGLHGYSHENPVDMTLEQQ RDVLDKTYRMLTEFAGKPPRGSVAPWWETSQEGAELLLSYGIEYDHSMSHHDCQAYYL RTGDSWTKIDYSKKAAEWMKPLVKGQETGLVEIPANWYIDDLPPMMFIKASSNSHGFV NARDIEDIWRDHFDYFYQEYDDFIFPITIHPDVSGRPHALLMHERLIKHFKEHEGVEF VTMEQICDEFKSKNAPPEGALMPAEPGAKLKEAPVSS CC84DRAFT_1092035 DFHAWMMTSPHMRAAQITEFNKPYQLNLQPVPQCGDNDLLVEVH AAGFCHSDLQVWEGNFKAKLPMIPSHEPAGKIVQIGARAKGSWKVGDRVGVLNFKNAC KECVGCRQYNRKKGCTDPRFCEKREMAGFKHDGAFAEYMIADPATTVHLPENISFEQG APLMCAGATVWGALQKLKPEVQPGETVAIVGIGGLGQLGIQFAKAMGFETVAIDNRLE GRRLATEVSSNLRPDLIVDSSAPDASDKIMDFTAGEGLAGIVVCTDSVPANTWSLRQL GNGGVMVPVGLPQDRWQFDTEPIVFRELTIRGVYVAGREQVEEMLKVVADHKILSHVT SVSFDEIPNLVAKYTDPAMKGRLVVQIKQ CC84DRAFT_1244357 MSVFFDSPLSEDAIPEGEAELLLANIGITEGISTPESGDYRQLL AAVHDVAEHVLALPDYTARANIERYPRRNVVRPSFQDQDYGAAWAHKFSIQGNQEGTL LKGKTVCLKDNITVAGVPQFFGTDAIPAWTSGTDATVVTRALEAGANIVGTTICENFC NSTSSYTSAQGTVHNPYAEGFSAGGSTSGGSALVGGGLVDIAIGADQGGSIRVPSSFC GCVGLKPTHGLVPYTGISSSDAINDHAGPIARNVRDVALCLDAISGRDDYDDRTLGAP LCGSTTFFKSLQVSVANFKIGLLVEGFEHRLVDPVVKQTVMDAAYAFEKLGATVQQVS LPLHLEGPAIWTIQARIAGTLGLMGFAHGRRGLFSTEYEAARQPWTSASFQKLFPSTK NTIINGLSLMKNYPGLYAKTMNIAQQIRDSYEKLFQEYDIIVMPTTPFVAPSNIEWKE GDSPMAALKPSMGITTNTAIFNVTGHPAMSLPVGFASSHEDATTRLPVGMQIVGGLWQ EQKILNAALAWEEANDWKTIGTRDDVQNFPFKL CC84DRAFT_1145468 MASIVKHLLGVAVITGAGGTGIGAAVAKGFARSGCTRIAITDIN SKTLSQTKEAILSVNPSVRILDRSGDIADEIFIDSFHEEVFSRFSRLDYAVNCAGVLG SKTLKAVEMETGEFDRLNSINYRGSWLSCRAQLRNMLKQEPLDEQPKQRGAIVNIASQ LGIVGRPGAAAYCASKAAIINMTRSNAIDYSENGIRVNCVCPGVIETPMTTTSPEMVE ALKPAIDIAPMKRMGTVDEVSNAVLFLCSTQASFIQGHALVVDGGYTIN CC84DRAFT_1092618 MLEDSNHTHRPELPTTVESFHAAQGHIDPAIHPESYQDEGFEDF RDFVNFIDGVGLSAQWTPEYNIDWLRLDSYEEPRRASRDLEPPQSPGNEDIGTPFSTW LPSAPAEDQIHLRSNSGADVRESRARHGTYHVTEDHRSFLISLLPSFPVPISEFEIPS RHALTRYITAFFSGFHSHFPFIHEPTYKPSCSPLELTLAMCAAGAQYCFERRSADRLF RVSKAIVFERLRQEESHFGPQTLAFIASTDMLSPATAPVARKAGPWAPLDMAKTLLIL VGFATWERKDLLQQAFALRGLLVQCLRDNGLKEESTSSSIPAGARSALWDEWVQHESS RRTKLVAFCYINVHSIAYNIHPLLWSSELHLRLPCCTQFWQASSAAQWISLERERKED QMPFQQALSVLLQGTSGSNSVHPIPSPIGNYILLHALLQRIHVVRELSFPAAQATISG SELHTIGRALRSWTSLWQQAPESILDPNNESGPIPFTSSALLVVAYIRLSLDIGSHRH LESRDPDLIAHSLTALPDIERNDNLLSALLYSTHALSIPVRLGVDRIARSQAFFWSVQ HAICSFEGAVFLGKWLCSLPSPMCEDSLSRSEHRIVHWVKCIVEEAYAVVDFDEQREL TVPDEPFQLGLAVLHIWCRFLQGNTQWQFVNNLGISLEKYTKTLT CC84DRAFT_735552 MPRINFTECAAIFAARTPEGQQLRWKYGWNGTLVGIDRSPETQI SREGCLKVCGQGAATYPWDDVSSTITTWLLPVVGTLLQAPFESNAFGRTLLAITRWVG SPIASLSYVLWNIKVSAKAALMVDMAVPFDRVPDRKTDFGSMRDSMYLLLAMNQYTME PTAMLRAKEAEGLLRIALFSKDLKLTDTPKTLRQMRRILARELREIRRRGAVPVFVSI MWFLFAFALSIQAAFGVVGENRTAHDLALGCMLAWFPILIMATIVDRNPIAAEAIRKK LNALINHVRHALRDERHRQEFIDSFSDQPNFKPFAHHVHDIAAKAEYMDNFFVDFAGQ ARIRWHYGAAHPVLSDIENCYIADKGRNWLANEREARTNLVLGPINDEGLVWFDIREF WQVAASIAIVLGSCGGAFILSFFTPTVGLGCRSGGYTIFFCISLGLMIMEMIVWLILS PYEIQEPPWLQRTHTRLQSNALVHRLDDRRHDQWGYIKRRASSIVRTSENLLIKFITR LVLLYPFHDKDSTRDRVETFLDERVKSLKAMSPQRKWEWFFFRPLEAFNTIWLVYIVV AQTWGFYKTCDCVTSNWGGAGGYLDFRVQDVASKWVEAYWLTGTLLTSSVMGFSMFYI TVEWCQQSFLSTEDYEDARQGLQMTRIYRHWTFPFRRLSRLLSRFTFDPMERFAVAIG LIKYPQKTLLWSRTHTHDPDIALSAPVQTPRPHGNPSIELSDFSTAMVRDDSQHSHEH AAYETPAMAHSLFPPAIPQRRPRQDSDASAARPSPSLLYGIGNSAPFPLPPSPSYLAH RPSHDSALSSRPLLPRPSEAHHQRERAGSATSMQSDERDPRSSGEENGLMSPVSPLRV GEYKPFLGMVQSRQGYSRANSDPGSPPGVDALGIRMSGVAEDLEKGEGR CC84DRAFT_1164259 MARTLSPEEYEEIGKSYYRLKQFEKAVEAFTNGIDASAIPSATL YDYRAAAHEKLGDMNAAVKDGRLAIRTHKQDVKGYLRTASALQKMEKLDTALNIYKYG MKNVPVNNKDFPLLLQLHDKLTRTLSPPKAIDPFTILPVELVEMVIGYLSFKNMINCL RVSRGWKEYLTNRPKLWANIDLSSATKSVSRSFLANAVRYSRGTLHTLTVHRVQHTDM LRNIATACENLHALTILSFPYKISETFIGMAQSAQNLKRISIYTEVSVDTMIQILRKR PALELVDLKDLCAAFGSAPMVWKGGPYNGLQTLALQCNASPVTLSDNFVSTFIQKAFD LRSLTCVNIKVQRDGIFGTFKLHATRLTSLTLKKFHGHVTIPSTLERLVYDPSYPAFI AEPSCSRSLTHLTLGDDVNLRRLSDFLDYSGNPGDETRLPIENAKPLQHLSLAGTIER DSVDNSTPSSTIVSTFLSTSPRILTRALTSLELPGQPLTDDDIDPIIESTSLTTINIS NTNVSGYGIKKLVDGVPTLRHINADHCRNLSSRDVIEYAKKRGVHVSYTMAEPSNGAK GRKVRYG CC84DRAFT_1145478 MPTRAIKAIFFTRFHHEKGSRVLHQIPEGSITPSSSLSALRTPL FSFDSATPYLIPTQQFCDHLLTFCVNHYRVIGYPVCIREGRYSRNEFIFNWSIVVEES LTDWVAYGEVVRKVGRLLRGLEEQGGFVSGEEDGMAVWEDDSEDRTWRMGGGSKVFAL CEMLLEDLNNYAECMIPIDDSNTINLKLFPTRPPPPPIHAHQVPILTISLSSLSTPIS SDLTLNRILPYINGIHSVAHIAQLADTDLSLTRKAIQHLVYYGCLVLLDVFSFAAIYA PTAEIGGFIVDEAVKEECVRYVRVPRVRWKGPSTTTTRMGSEASVAGSSRDSRSSVSS NASTSSAQSDTLSATDSLANPPGPPSSDEDTHNIPHETLITLYTSLRQGLSLRQWVLD NLELLLGIDVRRLITFGIIKGFLYRVHKYAIATNTNMAMPPAPPTSLQSNPTSTAPST PGNAASDTSTIRNHPPPHHRESSAGANLQHRPSVASSLFAPGGERRLEEITKLDSIVS GEEVGGVGGLMRFLDGMHCFDEICMEVGLSEKSVEGRVKVLGDVQVFSR CC84DRAFT_1145480 MASATDDEWERAEGVPSLTDPFIQKYLSGRDALVQQEKKQRSDY YFRENLSPTAQEACAIVSQIRFEEQQTLWTKDYEDSLASNYVDVFPGMMFNLAKERME KSRLWKIVRKMPKGALLHCHLEAMVDLDWVLEEAFATDGVCVRSDAPLSSEQARLKTG FSFTYSKASANSGVSIWSDDYAANTQIPVATAADNFPDGGRRGFVEWIRLRTSITPEE HLKQHEGPNEVWRKFMSCFPILGSIIYYEPIFRKFIRKLCQQLLEDGTYYVDLRSAFY TPYRSLGKEEWDADFFNMLEHIEDEVEKFKASAEGKDFWGVRMIWTTVRAFDNRTIVN SMNQCIEMKIEFPELIAGYDFVGQEDVGRPLADLSPLLFYFRKKCAESGVDIPFFFHA GETLGDGDSVDENLFDAILLGTRRIGHGFSLYKHPLLIDMVKEKKILIESCPVSNEVL RLCGSIMSHTLPALLARGVPCSLCNDDPTILGQGVSGMSHDFWQALQGWENLGLEGLG SLAENSVRWASLEDYSQKEWVQAIKDGSTGKGIRAQRLKEWATRWERFCAWIVDEYGA DENTNPEE CC84DRAFT_1145482 MAFSFSGLSSAFGKKLLLFGLRHIDILDKDPAEFVNVDIGKHTT LEVRDVGLHVKKLVALLHLKLPPEIHLATARASLFRITFVLELGVPRIIIEVDEIRIR AHLVEETNTVSKSRGTERTPPRARSPLLHRPSSPTTADPGDSFYDDNDDYIPTVGDLA DSFLREEPEEDIKELEHELESQSAYLQESVLSNDDDDEESAAGMGAPIALPYYLRNIL NTALDRLQIVVKDIDLDVQDHIPSSPIGEDESSSTSVNFHVDRIAIDSVTAEEPHVDV STPRHPQDTSKLGKRRLRIENICGRLISDARNFVSVPRTSAPSSPVDARSEMTASLKT QSEPAASEPRHNPEASNRLEDAESPLLASVEAQVTAPVAASDFKAVPVNESSHVPISV PELPRPPDHPLSLSTHTTDDDRFADADSADGLDRSIASHPGNQPGRPDLGSSSRMYDN DEELLHFLQHNVLDPQLGASQSEDGSDSHALNDLWNLDGMGSSHEEAQSFYESQFSSS DLPTVSMLGRSMKPTEESALFRGTASPSESTISEQPNIKADLCDSRQSITDKTADSAA NHDIASSTTSQGSFQEDLTESRTFSHEDAASLYMSAMSEAPAQHGHVPGGWDSSSSAS SHDTSSDTSVEVPEEMIVGSMLHPQADADDGYDTPRPSSPHSIPCSPEQKRLELAATP DVPKESQGVLQKLLAKAFLTIDEITVWFPLGLQGDETAIEASAFDLRPEGLVEDSMFE TMPGTFSHHAIRDLRKKPVVEHTVRRRPESRIPRVEKKAMSAIISVEIGSVVCHIDFA TGNIMFQMVTKAMAALAGNATNLSKEKPPKPEGTQTHTGSHSSIELSVKTVCVAWKEQ LITESFTEIRNLRPVLEQHPLDAIVKISMTSIYGASQTKATEAQAKLQIGKFALSSLD YDILTFQSSRSRARRSISNTPEQLKHDIEIVYEQKQDRRVTLITRPVRVMFDLEKVDE ALGSFGGFSGVLELSASISSNGRGNSPVVSPAPARIRGVRFGDTSPPPATAQSTMPKI QVQFGDVDLVLKGQSCAVQLLTTSVKLAVRGGNVRLKVADAQLTGPCTPATPNGAPLI VKFQETTVNFLSSPEEVDLDKLIYMITPSKDPYENDDDILIETLIRQRKKGSVLRIDV NLVDVLVTETDELRAFDALGAEMAKLSRIAKYLPDDDRPGILTLANVQHIGARVTINK SLGDISVELDDTSVAHVGLPALFATQIGSMSAWREDEILAHEVVKLSESDRLPMVMMR IIGDEMEPVIKAKLFNLCAEYHVSTVLAALGLSEDGTIDDIALGLASSVATVTGVTPP ATLTRQASSSSSVTTKIKPLHVDVLFRNCAVGLNPRKIPAKGLFVLTDAHFSGKQMSK AEYSIELELRKASVQAIDDVARLTEEPKAAPTSNVVISNPQLRELLAQGFVTLSSIAA ARVIVTTAGDGAAQPQQVDVEFQNELFVIESCADSTQTLIAILNGLQPPMPPSTAERY RPTVPLQEMMDSFTMDALAIDPDAIPVGDNDEDFTMDEDDEEFSMENADLILDDVPTN RDFVGSFYNSESLPTEEDMGDSMLVQEDLGALATPPITRKLGEPAMLESFEERFEVAS GQEQLKFDDDYFKDSDSDYKGKARKWDSSNNKYHQVNAFKTPDAPLKVQIHDMNIIWN LYDGYDWPKTRGELAQAIDDIEARAEERRRKAQEDDDEDLEFIEEGQLFNSVWIGVPV KEAKGALAKQVIQDINRGRDGSDQASETGSYATSTATRTTSATARPRSSISTKRLQKL GRGKHKRISFELKNVAVDFVVFEPGSGETVNSVDVRIQDLVVYDRVPGSTWNKFALCD VEPEKREMNRPMVNIALLTVKPAPDLAATELVIEVSILPLRLHVDQFALEFIQRFFAF KDESAAISSAPSEQPFIQRLAVNTVNLRLDYKPRKVDYRRLRSGSTTELMNFMTLEDT PILLRHAILYGIKSFDELHDTLNNVWMPDVRDNQLARVLSGVALARPVVNVGSAVADL FIVPMREYRKDGRVVRAMRKGVGAFARNTTSEAARLGAKVAIGAQTILETTERFLNPE PAYPAHSRPSSSRTPSGGYDWEDLAASDTEEPRAVSNYADQPITVRAGLRSAVRHFQK DWAQARDAVIAIPGEIMEEGTGAGMAKVLVRRAPTVVLRPLAGTTKAVSRTLLGVGNA LDKDSRRKIDDKYKTY CC84DRAFT_735664 MDQHCWGCRKRRVVCDLGKPGCAKCKRVGVECPGYGKQKPLQWL EVGKVKCREKKKRPGVLLSAAEELEESDEPEASNSTTPRASPKGKGRALSPVPHGPRA KGQLKVEAEVEVFEGSVPSLGIKDETTDIVQAVFYYNKTFYPNLHDYYQMSPSSFVVP VPPEVLYHMPAAMRHTMVTLSLCYQLYRKEVAGESTWPKILVHRGSAIQDLNKTIGEC SLQVSKKHMTREQARMIDTIIASVIVFVSVEVLVTALGVCEVPNPAQIQYCASMEWRH HIEAMSGMIATRGGLTSLWHDSPSVRASLLHFTLIQNFSASTSPVTPQTQLRPFNQLL SIPEEMHEEIFSYCLCPFPLFESIAFINRLRIQLAQEITNSASSAEALLWRIESFSAS DWAASQTGFVETLELAADIWHCAVLLYCMLSLEKVMPATVPAGKLHRTYGDRLLQLLS RALSETSPAPRVPYFIVWPVTVAGVEAVKRGPGSQSLVDDFLTRMSRQAGTCSAFIAQ TALRAFWASGQSGWDRCFYKPYALQC CC84DRAFT_1205511 MELHWRPLLLASMLLPIIVQADNTFRSRPDLSPPHLNVTISEAD KKSPGFIFAAPYSGLPELSHQYSVQKAPYILTDTGDLVWSGFGYIYQWAGNFQAARWK GVDVLFAWEGALNALHGHGHGHHTLLNQHYDNVKEIRGGNHVIPDLHEFEVVDGRSGL HGIYQPLQLDLSVFGGNDQQTWILEGRFQEVDLETGQVLFEWRSLDHISPDDAILSLN SGVVGSGYNSGSAWDYLHINSVSKGKDGHYLLSARHTSTVYKINGTDGSIIWRLGGAA SDFELGDGVRFGFQHHARYLTEDPYSVELISLFDNSAHSSDPAPTTCNSNVLSRGIYL RLDHRTKQASLENEFLPPGKSILARSQGSLQTLPNGNAFINWGSAGQITEYTTDGTTI YHAKFVSSDPNDNLQNYRAFRFNWTGYSPEPIAVFAEETGRGEVDVYVSWNGDTRTIS WKLSWSEETSGKTTRHTKVLPRHGFETVFRLTSRGSAHDVRATALDGQGQVLSVSESI NSVPAYWLKNVRAGLQQDEEQARAFLQEL CC84DRAFT_1119292 MSPHKTQSDETAAYLSTLPSKDVAPLWTVMSAMVPPAPNPKATV ASWKYDEIRPLLLEAGRLVAADEAERRVLMLINPNMRAPYTTDTIYAGLQLILPGETA PAHRHTAFALRFIVEGERGFTAVEGKKIMMRRGDVILTPSWQWHDHGHEGDGPMIWLD GLDLPLYQAFPTNFAQMYKEERYPSERVDSAQDLQIGWEEVQKDLDAQEGSHALYHYR LATGGPLSKTMGGQAERVDAGTRSPPIRESCSFVYHCYKGSGRSELQLANGESKAVEW TRGDTFAVPAWTERVHMASELSYLFAVNDSPLLNNLGMYRKQ CC84DRAFT_1074284 ITLTKVTPSYWRASFSSPPFNIQTNAWYTALYTLITDLTTDPAV KVVVFDSSVPDFYIAHFDLLSPVDNSLIDGFWPNITQLANLPVLSVAAVNGIAHGGGA EFAAAMDVRFASREKAVFGQLEVGLGSLPGGGGLSLLPRLVGRSIALEIVLGGQDFDA DTAAAYGWVNRAIPDAEFDGFIDTFARRVSSFDKSAILEAKRIINKRAGYPTVDEQAE DWNAALGLLATPNVQARVAKMVELGLQKDTDYELNVAEKLLDV CC84DRAFT_1205513 MYSFALSAAALLASTLLPTALAQTHTACNPLNTTGCPDMAALGG NSTFDFTKDWNPDVWVQMNQGSVEHIDNHTDFIVQFQGDSPTVLSSFYIFFGRVEIVM KAAPGQGIVSSAILQSECLDEIDWEFLGTNTTHALTNYFGKGNTTDYTRGKDFRMKSA PQDDYHNYTIDWTKERIQWYIDDEMVRELKYADALGGKNYPQTPMNVRLGAWSGGDVD NNNKYTVEWAGGPTDFKKAPFTMSVQTVYVQDYTTAKEYNWDDMDASGDWQKVGVVKL ADNEKSATLQEIEKPHGVKNRWGALSQTAKIAIIASIAGVVAIIAAVILFCCIKQRRA GRREYAAYQANLDKEATDLIQHKEQWQSSHAASRSSRYARI CC84DRAFT_1164267 MDNAAGNSFPTDRDRDTADVDTPMDGSESDNEIFEDVMPQRTEA NEIPANVHDVSINVDLDMDSPHVQNFSHTDDLPTPPESSFAPSVQTAPSVHKAAPLPR PRKHPLLSGGQKEMSLIFYLDRALEHVGKRATNRHVKELLPGEVAGYKSFAEVAKDLD PLIDVVWVSSTPTLQLPYLISITVAAINCIPMFPPLPRTTLNFLSKLDTALAALLSGS DPDTNEPLPGFKDNRGISTTDKVRVKGIVERARSTATKHLVGQEGEDAPEVNRKADHA GNNEDGFVKFEGFENSDDSDDEEEAYKRDSRDVAHVFEKTVVELGDMLGGDPIGIVTD EEQDMRRQLEEDAAAMKERAAFEAEDQGVMEFENEEEAMAEQRQYVEDSRKEFEDEVQ WSGEPHNFAAHNVYAEKPK CC84DRAFT_735790 MEGTMLGSHPLSIGNALVQTRTCPRFTPSVHIQTLVLYAHCDCS HAKANPIAMPSLYGSGVRIHLASQPLAPTLTEHIQLKKGYHAASKSEQAEKALRESVH TLLPEERVPFPGDDGKYAVNWLGDAPFLQAVVGESYGRRGQLETLKQRLASSNTYAAL DINSRRSSQAMATRSGCLISADAENEESSSINQFPTHGLPIAPSPYTLSTNRQEPQAL VLHVMLSDKTFHHNPFNSNPQHLKIDVLFNGQLSSSTLIHTQDIRTGAKSLNQIFAGA RIDYMAERPWIIHTSQSGAASGNTTSAQFRWTEIRQALKGEANERGVDVNGEKSPTAS YLQELANMQMPDMVGDLQKPSGMKFGVIDVIITVGTGKKSVNNAVYLKSPTRLSDDRF CYEVNEDAGSGPCQTHAPISGPHSLDSDDQDAEGDSDHEGPVLTQDTIYYGLPTPSSQ NLPLPTPQPALPWRNPFTGSPIRPLAALAPRTSCVRSNLSLPGASGPPQIRGSQGAAD LQGAVTRQMPPPRISYPSAHGPAIPPRSSYAPSVPYSGFNVNQYDGIEHANPYQLPYD GVMAELDFANFDGHASSPLRHGSDGQITLPSFIPMPEEVTPSPTSASVFSSPYFQPSV LPSQGSGAVFSSDSSTPYGSMSHGTFHPGAMFYPSISPGYTLNGTSRSPLGPLGVIPR PISPYASIPYMPPLRVPSNGPPPPVALFKVTEKPKIPPTELKLIGRVPIRPDISLRRL VIRLGPRVVVSHHFTAQRLLPANARLIGSNNLPCGVVRTKQLLGWRLGRQHRRMQ CC84DRAFT_1164268 MHAVAEETRLECIGCSYAPRTHFLSSKHPKPHLLRHTGRASSLR TYWNAWNMENLEMD CC84DRAFT_735801 MAGGYPAETSLVYNIVAGIHQSLIRSPGSILLIQYCQQQHKLRE EKMALRQPTTTLALSTTFTAPASCAATHNIDILPSPGFLIYWNEPFPAPGVTASGCYP KEFLKSYTAVSPSAARALGSSVVPAMSPLACPDKWCTAYAGNDNYLACCPE CC84DRAFT_1196129 MKVRQYMLRFALALLGSNVVAARALSGKPSDFIIREERALQDIV TWDEHSLFVHGKRVNFWGGEFHPFRLPVPSLWLDVFQKIRAMGYNGVSFYSAWVLHEP KPGHFQAEGLFDWEPYFAAAKKAGIYLVARPGPYINAEVHGGGFPGWLQRISGNLRTP DADYQAASKHYIESITPILAKAQITNGGPIILFQPENEYSMGMNNVTFPDADYMNGLM KQFRDLGIVVPFINNVAWPSGINAPGTDAPVDIYGHNSYPLGMNCSDPKNWVDGALPT DWRKTHLEQSPKTPYLLVEYQGGAYQPWGGDGFDKCAEFTNHEFERVFYKNNIAVGAT ISNFYMTTFGGTNWGNLGHADGYTSYDYGAAITEERQVHREKYSEAKLIANFVAASGD ALASATPGFNTTGVYTDNDAVTVTPLVGEQTKFYITRQVKYNSLDSVSYKLAVPTSTG NITIPQLGGTLSLHGRDSKIHVTDYPVGGYNLLYSSAEIFTWKKHGSRTTLVVYGGPN ERHELAVSKTSGATAVEGSGVKFANRNGVTIVNWDSRPERRVVRIGPSLYIIILDRNS AYDYWTVSTSEGSYSHELTPSSELIVKAGYLLRTASITDGKIHLTGDINATTIVEVVA GAHEKVKGLTFNSADVKATLDRNGFLKSTTLGFSTPQVHLPDLKTLSWKSIDTLPELQ PDYDDSTWPDADHTDTKNTYWPLITPTVLWGAEYGFHAGSLLTRGHFVATGNESIIHL NVSGGSAFGFSAWVNSTFIGSWEGAGDVKIANLTLPIPKLIKGDNYVLTVLSDHMGHN GNWFIGYNEMKTPRGIIGYDFPGHTPPNNGTSRAPDGIKWKITGNLGGEDFHGGRRGS LNEGALWVERHGYHLPGAPTESWKASKEPTAALQKPGVTFYTATFTLSIPSSIDVPLS FVFSGDAFNGKGKGWRAQLWVNGYQFGKFANGIGPQKRFPVPQGILNYSGKNTLGVSI WALEKGGATPGGFDMVSGMVVESGFGDVELSPMDGWVEREGAY CC84DRAFT_1164269 MSSLLKQSVKLALVQLACTADKAHNLSHARKKVLEATKRGAKIV VLPECFNSPYGTKYFPQYAETLLPSPPTEDQSPTFHALSKLAKEANAYIVGGSIPELV EEEGAKSGGGKDGKKWYNTNLTFAPSGELLATHRKVHLFDIDIPGKIKFKESDVLSPG NKITLVDIPEYGKIAIAICYDIRFPELATIAARKGAFLLLYPGAFNMTTGALHWELQA RARAMDNQVFVGLCSPSRDMEADYNAWGHSMVVDPNAEVLEQLDEKEGIVVQELKAGR IEEVRKGIPLYTQRRFDVYPDVSEGGRFKE CC84DRAFT_1186955 MFFFFTCGTHTFTSALKGAEGLTFQCQNCGNHSARPMKRWEWFT FCFVPVIPFSLKPYKELGCHICNFWQDVKYRPDVQAQIGGAGGVPPQGQGYQMGGHEQ GTGKPGYGPPPAGVPHQYQ CC84DRAFT_1259183 MASEKDLPIKQEAQIKSADMSDEMQQEAIEVAQTAMDQFSIEKD IAQYIKKEFDSRKGATWHCIVGRNFGSFVTHETKHFIYFYLGHCAILLFKTQ CC84DRAFT_1175936 METSRIFVRGLPPKFSEEDVRKHFSKFPVTDVKYFPARRIGYVG YKTPEDASNAIKYFNKSFIRMSKIFAEPARAIADKELPKSRRQQKFEKSAPRDDAYVP PQQENNLKRKREDIEKDPKLKEFLDVMQIPSKQKAWANDDTQLGVQSTPVVEPAEEVV IPEGESDDEYQVLSKKPKTVERNPSPVVEPAVEPQQIIPDADDAPKQVDDSEELPDAP AVEAGPVSDADWLRSRTNRVLDLVEEDKDSSLPPPPPAVKHDAEMFEEQPEASVAQLE PAPAEEAQDEDAAPTEEDKVRQTGRLFLRNLHYDVTEDDIREQFAKFGTLEEVHVPTK KVDGKGKGFAFVQFEDPGQAVEAYLDNDGTIFQGRLLHIISGKAKRNDALDEFAISKL PLKKQKEVLRKKNASAATFNWNSLYLNTDAVLSTVAERLNISKAELLDPTSADAAVKQ AHAEAHIIQETKGYFTKNGVDLEAFKRSAKGDVAILVKNIPHGVNSDEVRQLFEEHGT IRRFLMPPTGMTAIVEFANAGQAKSAFMSLSYKKMKDSILYLEKAPKDLFKEGAISAT ASAPTAASDKPGAVLSAQDLLEEEPESTNTVTLHVKNLNFTTTTQKLCETFKPLAGFR SAVVRTKIDPKRGVLSLGYGFVDFSSSETASAALRAMDGYSLEGHTLKIQASHRGADA AEERRKEDAAKKAAGTKILIKNLPFEATKKDVRALFAPYGSLRSVRVPKKFDSSSRGF AFAEFSTKRDAANAMSQLRGTHLLGRHLVLSFAETEADDPELELEKMQQKVGSQANKV ALQRLTSGGRKKFNVAGTDDLDEA CC84DRAFT_735891 MELHHRSCVLPSNAPALPNTDTYIPSRVLQERSANRRHGFSEDA SSCKRSPTPIYAGRLGGYFTGNLASHFNGDKSEAQIDLETKRLMKLLKACDKYQKYRD RQPSDTASAKDNKEQRWPDHLEEAFFRGMLSRHSYRAQTDVLSSRSMATHGPPKANVG WPAAWPE CC84DRAFT_1054645 PRALASRSSKFTIELHEKYQAYGIPRPEFVFSGDGEEGFRVSTE FMGKELHVTEPCGSKQEAKERLSEACLKVLKQLESEGKLERASKAKKQKMEHAQPEVI EKGKEKMPVVNYIGQLLAEFQRSIASPQPTYIDYQLGQSFSCELTIDGHPDPFGSRTT FFTSKKAARQHAASCAVAHFQAAGLWPKTATHLGGIKKVKSPPVPTSGSTIPTSLAST SSSADNLPGASSYAQRAAHLATQLGLNTPEWRYAASSASAQGFHTVSCFFKNGGPHED PNCEVRHVFGKKKAKEECARLVLQYLEGVREKRMEHARGVMAGMK CC84DRAFT_1164276 MAPRKKNEEEIELNISKEQFRGTRDSVLMALASVQKGLHNVSNN LNELMHAYIKHTASVLSGEDGALEGLQLSESAQHMIDEADLATKSVANLTKSLGSAPA GNAADAEAGKSKKRKREKKIKDPNAPKRPLTAAFLFAQSARPIIRKDLENELPPGAKL EPNAINLEVNKRWNEMADEDKETWKASYRDSLETYNKEVAAYKASLGAAALAEEPAAE DDASENDADPAALDSDVDSDDDEVSDDELSPTKAPTPPPTLPANGKTPRPNKRQKTAA APAVNGTHVPIAPAAGQTPVPLPATRVQSAIPPPNLAEATPAKKDKKKEKKAAAVAPV AKEPSPEENKKEKKKRATRGAAEAESEDKEKEKEKPTRKRDRSKRKSEGAAA CC84DRAFT_1244418 MQLCPKELDKLVISQLGLLAQRRLARGVKLNHAEATALIANNLQ ELIRDGNHTVADLMSIGTTMLGRRHVLPSVVSSLSELMVEGTFPTGTYLVTVHHPISS DDGDLEKALYGSFLPIPSNDMFPLPEARVYESTNQPGAIVAVKGEAGIIKLNEGRKRI RLRVKSTGDRPIQVGSHYHFIETNPQLQFDRIRAHGYRLDIPAGTSVRFEPGDTKTVT LVQIAGNQIIKGGNQIASGFIGDVTNNIVENIKNGGFLHEPEPAGDVAHIDICTMERQ AYISMFGPTTGDLVRLGATDLWIKVEKDMTRYGDECSFGGGKTLREGMGQAGGRSDNE CLDTVITNALIVDWTGIYKADIGIKDGVITGVGKAGNPDVMDGVDPNLVVGNCTDVIA GEHSIVTAGGFDTHIHFICPQQAQEAIASGITTMLGGGTGPSTGTNATTCTPGKTHIK QMLQAIDELPLNYGITGKGNDAELRPLQQQAEAGVCGLKLHEDWGSTPAAIDACLTVC DEYDIQTLIHTDTLNEAGFVEQTIKAIKNRTIHTYHTEGAGGGHAPDIISVVEHDNVL PSSTNPTRPYTKNTLDEHLDMLMVCHHLSRNIPEDVAFAESRIRAETIAAEDVLHDLG AISMMSSDSQAMGRCGEVILRTWNTAHKNKVQRGTLKEDEGTGADNFRVKRYISKYTI NPAIAQGMGHLIGSIEAGKVADLVLWKPENFGVKPKLVVKSGFCSYAQMGDPNASIPT VEPIFMRPMFAPLVPSSSITFVSQASIASGVVASYGLRKRVEAVKNCRNIGKKDMKFN DARPKMKVDPERYTVEADGVVCEAEPAESLPLTQGYFVY CC84DRAFT_736153 MSSWLHRLRGEHVQTNEDHVEQSNVVERSRAKPRQRPVDPKPKD PNAPLKRLQLHQKCLYERTMPGNAFVSAHVNRLQQGYYESKALHEGPLNNVYFVSVHF VFHPRDHHYHRFRAATIKVSVHTDFSSSDFDPEKGRYTPLGSHPRILKHAPELIYGAV SPENLQWNFNLSSSLGVSQAPLSATLNPSGGVKKTYRVYDMMSIQGSLRVLKSPLGSD FDVDDAMAVWTLEENLTQRSGLPREFDFVMLVHKPDDVQNVYLSVDLDATVSSWHGDY PQWYTNLSRYLPNVDYTLDLDTDIGQKFRPEKPGHGFNFADLPQALDQYVCMPGTMYP TTDSKPEDSGSADRNWSRYRQYEPKGSANDYRVSGAPPAASSEPMSPEKQINTWGQQF GPSPASVPTAQRQLVLPETLNVRVTLEHSTPRSPATYCYSGSPIGSQDPARQHSIRRR RSRSELKEYGVQQALQALAGETLKENGDIPKRAAKTARARSTERIVNDRM CC84DRAFT_1175941 MATYSHSPRLHAVNPTTPVHSPHHSVHSSSSSRLSVRNLTLHEY RKQQNSPASQATPPGRTLRRKPAASGLKETESAPSVSRTPLPLSRAPPRPLHLSQSTH SLFTYQQLPPSPPHQDDLSADNLFRSQSAGPSAQNIEATKKREFKPIKRLPKPLSTSG RGPLPISPAPLASVTSNQPRLSPLKSTSFPSFEDSTINDDSQPTPLSFSLSRFPQPPN FSNPTPIDEDETPRLNTISFVSTAPVTPPATPAVIHYRGASFDLVNPHSSLVLDNIVT PSRERDSSEYLPLRSSEDPLLSEMAPKRPLYGDLSSAYESIRSGRGDNRPPHLNLDFP LPSTPGAQSPGSSAFDSPAVSPQSYATASPPSNRKPASDSRFSLKNLTRSLTQRFSKV SEKEHGQELQEFSESRASLASPSFEGEFPRPLERSYHVETPKASTVPEGPATPVSPLG QPGHFTNQQSSPASVEQSRYYTQRDFSAPLTSMIPDDPSSQAGRANDVRPCASESDLA TRPYYDDFSSIYPGSSTYSSESRRQSKFAQIRASNRESSPFYWGISGNADALAEEYKS DALPQYPASRRTSRRVSKPLDQEMFHGSMHLEREKTDTISKFIDQYKGSDSASASHPL LYGNRPGIIDHQPAPAELYSDAARSKRTNTTGLISGTGQFQFDFNQPGSSNDDKTSVP PVEVGRATFATHPGLPPSVPAPLAPAFQYDEDFESPKLSGPSDSSSQSPSYDDTRVLL EVPSPPIQPASSSYSQPGITSTPPEAMEQAEEVFSDTSGRQQSEAIPAMWSKRVSSHN LLRNKSNCKLDDEEEQQDPEESSELIGYEDEEPTDWETMDYGTPRRGARVSGVSVGES LADYSSSEGSHSSRDSKGFSTTFPVYEDPPLEAGSFQYRHPLPLRNPSNPLTSSPPQL SSTLQLPVGVSLPGMVLGRIVTPMQSSPPASSTLPTVDRRSTNVYGSSPSPKVHASSP NPRQKYLPYKPWTSPYEMSEKVTQELLASGPNDEILYEEEDTHRDGTRSSDDDPCSMQ PMRISLPSADTMDVASSDPNTPRDRENSFDKLTVIGRKGNLTGTPHGTGMKDAGSSVA DNSSPGAILDSTPLASSTQEHTGYRMFKSTAAQIANSRPDARFGLEINTSSSSLADSE RRGNVNRDMPRVHTPPDMYERTPSQATLHQESPFANPERRASRLSLRSPITPRDPRRR GSRAAVPGQTKLRQMVLASSAAKPSSEDRSVHDSRIFGTEHSARPSTSNTHTPLRHAA SRPTLRTVLAYEESPHLLCPERAFNPVEEEARRKFSWAIFTIFCILPPALILYRWYGD LVIVNVTKGRFSHVSPEPKRLALGVGIAVNVGITVGILVPILIAHAAGTL CC84DRAFT_1217144 MARLNPNPAPAPSPRACSAPVPASARLKSTYTPSSPTSSRTKGS RTSSGDARAGAEQDEKREQTHASSRSLLDECREDKHRPRLIIARVSRAAANLTLRKRR SPQKQASAPDLARIYPFLENVVVVEVPDDSDSDADSERTKEIRYENEHGWEAYIGCGI GEESSLFETEIECQKQEEEDEQTCDTEKIGSNGNKSEDDDDDDDDDDSAYGSFNIEVP EAACPTFITREDSHWTYAAICAWRDNVCAGSPSPPPSKALPSITVRKRPTPRTPGKSP GKRLLTF CC84DRAFT_1217145 MSSARTRRPHALLRPRPRTTARPPPRWSPKQVYAWAVLFAAIVL AVIIPTQAPRTAAHPALERKWGKREGGTVGVAFHLVPESGLASIYDKNGSTINIARIP GSPAYAAFMRNAASLSQARPRTRFCDFIYTMQIELPDLIPTPLSCLAPAVLAVRPLIA ALKAEVEAHLGTRICFAALVVDDVERGPLRQVAAIALESVGLANVRVTRGEKYRSRGC LPSSRYEVDDVASHSLFPIVDAQIAHMEPLPADDEKAWTVLVVHYEPHGFNTARFWVG GEQDAKVPWGAKIQTDPETLGKEKERVRAVPRWLAAPVVFEDADSTWAALDARLHAEP ESSDDGSTADEDEDPDEGDISPSHQHENSPPDLEKIQAHHVPVIRDDDDNIPDTSVVR SRFLGPKAPPHIDRLILTGASARDPKLHALLTDILGEKLTAGAYVDEDPFTAARGVAA GAYAAMQKPSFGAKNSAWPIFACQCASGLHASRWWDVALTAKWELANRLRYDARNTVE WIRWGYSGGWEQELRRLPGEFLDGLRRLSSERLRDSWPGWVCYGGFVAIVIWKWNAGA AVHARGEKADVSCVLGDDKV CC84DRAFT_1164282 MPRQLAFSDMWLPCLILLVLWARPTAAFGAGNIASLSKIEGQNW RHGDIEDTLLTLLISSRTGKKFSKMDVKRVYFGNWLRDYSQAVDVGTVKMVSAEAIRI LLWVLGFLSFGYGTKEFEVTRDRLGCYRPEEHIDNPKDYADNLDARDYDRRLRGPVDE RRELAVDERTGLKNYIASEDLGITTSAGMVRDLLRRCIDLGRRSGGRGPDFYEALRLL GTATHCLEDYSAHSNYTELCLIELGARDVFPHVGRNARFQIEGVRNPVYPIITGTFGG VDFLHSVCGEITDKATQSELQELEGAINDADRKQNKSAIKDLLAKLPSGIFGDKDQAG KADELEANAQAAKMDNMHISPKEPEEFTQQLDALVKQIYPIMEFHDEIMQSISEFMEN IPVLPELIEQLQEQVNIFVFSLLAPFVVPIISQVKTELETGSSEVIASSREKQHIVFN DDDSTDPTHSMLSKDHFSNLLNEPAGRVASEVLKWAVPQVVACWDGEADPEQTIDTII QAVFHHPAQLTHRDRRVEQGRNVMFNTVRQWWEEKGDGQRQLLRQLSREGVQQGLNHK EGPKDSGHGCCKPLGMANDFSFGGKSGGGNAQAQQIGNQAGKLASEAVGGGALGGLVG GLVGGIGGSLLGGAFGDDEKQSKKEKKYGDDGSYTQSYSESGHHKKSSRDDSERYGQA SYEQTQYPGGGRREEYSRHEQDTSGGSYGYEQRVETSSYGGSGGYERHEERRYESGGQ TRIEESREGFDREGEYYKEEKKKTKKYGKKSEDEDSNDEDDYEKRARKERKRREKEEK KKYKQGSDDERSGDDAYKRRSGEHKRHGSPKQHSGGYSGASGGYGEERRYGEEPRREE SSGYGRQEQSSYGRQEQSGYGRQEQSSYGGDSYNSGGGGYGGRQEQSQSSYGGDSYSS GGGASYGRQEQSGYGKSEYGRQESSSYGRQEQPSYGSESYGGRPPPPGGFGGESEAEA AVWRGGRVWTQVLGLDLSTWVPCWLGMRVGWYHTERSGAALRGR CC84DRAFT_1175944 MSIDINWEKLTGGSDGSLRAESIRAFIHDRFQQVTLPRFIRSVH VHSFDFGSIPPEIEIKDICDPLPDFYEEDEDYPDDDEDAQAPDDRGASNGPQTRPTKE RRGGAEKTLPQVEQLPPHRSHLALPFGNTRTPGLRTTLAPVEQLGSPFIPRASTPGIP GGTSNMNYFHLPLGAGLSGTTTPLAAVAGAQLQGWSDFNNMRPTTPTNMRLRHAPSMN SLTLTPQSNPDPTTRPSSQHQHDADRRNSLASNQSSDDSYDRTPSPSIPRMREKSPED IQVVSHVQYSGDIKMSLTAEILLDYPMPSFVGIPLKLNITGLTFDGVAILAYIKKRAH FCFLSPEDADALIGSETGLDSVQSEAQSQAQRLRVGGLLEHIKVESEIGGRGEGGQVL KNVGKVESFVLEQVRRIFEDEFVYPSFWTFLV CC84DRAFT_736449 ALPCCNKTAAVINGVQKRPVCSERKGLSVIKHPTPPKRKPSLHS PTSNSSHLAPVPYTHTRYLYLCCRPVPVAVPAATSARCLRLHLRRRPSLSSAGPSASP LRRRTAPALLCPANQRTPPSALVARRCPRRQPYHITGPRRSPIDSSSPNPQHPPACAR ESTTRTPAAMSCRRRLPARPRGLRIAAKTRSRTSSTTKNVTAATTSRYAPLVAQRATA L CC84DRAFT_1175946 MASSILSQGKEKIQEAMSAKDTKAAQLAANTKDVHDPSYRITSD WGTKQSNTDDWLKVATEDKTGPMLLEDGFSREKIQRFDHERIPERVVHARGVGAFGKF TLFESAADVTSAKVLTDTSRETPVFVRFSTVLGSRGSADTVRDVRGFAVKHYTEEGNW DIVGNNIPVFFIQDALKFPDVIHAGKPEPHNEIPQAQSAHNNFWDFQYLHPETTHMQF WTMSDRSIPRSLRMMQGFGVNTFTLINDKGERNFVKFHYTPELGVHSLVWDEALKIAG QDPDFHRKDLYTAIESGAYPKWKFGIQCIPEGKEDDFDFDILDATKVWPEELVPIRYI GELELNRNPDEYFTQTEQVAFATGHLVPGIGFSDDPLLQGRNFSYQDTQLSRLGINWE ELPINKPVCPVMNHNRDGAMRHTITKGTVNYWPNRFQSVPPATKEEGGYVDFEEKVVG IKTRLRSKKFQEHKNQAELFYNSLSEPEKTHMMNAFAFELDHCDDPIVYERMTQRIAE IDFDLAKSVAEMVGGEPPKESNRPKHNKKAKGLSQLDFAPAKPTIATRMIAIMIADGY DAIAYNGIKAALMAAGALPFTIAPRRNKIFAAGEDKSTGKGVVADHHLEGMRSTMFDS VFIPGGAESVATLRKNGRAIHWVREAFGHLKAIGATGEGVQFVKDACDLPGMEFSTSG EVVDSYGVVTASEVSPQSFKEAIQMAKGAKDFVDAYTFAISQHKNFDRETAGLNAMVA Y CC84DRAFT_1259195 MRPTTVSASLLLLGTALAVPEVQVVPRFPGTCTGYPSINLGSGD RALPFQIIADQADNPTANLLTLYPHDTTLNGAPITYLAVNRCTECAAANTILQCENGL VTSWGYESPDIRISTAGLPWSGEGYTAGIYRHVIDGVDQGHNYWGAQNRTTWGFKVAD DGAPEHYMVRLMGLEGEPTEAAWEPEFLGFVRVIQPHTP CC84DRAFT_736614 MAPRRSPTLTNLERDEVGRFRDDREWAKDIKYEDLDAEVDRYTA FDRMYGPGFRSCLFDTQTKNIYTGDTMSSGHHAGDRTAKTRAHFREGYPHAPKHAFCQ QVKRWSATIRGACGNIFTFPSGGCTTHRHVYGRGRNEIFVRLKNNEPLTNSGILYPWE VEGIERQHAEAEQPDQRAVEIQKIQDEAEAEFEANGTLVHEIFNLKRRAKIFEQPEAV PEPTPEELKKAERQKIEEKLNAKTIGVDPRKASQGGKQKKEEEAKSQKQFKKEQKKLK RAGQQEDEDAA CC84DRAFT_1259197 MKGLDLLRRETTDNERSDTGNSTSTTTRDERQDFNAMRAIPEQN YKACLVAIKSLQNRHGDSITAEDCRVLGKSEGAYHIVVSIGVTRKGKLERYMFRVPAT GTAALWQQEDQYMTEREVELLRQIRRNTNVPVPNVIDYVAELLNILGAPWMLMDILPG NSAYRIWFDQPSEEPKYYRADVPSPATHQKRVTFLKSLAGHMVKLLALEFDHIGIPED LRFIGTLERMLSKLKCAALSSLRKITSSMGLRDWIDQEILQDEDQDDCDDPNEDPVML MGVRQILQIIFNCTVFNPDRDPEKFLIHHIDLDLQNIPTDKDGVVTGIIDWDGAYAGP RYIGPTATPRFLRRDWFPNECGPSLEHAPFMSFMTEHYRNIYAAAVHAAGKEQSIDDI ATRYTAKSALYQAIFAAMYEGGHPLDITSKGKVGLPLWNI CC84DRAFT_1217152 MLLSRVPNAGPLRRARRIVHGWMPSLNFITLHYIYFVGTCLVSS GIFYAASTPPRSVSYTDSLFLVTSAMTEAGLNTVNLSSLNTFQQFMLFFLIMIGSAIF VSAFVVQVRMRAFEHRFEYVAERRRRRSDRPRRGSRSLARRISRSLSRSGARDSQDDH RSPVDDHPKPMVGDTDEGSIVADIDTRPAEQAQLSSSSRPHLITYRSDAGDEIRVEPA SSQDSGAPAHITFEPDVYHRAQESSSTQRHLNRFMMGVGAEPHANIKRLPQTSASAAT GDFQSDVATVLSNNNLPFESSGILSRNSQFHHLTEEEREQLGGYEYRAVILLSWLVPA YYILFQLFGCIGLGAYVAMNKPMVTRANGLNPWWVGAFNAVSAFNNSGMSLLDANMVA FQKSAYMLITMGLLILAGNTAYPIFLRLIVWTSLKLVPKCERFEDFRKTLQFLLDHPR RCYTNMFPSRHTWWLLACLICLNGVDWAAFEILNIGNTDITGDLSTGYEVLDGLFQAL AVRSGGFYVVTIALLPIALQVLYVIMMYISVYPVVITMRNSNVYEERSLGIYTDDPGY AASQASSAGILGKLRRKVTGEAFEHTKSYFVRQQLRAQLAHDLWSVVLAIFLIMIIEG SNFKAHPAEYSVFNVVFETVSAYGLYVLHFNLLQNRSAHGGVVFTTFKVFKGLFDGRG SWLDFDPSVFSIRLYSAPLAVDTISMRDFIATIKLWDRFAVHMQGSVLKQVTHYPGLV RLQLFILLCELLRDFLDHGYLRFPGVWLSAHGHIQSVFFPHSDPSTVAPEFHGLDEKE LQLLAIREAERQRSLWLQTPSRKGTFGLIPDECMERENFLLLECWHVLLMHLFSSEML NNPKPLALVVQRE CC84DRAFT_1092052 MSHGDPRLLYSIGGIRAYHIQQGEEQPLTPSGAQTLSLLMVPTN SPFADLSNVNPQNEAPEEDFYLHLNLPPELDLPLPATTQIYHQPPRSYLIPRWDLGPD SGAFTRIEFPPLGSGPNTVTQEDIDTFETILAQCTAFLERAQPPQASRDSKGAAKPYN PADYAPGEGYAGGSGRHGEIVLVDEENGSVVGELSNGAQIIEDPRLQQGSKTDPVEIV VSADGKKIKVQPVSEDYLGMARLPAYKNSSIVQNSAAASRLIVTGSTYISNALISGAE TFMNKTKPAEKPMVFKTTTHERVRKINTLTTSVAGLSSKTVGAITKHAQNFTAGALGK GPRSAKAVLPDGTPNPDYKPGLLNKSMIAFSTVADGIAHGGKTLLTHSGAAATAAIGH KYGAEAGGIAGDLAGGVKNVGLVYIDVTGVSRRAIITSVAKGMVVGKVRDKKGVEQTV LVGGGDGGVINEADLIQGKGAENNGIPPGQSSAGPAATIGYGNAAPPSYNATGIGESL EGQPAYYPREKR CC84DRAFT_737699 MRGDARFVSRGLGILSCSRRQAHAAVHDGARAAGICADEIPALD MPSCVKTLNSHNCEGQRERASYPRSLGIVLSHAASSQQWYANASSRAPRIHPRLDALT LPPSSSAYTRLPYVVCRDRKYPPIVGSLFIRFHDCVLGMQEEMLSRS CC84DRAFT_1244467 MPDPTPTPFTAIPILPLSHALNPATKPAFLADLRDALLNVGFLY LSETGLPKGLVDDVVRECRRFFEELEIGEKEAIEMKNEKSFLGWSRLDNETTALNTDH REQLDLSTPQPLPGPDAPLYHNLLAPNQWPSSAHLPAFRSVYEEYMSRMGNISMLFTS LIAEAIGLHPNAFDRFFDKDQQHKLKIVKYPEVDVPDLSDGASEIDRKKWEIKRQGVG PHKDSMLTSYLLQASDQAGLQAQNARGEWVDCKPIAGTLVVAIGQGMEALTDGVCAST THRVLSPRKGQGARYSVPFFQGVSYDAQFEAMDVPEEVLKLRDEARKARKDDVEFTFV KGRWGHLGEATLMNRIKSHPDVGERWYPKLLAQIRAQQAAAS CC84DRAFT_1090895 MASMNRSPVYDDHSSGSERKQSTPGAKKRPSRAGTRSVTTLTAA QLERKRANDREAQRAIRQRTKDHIESLERQVRDLTAQIGTNSSTKMMELMRRNEELEQ ENALLRARLSHAGQALGMLEGAGAPSPSDRIQILSHARRSSTGTARSVHSVPEITTTP VAQPAPWQQQQQHPQPPQHQQHAYSPNVPSPHVEHPPTNWSSHPSAHPSQHPVSLSVP DSSLHAVESGNMPYPTPYSMDHNTRAMSYPLENASLVTSQAMQIGGYNTPTSNPSAHS SDYHRQMSVPMNNASPAGHGQHPHSYSSPAHHGYVPQSSHPGEMHMMAPASHQQSHMV NEQGQMMYHLPPHMKVEH CC84DRAFT_738876 MHPGSAHCTSRTLRHVVGVHRRSRCAAASRRRRWFACCAMGESR RRCWRRSRALDGIIAGQISANLPSGDGIMVWHGSGCPLARRRKRDDAKGVGSSDQGPF RRTAGPMIDTSLVARWLPAATVARTPRWPAARRADGRAAAKWRWAAAAARTRTRQACS ACTEPSRAASAAESDAWSVCAAAVWGRQKRARAAVNLAGTWRRLAARPPAPALSPRAR QNRTLIRQPRCRAMFLRAPPAANGAREIPGRTALSARFSEPSGAACEGLQSRHGPGRG R CC84DRAFT_1259202 MTDSQIPKEEYVTDSHLPKDFRWGFATACYQIEGAPKADGKGES IWDVFTHIPGKVWDGTNGDVACDSYNRTAEDIELLKSTGANTYRFSLSWTRIIPLGGR NDPVNEAGLQHYVKFVDDLHAAGITPMVTLLHWDLPDGLYQRYGGYLNKDEFAQDFEN YARVVFKAFGDKVKYWITFNEPWCSSILGFNVASHAPGRTSDRSKSDIGDSSTEPWIV GHSLLIAHSRAVKVYRDEFKEKDGGIIGITLNGDYAEPWDANDPLDVDAANRKLEFTY GWFADPVYYGKYPDAMRKQLGDRLPDFTDEEIALVKGSNDFFGINSYGDNYIKHKEGE PAPDDFYGNLDVLDQNKAGDWIGPETESVWLRPNPRGFRSLLNWLNKRYGGPIFYVTE NGTSLKGENDKPREEILDDEFRCWFFRGYIGALADAYTKDGIDIRGYTGWSLLDNFEW HEGYRTRFGVTYVDYEGGQKRYPKKSAHEVGQIFGKYIKKD CC84DRAFT_1092483 MLSVARTVRSRPVRTLLRARTAATQAASKREGDISDAFASLSGQ QFTPLAPEYADLKARLIKGNEEAVRASWERLLKDLREEIPLIVEKGSEIIPEIDFRDI DDAPEEFSSELRKRGVAVVRGVVSEEEALGWKESLREYIRANPQTRAFPAENPQVFEL YWSRAQLLARGHPNMLKAQSFLMSYWHATNPHTPISTRHPISYADRLRMRLPGDARFA LGPHVDGGSVERWDEAGYGRGKVYDAVWKGNWEAFDPWESSCRLNVVSDLHQGVGACS AFRMFQGWLSLSTTGPGEGTLLVNPLLAKATAYYLLRPFFSPKHGVATPGAQTADEAT TSSASFLASDNWKMDTTSTSWIHGASPGHGQELSHLLHPHLHLKQSMVHVPTVRPGDY VAWHCDTIHAVDRTHAGNSDSSVMYIPACPLTEDNAKYLNRQRSCFVDGTPSPDFGGG EGESKHVGRIGVDELENLVGEEGRRGMGLEMWDSSEEGLSMGERVVLDRANKVGGWYD PEVEISR CC84DRAFT_738970 MTAPPPAVALLTLPKVVSSPAYPNALPFLTSLPAELETMIYQIL FSAEEPITLAERQLDENEKHKLHPVMPCLRTYRQIYSEAISILYIDNTWMFTRPSNFC DQDCHPTDCISTWIEEVESNMNLIKRVIVDVGRTCPSRCRRSVSIYDMILLAKMDWTN ARQAGEDIEFEITFEDRGGHLGDPLHRDIAPSLSPLSEADFLNNVFRSLVIENSLYLF QYNRFEKVLDTVKLDVLAQCCVAYKTLFRNSSSEEKVKIDLHLLFQRAFLALTDSFFA SPTMKYHPKLDIWINGMD CC84DRAFT_736591 MEEDSVPQGKIWLQKGARRETESHGSKIQKHSCSVPRHTRPLPP SHPNHTIPRHPHRRQNPSINRIRHRHLRQLHTPKDRPLHAIMPQRHRQAHHTRIVPAL LPPVVPRSESQDPAQKEPRAERARGEGGEVRAVGGGVVGADCGGRKNKGDVAGYADEG GLDEG CC84DRAFT_1186979 MTNSGKALKHKDLPRNGKPNFVFIITDDQDLHLGSLDYMPLVKK HLGKQGTFYKQHYCTISICCPSRVSLLTGKAAHNTNVTDVSPPYGGYTKFISQGLNDK YLPVWLQEAGYDTYYTGKLMNGHSISTWNKPLPAGWNGTKFLVDPGTYIYFNATFQEN QSPPAPAPGQYNTDLVKAKGLSFIDTVAKSDNPFFIGIAPIGPHAEFTGSGPFTKPVG ATRHQELFLKAKAPRTSNWNPDEPSGASWISKLEKLNDTVIDFHDEWHVGRLQSLQSV DELVDEVFKRLEHYNLLDNTYVIFTSDNGYHIGQHRLQPGKTCAFEEDINVPFYLRGP NVPKGQTVDVVTTHTDIVPTLFQLAGIEQRSDFDGQPIPVTSNAIAQQVKNKKRDHVN VEFWGEGIDEGKYGLDAPNNTYKAVRIIGERYNILYTVWCTNEHELYDMTRDPGQLNN LAIPSKWRTLILNQPISKLQARLDALLLVLKTCKASSCTDPWSVIHPKGDVVNLEDAL APKYDEFYAKQPKVSFSKCELGQILSSEGPLKGNSYHGGELWPHWV CC84DRAFT_1145548 MQFSITALLFGLVAISSAASVGVSSIDARQAANGACCIANKSLK QDACTVNGAAGKCVPGGPAQCGGALNCVANNQLTCDNAVPERSGVLCRFQLPNGKIQD GAKQITSLSQAKVN CC84DRAFT_1091056 MPPSVQRRRSALDFRCGSCDRSYAKKEHLQPFACDVCGRTFARQ DALNRHTRVHKGSTSTTTHMAEQVRDGGFDPRTFVPEQYNQHVDQPLVSPLAIEGDFQ HSQSQNSFLFWPDSEHLLQNILSIDPTLLDQPPAYMPPPPGSQPSPGWDYIYDNATEG LDEGHRAIHTLSTLLSNTVNRVTAPAALANLTSRFLDSCLHMFFSTFIPMMPIIHRPT FVFQNCSPPLLLNAIAIGSLFLGNEEASDKGDALWRLAHTAVQTSWHTMIKHRGEFDA SPGIQLVQTALLSQIYAALSKSRNLRITSQVFHGLGFYWARYCGLFDLPDVPIVPQLH DDPETKYRAWRLWLARETQLRSLLGLYIIDGVISQYSGSPTVAQHMSNQLLVPSSEAC FRTDSPDDWIRAVTCNNSPSNLLRFCDLFRHFFYDGDQENGQIPPEMSSFTLKVILEG LKSLVAESKRIEPRPVGVPSRVEISGVLDRVRRYIEAHSSLTPVARSTAMLRWHAICL DALGSVTARGARRLCTAHGISQHIFGGGKRVEKDVQAERYVNSEDARRTLMHASEIHR IASQLPLGLAHDPHVPGAVFAAATTYAAFALAGKTQVAFPEPVDWQQAVLLPSQESQT PAGFVSNDLHTTGTAQFIRGTLTTTRIQKDFVFRDLNYELSSIRLLLRALSLQWGVCK EMEEVVDAWIAKCT CC84DRAFT_1196150 MTAATCPFAGAPARSDFELAESSIPSDIYEQFDTLRSKCPVAYS SQMGGYWMLTRYEDVKNCASDTSTFISSVKAVIPSDPRGTRRPPLNTDPPVHTPYRTA IDRTLKISRLKRLESVLEDHARREWKVLVERGRGDVSADFGANFAAWVEVTWLNLGDE SAPMLAKTAAAWVNAWREQQTDVVKFYSEKLYDMARALFRDRRENPRDVESDPASSLL AERVNGQPIAEEKLIDALRQCLVVGMVAPPILFGNMATHLAQDKALQNRLRENPELIP SAVEEFVRLYVPYRGFSRTASSPVELHGRTLNPGEPITMTYAAANRDPEVFSNPHEFV LGRENVSKHLGFGRGRHRCAGMPLARMALQVGLKVMLENSQDWDLDGELQYAKMPEMG IISCPVRIISVVEP CC84DRAFT_1259208 MHTPSDADSEKRGAYAIERVPTSNEHLINGYKPLTDEAKALDKR VNLKLDFIVVLVLAIDFILCGIDKTNIGYVATTNMVKDANITQDDIADSVSILSVTFI TLQPFSTAIGRRIGPKYWIACMMTAWGAVCMAHAGTKNRGTLIALRLLLGAFEAGFVP TSFYYMSTIYPKYSLGLRLGLFAGMYSIAGAFAGLIAYGIFQVKHLAVHNWQLLFLIE GALSILMAVVTVIVLPARLNTAWFFKADEAAHAVARMEADVAGASHEGAQNKTGGITR RDVLDAVTDWRKLLTVVFNVLATLPVSAFGYFMPLIVKGMGYSGVQASLMSVSPFVVG ACGLFVFVYLSDHFRERSIIVSSSMVLAIVGLVVMHVSDKPKLRYGFVHVCLAGAFTA GPLIVAWLAGNTPEKAKRSIIIGINGYSNLAGVIAGQLFKSKYGPHYRFPLMMTMILI AIGAVGFISMRMLLMWENRRRARIIETWTAEDFERENESQERRGDAKLSFRYGY CC84DRAFT_1119365 MTKHRKIYYMPGPHTGPPYPGLGLPLRIEADEQNYYPIGAHGSC SGSHTELLPVRELAMMHIMDRLTDKIDWDKKVWDETIVEKWRAEVKAIPDIEWWNIAT TGKDIGEDQNMYDEDEDDHPYIWARCQLDGVVNDSTFDCIIKELRSKAKYFKETGIVV TLDATASVAKSDILIPSALHQELREAFGKLIIDQSDSPDWHPKSNDMVLDLVHPSMYP LIYGYSRVIKEEVVGIEGAISRWSGKGEVIPKDKTKDENRLSGIGGDIPPEYWNDTYQ WLPANVTFQNDGKVKFASYINNLHPQRYAGMYHTIEKLIQTALPLWDQCLAPDEGDED DSDDALERRTTSRFAYPDEDGADDDIPKNWIPSDPKELADVEVNWDEVHSWEFRPVYA DDDDEEAKKWELLRKARIPEAEFKDVEYRRKEGTRLSDNFRETGLQIIVKMVSIELTP EKPDFPVGSWHVEGQMNERICATALYYLDSENVTPSSLSFRMQTDAYIHEDYRLRQQA YGWLEQIWGTPLGDDGPCLQNYGSVETTQGRLLAFPNVFQHRVSPFSLVDKTKPGHRR FIALWLVDPHQRIISTANVPPQQQNWWAEAVFSDTRTSEDVVSRMEKASLNSLAVAED GKDGKLPVELMDIVWDDFTSSKDKGLLSEQEAKEHRLKLMEMRTAFVDESEGNWHNHT YNFCEH CC84DRAFT_1092223 MRFLHVAAILAIVSLSTAQDTYDYIVIGSGPGGGPLASNLARAN YSVLLLEAGDQSTAGNAEYPPQITWDFFVRHYADDKKNMMNKHLTWKTKEGRYWVAAG DATPPAGSTFLGVYYPRGSSVGGSSMINKMCTWLPPNSDWNYIQNLTGDASWNSDNMR SIFQRIEKNNYVPKGTAGHGFDGYFQTNMNKPVSLGQPALGIVQAIAQNFSLPTTQSE IVTRMGSDANYLDSQRDWTTGIWGLPMHQKATGDRYSSRDYIQDTIQRKFPLTLSMNS LATRVLFANGTTCGGQPRATGVEYLQGQSIYKADARFSSSNKGTLKTANARKEVIVSG GTFNTPQILMLSGIGPKEQLAQFNIPLVVDAQGVGRNLQDNQEMPIIGQYSGTSAGFS QPIIMMKTPHSPDGERDMFLMQGQFAFRGFWPANQTNAALPQDAPNTYGISMVKGKPQ NKKGFVKLVSGDPTDAPEINFMLFEEGKEIDMGAMKDTIAWARKMYVGAKGVSVQAKE PPCPEGPDAQGYCGQADEDWITGQTFGHHPTSTAAIGKDGDPQAVLDGRFRVRGVKGL RVVDASAFPKTPGVFPVVSTFMISEKASDVMKEDAKKDVCAA CC84DRAFT_739159 MAIRYSEALGIIKSVAEDKLGQEDGRDVDVVPLEDAVGRTAGED HVSPVATPLFDASTVDGYAISSAATANATKKRPVTFIVRGAITTEEELRNGMPSSGGG IPSCFEIKTGARFPTTTTIHSLDACVRAEDVVTTAFGGQKMITVVEPIPKNANKRSAG SDIQNGERLLEKGEKIQARHIMALASAGITGVAVRRALRIAIWSNTDLQITGASVYFL IAAFRELGAYVDFKGVLEGSTDTLSKTVLQQNGNAAYDAVITTGGVSMDLKLALKTLN ARIRFDDVAIEPGRSVLFATLPTPYISPLVALPGSPVATAACFRFLLVPFAMQLSGDR QSVPKILKMQAKHDCRNLSVSCPPHSDCFKHGWIQRNNRGEEYVQLGSDQKPAKVSHF AASDCWVHVPRGHTGSYKDTLVYCYPHTSGSSM CC84DRAFT_739218 MFSKTILASSLIAAVSAHQNFHQFWVNDETPGYQVGIRMPPSNS PVTDVTSEDIACNVNGQTGVADTVAASEGDTIKVQWDQSGHPGPITHMLFGPVDSAKA ATGVGSWFKIDELDYVDGKWANEIMGAANMTHEFKLPTGLPSGEYLLRSEMLALHGAQ TVGGAQFYIGCAQLKITGTGSDGACGPEIKLPGAYNAEDANIYIPNVYNGFDPTNYTA PGGPVGSCGGSGSTAPVSSKPSSNSTTPATSAAAASSAAVSTSVSIASNVAVSDVAAP TSSAPVASVTAAPGGNTTASALPSLVFPSTFQTSVVAAPSTFQTSVIAAPTNNTAPSA PSSTGSVGTIKKYGQCGGRNYLGATSCESGSTCTVMNDYYSQCV CC84DRAFT_739156 MQSFTSTFILTPSSTSNHYPVLHEVPEFLAKDAHRERRAHSKSN NGCAACKRRRVKCNEAFPCSNCVQRKEHCSRARNHIHDRVSKRSSQNSVIQQPRTPVL QHLLPTNARLTLTNVKLFHHFQTYTRSTLLLPGPVWHLITHLSFSFPFLLHAILCISA RHLSYLCRERTSHYAGVASTHLTYALSGFRSSLSVESSKANIDVFMATALLLQFELWA NVRHLSGKEEDAGEDGGIYDPGTDALFTYSASLKNILLGCFEPSDVHRSSVMQWLVND GTLSDAVPVDAATAAQMRDLFLCERKVVPEDLSLVASTYADDPITSKQSDHQSTADNS PYTAVIAALTPLLHLTQISHRIYSSSQLTSSATSISTRIARHILCFPILSRGLFGGKI AARDPRAMLMLYHFFRAVRVLLAGDEFWWAARRAVALEQGLGTLLGDGDEG CC84DRAFT_1119375 MVALAEVIASNKRIATSFPDGLIAVFIGGTSGVGEYTVKAFARY VTKPRAYIVGRSEEAADRIINECKKLNAQGHYEFIQADVSLIRNIDGVCHHIQSKESA INILFQSQGSMGFTKRTSEGLPLVAALAVHGRTRFILNLLPLLQNARHLRRVVSVGAG SFEGPIDTDNISGVGFPIRKWRDQFASCITLLLAKVAQQAADVGYVHTCPGVVESGIM RDMEPTLQLRIMVAITGLLAPLLNTSPDECGERQLFAATSARYGHKKSQHEALGVPVE VAVGQARGIDGQMGSGVYSTYVKGESAPLKTERLLRDLKASGVAEKVWQYVLSDSVKV TGKERMM CC84DRAFT_1217168 MPVNRGHLLTLLWAGSAYALFPDCVNGPLKNNTLCNTSASPAER ARALVSLYTLEEKINATGSTAPGVPRLGIPPYEWWSEGLHGIAGPFTNFSDEGDYSYS TSFPQPILMGAAFDDELITAVATVISTEARAFNNANRTGLDFWTPNINPFRDPRWGRG QETPGEDPYHLSSYVHALIKGLQGDASDPYKRVVATCKHFAGYDVESWNGNLRYQFDA QISQQDLVEYYLPPFQSCARDSNVGAFMCSYNSVNGVPTCADPYLLQTILREHWGWTN EEQWVTSDCDAVQNIFLPHQWSSSREQAAADALIAGTDVNCGTYMPDHLPSAFAQGLV NETVLDQALVRQYSSLVRLGYFDAPENQPYRQLGFESVATNASQQLAYKAAVEGIVLL KNDGVLPLSLDSSTSLGLFGDWANATSDLLGNYAGISTYLHSPLWAAQQLNVTVNYAQ GPGGQGDPTTGSWLSIWPAADASDVIIYVGGINNGVEAEGMDRGSIAWTGAQLDVIGQ LAQQGKPMVVVQMGGGQIDSSPIANNPNISALLWGGYPGQDGGVAILDIITGKAAPAG RLPTTQYPASFINEVPMTDMSLRPGRSNPGRTYKWYNGTAVFEFGTGLHYTNFTASIK SNTSSSYAISDLMTICKDSNAKYPDLCSFGAPVQVEVTNTGKTTSDYVTLAYLAGNFG PAPHPKKSLVSYQRLFAINGGSSDTANLNLTLGSLARVDEKGNKVLYPGDYSLLIDNK PLTMLNFTLTGDQVILENWPQPPTNRTGKGVSGFEGYFVGGYGSDQKPVSIGY CC84DRAFT_1145574 MRAHLLVGFAAAVSPASARGPFLQQINSTSWIFGNDIWNLTQGQ TYATNLQYQGSDAVKGAPGHYAGYDGESNLQYTSAQIVSDAPDYIDISFSSTYGELHW VIYPDLAGAYQYFVNKALPDISIFRTLWRLDPARFTKGYTTTKDGPLPDFSLYANATK VQDETFQLADGSYITKYDFSNYVRERDFVGVYGDKTGSWYIHPSYEYQPGSQLSQTLT VHRESSKGDAVQLNVVQDTSHFRIGNAVPQPVGKIWGPWLWYLNDGSVADAHKRAEKE RKNWPYPFLQDEAYHSRGNIKGKLVLSDGRPAAGAAIFLGDTDTSTRPLVQGANYYYT TYADASGKFSLDHLRTGKYGLYAWSNGGALGDVYTNFTTSSVSITKGKTTNLRTLTWE VQQNRTSVFQIGDFDKKALGFRNGGAPRQHGLTEKGPANLTFVVGTSKTSDWYYASSK LGTWDVVFNTTRPNPSATALLSLSLAGYSQSTGLTIYLNGNTTIGTINKDTQTNDPAL YRSGTTSGEWRLLQFELPGSNLHNGRNVLSFTVDRYTQWRGILWDSIILEWVG CC84DRAFT_1244505 MHLPAISILLGFAATSMGETLLYRNTFNDTSALNGWVAEGPVNA TVSNNTLTLAGAGGPDDYFVYWVREVFPDQIRITWEFQPIQEPGLAMFFFGATSVDGG SIFDPSLKPRNGSYPQYHSSDIRLLHASYFRRRWPEERNFHVANLRKSPGFHLVAQGA DPIPSVEDVQGVYYKLEVVKDKREVTFKVNDLLLFSFDDTKTNTGPVVRGGRIALRGM QPLIANYRNLEVWKL CC84DRAFT_1259216 MKLSFTVILSAIAAVTSALPTDEGFAARSELVTRANDYPYSGKC NGNGGVDPWKFYRCQCTSFVAYRLNKAGVKFTNNYKGEGWHNANTWNEAAKKAGVKVN KTPKVGSVAQTDAGSAGHVAWVTKVGKKTVTIEEYNWNNPEKYGTRTVSKDKFRYIHI KN CC84DRAFT_1217172 MSHRILIEPQRVDAPLTSSATFLVLTINDGDEATSMVKSTLASV ADLTKNVAIRDSSASFAVTAGIGSRVWDRITDVPRPVELRPFKELKGAKHLAVSTPGD LLFHIRSERRDLNFEFERQLMDALGESVTLIDETVGFRYFDVRDLLGFVDGTANPVGP AVDDSVFIAEEDTEAAGGSYVVVQKYVHDMKTWKSMSTEQQESIIGRTKLENVELDDA PEGKQQSHKSLATIEDDDGNEFGILRDNMPFGSPGSKEFGTYFIGYSRRLWVIEKMLE RMYVGNPPGLHDRILDYSTPLTGTTFFVPSATMLASLE CC84DRAFT_739315 MAVTFYLRITAVVLAVLQTAVAQQCYYPNGSTAPDSEKPCSSAD GTACCPDTWECMDNGLCYNPSEKIYGRYSCTDKDWKSSGCPSSLCTDVQQIGAEAVSQ CKDHDNQWCCDANRVDVKCCQESPQPRPFFALQDPKAYGTIGGSTGSSAPNLATIMGK ASGSGSSSPSSTAAPNSASATKDSQSNSDASSTAASTLTSLSTTVSTGTAGPTTIVKT VTQPASSTATGDPSPSATPEKKNNIGLIVGCAVGIPLAIALIGILFWLFRKRAHQKAQ AQPYNTSSTDAFTPTPATSEFAGGAKLHKNGAPSKFASTDPGVPELAGGQGVGPERPV SMIPGKAEMDSGGGFAPGTVPLAPHLVGVGGGNGNGVGHSPQGSWGSAPPGYSPEQQQ RASWAPNSDPMAGQYQAYRPGVDNVSEMAELPSVRTPPEVVELGNPSPPTATGAREYP GMAEAGAGAPRR CC84DRAFT_1164303 MPILVLYCTGHGSTADVAKHIASHIAEQMPPAECHDMHSFDVST LNDYTAIVIGSAVHGAHWLPDATTFITANKAALTSKPLFAFSVGAPKALPKPISHRWQ KWEDDHIYNNLQKLLDGRVVMHVVLGGKLDKEDVHCCSRWTCGLIKEGDYKDWEEIDK WADSVVIALKGQESPRRDFFTCT CC84DRAFT_1244517 MGDSQPQGVMPAPEGEHAVFDGGYTSLQIALFAANLSTYAIATV FLGLRVYTSAFINRRTDWGDFFLISSWGVGAGSIACTMIAIKYGYGNHLWNVEATEIT GYFQQLVAMSVTYFWTPTLTKLSVLTLIYQVYAAKWGKISALILGICIVAYTVVLTVL VTGPCDPLHGSVKCLTRAGTGHAALNIISDVLVIALPIPLVHQLQMPMRQKISVGILM TLGSLCIVASIIRTKFVADIQDNPDITWCQARVGVLSAIELNIGILGASLARLKPFVQ KYLPSLSRTIVSTGQKYAGSSGFDDSKQRPGRDAYLLHSIQRSGVDTSDGQGENGRGI NVKSDIYVTRSEEVTAGVNAKGNWK CC84DRAFT_739375 MAAHETHSNMAAEHDLVVPLHYFDNNTMFTSITMHAIMVYDEIL DPERLRATLSQLVERETWQKLGARLRKGKHGHDLHVPRKFSSSRPAIAYRHVSLDMLK AEHHAASLIPSSKSAPKERPALVGNPEDWTELCCGADAPKTSADYVGSDRPVTGLRVV SFKDATIVNIHWLHIAADAMALKAILDNWVLVLEGRAAEIPSQYGFDEDPLRELGLHT TEPFDLAGLELSKWGTAAYALRNGYSIALAKKESRTVCIPALFMEKLRKTARQELNDQ GRREQFLTDNDIITAWFSRLAFSHLPPEKPVTIMQAMSMRRALEQDLLPPGRPFVSNC LTFTSVLKTKNEVDMSLGLLAGDIRQGVNKHGTREQIEAYNGMVRANAWPLGPMPVFF GQSNMHHIGYSNWKKAQVNLVDLSTACVTKRNSPLYPSFVTQIQGGIAYPDGFIITGE DAQGNYWLEGYRPGGLWSHVEKLLERDIAS CC84DRAFT_1217178 MNDKRTFNKGDFVSSRASYPVCDVPTPPDSEAASIQESEEHHGV EQVPSVRIPDCFGSIMAPKPIVNPNYFAAKAKGDRWIARHMGLDKATAAKNAQADLCY LASIWSATASEDRLVMMLDWNNWVFFFDDEFDEGRLKTDLPAAKEEVKQTLAIMEGTA PRYSPECNRVRYIFQTCCDRIKATSGEEMQQRWIDQHKRYFEQLIVQVDLEARGATLT CDVDAYFRLRRGTIGVYPAITLAEWAGGIQVPSKAYDHPSLQKCMQVAADLVILVNDV LSYRKDLDLGVDFNLITLLMKNQHLTVQQAMDSVGDMIDDCYRQWFLALADLPSFGEE VDREVMKFVEVCRAMAHGNLYWSFQTGRFLGNEGHDVHETGLMELPPGFDDAHEDEPL ATPIASRPYSGCRSSTNGLDSDDGGHYFVR CC84DRAFT_1259223 MLSTLILSLIASGVLYYIISAFYCVTFHPLASVPGPPLCAFSRI PYWYVSFGGVDVFWMKRLHDKYGPVVRFGPTDLSYASAEGWQEVHGAKVQEKAVEFSP QPVNGVPPVLTATHPNHTRVRRLFSPAFSARSLKAQEPLFQKYADLLKHKVSEVGQDG QKPVDIGALLNFTTFDVMAELTFGQNLGMLAKTEYSPWVASIVESLKMLPLVAMINYY PLLRVTLFERFQPKWIAEQREAHCAFSADLVNRRLKVGSKKPDVWNLVLDDKGKGLTL EEMHSNAELFMLAGSETTATLLSGAIYNLLRSPRCFAKLVDEVRNAFDSDGKMTLENL GSLKYLNACLKEALRIYPPVPIGSPRIIQQGGQMILGTWVPPETRVSVHHWSTYHSSS NFTDPNSYVPERWLGDPKYAGDAFSAHQPFGSGYRNCIGQNMAMHEMRLIMATLLVGF DLELCAESEGWDEQKSFALWIKDPLMIRARPRSRGRGDKL CC84DRAFT_1090863 MPTHVALAGATGLLGPVILAALLAAEHKVTVLTRVGSMAAEKLP LHPNMSVRQVDLADAGSIIPALGGVSVVISCVAASALDAQNPLIDAAVAAGATRFIPA EYGLDSANPKAVLLPIIREKAATQTHLRAKVRETGGAFSWTAIANGWFLDWVLQSTDI LLDVKAKSAVRYNGGDVRFSAALLSDVAHAVVSIIARQDETRDRLVYIHSAVVTQNQL LRYAEEKDGRKWTTSAKGSDELLREIWGAVDRRDLGTAYTLVPILGCSDLEYGCDYSG HDDNELLGIREMSEGEVRGLVEGLL CC84DRAFT_739563 MASSFWDCYPELWPTIKPFFDRCRDAGVGLDYSPEHALIVERKG WPEEAFFNGNFVPIGDGPTNQPQGYYNSLFEVTSQRLSDRRTMLLNRLASQADFEPDA ACCHILDTLATDPNDLPLAMLYEVDDDDDSAPDVLRLRGHYGLPPGHNLIVDKHGIDS NQGLIPECRRAGSDILTIDYDERFDSASWAGFGSPSKKIGILPITSGSRVSGYLVVGT NPRRPFDDACLQFLKDVGRAVSSIMNLAFSAVKTRERQRKLEDDLAFSDMKLRHLIEH ASVGMIHMGLDGKIIWANDQYYALSGMTAEEHLELVSFWDVYVEEDLPKAQEAWATLQ SGVNHVSIEMRLKRLFTAPNGDAEPAQLQILAFPYREGETVRSLMACTTDISRLKWAE NFQARLAAEAREAKRQQEAFIDVVSHEMRNPLSAIVHCADDICSAVEECRSKLAEVPA MLSETLNDNLGSANIIMQCANHQKRIIDDVLTLSKLDSMLLSITPTATRPAKLVSSIS SIFQAELKSNNIRYSVISDPSLARLDIQYVYLDPSRVTQIFINLLTNAIKFVKPSKVP TISVRFGACKSDPRSMFGADTFWASKVKDYSDVTASPDWGQGEEIYLTFSVQDSGIGL KGKEIHKIFERFRQANMKTHVKYGGSGLGLFISKELTEKQGGEIGVSSITGEGSTFGF YVKTRRVERRPQTLGELFHESGGVGPAPQKLHVLLVEDNVINQQVLGKQLKKAGCMID VANHGREALELVEKKVYDVVLMDLEMPVLNGLEATKAIRKREADDEGLLSQAMAIGSR GGARLPIIAVTANVRQEQIDTALAAGADRVMQKPFKAADLVHMMKGLVPQVVTPATEP STPSLVERGGENYFT CC84DRAFT_739590 MAVYESPLCCQPLRRAVEAGWASHHQMHAARESAKTMRVNSRSV ASQVPSGPSGLLAQSVSRKKRTCGVSGRSSVQSRWSPPSPWGGGAGPGRCSSSRASSS GGGVLGSCMGLGGRRARAFVEEAPLPPACVCSGRADETARARLALRRPDSFTPWVGLQ SRRAV CC84DRAFT_739660 MRRLDVEHRFEEAWMPMATTATGPPAASTSNVIENHSSSPPCRP SSPVEKVLDRAILAFTARWLPLGPGATEPDEIAATSWRSSRSDMVALLNQVSYRSVLS LYLFAQTPVPAGICEEEELSGITGPVCMHTALMHIQKLRQRCDPVKKGLLNITQTFLD LESRAYWAAVMWDTSDAMTSDMRTLLTSGLNGACSEPAWRLSKAFLVGSFGPATEEWC VNGAEVTDERASQIIGAASVCQTLMWKSITSVKEALREGVDEETVLWVWGSLQETMVI FKKSVQPPLSGCERRIHFLSQRNRFGWFQITLQYCIGVMVLVEALQVAKRSDLAQQLH EIRKQVEHDSFAILKFGTDNIYHMPTRGIAESDGDSTPATQSLKLSFIALYAFPHLVV TLAQLLGRVAVRKRHTGEVDSAAFAHLSSMVMDSLEQLPKSSRAARAAIRGLEATVGE AMD CC84DRAFT_1164310 MGTHTDQAADRNSLRSRTGKCFLPTTSKLLQGAQDAEVELLSGP QCLCDSNPSKQECLLDFDRHRRWALRFGRLGIRDYSVGSDCGVLAPLERVQAEVGSAH QRPQDVMGCHAIKNFDLADYCTSLLSHASSTVRYRKHCQNSQSQFPSAPEPHHLGSER MQQAHVSAKQYNVYTTSR CC84DRAFT_1244536 MTSNIREGPVGSSSIPEPVAIVGIGCRLPGNVSNPSQLWDLLMS KGNGWREFTPDRINIDGYYHPNSNRPGSLHTRGANLLAEDPRLFDHRFFGVKDSEIRT MDPNQRKMLEVAYEAFESAAEPWESFSGSKTGVFVGNFNTDYQMNHVYDIDFIQPYAA TGTSASILSNRINHVFNLRGPSVTLDTACSSSMYALHMAVNAIRNRDCDAAIVGGSNL ILSPEAQELTKSLGALSETSTCHTFDTSADGYGRAEGFGALYLKRYSEAVQGEYPIRA VIRGTAINSNGKTAGITHPGVEGQEALIRQAYENANLSPQSTGYFECHGTGTPVGDPV EVAAIGRVFASTRVSPLLVGSVKTNLGHSESASGITGVIKCVLALENDCIPPTTGVTT LNPAIDFESAQVEVVTEPTRWPAGLLRRASVNSFGYGGANAHCILDHPDILKKDIIAS VVPNGNQHITNSTNGYCTSDSLYSSQIPTFRSSRLNPVNGINGDESSSANNAVQTKLE VDEVISSSLLRSESHPQPNVEGIERRFLVLPFSGHDECALMKNMRILKPIIPQHRLLD TAHTLARRTKFRFRSYQVVDTRAASETYQPEGAVSRQCEGSKVPRLAFVFTGQGAQWQ TMGRALLAFEAFRSSISAQNDIICSLSNKPPWTITEFFYGDTEYSIQDAEVSQTICTS LQIAIVDLLHSWSITPHAVVGHSSGEIAAAYSSGFISRVEAVVIAFFRGQAIAKNRSA GLMLAVGLSELECQSLIAGQEDFIKIAAVNSPSSVTLSGDKSHIEDIHLKLQAQGIFS RILKTGGNAYHSHHMKAVGEKYEMHLRHALEEVKERYPEAVATNLSRKTWISSVAPDK PIELKIDAKYWRQNLEASVQFSRAVSRMLTGARASVDILVEIGPHSALQGPLKQIITT EYLGATLELPQYLPSLKRFENEEKSLMNLCGNLFCSDYPVDIMRVNAREIPDKTSTQY DMGSVRIDLPTYQYSYGAVVSHETRISREIRQRKFLRHDLIGYLQPGCAKRRPSWRNV LRLKDVSWLNDHKLLPNPVLPGSAYVCMAIEALSQYVSGQPKIPSKHSFKLRNVLIRS ALQIPENDTGVEVLTNLQASSGSPKWHEFQVSSVSQEGTWTEHASGLISISSAVSTPT RRLDEGINQRFIDVPNWYSHFTQAGLGYGPAFSKMLDLTSDPYKNVARAKIHLNPAQA YFTQGPESPYMVHPASLDICHQLSLIAAHGGQTDRFKTAFVPVAIEEMVVWPGVRPQQ EWGYCIAKGQITGLRGAHASYQIFAEDGTPIAETTNLKCVSYDGGVAIEKPETHEYLR LAWKPDISSLSNNQANKLFPPPIPGAAVQGTFEEMDRLAMYMIMGLQERYGALRPSSP HLQRFLKWINKCSAIESSFADAVRSMTSAERQHEINAIVSKLSDVVDVEIANRMFTEM DDIMAEMRTGLEVALRDGILRKVYTAGFGISVAYRQLSNVLDLLGHSNPNMDILEVGA GTGAATNIAISTLAPDRSPKRYGSYTFTDVSSGFIHSARAEFSRCKNMSFSVFDMNSA PHEQGFDMKYDVIIASECVHATPDVECALRNIRLLLKPNGKLILLETTRPLFGTNIIY GTFTDWWSEDEERDTPFLATAEWNEALQASRFSGVDFELSDYEAPYGIVSTIVTTATE LPEIDGSCSLKTEVYVVHRGVASEAHQAISAQLQQQGLTPVLHSFGGSIPPSSRIILC LDNDRYSVADASEQEFLQLKSTIRSARSALWLVIGDTLQGNTPRSATTVGLVRMLTTE NPEASHGIILLERSLDLNTDPELLPEIIRRERLIHLNDFERELAVKDGIVYTSRLIFD EELNVEYRKRYNSQQETALEPMGSHAALSAHFSKPGLISSLVFQSDESMLEPLQPDYI EIRTAAIGLNWKDLATSTGKIDMDTLSSECSGIITKSGSAVQGLKPGDRIYGLAWAKF GTTIRLPAGFAQKMRPEDCFEEMAGVPIVFCTAHYGLNHLARLEAGERVLIQGATGGV GLAAIQVARSIGADIYVTAGNQAKVDFLHEQIGLEKERILSSRNEDDLLRIPSITDGR GFDVILSTSTGSMMHESWRHLAPRGRFIDVGRVDVQNGATLSMEVFRRNALYASFDLS IMARQDADFCSRLMGEVNEMITTGVLHPISPVKTFDISELESALMYFSQGKHIGKVAV TYTVEEAPLKIIPHKPSARFDPDSVYILVGGAGGFGLGILQMMATRGARHLEIWSRSG VPTPEAVNILNELAQSGINVSVVKCDITDASSVDASMSNISLPIKGIIHAAVTFCDHA FEVLTYADWTLGLSAKVTGTQNLHEATLKYNLNLDFFIMTSSYEAVVALPTQATYCAA NSFQDAFARYRRSLGLPACAIAVGLITEIGYVGQVDITRNMIDRNNLYGTGELGILRL LEAAFLDAPLPKHDGNAISCPWRRFDPLAEAQITTCLEPTKLAAMLDKNGTNRGVPRW MGDKKFSHIVRATEDQRSGNSTSTLVEAVVSKVFEEVQVALRSGNADDGRKLVIAATK DKMSGLLGIPVGTIQENKSVAEYGVDSLIAVELRNWFLTTFKTAIPLLRLLDESLSIA EQAEWIVQGIHSGDSKSCVATRMFSSFVEFFSRVVSCVLVYSATTVSGNDFLAGPGRA EAKARPAVRMGVTHTSTKYNIGSNKIA CC84DRAFT_1244547 MIKMAPQSENQMLDLARSILSITGDIVKELETTGVPVPHFAPES SDVPITDSYIRLRNSLNDAAGDLLLLVNGPQAHTRQLLASHHDLAAYQIAFAYGVFAA VPEGSPITITKLAQSVGLPDDILRRVLYVLCTQRVFEEIEKDVFVHTHGSVVFARDPQ LRAAAEYQLEEFLKAAGSATTALRNGSQSPFHEAFGMPLFQYYTENPNLGARFASAMA GIAKLDRQTSEIWNGYSWSDLGDKQVVDIGGGSGHVSIALADKYPNLNITVQDGNPAM FAHTSKQESGPVKEGRVKFIQHNFFNPQPIKGAGVYLLRQITHNWSDADCVKILRAIV PVLEPSTSLLINDTIMPEHGARTRYEERSLRQVDMMMFVALGAKQRTLQDFRNLLHAA DPRLQVCRTIHAEGSMGLIEVQLGNR CC84DRAFT_1090992 GVGLHMSEILSTDPSHLLDAGAITFAGYFVWSTSVTCIKLSILL GYHDFFFVITWFVRCVYVVKGLTVLLFLANIIGLLAGCSPIQYNWDTSIPGGHCGITR SQSFYLSGALNLALDIIIVVLPLPVIWTLRVSGLRKLGISLMFSLGAM CC84DRAFT_1090683 MGGFLGFCYRQLTFKPKSLPTTISLEGKTALITGANSGLGLEAA RELVAHKLSRLIIAVRDVSKGEAAKKSILESTKSTCEIEVWPLDYDFYDSIIEFQKRI EGLDRLDYALLNAGVKMMHYSTSPLGHETNVQINHLGTSAVSLVVLPTLKRTAQKLNS PVRLTIVSSEGHFWIPFKERTADNILKRMNDQETFGTQMQRYYTTKLLNVLWTRELSS KVPAGTIIINTVNPGFCYSGLHRHESSGIIKIFLWMFGWSSAEGGYCLTNALIQQENS HGKYLSEQRQTPPSPFVLSSEGQDVQQKVWRETIALLKEEAPGLDSSAVSPNIA CC84DRAFT_1244569 MFFSANSIHALIVLIAPAYGYPGPIPRSHAPVTHVAPRLEGADV ACSTFAAAWPEAVVTRANTTEYTSEIKAHWSQTAWKSPDCIVTPASVQELQSAYSQIV SSNVTFAIRGCGHSPLPLWANVDNGILLSTKSITSIEFNETSETVRAGFGNNWGALYT YLRPYGRIVVGGRSPSVGLATILGGGLSHLSSLYGFVSDNVLEFELINGSGELIRASS QTNAELFYALKAGGTNFGIVTHVTMRTFPLEKVWGGALVFTNDHRDDIMRALSTYQNS GQLDAMSAVLPYMGLNNDTTYIILVYLDEVEKPEAFRPFYDIPSIYDGTRVYDSLMDL ISEDLNLVVPRWIYGATTFLQDEQLYIDVAKIAQNATSRLSSINGGSLVFQPQPISTA MINNSLTRGTSPLTARLENKAQIWLEMNFGWNYESDDSRVGEILEETLAQIEKLAKMR QLYSEFVFPNDAYLSQDPLRSFGTNTFRKLKRISRTYDPMGIFQSRLAGGFKLGA CC84DRAFT_1187002 MIAIARVVINSVAAYLAFWVVRAIYRLFFHPLSRYPGSKVAAVS KPWYEWYWNFHHKGMLVFEIERLHERLGPVVRIGPNDLHINDPEVFREMTKVGCHFTK DPEFYSFITFPGTSIGEVDPNLHKIRRQVLTPAFSPQRVQYLAPMVKTKVDRLLERFN SFASQSQPVNMFKASKAFTMDIISDIVLGKELGCIDNPEFKNQFIEYLHASFASGWIG TAFPNFLKFSLAFPIFPIPIMEFRNTEFNRSVVIDMLLDPASAKDHSTLNAAQLAEEV IMLLAAGNDTASDAIIVGIYQTLRNLSICQKLNQELLAAFPDITEEITYEKAKRLPYL TAIVKEAIRYSNPLPGKAPRVVPPGGFNLYGHTLPAKTILITSAYLLNRHPSVFPDGK NFKPERWLTESSDHFDKYMASFYRGTRQCLGKE CC84DRAFT_1164314 MAKENYIQILTHIRRNRQLTPEKFYDHWENVHGPKVIPWAEKHG IVQYQQIHCAGRMVPSAASSSAPNAVNQSALPSEPIEFDGIAIFLVKSLDSFLEAFKD PYYTHVIEPDEQTLLDKDGPGGGVVASWQGKLVSMTKDGKSVRGEKGDKYRNLFWQWE RKEK CC84DRAFT_1145602 MHYYIAGSGPPLLLIHGTPKTNYYWQKLVPLLTPYFTVVAPDVR GFGYTDRPPAAMRYNNTAQAADMAGLMSLLGFERFTVHGEDRGAEYAYAVAAIYRDRV MKLSFCEMLLSGVSLDTWSYFTPENVNAQYELRGVWQWHIPFLWIANVPEMLITGKEA EFWTYFMEAECYNPISISREAITEWIDSIKAPGGLRGVLETYRADLVNRQINNELRQN PLTLPVMTIGAPEFFGVNVREEMLQVGNNVQQSYIFEECGHSLALEAEVRLAGALVGF FLGNGSDNSSTATSTIASSTATQ CC84DRAFT_1145605 MMLQNWAQFALTVGVALAKPPISKQYATGNFEAKCASIASKLDV ENATVYFSQFVAAGQNLSIPDRNVTCGPPYQAVSADLCRIALYVATSKSSGINMEAWL PSNFSGRFLSTGNGGLAGCIGYDDMAYTTSLGFASVGANNGHNGTSGGAFYNQPEVVA DFAYRSIHTNVLVGKEITKKFYGSPHTKSYYLGCSTGGRQGFKSAQDFPEDFDGIVAG APALAFNNLTSWSGHFYNVTGPPGSETFVPTAMWLTTIHEDIMKQCDLIDGYADGIIE DASTCKYNASSLLCGGSNTDSSSCLTATQVETVQKVFSPLTLSDGSLVYPRMQPGSEG VAAFAVYGGAPFIYNVDFFRYAIYNDPNWNPLTINETDYINAAIKNPSNIDTFKGDLS AVQARGAKILHYHGQMDGIITSENSPRYYEHVSKTMGKTPAELDDFYRFFRISGTGHC QGGDGASVIGQALQSFQSTDPAENVLMAVVDWVENGNAPETIVGTHWVNGTQSAGVDY KRAHCKYPKRNVYKGKGDPKAVESWECVEERSTKTDPC CC84DRAFT_1092414 MGYEDSVYLAKLAEQAERYEEMVENMKAVASADQELSVEERNLL SVAYKNVIGARRASWRIVTSIEQKEESKGNETQVGLIKEYRQKIEAELAQICEDILAC LDEHLIPSAESGESKVFYHKMKGDYHRYLAEFAVGDKRKTSADKSLEAYKAATEVAAS SLAPTHPIRLGLALNFSVFYYEILNSPDQACQLAKQAFDDAIAELDTLSEESYKDSTL IMQLLRDNLTLWTSSEAEAPAESTPADAPAAADATKEEPAAPAAAAEEPKA CC84DRAFT_1164317 MTQARAQSSSVLQSTDRDLKLIEPPLPLPHIPHLAQLPLITPNT MFRTSVVKAARLPATTRYFSSSVRVFAGETGSGASRPGGSRQGDAFTKRETAAEEMYI KQEEHARLLAIRQKLQQQQKHIEELTKHIDEVTKQEGGQGEHPGESKP CC84DRAFT_1259233 MHHLPDELVLQIICYLEPEELINLQHVSRQFLRLSRDNNLWRSL CFEHSAAERRRRRMLPTTDLDPRLVELIRAANDVANAFPFGVHDPSAPSADTQVQRNV GKRRAALLANWDPSYPGEKVDFYQEFIQRHAPHELRWFQEAKHGSQDDNLRHEATGAG IVYGTDGLAEKLVAPLEDGSVCVWDASAREGQLGRIVARSAVGLLPGKGSDLDMDTRL RQSRAAMTETGAIECVSVDSRAGKAFFSVQNTLNEVDLHTLQVVSRAPYPFPITALSE ARHPTPLTVGTNWTLHLHDSRAPPSTYTPAAGASRCELIAGAPSSPSFSRLKTGDVGA HVSLAQPGALSIAHLPTAREWDANGDIWVAGRFTSLLNFDRRYFPRLRGTVHSGARLS CLAALPFPFVSRSSVSKHGAAAGHTLVAAGEYKGKGSLELYSLSTDPSRGINSSDSRT TRNSQACYHNRQTASASKLLSVAPHGTRLVFSDGDGGLKWVERDASAAVRSLNINIDV EKAKPGVAQRGSEPGYGDIVQKILPTADTSLHATRPEVPLGQDNLILWTGDGRLGVLG FGQPAEEEWKDAVEEWEGEAAEERGREREYAGRMREALEMQAREMRWLRGYGL CC84DRAFT_1244591 MQHHHYGFVDPFPEVFHNNQPVPVRPAVAFIQETMGKDELADFL LTSTPVGGSYAYNQPLLPQRDAAPRDALLSPRPLSLGFPGALPGGEPAYPNWSSPLSP SPDAVDHDVVSSLIDGIRSQAHDDTPREPTPKKMSCKLMPHQEQALAWLLKMEKGTSK GSLLADEMGLGKTIEGLSLIVANPSKNPARKTTLIVAPVALMRQWEKEIERHIKPAHR LKVYTYHRNGKKADFSVLREYDIVLTTFGTLANEQKRIDTRKESEANDRERREPAFTR KAKDKLGILGDQCYWYRVILDEAQCIKNRSTLTSKAAAAIQAELRLCMTGTPMQNSVE ELYPHIRFLRISAYQEWPSFNSHFVKPLKANKNYMNERGMLRLQALIKSFTLRREKTT IIDGKPIVNLPPKIVNIRPVEFSNAEHELYKAIETKSQIQFNRYLKKGQVTNNYANIL VLLLRLRQICCHPHLANDLGVQVSTEGISEVDLKSRAMLLDEDVVRRLKDNGGAFECP ICYESDINPTIIIPCGHTTCGECFQKLIDPSRAIQEGNENSTSKCPHCRGTLSSEKIT DYRHFCKVFCPEKYEELRVAFNNATGEEDSEDSDSSDMSDDEADEDAYDATLGGFIVD DDDAEEDEDEDVKMENGAEDSAADGRGRCGKKKGKAKARVKEHLSLAQLKKLSLRSTD AKKRYLSRLRRSFKSSAKIDETMRLLTVIRENDPTEKTLIFSSFTTLLDLIEVPLQEK EFNYQRYDGSMKFDDRIDAVNEFMDNATENIMLISIKAGNAGLNLNKASQVIMLDPFW NPFVEDQAVDRAHRMPQQREVHVHRVLVPETVEDRICKLQDKKREVINAALDERAGKS LTRLSIGELRNLFGL CC84DRAFT_1205568 MRAVMLTSIWQASRGALINSPSPAPISQQMQSLVESARSRECSV TVNTDVVSIDRDEPSDPIRGVLERRGIGHDCSKTAPFTVTIFPTPTCRISSMAATLVG SKKHYDMTAFVNAMIKCNSRENRSLRSGKYRDL CC84DRAFT_1175994 MARRWMHTCTTSHDHCAARKSRLSNLGTSQCPTRLLDLQKAHST RRIQVVHTKTEAIKSPYVTLSHCWGANPPIKLTNANIEQFSRGIEIKKLPRTFRDAIE VCGWYGFRYLWIDSLCIIQDSEADWKKESTAMKSTYSQGALNIAASTAADSSYGLFAR RSPYLLAPLVLNVPGYGTCILQKSMEELSKSITQGPLASRAWVLQEWVLSPRVLHFGQ QLFWECSETLLSESLPLGFTGHDLLSEPSYFILKRVISFIHGREHLSVDKAGEYQTWR YICEEYSRRQLTVSSDKMVALSGVADLFQSSLNDTCMAGLWRTTIVSDMCWRCWSEAV IWITPISRKRRYPWESTRPRDYGAPSWSWMSVHNEIEYPWRRLLHQIAAPRWPNRSTS HERPRPTECNSITLFGVLRPATWSLLGKDKLGETQFRIFLDGIKAFDATARVAWGYFI QSGVASIRVDDLVGQSFPVEEIYFLPLLASTTHGNTPAIAGIILCQADGVRFRRVAYF ELYEPGILGRLFFGLKYPATILNDPWNYFQAYAKKNKTAADLEFFQKLFQGESQDFLS IHDMDEFWAWQVKEVQKALGPYNGSMFQPLEAQEIEIV CC84DRAFT_740271 MDDLRQAIMCNADFTLLTYDWLPNYRKPWPNFNVDYECVNWELL DGWAEKRVFSLFDHKSLMHPQLGMFFINVKNPTIHVKY CC84DRAFT_1092207 MASKYEKDISPSESSSTLALFPDKEDYDDFHPVIRRKSRWYKSP FIVHIVSLRGENCHKSMVYTPAREAIKWEKTSFQNPLGQDNPYKGHPRPELDQAWHDL LENSNIRVSEEELKKINRTSIKLTDGSGDYMAGLNVHHHLHCLKSIRRVIYRDYYDLY EEDEMWIHLGEASASSSQCYS CC84DRAFT_1217197 MHFRNVVLLIPALVAAAPVAEPKALVPVSPWTPALNLRTLDEDA AKYGKRALDEDAAKYGKRTLDEDAAKYGRRELDEDAAKYGKRTLDEDAAKYGKRTLDE DAA CC84DRAFT_1259235 MTTETYTGLTAFVKNLARSHDPGLDASFRAQIAANDAKQSPVVL AGPDDSPEKAALERELAALAARVQYLEAKANVVDNHTFPMTPGEPTNAPLMYAPSSAP ATRNGGAPDRRGSEKRATWVSNWLAAKQDSGDSDQPAAALTEEQLNYLRDHLNKQADQ IKNQREHIDNLSAEVNKQLSNQNTAFAHGIEDIGALKRELGKHQQANLAFQKALREIG SIVTAVAMGDLSKKVLIHAKEMDPEITLFKRTINRMVDQLQDFASQVTFLAKEVGTEG RLGGQADLPGVDGIWAELTDSVNVMAKNLTEQVREIAIVTTAVAHGDLSKKIERPARG EILQLQQTINTMVDQLQSFATQVTKVARDVGTEGKLGGQAEIAGVKGMWNELTVNVNA MAQNLTTQVRDIAQVTTAVAQGNLTRKVEAECKGEILELKNTINLMVDQLQQFAHEVT KIAREVGSEGRLGGQATVHGVEGTWKDLTENVNGMAMNLTTQVREIAEVTTAVARGDL SRKVKAEVQGEILSLKITINTMVDRLNTFAQEVSKVAREVGTDGILGGQAQVDNVEGK WKDLTNNVNTMAQNLTLQVRSISEVTQAIAKGDMSRRVHVDAEGEIRLLKDTVNDMVT RLDDWSLAVKRVARDVGVDGKMGGQADVQDIDGRWKEITTDVNTMAQNLTSQVRAFGD ITNAAMEGKFTQITVEASGEMDELKRKINQMVASLRESIQRNTAAREAAELANKTKSE FLANMSHEIRTPMNGIIGMTQLTLDTDLTHSQREMLTIVHNLAGQLLTIIDDILDISK IEANRMVMEEIPFSLRGTIFNALKSLSTRANERKLNLAYDVSFDVPDYVVGDSFRLRQ IILNLVGNAIKFTEHGEVKVAISMAQDEQETGQYVFQFAVSDTGIGIHGDKLNLIFDT FQQADGSTTRKFGGTGLGLSISKRLVTLMGGRMWVTSDYGKGSVFYFTCKVRAGNPDL SALQQQIAPYSKHTVLFVDQGHTRFSEQIETHLKMLDLVPIVISSIDEVPIPEKPTAD MGFDCVIVDNEQTARELRNAERFKYIPLVMLTPRVSISLRSALENGISSYMTTPCLPI DLGNALIPALDGRAAPLVSDHSKSFSILLAEDNAVNQKLAVRILEKYHHRVTVANNGL EAFEHIQKKRYDCVLMDVQMPVMGGFEATAKIREWERDNAIPSTPVIALTAHAMVGDR EKCLAAQMDDYLSKPLRQNQLIQTILRCATMGGHLYDHEQRHSMEHMAAPDLSLPSSD AHSPNGTPSKGPHRRPHLEARGFTERGGGGAGSPSLLAADQSDPVDRVSKRIKLKRGW ECI CC84DRAFT_1119428 MADELAPPRKSTELEDSGTKDLGKPAESSSDEHFSDASEGRVEK PDRSTATSPIPVTRVERVDDEPSYGEVPGTEAYNKRTQDAVPDEVEIVPEGQRSRSAS RVSISDRPYTPGGTQIPKTVVEKIDPDTPSHGDVPGTLAHDLRAADAVPDIVLKAPEP PEKAPEEGTPTASISRSPMSSGSEDDDNADDRDASAADVTDGASENDDDGFGDDFDDF EEGGEGDDFGDFDDGFQQGEEDAETTFDKAPEQPSIPAPSMGPPVLDFEDLATLEEIA IATQPYIDEIYPSQKEIPSITTNQAALRSIFLSERSESLWSQLVAPPPLQPPDWVRSR IRRLFLVSLGVPVDLDEILPASKQKKLVLPSIHIPGEKSPRPSADSRNGALGRVKKEN ASSTSVDSSASKSGKRKGPPPPPQLDISSTTMLCSTTDAALGNFTDQELQAHVKRLEE LKERANEVFDYWQKRMESALGDKDAFEQVIESLVAHAKKLR CC84DRAFT_1119431 MAFNFGTNSSSTPNKPLFGGGAATPGPSSLFGGANNTNSGTSTP GGAPPLFGSGAASSGGNLFGGASSTPAANKPSLFGNAQATPGASQPASGGFSFGKTGG DAGAAGKPSLFGGAATGSSGASTGGTTATAPSFAFGKPAESSTPAATPAPNKTFSLPS TTPAGPPPGSSLFGQAGSGAGNASSLFGGGAAKPATTSSAPSLFGSAAPSSTPAQPAG NLFGGFGNKDASKDANKSMPAQPAGGAAPQATAQGSLFSLGSTTPAATPASKPTFGFG QPASSQPAASATPALSLGGTKTPSFSLGGDKSAPASTGNTPSTTSNMFGTAAPAATSS SSNSLFSRMTPATPAATSVGSSPATPAVTSGSSLFSGLGGQKPASQAATGTSAPSSGA APSATSATSNFSLFNKGSAAPAPSATSTGAPSGTTTSTAAPSLFNKPAASTAASSAPG ATSTAAPTASAPGAASGASGDASKTGFATSTAGPAPSAQSRLKNKSMDEIITRWASDL SKYQKEFQAQANTVAKWDRALVENSDKVKSLYSKTFQAERDAQEVEKHLTHIEDNQAE LDHFLDKYEKEIDQMMQIHGVGGRAESLRGPDQERERTYKLAEKLQDRLNELNKDLTE MIEEINTTSQTLSKSGKPDDPLTKVVRVLNSQLAQLQLIDSGAVQLQQKIEQAQREGQ RAGANGWSGLGSDPTEDFYRSFRGGRTERFGGVS CC84DRAFT_1205572 MSERPILLQRSNIISIARHIDAHAYEEQSMGAIDADRRDSRRLG PDRRHAFGYERYEESGPLSSLREKWCRWATLEARFHLGALVDDCNWAPAVNGAGPVTA YCPLSWMPLFQAVLSPVNIWRCAPSHDANPNNALREARPTTMAGNSSRTLLGPPSQES IKPYGANPGARSTHKQPLLVLSLLSILVACISGTVGILLVSHEDPIQAWKIRPAVWLA TLAGVYVIALGALFSTGVAVIWWRCIAHGTTLERLHFVYEGASLKNLIPAFLAGWQAR RVAVAALLVLATKLVIGPMLQQATRPELHEATRDVTLNMDIASEIPDGWFNLEGVFQS NGISTSQAVFLGDDLTTSNATNPLCPKNGICRGLVKAAGLNLWNTTRSTTLNLLDPAN LNETLFSIDLSINSDFGVPILFLESRFVSAVDDNCIATVTVETYGMIPAIMQYPINIQ DNRIIPDIVGVIEGPIVISNSSNANGTSSALRGLLDALRPIYATKSILDLPKERKPAR YAPSVGKDLNAFYADIFANIGVVPGSKYPENVVKYCPLLWESPTKYVMGRILTYTFRA ARAVAKQRKDRQDRQHLIMTYTGEELWYVTNFNWLAASVAVMVLGMVAALSLSWGWWQ LDRYVTLSPLETGKALGAPILAQAGAEQEIKPILRVVGHDLVAYDDNELIWAGSIYTS GVGGSLRTSPRPSRSSDDAGRLDVTLPEPSENEFSARRQKRTIRTLNEADPIRVSRVF EHDRGHTTRAPYQDEDEMDIGQYGRPWACDQVNDNVPLIQRLSSIAAPGNNSRQERTP QQPLVPSPTSMQLRALDQRKKPRRASRRSSLPSIEERDTPTPEGS CC84DRAFT_1145626 MYSFKTALVLGAVLPAVLAQFSAKINRIQNENTIAGSWVARIEE NELLEGVLSTVLDLAGIEFKETYSVGGVKGFTFDGDDSILDILENLGAIKSVEPDFKM WASVPKDSTWGLGRISHRESGVEEYIYDSSAGEDTYIYVIDTGINTEHTDFGGRATIG KSFIASSDGEDDQGHGTHCSGTAAGTKFGVAKKANLIGVKVLGADGSGSNSGVLRGIE WAVNDATEKGHINRTVLSMSLGGPFSQTTNDAIQSAVEAGAFVAVAAGNEGEDASNSS PASAPQACTVGAINDRDAMASFSNFGELVDIFAPGEEITSAWIGGSTATNTISGTSMA CPHIAGLAAYLIGLEGPRSPAELCERIQSLATKDAITNSVRGSPNLIAYNGNGA CC84DRAFT_740378 MPSSAALTDPLRLDARSRKVLTLTPCRNDLPLPSSPTRDETKHT DRPRYYIQPMAVSLLASSRANSCSARPALISPEGPVTRANQL CC84DRAFT_1176001 MPMPTLWKWSPRPEQTLDGSPASQSSSRFGALHSNVRSMINGSS IYSRSPTLGGDASPKTPFMGFFRRDHSPPAPIDVHAANEPSRDSSDSRSPLHPQHTAG SYMRTIAPLQHPQEPPTVYRRNSDVPDRHPADVQLDYRGSVDPETEVLSDEVQQRRRR RRHRRRKHSHPRSQRAWVRRKHEKRGCMPFVRGSAARGKLIASMISGLFLATVLAVYL VLALTRHDLGQEVHVLFIMIVLGTTIFFCHSLIRLCMLILHPPVEDPDRPSIPNMSAP EGFVPVRPIRVHLARDEELADAYASSDDEEAGDERRDREATADAEKGPKLPPPAYGLW RSSVRVDPNLLHWQRVESSSAPPQIPGRDSRGSSHTASRAGSVSGAAVEAPRPPSYMS DDGVGYAVDAAPRSVAPSHSGVSDIHPAWRPGYAVSEVPTEWPRGGRA CC84DRAFT_1164326 MSAEKRPAADSFGSTQLVKRAKPDAKTNGSSAVTVANGSAQNGA LMHAVPRGGVLQSPVMELTGHSGEVFAARFDPTGQIIASGSMDRSILLWRSSGDCDNY GILTGHKQAVLDLHWSRDSRVLFSASADMHLASWDVETGERIRRHPGHEEVINCMDVS KRGEEVLVSGSDDGYIGLWDTRTKDAVSFIPTEFPITAIALSEAGNELFTGGIDNDIK VWDLRKKAVTYSLLGHADTVTSLQLSPDNTLLLSNAHDSTVRTWDVRPFAPADRAVKT YDGAPTGQERNLLKASWDAEGKRIAAGSGDQSVAIWDAGTGKLLHKLPGHKGAVNDVR FHPRDEPLLVSASSDRTLLVGELAK CC84DRAFT_1259242 MASSKAGRLGEEVWKTRVDKVSAELVTLTYGTIVAQLCKDYEFN YAEVNKQLDRMGYNIGLRLIEDFLAKSSAPACTNFREVAEMISKVGFKIFLNITPTIT NWSSDNKQFSLIFEENPLADFVELPDDGRAQDELWFSNILVGVLRGALEMVHMQVEAH FTSDVLRGNDTTEMRISLIRYIDDEMPPDDE CC84DRAFT_740437 MQNPQMAAQMANMNAGAGPLDGTPVMGNGQRPLLDPRDQLNTYI YDYFIRTENHKLAKAMLDADMTVNLKPKSSPSGRNVNGIGPGDLPEPNMPPNQNTDTS FLLDWWVQFWDIFSAARGKKSKGSQYIAHARNVMQAQHDNRNQRMMMQGGMNGVQYQN MLRAMPNGAPPNDLKRAAAMNNRPNGNPMANMNQLKDQAMMSAQMQRDGSQMDMNGQR PQSPGSNENGGSPNKRQRVEGTHSISPRTALAHSQSLRQAHMDRSGSEANHMPFAGAM NAGNMPGNQFGEFAQQGQNAQQKQIEVYAQSLAQQQRVALNNHQGMNNVAQGSPMNQS GLPGEGEIFVGNQPRPAGMPAGGAPPQGNHALQDYQMQLMLLEQQNKKRLLMARQEQD SMSGPHAQGPGFPPNMSPQGSRAGPSPNPSDQMKKGATPRMGPQGLPGSPMPEGAMQP QRNSPAPGVAFDPNTMPPGMPPQFASYPNGMNPQMMRPPSSHPQFNGQVTQEQMQMMQ RNQMAAMQNGVVWRGPQGQPGMMPGQQQMGPMGANPQQNRQMPPPPAPAGEQPRTEPS PSQPAAPPTPSQSNKGNPKKKNTKDNKPANKKGATGATPAASGGEEPATPTAPITPVH PKSSFGGPNGQQQPPQAAQSQQPAQQQPPPMDNGPGAFGQLSVNEDPSFDNLGLAFDD SNTLENFDFDSFLHVGDDSTGFGSLGAEFGFGDIEAEAN CC84DRAFT_1092576 MVLETLAAVGLAGNIFQFIDFTGKLFNTAVKIYQSQDGATREAE SDEDIAWSLQQSCEKLNAGINSIQENKLATQTTRTSLVTLATNCKAAAEEMIVALEAL KSKKPGSKWKSLKAALATAWKESDLEAMRRKLDTYRSQLVLELQILQGYKIKVAQQQL KLQFEATVSQKVTTPVVPEKPEVIQAAMSQSPEFVPMVQKEAIDLCSNKAVLSSLRFD QMRFRHSKIPQAHQNTFSWMYSKCFEPWLESSEKVYWISGKPGSGKSTLVKYLVDNDQ TPISLRQWAGPDTRLVITSYFFWVNGSELQRSQEGLLRTLLFEILQEFPALIKLVAPE AWNDVQTAMIGRSADVEIVWSQRALLAAFERLSKMGQVATAFCMFIDGLDEYRGDQDD LIKTISFLECLNVKLCIASRPWNIFKDAYGNKPHCQLRLEDLNKKDIQRYVQDHLGTR HDFQNLRDMDHQANEILDEIVEKSQGVFLWVHLVVRSLVDGLRNADRMSQLHERLHSL PADLEDFYRHIFLSLDPFYRKQLAQMFQVTLARSDPLSPLAYWYLDEQEDDPSVALEM PCHLLSTQQIYVKMLEVQKRINGRSKGMLEITPPIVEGSSQSRVDFLHRTVQDFLMTT DMQEILKSHQAKDFDADLAICGALLAEFK CC84DRAFT_1145638 MPNILGITAFKSGVEVHKWWEWTLVAILAASALIGIVALIWNSY DSIKKLLQHDSDDDLLPQSVQERLLVLSHTAHCVRPKQPLPAKPSSFGVYLGLLSLPV VQEDKQILESWDMVVLDLGQPGVLEAISDESIALGPHIIARLDLLKILPFVAKDNEID MLKAIHLVSLFLSGAVRRPNERRYCTGVLVAGWRDQICIRMLNALAKLFHAYGLDVYL EVSGPDFLNDVAKLNFKLFDGMVVRNGTIMENGERRDYFAMDKMKTTTKAFVSQACLR PFLTMMWDTIDDEADLSHAVTRRAHMWCSYHGAIPYFSRRCALTDIAEVRSCAEPLAA FQWLKDRRVMNVHEKFRTTRVLSSEFSSIVDDYLPLQPIFPLLGTTISGLDISDESDG EDNSSSSTLTVQFPEIDENGALLSFEPTSPVSTGLNWSTAVEKRVANPLSCSFAGTLY GSLGCFPIGFDATQADFNHVLRSQQRLRKLNLLSRMPAQKLHEVAQRILSYSTSVCRY VDLVPTARDNLSTFANALLRTNDEADDYHQVRVFTGLDSGFHTPSGSQFWAVWEAEQR TFTQIIYLSKSVQDIAGALLHTYLSKSSFTRYQCFLLEYGLSEHESTTGQIKKLPRRI EQDLSLLSSSDLLIYMQHLQHTEWDESCPLLSSIRNHCQELLIDVPTYRQLKKLSNMD YISGAITDEQLVHARIKWYRLCRLPCLDRTEALSLFRHIDANFRNTLWWRDHNGLDTI TAAIEKLTAREDVDSVTDFVLFCIFCAARKAGFEEVYIEVSDRNPLFNQYSDQSAAFA ELFALGSRCEAYFDIMPSDIGILLSKKHRDYYNEPGHQPPMWIFNAPSFASAYAAAQT DIDPDQKASVMPGYRRFTFLSVFAIPALVDILLLSTTGHGLYLSAKMLPEESKYATYA LMTSLLLSGAIGTWISIGGTYYLISMAFSAANMFVLTRLIGGLAFTIAASLVGFIVIS AVDGPRLGAIYFFYLVGLTSYLSVLAVLSTYQIPGSSFLNGRRVIIAVVPTLIISPII TIWVEGYDIIIYPCILFVFVSLLLLGTRHVATQWVTWYHDIKTLNDSDVKDWYVQTIW LTMRRSKYTDTISAKRFSKGPRTSTALPSSLAQSSETATDPSELFHGLSEPAILALCR SELYNAVMKEKKRHFWSRPTEDVFVRQLAECWESTLFLLDWYCRLTDTKRPIPYSSTW NLETQVALESMQQSQKGIRLHNSFIHWRNAGDEVGCGILYFLTALMDRWLDLLNGVPN YGLGIAGNETLRLSVGFGLAYYLIGAVLLDYKAQHLHQLSEQMAPVSIENISHIRNAK SNDMKFRRHLYWNTLCHFIGVHVWALAVSVALVWIFNSSPFGTVIFLAYVGSYSGLLL YQYNKIFSGPHALMPLLSAVILGFLLGSLLRKANPDYQYNNVIALAAATWTAALLSFR TAKLGLPEAFDIKMLIAEKLQRFQRRPKLPNDSSDSVHIRGLPSRYRIHWSSAEKFHA YNGTGVNAEWSQSELQAFVGKLRAAPKEDRYRVLPYGHPGDQVTAILLSCTHESLSRL ALQAYPTMPFLVQRIVLAWQNGLIKVFIVPMQSVTDDKTDLRAISQYSDGRLTLFVAS DSKGTTSAEMNISSNSHVIAETLLHACAETMFGMPHHQADITESMLVCRPVGDEPYTV SECSKRSMPSKSDGPEAMAFETLCRKELLCNLCLGHDPDTQWDVLPLDIRRMFLRRCL GARGPYTNTEMSWILSNIEAEEACTILSRLARYDLGAFLSVHKYNYFKSRGDQALNEK EYRQITADIQEAHHPILNRSTTMIFSDYTARIKAPFEYVYHSIGTWIKFFVLATMADP EYQRELNCALSRTPRFIAKPATFLLTGIWIYSRAAMSIALPFFLYHNRKDISDLASTV KGSLLSQKKDRLVIRSYGETETAFFHALEGHGFKLVFYQGILKQEPTWGHTRVSEYGK DMRITNRKEYKNGNVTNEFTYEYPARPVSRFGRITKLHSARLPLARNCLQGSDDSAKV QYNTKGHIESGSYMQHGNLVRFKYHYRKNAKYDDELLRAEFVLPHMSVNVSWCAPPVR HAEKMERWIPTPRVHEATFVQGADVYECSWLYDHKFHPVISTKLNGETVDTPDMIRHD WLGVLKKPTRCNFMDENPLLDFKAPTSSFLSRLFRTNIKRQEISTSRARSQLWKAWKK RLDLDGVVVRFVDEELVRKATLLRPYWRRRDRGSLMKAEDYLALHADAIMASADLTSD ISAWTPLAIRMSDLFSFGQGGDAVIFTRTKTLQRDTENNLHVIAVDTGTWPNEGGGVS ACRRDLINNLRTIKWHMVVESANDFGLPKHQTEENVESLKIIPLWGLDFLHPSHGMFT NKLDSEVDHLVKDATINDIKVNFIPTLTALVRGARSVNMTAADVKQATRALVNLNTYF EDSRHWKEVWTSEIVKDTWRKLWLADDMPNAQPPSEWFRTELPTLGHLDTALELWFRY LFIFSIPIPEKIPSVFQASHHSVSASYGIVCKLKRNCTLQIWDHAISWRETNLYLSSA MCTLPPFIRNSLLGLMKLTSCLILHHADQILPCADFFNPGWEVEIGSSKGQLTHRNVF RRKVDPIVNGIPDMTKFAPVTEIKTKSPTVTMLSHVWFAKDIKNALLAADIISNEWGF KDYKLDIYGALNKSPVYSSECQEILACKGLGESCTLRGTADPAMVLANSWLFLNSSVS EGLPLALGEAALTGAPVVCTDVGASLRVLTDPDDGKRYSEVVAPNDAYGLARAQINLL AMLDEWAQYAEDSPDKPAPILPHKPTAQDVEIITRRMYEKTEQRRKLGMMARTIVQKS FGGERYLREHEQMLWVGKACYEMLGLEKRIPPPPKHPGRMLSLKRKSRQPQYTDTASS NVIDPQLEKMQHPRPPLARQFSAATSFSSIYIDEPASPSDAMYREDSWGPVTPDSSFY PKSNASTNDSNFNYSAHIPPPPRMYARTQASNPSPASSAVAIGKRPVQYGYGYGHAGG FKHVPAAVPRMDPRRSALLRQSMVSGSARSSVRIRSHLNEVEVADYPMEGYGNVVEVN GGVGGELGGRI CC84DRAFT_1205580 MAPSFFASLFLLTCALFTGSTFAQQNGTAPDDGSPAAISAATVS STILVLARDDTSAQNGATSGLQGYGIPFEVITVPQAGISSLPILNASATHGNYGGIVI VSEVGYNYGDQYYSALTRAQWNQLYNYQTNFGVRMVRLDVFPTSDFGVVSLGGNVKDE PVVFTNVTGFSTAGLKSGANVTIAGIFHNPAQITNSSIAWEFAKFSNSGTAGVINQIG TRQQMAWFLPFALDWAPSSNYLQHAWINWVTRGLYVGFRRLYFNTQVDDMFLETPLYT PSGQTYRCKPDDLTAHVDWQTTLNAKMPKGSEYFVEIGHNGNGAIEAATDTSEGQRTC SPNTAIEYPDQTDGPPDYTKPPGTGKDIWPTTPTKYSWSLECNEIDDLLNWFADESNR DAFAHISHTFTHEDLTNATYADTSKEISFNQAWLKQVGLDAAARFSPKGIIPPAITGL HNADALQAWKDNGIVNVVGDNTRPLLLNTVNRFWPLNTTVAANGYAGINVMPRWATVI YYNCDLPACTLQEWINTSGGKGTFNDLLANARDTAVRNLFGLHWDAYMFHQANLRVND VPQTTVNGKKGQFSLLMTWVETVSAEIMRLTTWPFKTLKHDDLAATFLARQARDQCRP SLTWQTSADGKGIESVTVYTAGGNKCGTTIPVTFPITPAQSTGATKEQIGTDPLTYWV TMSGASRTYTFSRALPL CC84DRAFT_740509 MNETTVTSKKLATRRPWSLRRKLILGGALALAVLALALGLGLGL TIGREHDSDDDGNGGGDNGSPAPTSSPLPTPTGNITWTPKVNDTWQIILQSNMILDKD ATSVTPDVAVYDIDLFDTPAETIATLHRLGKKVICYFSGGSYEPRRPDSGDFKEEDMG KELDGWPGERWLKLGSENVRGIMKKRVELAGKKGCDGVDPDNVDGFQNDNGLGLTAAD SIAFMAYLSNLTVPLHLALGLKNAGDIIAEVLPIVHFSVNEQCVEESECDTFAPFVAA DKPVFHIEYPDGAGDQSGLKKSVVQKYCGDQGAAAGSGGFSTVLKKMDLDGWVEYCDE AVRITAVNSTDSAR CC84DRAFT_740571 MISSVYWSCALRPSRMILAREKNQMFSSSFHPHLPPWCVLPHRY MAFKKPFFLIALTKLTLTCLRLLPTRCTPQFPNSSAEMRDKIPQPGTSQPHRSLRTCV GRRIPMFCSCREWCSTPTCLCVLRATVFRFSCSRQTLHETLRARSCTKLFRSAQIPCS VASPLAKSLALIAPSIDR CC84DRAFT_740570 MDRTSRHAELLAETTPSGHDMQDALPTQDTVGCLQNRIVNLRGV VISALLLCFPSAAQKYLEQKFDTPIGIRDDCCNPMFRMYDFFHAETHFTHDCRVRKAF HQHGQLSIRCSLRSYRPTAAEFYEVSWHRWPGASRLGHMEHTKRTIFPQAVPRRRAAM LIALFTVRTSAVVRNAHALSEFLAVNEMGALMHEQSRQASIDSCMRKNTSSFTSCSFS TALNNIFELGTRTPCFATPGGICRVLEYPCWRPVPISLP CC84DRAFT_740586 MECYLDANTGASSPSSFSLSVTESPQAVSTPDTQRSVLFDEEIA ADPLDIGENASPSFIMVVGGLGYIGSHTVLELLKADYNVLVIDDLSNSYESVLHRIQT LAAEHCAMLNKPMPALQFQQLDYRSPMMRSVLASYSSYSICSKPVAVSTMPFPYSALQ RTDSGIDMEPEDTPEQTLQRQSRITGVIHFAAYKSVEESIRMPLKYYMNNVCGMVEFL GLLEEFGIKNFVFSSSATVYGEGANCGAPLREELCVHHHETFIDSDGFERQATPGVMG LTSPYGRSKFMCESILADIARSDASWSITALRYFNPVGCHESGLLGEDPRQKPSNLIP VIATVLTGAKPVLDIFGTDWNTPDGTAVRDFIHVVDLAQGHIAALAASAAGRVKAPFR AYNLGTGRGHTVREVHESLQSTSGRAIPVREVGRRAGDVGFCVAEVQRAETELAWKAQ KTLRDCSVDVWNFTKGRCPVPDEMET CC84DRAFT_1176010 MASQPYPLANMAVSAAVDPAFWTQPQQSADSSPLALHLSPSASS TTHDSQQSNTPPALTPKSDNERKNSATDQQNGQQGAQNGNQARTSVAVACVQCRSRHL KCDGGVRCSRCRADGVDCTYIKSRRGWKGKRKKPGENGAPVPVPDPNALPSGPVLNTN LTPTSHQALSPEYAFANELALANQLNSPHTIGLVTPPSATSQLNLNGSQRLNKFGHLG PPTPIQAFYHYFYNSHPFCVPQLQLMQLFKDRRAPLLEYAVQYLGSCYLAEMPTDMYK EALNRTIDSGQFPRDGFSVQALILYAVGLHACNEVPRSAQIFGLVQNLVVELGMNRMD YALIHGNNDRVLEESWRRTWWSSYTVNGMLTAVNPGVQFRLKDIATDVPLPCEDHQYF SGAIPYPHTLQEYDDSAFAPEEIIFSSFTYLIDAIRILGKVFEVARLDSQFEYHAVDV VDSYLVNWRLHLPANKLEIVNNDGVIDEVLFQAHMVNAGSTIMLHRPRSNLGFGRVEN VNICVQPGQVLLPTQTREIHTAKCLTSAENISSLIKLPGQLIYHTPFFTCVVVMASVV HLSYWSFLVPDGQDDIIKQSIRLDVGTLQQYSHTWDIAHVVLGQVRGVAHTLWNSKKA MSIHLWNNIAGDEVIRNVIEEGATVPVQQYNQLIAPMLKS CC84DRAFT_1205584 MQPSTAVAILAFAAVAAATPLNSLFARETDPKLFTLSQERQRIS LNCIPGVGCEPVEVTGGAYIHIPRYPLTPIPNPAFLLTVFPTPIATVSNYCNAGGCGA QRFADFEESWCEKDLPYCDDRTFRLVSAAEGDCKRAFDLTPEMQGQGYATVKEGDTVV GTCWVDFSEHYEGGSCAVNVVITSDSRVICRLD CC84DRAFT_1164335 MAEAAGSVPTFKLVLVGDGGTGKTTFVKRHLTGEFEKKYIATLG VEVHPLGFTTNLGQIQFDVWDTAGQEKFGGLRDGYYINGQCGIIMFDVTSRITYKNVP NWHRDLVRVCENIPIVLTGNKVDVKERKVKAKAITFHRKKNLQYYDISAKSNYNFEKP FLWLARKLVGNQTLEFVAAPALAPPEVQVDQAVLDQYQKEMADAAQMPLPDEEDADL CC84DRAFT_1164337 MDDLHAPTVASGPTTGGYSNGVSKDQLSLQELMAEKDRVEAELK ALGQVLDSHGVNMNTTLTTFDGFPRADVDVAQIRTTRARIIRLKNDYKDLMARIEKGL HEHHARLQEQAQNNTATSAFSLSAGGAAPAAVEAPFAKVNSVVAGSPAETAGLQVGDS ITKFGWVDWTNHDKLARLAQVVSQNEGLPIIVKALRPSAAGPAETIEMRLTPRSNWGG RGMLGCNLLPL CC84DRAFT_1119465 MAYVLSLRPHSPLKRSFSDNPYLASCSPLKDVAFGALRDITPRN ASACSLYSLGSGQAGGQLRGTENTPPPGSHSLLDLVPETDVHTHVGLNDNVPRKRSCE LNRIAPSFSKITTPTYPYSRRAKMTTQGSERLSSDPSSPEPMVVDNNTDDDTDFFDLY EAIHIPLPEGRWSDNTANEAQVNLEEHDEDVASPQPFRQWMSTLRCRHIHRHRDPGPE VPSVAVEMIDDQALLFPPVVPITERARRFSDSMSSSMGYVTAIHSASITVASASIAPR SDTPGFADHVRLGKRSSHCSEARISTESHGGALSTIIDEGAWLRSAQRRKVVEELIST EESYIADLKVLVNDYFMILSGVPALPAHRRAAIQRNISQILQLHEELLAELHQVVPEA DSTQSAHQEAYPATKAKHIRFHSADLMPGRFFEHKITRRLRHSLDIGRSPDRRPQGLV TDTKTIGDIARIFNKHMKRFFTYEEYGAHWTTMSQDLTSTCKGLHGWQEFERGVEALS KVVASENNRSTTSRKALSFPDLLIKPIQRVCKYPLLFGDLCRHTPVYDDPEAHAEIEK ALFRLQETIREVNKAKDDPKTRRLIEITWQLQDRLMFQEQAISKALVFRLLGHVLVCG VLHVAYQTPDRVKGQYMACVLYKSCLVLANAGRFFTPYSVVASIALANGSIEETDNGR GLQCHTAPHSWKLVFEHGHRLHEIIMSACSSQEEEVWKKQLRQRVVCETHEFAEGQST MQDMFSSLSLDIKSIGPLFGHADSLVRRMSVHRAATLGSKTHLTQVIIKNTQAQKSPE PSPPFAPNLVTRSQSHLSATHVPTLAPRRAERTRLETALEDVWTKDILPFPGMGNRRV ENQFRASANSVMRKLSMASIASNFSRRSPSFSGVSNTRSEDSISSRVHKMSHGNLRGQ ALADRRPAPAVVDFHTAPAAFLPNDFELPGTRPSSRRRRLANRAAGTERWSDKSTPGK PKRTRRLSSHLIGLPRTDTASRVGSRVESRSTSMASHSTALCPPDSKSKPVKSQENEK SNGKDTCQRRTCQDESFGSTPPAKKFLKSRSRIFKFWV CC84DRAFT_1050439 MPRGNEQQTKVHYKGKDEDFIVFVESTDAVKQWRGDKSVPLAQV VGGWKVFITHKHGNQGILDTASHSALENEFGTHKEEDVVQQILEKGDLQESDARERQG DTNITKG CC84DRAFT_1164341 MASFEVAFRNDSVEQGVFDAFNEHAGQQRIETKQRMLNIIRKWY SQHHVTVVDSASCSLLEFAAAGKATYSHEADDETFNATRQWMPVGSGVEKKTHPGRLQ DEFHFARFLFEWDGKKFLLFHLIYRDPFQSPTRCFYILHARTPSGDPNVQDGHCVETD ALILAAGKWTSLLHEEIWVFDNGYWEKSKELWKAVEGSSWDDVILDPDMKAGLIQDVQ GFFDNQALYAQYAVPWKRGIILHGVPGNGKTVSIKALINSLYARPDQVSSLYVKSFET KCNTEQYSIRQIFQQARRCAPCLLIFEDLDSLVDDNIRSYFLNEVDGLESNDGILMIG STNHLDKLDPAIAKRPSRFDRKYHFRLPGEKERTLYAEYWRQKLLRRNDGLEFPEELC GVVAQLTEGFSFAYLKELFVMALLSLVRGFKGDDFEIVDAAEAESAPEEEAPAAEAAS GAAAEEKKEDVCECTKKCYKCGKAVVEESEAKTKAAQKKEKEKDATKKHIVPAVDIPE HLGDNLLLKVVRHQIRILVAEMDNTEPEKWKSGKKEMSASEDYAARYRAMMNRRAARG CC84DRAFT_1244714 IDSLCIIQDENEDWQYEAVKMSHVYSNSYLNIAASDSLHGDTGL CFDDRPDVPNVWKVTFPPTGTALSAAMPWEDQSKINKLYVWNCITKRTRALIEESPLA KRAWTLQKRLLPPRTLYLRREQIARECRVSTAYEALPEIFEEGTIGLSPENFARVLQD YDPLEDIDNVKLWSNIVEDYSKRSLTHGRDKLVAISGLAEMLASVYGMEYVAGLWVKD LIRLLLWHKRHLEPSIPSNKSAAYRAPSWSWASADREVDIPQGFLAPLGGRKRNWS CC84DRAFT_1090620 MLGASWLAAQTSAHGGCLNYTVGDTWYPGYSPFDDQTFQDAAPW MVQRKWITNDPIFETTNISLSCNTPGTPARSSIPILPGQNITAVYMYWVHTVGPMIAW MARCTNDDCHTFDSSTADWFKIGERGLQDGTIELGNWFQKTFSNWDGSPSLWSETVPR GLKKGKYLVRHEIISLHSANKPQFYPECAHLDVGGEGSAEPGEEYLVKIPGVWSMNQP EINIDIYAPNVSNRTTYTIPGPPVWSGV CC84DRAFT_1196187 MRCGNLVALLLHGVSALAASLTQVTNYNNDAKAKPGMWVYVPDT VKSDALVVAIHSCQSSAQKYFQNSKIPWHQGSDKKGYVTVWPSSTTECWDVSSKASLT HNGGGDSNAIANMIKYAITQYKIDPKKVFVTGGSSGAMMSNVIAATYPDLVTAVSLYS GVPAGCFVSSSGAAAAWNNTCSGGNSKASPEQWGNVARAMYPGYTGARPRMQIWHGST DGTLSPNNYQETIDQWTNVFNVSLTPTSSKSNTPEKNYRTDDFGPNVEGIWAQGVGHS VPSHLDASEAWFGL CC84DRAFT_1187026 MAMSQAALKAEQAIGHGDNATTVQDVTNPDITPNAAGEGTMKAL AWMGKNKVEVIEVSKPKIMEPRDVILKITGSTVCGSDLHLLHGSVVELQKGDILGHEF CGIVDEVGSEVTKLRKGQRVVASFQIACGNCYYCDKKLSSQCEKTNANTIENAMYGGR TAGMFGYSHFTGGFAGGQSEYTRVPYGDVNLLALPDSVPDEKGLYLSDVLCTSWHAVV DTGVKKGDVVAIWGAGPIGQMAAEFSLINGASRVIMIDQNWRLDFVKDRYPNVDTLDF SSLGKGESVTSKLKEMCNNRGPDVSIECAAGEYAKGWAHYFEMMLGLETDTSELINEM ITSTRNFGSCGITGVYVGFTNHFNIGSLMERGIRLIGNGQAPVHLYWESLLKMVEEGK IDPLKMVTHRVRLEDLDKVYYKFDEKADGMQKVFVETQFSAPACKGSPQLKRF CC84DRAFT_1176020 MTYSKSRRQMAFGGQRDESVRTLPRGVQGLSHRLVGTSRWDFEE CHVDAIGPQSWRWLGQQRVPRAKLVNGFNSGVAAVPRHRRRGYKGCSEGFHYAQIFVL ILTNSTCRICPHQYSSWGFAPVLGTVPSSMITATSTSSTGCTEFEVETKQCNRALGYN TGA CC84DRAFT_1164344 MNIYEFTKSRSQASATEVIYLPPEANIGSEVPAGPGPRQDLQGV AHHIPPYSALPGVVVRGAQDPSCRGLTQACPFGPPGSLSYPGVVAYRGERDLLPNI CC84DRAFT_1051602 IVLKEHFTSLYSPARETAISKKNILVGWAKAGLFPFNPDRVLRT LAKPLA CC84DRAFT_1052555 SYTIPFRRDPDFVDRGTLLDELKEKCSAPASRVALVGIGGVGKS QLAIEHCYRTHETSLGMWVLWAHASSTARLEQSFHDIADRVKIEGRRDPQVNIFKLVH DWMCDTDERWLLVLDNVDDAGFL CC84DRAFT_1164349 VQVMETRKTKLGADHPDTLTSMANLASTFRNQGRWEEAEKLEVQ VMEIRKTKLGAEHPDTLTSMANLASTFWNQGRWEEAEKLEVQVMETSKTKLGADHPST LTSMNNLAFTWKSLGRTVEAIHLMQQCVQRREQVLGASHPHYLSSLLVLEQWEGE CC84DRAFT_1205593 MSILCNMPKLPSIDQAFTWFMKSTLNIEDLPVPKELFPKEQEQE QEASTSNTSNTSNTSNTSNTSNTSDTSDTSNTQTKTSNYKDHVRSIDDSLFIKLILSI WDPEGRNGIVVKVVAHDEGTYNYCVTLTLDDDDDDDDDDQMVVRVPFNGTPEIWTDDH AFEFHTEVMNQQYLCNKTKIPVPTVYEWNDGFDNVLGAPFIIMSRVNGCSAYENLWFD MDMWNWTEDGKLIIRGAGNPSPELEGKRLKFLKDLAKLMADLQNHEFDKIGALHIEDR WDAPPPTIGHYFQHSLDGRLVKTGPFSSTAEYLEHKKQEIYERDISYAEEEEELWEAK GLQKIGAIMCDALPRSVNKPVTDAAYETSPETFVLAHPDLDLQNIFVDENGKITAILD WSGLRTVPRWAGFASLPLFLRKDFDEAYECRLECSAKYPLMPWQLEPYKKAYHSYLKE ALDPMRIGSYNDAAWTLESGAIGAAVEALESVWYRNANIQRLLKELPQVRGIDLPGLR VRFGLPGGWPEAEVMLKGAFKSLFAHT CC84DRAFT_1205594 MVKLGTHLLQLLYWGHWDSSLNLNTRNIRTKNVGQTHHCSVCST KPKPQCYRELHLAFCIAKIELEGKPDICGERFCPKSPDGCGIHHYKNGFNEIIKDHWK NIKSISEALEELALAKKAQQLALESKEPECKPDIDYEQYNQRNKEKEMAAKRDRHEAM TKARAEFNTKSNTKAGTKMNQKYGTKFAKMAAKATKEAKGASVRD CC84DRAFT_1187029 MSRIERMQIQGIRSFGPQTGETIVFNTPLTLIVGWNGSGKTTII ECLKYATTGELPPNSKTGGAFIHDPKLTSYDEVMAQVKLSFRRKMQLNVRKNARSQKT LEGSLLMRRNGVKESISSRVAELDQIVPQYLGVSNLWPMNKYTKAIENIKVIRKVQEE NAKENQTRATKAEADMVKLSDKIEAYRTEHETAEAAAKEALKKNREAYAHAAKYEQVV AQLQGKRMMEETDQELQVMMEQYGERTQYRSYQTSLQQNRTSLGTKQSEVGKHQAEKD QHARNIQKRANLIKETARRHGIRGFDLDVDETQVVEFQQILRKLSRDQNKALEHAVNR LSEEKAGLNQRKDLSKSQITANDKRITELQKIMSNIRATEKDLDKASADADNADYDTR IQNIENTVHRLENQKERLDTELVEATQNKLKETKQSLSTMNAFRDVLAQKNNDVKDAE EKHSEQKNKRAEYTKYKNVVLDAIRKEDITDFDDTLSELESDFGAQLKYFEACLDTAE THNQCRLCMRTLKDDKAEGFTKAAFLIRVKNMVAKATQNLDANIDDVQAELEKVRNAK PSYELAKRAEEVELPALKAEHEKLVTINELKESKREIDSSYDEARELEDRVVALKKKQ KTKLQKVNTESRTAKAELAALSGEREKLRKTVSTLELRIRDINAELSAAQSSLKEKRN LAERIEEFKNSNTEQREVIRSLETDLLALDPELERAQATYKDVNRRGNERVNRVQEEA SKLSDNISAYIDKGGPQRLTRAQHEIEILLVDIKQIEDDMSRVARDVKKIEEALSSVE HTKQSIFDNLRYRKAKRTLETLAKEIEALEKQNAEADQAHWTAEGDKWDAEYQLLHVR VIELSTTMKQLDMQLVQDTKEYNKYYKNAANDYRKAHITVETTKAACDDLSRYGSALE QAILKFHTIKMDEINKIIDELWRNAYQGTDVDTVRIRSDNDGGARNRTTNYRVVMVKR DNEMDMRGRCSAGQKVLASIVIRLALAECFGTNCGLIALDEPTTNLDQQNIRGLAESL SQIIQIRRKQANFQLLVITHDEQFLREMNCADYTDVYWRVGRDKEQQSYIERQNIAEY VFTVSDNDYDLIRLAGILILDTSQDDGHYESAWPSVGHWYNHVRGKGMIKREAYSSIV EYFKTIKEEISREEFALPVFLREDWQPDYDVETTGHWPWKLKRYCQDYQRYLQETMSP DHPPDEEDNDARFIAVSDATWDALEMLEYKTWRVSFVDNLLKQIRRYVWSIIDSSCCR LGAGSGGRRVKGSCGFTYQICLCR CC84DRAFT_1176026 MSVEDMMPMEMDLSQFDDGSSSTPQANYSPFGGQQLQQALNQDS SMTGAGAGPLPTGFGAPSLNPSGSTLTEFTKRRNWSQRVLEELRDLLHILTPDGRILY ASPSSKALTGWEPQQLVGRFVGEFIHPDDSGIFVKEFNESIASGNPLRFFYRFRKTDE TWAIFEAHGHPHLSNDPSTFAPPNTMNCRGFFMMARPYPTKNAALLDSFLEHKIENER LMKRIAELKREEQEETDAQEQHWQKKADGVSSVTPSETVGQTESVSASAIAAYQAMPP PAKPGVSNTALTRQNLDEALAASKPDSINDKMARYEGATHLETIEMLTGLRYRDGERS QGISTGEHSPMLIRGDAGIQISLDRDGRASSDKKKKLKIADEYVCTDCGTLDSPEWRK GPNGPKTLCNACGLRWAKKEKKRQSQSGNGQSSHTPALPMHSSTNSS CC84DRAFT_741112 MKLRGRQLMLLAFGVFFVDLVNTTILLRAFFDKMYQANLTRLIL HRCRFLNSYRLDHSLAFIPCIFRFDTSQPSQARFQYDLVGYGILLAHLIVLLETDRLP RCVNCIERPRHAADRQPDLVLDDGRAGADAPDRTEHPGVTLIEFGGVRRGGR CC84DRAFT_1091379 MAPPEDIFVRAERGIQGALSHLPMWMSRFLGYRGKPAAPSPNMI VCIYGFIGAFGGLGIILAIFTESHYFTSRHVPAIVASYGASAILCYGAIDAPLAQPRS LIFGHFFSGLVGVIIKVIFNYNFPEDGEGFPRLMWLAACLATSIALVVMHLTKTTHPP AGATALLPCVDRNIHALGWYFLPVLLLSSFLILVSALLVNNIQRQYPKFWIAPIPPSP PAQPKYQQSSPPDPEKAEFSETPRSPTLPVSESHESKDTQ CC84DRAFT_1259262 MSNIKGTDLSPPQTKHGASRLLAASFLVIGVHSVPTNSPKPEST IAIRAPVPEPQLLSSLVGGLVGTKGALTATLVQALNGTLTQLLHPMPTSSPKDTDDIF SYVQGIQGATIGEGSQGINSFTNINSAPPRTIYPRAEQMDAKFSVSELALRSAIFIPS TFQAANAPSPVLLVPGTATMGGVNFDGIFAKILQADPSNGQPVWLNIPEAMLGDDQAN AEYIA CC84DRAFT_1063901 MTSTTAMPPYSNNSSIYLIPVTTLGVIALALVFLRIYTRATRIR KLYLDDWLIIGGEVLSLLSMAFAYTAIAHGWGKPMAYVTPYDLKLALMMQFGMQFAWL LSLCLVRLSVAASLLRFNSSIWWRYTLYFIMGLQSLITMSYVVIQLGQCTPISASWET VPDVKCWPTDPIVNYGWAVSAIYILMDLAFSLLPIKLIRGLSRSTAEKVLIGFLMSLG LLATAILCAKLTTFLSFGTGDALQATMVPSVYAILENIVGIIACSLPALKSPAERALK RLGILKEHQLTRPSFVNTVTLSTV CC84DRAFT_1259264 MNQILEIVKDVPEVPVGNIYWMIDHACRVDKLSLKVVSILLGIL AVSASVARLFFRYRSAQTLNLEDYFILFATTCLVAEMGLILSFTETMYRTDGATLNLS VLRYFTSDPELSKNLFNSGPSILIAYLTLGWLAIFSVKCSFLALFHKMCRNVSRKLTA YFWVTVAATGTSCIVVILESFILCPRFGADATQCFLENQYTFSISSGVVVQSLDIVTD LMIISIPLTLLKMSHLKLQNKVPIAIVLCLSSICVILSIARLAAGMHRNVFGKWQFGM AWLSFMLHCEASVAVMAGSLPALRAFYTSRRSRRIETTPSEKLNEKLSDSLKGKALRL LEAIRNKEQPVLPRHERIQPRASIAKWPQSIIGIIPRRPMTYKGGDLHVVGPDGQRYS AAESVMIDPTSAYHNIRKQELQRDGIMVKRETMVWSDSARSKYASVDMSEAISHTGTI ISSAGSSPELKGSDLAFPARAMSARKVNYFIDSQFGQITAGMRS CC84DRAFT_1196195 MTYAKYCLPPGYSLPPPIAVQPLLLTAELEHALKSRTWYSKTTI AIKASLGGKLIFHHAHRASHEDAANLYDTKIRIASVTKVFTVLAVLLSRAEIRWEDSI TKYIPGLDEAAYADVTIGALAGHTSGLGRFGYTGDLALIPGFSPAQLGLPEVTHTLPG CDAFPGGEVCSRFQVLDMFNDPAYSPTTINTEPLYTNIAFNLLGMALEHVHSKPYEQI IQELIFAPIGMHASSFETPNAIDGILPQAGERWFAAPFGNFNPSGGIWSTPNDMLLFL EALQSHKLLSAAQTRKWLQPSSLLPSLHQLIGAPWEIFRPTDIDVAVPRPINLYAKAG GVAGYSSYAVLVPEYNIALTIHAAGNDATRAVQDMLPLIAKPLISHADEQVRSRVSVN YAGTYRSNDDNKSISLVVDDGPGLLVQSAIMNGVAIIPALAKMQGLDPSNATARLYPT DPDSNGSNKEHWSLLLDRAKDGAARGFAEQECASWNWGDTARYGGQPLDRIVFHMREG KAIGVALVGWRTTVLDRLES CC84DRAFT_1244755 MAYRCVLGLLTLALSCRAEPFNTFDGPGHPACNNVAKVYNATSV EEMQTIVRDAAESNTPVRASGKGHMWYDTMCSDDPTTVIIRTEDVNKISDLDLPEGAS EGSVMIEAGVTFLQLAEYLHTNGASVGYTLVNWNITLAGSIAMGAHRSSLREDSMVAA GALELHIIDGKGNITVVKRDNSNDDWLAASTSLGLLGVIARIKFKVYPDFKVYAQQKI LAEDQVLNGDIYGMIQPYATANLWWWPFLKKFHHRYYDKVDINNSTQEGFQSTFSVTK TEADLALGILNAGKYLATSNQLAETTFFALWSAPNFHEKTTDKAILTWPVYGWNYDVL IGGLYPGYGTEWDYGLRGLTLELAFPVTQANAVLKRVRKAFDDSAAAGKPMTSTYRSG INIKFGKAYFDLLGQVTTNTSDGADWSKGAIMFDFPSFQPTIGDKLRYNEPFYHNLAQ ALITEFPCRPHWTKNTREVFTLAKDKIDASHLARFKKVREKFDPNGIFKSIVGQILGL YD CC84DRAFT_1244758 MSVSDEVKTSAEHHEAILEAKEAANEERQMTLLQAIRLYPKAVF WSVLLSTCIIMEGYDIVLMNSFWAQPAFSRRYGQFNAATSSYQISATWQNALGNAVTV GAIIGAFANGWFVHRYGYRPVLLVSLLSICGFVFISFFAPNAPVLLVGQFLCGIPWGV FATTAPAYASEVCPTVLRGYLTVYVNLCWALGQLISAGVQSAFANNDTQWAYRIPFAI QWAWPIPLFAILSLAPESPWFHVRTGDLGKATRMVARLSSPSKRKDARKTVEMMVHTN DLEKSLEENTSYVQCFKGIDRRRTEIACMVFAAQPLCGSAMGGTPTYFFVQAGVPQAI SFKMSVGGLGIASIGTIVSWVLMSYIGRRKLYLWGLGGLAAILSIVGFISVGASRSSA GNYSQAAMMIVWLCVYYLTVGPICYAIVGEVSSTRLRGKSICLARVVYYVAKIISDVI NPYMLNPTAGNWKGKTALFWSGCAFLFFIWAFFRLPETKGRTYEELDIMFAEGIPARD FADQVVDAYAEHDHAHGRRK CC84DRAFT_1119501 MRSTPTQAPITRSPECLDPLSNISNEALNLDYDPMAWTFDTDQM QFPSNARQFGLGVSGINWLSPHFADGIDLDTLFAGMASSVSVQGLPDETIHRPGIMEN RSSAGALLQGLSESDKGTGSSEYYVAGDGGRAPFKGRSHRRGSVLSSVPSHESGNEGS VPSPLLHNDVVSSLCPFSAYENLCQAIAAETCKQGDDRSDLRIPTHEQMQIHVGRYFQ SFHPIFPFLRRASFANDSSEEWLLLLAVSMIGSKLTNRPNHHEEEDSIFQLLGKALQR QWYGSLPECHSGDRQELFVPGEPTRCFRNPPNLRVLQAGVLNVICMLHSGEKDLIERA LDDRYRLVEACNSLCLLSEDNENIALNVGMHCSEEAIKKWIVRETRIRTGMIIWLLDS IFVYTLQEKPLMRLEDAKTILPSHEESYEDVDILRTGKRLPCNMTMPAALETIYIEKT LPTDLGEFSHVLLINAIYRHTKEILEREQNRLNTWTPTALKQHNPDNRPSQMSYPPTT PTAAQWRNSACDCLDVLHWVANSKVARSAGFEHHTILHLHLARITILTPIKSIQSYAT HTKSLHDPPETRDNQALYTARFELLHWVIRDQCKARLSIIHCSALYWHVRRYSCDSIS EPYAIYIATLVLWAYSSCLNLPEVVATTIASSGQDVEPSFLHLDRPIDDELVQAFVRE GNKMAAYISKIGDIRNHSAPAKILKEGIALLAGSSQPSPEGMEQSDEICYTWGIERSY VGFLRQLS CC84DRAFT_1092346 MSTSPARGITPAFPCAFCDQSFGRKEHLTRHRRIHTQEKPFRCS SCTKCFSRLDVLNRHISTHEEQE CC84DRAFT_1244765 MLFREDDRLVFKYDAEQVWIEPWGPNALRLRATKMASMPNEDWA LLKAERSDPTIEIGKDSGMISNGNIKATITKRGKLTISNENGKILLEEYARHRRDVVD PKCSALEVEAREFKPIIGGNYYLTARFESLDPKEKIFGMGQYQQPYLDVKGTQLELAH RNSQASVPFAVSSRGYGFLWNNPSIGNAIFGKNIMSFEAQSTQTLDYWVVAGDTPAQI VESYANATGKVPMMPEYGLGFWQCKLRYQTQEELLQVAREYKRKELPINLIVIDFFHW PLQGDWRFDETYWPNPDAMIQELTSLGIELMVSIWPTVDKRSENFEEMLEKGYLIRTE RGVRVAMTFQGQTVHFDPTNPGAREYIWEKARKNYFSKGIKVFWLDEAEPEYRVYDFE NYRYHRGPNIAIGNIYPVEYARAFYEGQTNEGQQNVVNLIRCAWAGSQKYGALVWSGD IASSWSTLRNQLAAGLNMGMSGLPWWTTDIGGFHGGDPNEPKFRELFVRWFQWGTFCP VMRLHGDREPRQPKVGSTGGASCRSGADNEVWSYGPEVYEICKRYMGIRENLRAYTRK LMAEAHEKGSPVMRTVFYEFPEDSVCWDIEEQYMFGDKYMCCPVLEAGQDAMTVYLPQ ANGGSRWKSFWGAEEWEGGITVDVACPLGIMPVFERL CC84DRAFT_1145697 MSKTTNRPDEWKIEQGLKGAVLPVLDMTGSETKELAPQVFGELT KDKEAIAAVGDRDKLFTRERKGWVGFVEWENYPEKKAAAHKILTSQTFPPNPEFQLGP IPDTNPVLPGTHWKMWHHAVGGELTKIPDDSWDLVLKEKHPDMLHLLQFPYNGEPPKR LVTAKEVTPNPLHFVRNHGGIPIIDKEDYSFTMDGLVKEPRSFTLDDLMDEAKFPRVE KTVTIQCSGTRRIEQILKYPGQGDEVPQAPWAEGAIGTATYVGISLKKVIKACGGLVD GAKHLEFYGADTYFKDDEVMNYLVSVPWSKVKANEVLLAWEMNGEVLPRIHGYPLRLV VMGYIGARSVKWLYRIKAIKNPTRAPVQSKEYLYFPQQVGKHNLKMTDGIQIQEMPVS SAIMSPWTKQVVIHNGKIRCKGWAYSGGGRWPERIELSNDGGFNWYTVPPENMSKKRK WTWRTYEFDLPCDVEGWVEIVCRCWDNALNTQPPDVRTAWNWGLHVTSSCHRISVYSV NKTKPLTKARLDEFEKADIPFGPITVPLQFPSQTWDEYEKYWQEHDPRDAADEI CC84DRAFT_741308 MSTSGESKQPQTQYYTISNFEFKNGDTLPEIKLAYQILNPQNAK VAIVNTCFKGRLNGTLTHSSGAFKDHKIILIALLGNGESSSPSNTPHFPKTIEYMDCV RAEHALLTKGLNIESADAILGFSMGGQITYHWITMYPEFVRKAIIVCSSAKTSRHNFQ FLEGPRAALENARDEESGKYAFGKAYSAWLTSAEWFDEELYKAMGAQSLAAWDKAVMD SYHDWTGKDLLVMLGMWQRGDIARCVPEAKGDLEHALQTITAKVLLMPCETDQYFRPY VNERERNKLKSATVAAVPSVWGHIVGGDADKEATRWMDDKIQKFLQE CC84DRAFT_1164362 MSILTCCVPPSSSLPPVRSLRTVSEDTIASALHSLQALYCPLRL PATLGKEKEAANAPVDSGYVSEDEDEVAPLQRRYSTTEDAFAALRADPYEKDFTVRWL TRLIARASELPFDSEEAREKAVDDAAFILSSFSDSPATEEDEALTRDFAFQTSHGSDV AIQINDAPLTGTDHTDVGLQSWGASIVFSELMCKYPERFGLDQLPRDASIIELGAGTA LISLTLGKLLPSMGAKAQIRATDYHTAVLENARTNISTNFGTCNTPVDAMALDWATPP PALAASANMLFAADVVYAPEHAAWLRDCAAHLLAPGGTFWLVVTVRSHGKFNGIPDTA ETAFEDFESTRKAGMALRIISKEMLEKKRGVGRGDENGYMLFKIGWMDA CC84DRAFT_1145702 MGMRVLVFAWLCLLMLGNVRGLQQIHSRELGIADIPPCGLTCLL PSIADSGCGITDYQCQCGNTILAKRVSACMLANCTMEDALGFSQVQDDVCDLSEDSKS NTVLICTCVCYPLAIFFVTLRLVGKVMSKRVRLEDWIIVSSLILAAMPIACVVKMTTI GFGEHLWNLKPGQLLHSLRYFYIAWSTYVIVLAMMKASLIMFYLEIFPLKRVRIIAYI ILGWIVINSLILFFLTIFNCRPVNAFWDRDIKGQCMNINALAFANSGTAIAQDIVLLI FPLVCIRQLKMQRARKFAVGLMFSIGTFGCIASIIRLQSLFTFEATLDPTWDYVPVTI WTEIELACGYVCVSLPAIRVLIGRVFHTSIFSSMARSRNTNDMATPQNSVPKQMPKAI PTQVKKKRQTVWMRLSAAAYKDSPGSPAAVAWPAGWSPRPWSGPQRTPTKSHQRLGSD HGSVCNFSHVRTCTPPVPDQTSRSGHDVELGIVPQPPSKAAACLSCGTDNGYLTALPT LGCLPDRNFSNTDLRRPVTSNSRWHKCSVWNRTAGQG CC84DRAFT_1259269 MPAVNVTLKDGASPDQLEQAKKKVQDQGGKITNEFTLIKGFTAE FPEDKVGALETNEHITVEADSEVKTQ CC84DRAFT_1145709 MSEAQANRPHRKPKDKKAPTTGERNPKAFAFNAPGRLAKQAARS HDVKEKRLHVPLVDRLPEEAPPIVVGVVGPPGVGKTTLIKSLIRRYTKQTLSTPTGPL TVVTSKRRRLTFMECPADSLASMIDIAKVVDICLLMIDGNYGFEMETMEFLNVLSASG MPGNVFGILTHLDLFRKQETLKLQKKRLKHRFWSELYQGAKLFYLSGVANGRYPDREI MNLSRFLSVMKNPRPLVWRNSHPYCLADRFLDITPPTDMEEDPKCDRTIALYGYLRGT NFPAQGSRVHVPGVGDLSVSSVEALPDPCPTPFFEKQLEKVTGKKKRARLGDKQKILF APMSDVGGVLVDKDAVYIDIKNPTFDPDADYTERGLGEQMMIGLQGGRKLLGEDDAGM RLFSGGKTVDGTADDDAADSGRKAHRHARMADEQNVDEADLDGIDSDYEELQQDYESG DDEDDTGFLEGDSIPNGKPAFARRRDSERKDATAEDLAFADSDSDLGSISGEEPDLDE LENDDLDSVDFDEEDEDGALRWKDKLRENAAKMHGRKRAYQPADLAKLMENLELSPAE VLKEWRGEEDDADEVDDEDEDDFFNKSKVDDAANAEDRYIPLFDYAALEEKWEDDENI EALKSRFAVGHMDGKGGDGDDDDEFEGFEEDGDEGDGEFEDLETGEKFGGKQATEQAT EEDGDATAAIEDEREKNARRKEELKLRFEEEDREGFMNDKANARKDSGQEEEFGEDDW YDAQKAQIQKQLDINRAEFDQLDTASRIRVEGHKAGTYARIVLEKVPYEFSANFSPRF PVVIGGLTPTEERFGFVQVRIKRHRWHKKILKTSDPLIFSLGWRRFQVTPIYSIHENR QRHRMLKYTPEHMHCHGTFYGPLVAPNTGFCCVQSFSNKTPGFRIAATGVVLAVDENF EIVKKLKLTGHPYKIFKNTAFIKDMFQSALEVAKFEGASIRTVSGVRGQIKRALSKPE GNFRATFEDKILMSDIVFLRAWYPIKPHRFYNPVTNLLDAVLSPETGESTAWSGMRLT GQVRHELNLPTPALKNSAYRPVDRQERHFNPLRVPRKLAADLPFKSQIAAMKPQRNKT YLQKRAVVLGGEEKKARRLLDQVMTLRNEKVEKRRKAQEVRKEGYKRKIAENMEKKAE REKKEKQDYWEREGKKRRHQEGGEGGGGKRRR CC84DRAFT_1164364 MAHAQRPLVIQTQLVRQFCPRNVRRPGAALFARGRQHRALSTAK VTDHDISRLASLPLHPLTLADLVRHGRPPLTTPQLLTSANFTLSILPARLAHRIQSLR NLPFIVVSNPHISKIHSNYIHSLSTLLPWAEREITTLEDEVNFTEVMADLVNTHSNTI SILARGFLEARKYISPTDVTRFLDEHLRARIGTRLIAEQHLALHLSSQPHAETMHESA HSEGWNGVIDTALRPARIVQHCENVVGDICELKYGVRPHVVINGEPDYAFAHVPVHLE YIITELLKNAFRATVESGKEREPIEVTIAPLPEEIHEPRDADAEDVRPRVKNVDQGTT DIASNRWTGKTPTAPSSAQILPLAHSTPGVTIRIRDRGGGISPETLPQIWNYSFTTFN DDRASSTLSGRGNSMDTLSAFSGPGGDGANSLAGLGYGLPLGRAYAEYFGGGIAIQSL WGWGTDVYLSLRGVGKVEEESWKYRYQKKDGDAK CC84DRAFT_1119522 MELFASIALLCFVISGTTAIGQPASIAFSETEGTLKLGGTGQAP TIVIDSKDWPGVARAGNDLANDFGLVTGTKGKVASGTSGLSNSSVIIAGTVGKSPLID SLVSAGKVDVSNVKGKWESFTSTLVENPVDGIDRALVLVGSDKRGTIYAIYDVSEQIG VSPWYWWADVPPKKHNALYALPKPKTQGPPSIKYRGLFINDEQPALTNWVKSNFGGVY DSRFHAKVFELLLRLRANYFWPAMWASKFHVDDSKNGPLADEMGIVMGTSHTEPMARA DKEKVKPWDWKSNQSNLKKYMQDGATRSKNWEVVWTLGMRGDGDTASPTLDAKTLVDV FTAQQGILKSTLGVSSLNAVPQMWCVYKEVGGYYQAGMKVPEEITILWSDDNSGNIQR LPIPAEANRIGNAGVYYHFDYVGDPRNYKWINSIQLSKTWQQMHLAHQKNATQIWIVN VGDLKPLEIPISHFLDMAYDMDNFMKPDSTDEWLASWATREFGDKVAQGTTEVMATYG KLIIRRKYELLNMSPFLYSTTNYDEAENVLHEWEDLQNKAQKLYDQLDPATQISFFEM VLHPVMAGRVVQQVYINAARNKAYATQKRMSANELAADVKAAYAEDGVIQKRYHGLLN GKWNHMMDQIHFGYNNWQDPSSNTMPSVTTISTTAPSSGLMGVSVQGSTASAPDSTPF LLALSPYTPENRTVDIYARGSGSTDFTISSPSPYISITPSHGTISYPSGTSTIRATIS VDWSSAPNGTSTSTIAITPKSGAPVTLSLPLNNVAVPTDFKGYVDSAGAVAMEMAYFT SRTASSSGASLEVIPHYGRTHSGLTLLPVSAGTQTTTSGPSAVYSFYSFTSAASAKVV AYLPPSFNVNPSSPLKFAVQVDEGTPVTVSPVPGSTLGSMPSGWSKSVINGARVVKID VGKVEKGAHQVKMWLLEPGTVVHRLVVDLGGVRDSYLGPPESVKVGF CC84DRAFT_1217245 MLPLALLLPALTLATPAPSFASDTSTDMDAVIRGGTPCASHAVL FARGTFDSGNLGVWVGPFLKDSVLSALAGDVHVQGVNAGDYAANLADYVKEGGSESCA DACARTVDAYVEKCPGANVFVSGWSQGALCAHKCVNRISPNAATQLKGLATFGDENAL MDEPELVPSGLPFKAYCNEDNTSPDLLCTQSALSGVDLPSSIAAWKAEVYDNLALLKD VATNPAQLKAAAALPVSILSGFFGVSRYFLLDVATGNVRRWLVLPPHFVYGNNGMADQ AAKWMASLV CC84DRAFT_1145718 MLDHFTFNVPAAQYDAIVAWYLAALAPLNYTKQFDYPGHACGLG TSPSSAVFWIGVAKDGAAAAHGFHLAFKAEDHETVDRFYKAAVEAGGKDNGTPGPREM YGPNYYGAFVLDPLGNNIEVVDKTAH CC84DRAFT_1164368 MPIFHEPDEGRAERSPPAQVPPALHHFERTWRLSNGWERSRLDC MSPGPAAPSVSGPDVEAASPTQLRSPPLLDRVELIERLKRVQSPPWQHRVSGRLPAAD LVHQDGHRDGDTDSRPTSQDRPKTPLLSHPRYKTSRPCTPDPLRDHASAGLEIERPRS ALHSGDFREEKEQQLRQDHALSPTLPRSTSPVVPWHHSFPAAARPPSRPDATSPFEQT PTPEQRSASRARAISQASLRSFAFLPPTSPLVQQSNNTDLDFSSRPGSRQSSRSPERS NRRHTFSPQSFGAFSSSIAVASALGTPAARHIRKGTALPYQAHQPRRSLTSLHQFQAH SSPQTPLSRPHRPSFSSEASPLHHAPMVGSYEESILRGRMSTTPSRPLNFIAKIGVLG RGQCKSNLKCPAHVAVPFPAVFYSYNTSNARISDDSPSPYVGLVDLENSIPPPDECSE TIRRKRRHTVPDPGHDDLDIRLGNADDAGTKPQKDVRRNEKKKRRSTSPRAPPGGSYR IPQQGQLQIVIKNPNKTAVKLFLVPYDLSDMEAGQKTFIRQRSYSAGPIIDMPLSSRK NLGTDRPEAALSNSDDPNDRPILRYLIHLHICCPSKGRFYLYKSIRVVFANRVPDGKE KLRNEIQMPEPRYSVYKPTRDSLASQATSSAAVQLTSEKALRRASAGLSLSHQSFTQA ETMAPRHPQLPPPAVKFTGGPSPNADVTSPMPDMEPIPFSLTRTSSIPSRPVSRELMD IDVVSPFRSRGECASPNAVDASKPQSRDDDNTVFGKLNRGDIGYGGNAFCGVGGSPLS PRSGLLARRLQGLDVEPDDDFMP CC84DRAFT_1217248 MAPKRPHDDADHGRPSKKANKGFSVGPANLPDGTHRRKVQKIKK SLIHKAKLKKEYRKVQAQEDAPAQNTAYYGNSEEEPGADMPEPTLEPHPDRVKMLEEP EPEPEPVPRFASGPRKQRRPRPQPFEKEAELGRQRKERAAAEQKAREEAEQERARKLA DRERFRKTMAKARHGGPNGQRKLGRESTVLLEKARRLVGKS CC84DRAFT_741623 MLSSSAKSSGRLPRKWTVAEDHKLKEEVEAQMVEGEVKDWCRIA AKLPGRTNKDCRKRWHNSVAGGLKKGQWSKSEDVQLAKGVEQYGQRWTLVANVVGSRS ADQCAKRWQQSLDPDLDRSEWRDTDDKVLIDAVQKLGRHWKDIQRHHFQGRSKNCIKN RYTVLVRRYQNQGIILPQPAGTPEPSTYSATDDDDMSYGSTTYDDLLPAHTTQVSTPE TQHSWPTDSSYSAWTSQESFDVMTSTAPTYPHVPLTVNVSQGAQWDWATTSMNTSHSP MMALASPHIYPHVSDHATLSPYDHYAASPAMLSPTTAMNYGGHVARGPTSSPISSNAS PSYQDPHAMAQFMRYEMRRDPPYQY CC84DRAFT_1164375 MTSSSTPTYFQTMSPAPSSTASASQPTAPQPSALPPSSETSHLP QCEKTTHDATFDSKVKPNRKPLLRLELRDLSDDGTRAFLRLLHATTALEDAVDAVLKQ LYIGLKTHCIPPTRSITLVLRSMDGVAYTTGRDIDEDHKEIHLSTNYINHVPDSRKKE EIQGVIVHEMVHCWQHNALGTAPGGLIEGIADWVRLKAGFAPPHWKRSADGDWDAGYE RTGFFLEWLEAAHGEEIVRKINDELRGCRYDSDEFWHKCCGKSVGHLWSEYRSSLGDG DSKQTDAKST CC84DRAFT_1164376 MSQNEKLVFFFDIDNCLYPKSYKIQHLMSDLIDKYFQNHLSLSQ EEANDLHLKYYREYGLAIEGLVRHHKVDPLEYNAKVDDALPLEDIIKPNTELRKLLED IDKSKVKMWLFTNAYVTHGKKVVKLLEVDDLFEGMTYCDYGSEKFYCKPHVEMFDKAM EQAGVKSNENCYFVDDSFINTKAAQERGWHTAHLLDPEDPEPSTRAAKHQIRSLEELR TIFPHLFKSKSTTNGTA CC84DRAFT_1164377 MSTSTAPPLVNGTSVVPPEDWREAEFLQKLLHIRDEVFAGKHPR IRLPPRVLEQVAPRPPQTAPTARLTINGTPNGTSNQQMFPPRPETSLSQIPAPNEFVS PVAHTSRPFSAKSASSGIDPVLLTKSDHLIRAELQLKRQQLERALKDQVDKRGRANDE EREVLDVDDLLAQAHRLVKPISGLRPPTADSDGESFDNSYYSSKADSWSSDEIERNHN SNTDVAESLTQQGKRATNEVQQVVAPFQSGPASPPVIDLDDEPYEPGDDILEIYEPED AGANEDLEESDYSPPPADAGPSEPRRQHGRYNGANGSSRRQSPKGPVPPIQNSRKRKR EWKKGNNSSNNKQRAAQSPEPYIKEEPQSPPTFAAYSDPPSSKRRALQPLPQEVEVVD RVQPVYYRDPEPAARPTRRYEEPLSPSIARLPQRRDDQDLRRVASVQYARRPYSPTST DLYAAPSPRQSRAASNVYAERAIEQPVYREASIRPSAAPRYIPDHPQEMEYLPRAQSP DNMPMAPPRRSIVVDQYGNQYYAAPVETRASVAPSARRIEMDPYYERAMTREPVLRVP ARTELYEEGDVQRMPPPPRRYADVSETELVDSRAYRREASHRPLEVEYRPHELMERRP TAQYEEMGPPREYLPSRAYSVRPEVIRREVPEGYVRHESVQPGHVRVAAPRLRELSVI RHEPSDDRQYAFATPQRRYADESGLERPVEVVQERYATEAPRRPTYRY CC84DRAFT_1187051 MHKISNFTGQARHGWEKMAPSAGFPFSRSNHEMPVTAPIKRPST ATSAPPVIPPGTQVNLSFNVPFASNLAGPDVDDIMYASPGAKARWTFPEGTAEGTPVH KLPVHAQNVENLRLMCREVQEQTEGRVAAAVVSAESKPLPGLQRGPLRALVTNVCLSG ELEIVNQMRCKVLNSTPISLRSSFVDIDSDLIIDRTSQHVKGPVLNQLDLIAKTTKAD IFVLMPKQPDIESASFNGNLETGMDSRLRCAIYGDMETMEHAKTRVLIMIDQILKRYV DTMKLELTMHTLICGRGRKNIKLIESATGTAIYFPPPFPRLFGYTPAGAQRRPDDLIY ITGENSECILRAKQRLHDLVMSTKVFVKPVMVTDTKLDAILLERLDKVRKIIENNGSY ILLPPLGMQRGVVRVQGTDVLHVERTVRELMALAGQFYSASWFITHPDPSQRPPTAAD VRAMLSDICINSGAEISFEKLNFHIYGSDDAVKAAMMVINNIPFVKKSQYNMSVKIEL ANEHKEFVSGKKNGKINKIMSHSSVQIVFDGFNEYNFYIVVRGAQYDATKNGLDLVEQ EMPAAISFHVPDQYHKRIIGIGGQHIQRIMKKYSVFVKFSNAMDRGGFGKEEDDIKVD NVICRTPARNAQNLDLVKQEIMDMVEKADAEFVSETININRLYHRELIARLDKIDELE KKFNCKITFPSTEEASDIVTVSGPEYQVPLAVDEFLGMVPETHDLAFPASKELGEHLR SADFKTDVLDKLRDQHVVEAHVKETQTETAEDGKEQQIETLHLSFTRNNAGGLKDALD FLINNLVMHGLDTNTIRGALPRPKSDSFEESLPFFESKLLQRAEPPVDSTDSPTRSHF GDEERPGMLDRLRKPGSMSSFTSLFNSRRNGTNSPGSLFKHASSNASKASLASLESQG SGYRNPWNDSGINLADDEHHTNSWPMPTPRFESKFPFGASSAAPGDVTPRYDPRASVD SGRPSTSHSASGYPGPIGPPR CC84DRAFT_1061823 SFPSDKRSKVYEFQDVLSIVENPSSSTILIDVREPAEFAANSIP TSLNVPITSQPDALLLSEEDFEDRFGFQKPPKEKNVVFFCKAGVRSSAAAQLAKLAGY TKVGEYRGSWLDWERNGGPGTR CC84DRAFT_741893 MNNFQMPCTPGVDVQPVANAFEVTPHEHGYNSSAQLGYDLEELE MTSPPSHASVQTLRPLAPHHPWAEAATRTIGTAAQPRSQRRSVHDCDVPGCSATFRRP AEFRRHKRTVHMRHEAQEFECIVKSCNYTYPRLDKVREHMKRMHGICLRVEKP CC84DRAFT_1244808 MELFQCQSCSKQFRKLQLYNQHQNTHSKPHRCNQCQKGFGLRTD LRRHERLRHRVAQQMYSCTVAECDFEATRKDNLAQHIRRKHQFASNPPSELRNTVRHY PDKPDATAASFALERYSISIFDAAAAGNIALLEQLHEVGVDLFTIAADGSNALHAAAT ATSSGAIRYLLSMGVRPNECNKMGRTPLQEAARAGNWENMSVLLSREISRPPATLLGS YLVQSENLHAIAAFIEHYGRQVIDQGDEPLLHVAVNRKSVAVLRHLLVHRGLNINASD RSGRAVLHYAAKLGIAEILQLLLAYPGIDVNAWPITRKFNHHDTPLDIAVRRGQEVAV RILLSHDSIKFFGGIPWPMHEIIASACYRQYQTGQVLIEDSRVQNNDAVNSLVRAIAR GHEYKIIDLLQKDVLAATYTLDDTCLAPLSWAIALGHRTITKRLMDVPAIDVNYGEYG FTPIEIAAANGDSDSLLLLLGHSSFSSGESSVYEAVRGGHPRALELLLSKERIDPNRN HPLVTATENGSIEMPLCGVETSTSSGYFSSMKEDRRSILMLPLEGTGRLRLLILLFGK TLRKLSKFSAAWGRKLGWNLKKLKNLRIALK CC84DRAFT_1187053 MRVFEFLAVGAALGLASKVERVVDLGYAKYKGRSVGDGTTQWLG MRYAAPPLGELRFRAPVDPLPSKPNTIQDASKPTKRFTVGEDCLFVNVFAPEGKKKGL PVMFFIQGGGFTSNSNANANGSDLARIGDMVVVSINYRVGPYGFLMGDEVVNGGGSTN NGIRDQLKALEWTKKHVSKFGGDPNHIILNGASAGATSIVILMGSPSVKGRKLFKGVI AESTALVQLKTLEEGQVQYDCLAKAAGCSGNSSLECLRKANTTALLTKACNFSPSSDK DILPSPLLMNAAYADTLRNTPSIFGTTADEGTDFAPNTTNSTAEFRGILAYIAPFLSS SALDGLVEMYASGPQPAFPNVGNYWRATSNAYGDIAFKCPTRAYAGQSSWVYDWVVPD PEDEASGSGAYHTVEKHAIWGPNNTDGNPPKSYRPGGVNAGVVPLMQGYVTSFVRWLD PNVGRAEGAPRWEKAKDGKTLRIGGYIGMSSSSSSDKPSGSRLVGLKKSLYGSNGPSS ISWDT CC84DRAFT_1176054 MSARTPPITVSPPQRLPGHSMTYATAAPATAGGNANSPPVCQNC TTSTTPLWRRDESGSVLCNACGLFLKLHGRPRPISLKTDVIKSRNRVKTGGPGARKKP GEMNGLPAAHPDADAFQGLAQHRRASGKISSGMSDRSHSPISRTGTPGFPHPSNIAPQ HMFDGALHGDFHSPSLPNFGFRQPSPGAMSLSNGSHMEAPPPYDTLAAQNTALKTRVS ELELVNDLFRGRVTELESSEQAARERESQIRTELEEAKQREADLKRRLDELEGEGAEG PRHKKMRLSDLVDESRAGSPISSLTE CC84DRAFT_1091785 MDITKFVADHREAAFLVGDYSSYRAQLSRRLRIVRKKLGRATAK NAKYTGKAPVTAEEVGKNVEFLHLQLLTSERAWAHAMSMKTSHSEDNADQNISGSTRQ HIISRLSKALRYANDTVKLLEDRAASGASDIDVLEARAYAYALAGAQEFEKQAEGIRA KDAAAKRWIPCLANFSAARVIYSSLFKATKKDLFKEVLVGTTDPSIRYAAYQHRIPRT VGVPSVAKKFFPKEDADLVKAVEKLDPAALQDEETTASANIVDAAIGQALVSLESATS KLAESLPASPSTKDRANAYDDVAIYTQDAADATRRAIEELEKEGVDEGDSRMQDLRVT SLAVNYDLIAWRVGRYRVLIGTDDGLTFPPNSPQKPKRARKDGKEWVEREEPTGRKLA RLRERVALYDAILQSIDSVKDLKGATRDAGFVAELDGQRAYYQALKCLNLSHSHAFLS APRQALALCNRALSLASQAVSSPKPTASSSDAPKLTVSDSQAQTLQQNLENLTLHYRG LVALSQLSSNSDIASKANLTNAAPVVERLNEYPTSGSVDLKNLVTWPPKLKPVPVKPL FLDVAWNYVEYPGQQKKAQEPPGEKPQAETVQAEEAKPAKKGWFSFGR CC84DRAFT_1164381 MLHSSFWHHGASDLALPSWWAASTVPDHTAGDVDDSGRSRPTTR SYEGPLLEFLYPEKTLAFIRRLSSYGPDAAEIRRRGMLGAGTRQYSTSQWQPSSEEPV DDLETLQAKEEMQELLLESAPDEALRKLLWSKEADKQELAWQLYSASVDTSFSTDFIC DLLDYLDNNICSRTANRILQLFERIPPEHRRASSYRLAVNAYVALKMIGPAIQHLEEA SERFDPTRAGIDVVLKKTIQDDQWDLSLRVFKGFLRWAERNNIKVSEWHKTAAHGQKH WDNWGPLFGQAQDVLEPREHLRNFFSYVNQFQHELNSTTENTEALQLFVHGYVPGVMW EVVNMPEPDEEYIYNFFTGLFKDLHALNLPMGPLYEYIIPAFLQIPRYQGYTRKRKIF LDLYTTWRQHALDGHCRRPSRSVMHTLIIQHARHLSYDRVDAMVEDLKSFHAREPFNV AVLYSLIHLYARGGLVDRVHECFDILRMRFPSAVDLHILTSLPYAYARRIDVPGAIAQ FKRITDEFNLVPNTASWNALLLAFTRADDLDGALECFNNCLESGAKPDVFTFGPMLDL CAARGDIEAFEALFSRAKQLDVPLETDRRARSGYVQAFLNAGDIDGAEQVALGILRSW KAGTLGDVEITHVWNMLITHHALGGGLTDARRLYQEMKDFDIPLDSWTYGALMRAFIE ARQTNGAFKILRNTMPNENMRVYAFHYAICISGFLREGQPQRAKAVYERMKHVRLHQT PSLRQMGLLFKGTEELLKLRAENVKDSKARLVGVEEELRQSLLSDYGHEIANDEPSHK RYIDSPELSNVPQSYFSVLILLYTTRGALEVAKELIEKASKVPIDDQNYSAPIILLST IMETHYRAGEHEEIERCWELVFREASRLAKTFSQVMSPEPPTPTFDAITDPAVLERFN ASRIAMNRRQVLFRAARVYIRSLMQQDTPEALQKAQRTINSLLSNGFIVDNLTWNELI QHLATRNRVIDAFSACEMYLMPQFPGWAFLHPMLIRKFQPGYSFMELRHFDMKRGSVL PRYKTLVVLAATYAKVRRDESNGIGFNPDMGGWVREVVEQIAPDTVRAIETMPRTGDK LQMQYLV CC84DRAFT_1259287 MSGVAANTSTTFVTLQPLISVDSNSAAPSLRCLKAAMQVLRTFQ HFGKQIIPSLTTLLVHIATIPYYRLYQFNTRSPMRNIAKLASEAPPDDAKLLHALLSW RSRKITEFQFTTISCTVLAAAVIGAFSWTTVEEAHWLTHGLWHSSLILAVLGILLSAS GVTVLYILGPTQTTIKPYRSRLILQRYNPLLLSRSNDSSQLFVPRRKMMFTWQGPLMF MSYSVCTFLGGLTILVCTPLIRYQHGDNWNSGHNIAVVYLVVMTLAGALFVFCSFWIY HYVSPGVDGEDHFAENRLWNEEDGNEINLRELSGRGLLTPIGEGRPATMHYRPRAAQE EESKGGQRE CC84DRAFT_1205623 MDSSIASEFSTPPQHGRLSRRETTATIASRDWQEQNILSLDGGG IRGYWTLLVLEKLMEYIGERERLEEEQGSTADLHSFLPNPEPANVTQCNIDPNTSVRY TAAVKFLPCHYFDFICGSSTGSLIAIMLSRFRMTVKDCLCEYENMSNQIFGRPRLLSQ RNALIPGWTKYSASRMEKAFKKVTTRRCNQADRNELDSERGNEPGFKTIKGTCSITKG GSGSERSLYLLRSYDHQNTSDKTLEETYPILNFDLAESMSIWQVARAATAAPFYFKEL VFRPNGENSRVSYSDGGFGQTNNPTEVAIEEINLLHREGNLGVILSIGTAKADNKRGG SGARAHIEQAFETATNPKVVHHNVAKRRYPHYWRLNDESGLYISLDDWRPSYFTRQPG HRTISVIRERFSEWMQRADVVRMLDTCAAELVSRRRKRAQHEHLWERFATGISQFQCR NRECSQNDDYFAHRGTFDKHWENEHSQSEDAEKLREPMVTLWEYQARP CC84DRAFT_1205624 MSDIHRQDSAQREPIIKRPSRTGSWDRAIVYDLESGKVDIRKKQ DIEKDRTTGVNEYQPIQLTKRKNSISLYIGQSNGDKLRTDARSENDKFLARLSLDHMG FMNRLLLALGFITTLWLIGGDDLIMIVSHYDGISHT CC84DRAFT_742089 MQTKTYNSGDSRALTDRNTNPPVHCLYMAERTGSLVFSVLWSYV GIIGHNW CC84DRAFT_1145760 MVNFTVEEIRELMDKASNIRNMSVIAHVDHGKSTLTDSLVQRAG IISAAKAGEARFTDTRADEQERGVTIKSTAISLYAQLQDEEDLKDIPVKVEKNDFLIN LIDSPGHVDFSSEVTAALRVTDGALVVVDTIEGVCVQTETVLRQALGERIKPVVIINK VDRALLELQLTKEDLYQNFSRVIESVNVVIATYYDKTLGDVQVYPDKGTIAFGSGLHG WAFTVRQFASRYAKKFGVDKNKMMERLWGDSYFNPKTKKWTKNGTHEGQQLERAFNQF ILDPIFRIFNAVMNFKTDEIPKLLEKLEIKLTSDEKDLEGKQLLKVVMRKFLPAADAL LEMMILHLPSPVTAQKYRMETLYEGPHDDVNAIGIRDCDPKGPLMLYVSKMVPTSDKG RFYAFGRVFSGTVRSGLKVRIQGPNYTPGKKEDLFIKAIQRTILMMGRFVEPIEDVPA GNILGLVGVDQFLLKSGTLTTNETAHNLKVMKFSVSPVVQRSVEVKNAQDLPKLVEGL KRLSKSDPCVLTFISDSGEHVVAGAGELHLEICLKDLEEDHAGVPLRISDPVVQYRET VNGKSSITALSKSPNKHNRLYVIAEPLDEEVSQAIEAGKIAPRDDFKARARVLADEHG WDVTDARKIWCFGPDTTGANLLVDQTKAVQYLNEIKDSVVSGFQWATKEGPVAEEPMR SIRFNIQDVTLHADAIHRGGGQIIPTARRVLYAAALLAEPALQEPVYLVEIQVPEQAM GGIYGVLTRRRGHVFEENQRVGTPLFNVKAYLPVNESFGFTADLRSNTAGQAFPQLVF DHWQVLQGGSPLDNTTLPGKIVADMRKRKGIKLEVPGVENYYDKL CC84DRAFT_1164386 MADTQPAAEAPATNPAEVSKSNESTETVAKPETTASAESGDKEG ANGTAEASKEAESSTKEKDDRSNGRQFDNRRGGRGGRGGGKFGGNKPFRKRNDEFENL PESDDPNEIRQQVEFYFSVANLATDEHLFKELEGPRNAPVSIKHISQFKRMRRFTPYS AIVNALRESEDLVVVDDGEFAGTGKEAVKRKDPLVVPKRDGDEEYPPTVDELFSRIYK KSLNKLENCIYAKGFAGEGEDVGQIPLEQFFRPYGAVMIRKRREEDGTWKGSVFVEFD TEESANQFLALDPKPKYNDNELTIMSKKDYSEMKCKEKGITPEWLKTEEERNSSGRGR GRGGFRGDRGGRGGRGGRGRGGRGGRGGRDRDDRRDRRDRRDRDGSADNDDWKKRRDN FQDRDSKKRSRDDNDAAGSPKRSKIEVKEDA CC84DRAFT_742611 MRKKPRFHSLKKLKVRQDWSRWSLYSISQLRAPNTGAKTYFQQK WKAKSDTRAYHGEQIREKKWKRLFRRNLPAVVPMDHRYLARYDGSEQAAGRGAGADSS DKERTPMQTPYMQMAYYPIERRLDTAIFRALFASSVRQARQFVVHGLVKVNGKKMPYP GYMLNPGDMFQVEPSSVMFATGARKERSSTARKIAKEKAAERAKAREENPAAAHNPKE KTSTVASRDEPTPKELKEHMQSLMLDVENVLAEDVGAKDKQKFRAFRQTVRKAVSLWG KATPETVSTLDTQFAFLKDALNARRAELAPTAEEEPTPMMSPEDQKKLSDAFEKLKLE HDHISTWNKRDASAPYATPWRPRDYMPAFAFIPRYLEVNQNVCAAVYLRHPVARPGLA EVPTPFHIETGQLAFNWYLRRR CC84DRAFT_742005 MRFSRKLLVACARALPALAAALPSEGTRSDVARPERSEQLLELD SQEDYALATFTVPCAGCLGTNHDDESIVLSVKTYTNDQPCGVSNITLNGAYLPQEWNG DLASGSGSYTGVTDIEENAWFLQHDLDLEWESACLHGEAETDVSAQVLTVNIKSIDGK ALSSPYPGFTLSFKQASPPELLRLQSKPDLIASSGAHAESWRNPPKHLRLSVPEQGVE AFGPPGHSPLEDDIRELKALEAEAEKLHRAITEKKKYIESRLRKEAQSFKEELRKCEN ITCVAKTIASGARGAWRILYVRFRPSPHHHHGPPPMGRPKDDGFHQVWRPGNNKQGNI QIASDGAPPPPPPPPPPPPPPHHDGSDHPPPPPPPPHAHHGAPRMPPPESPFVIAMEI VLGLLCCGCIFTVIRHRCSSLRTRTERAAAREERLTAREYRRAARRYAIRKWWRGNWR DQERIEDYEEKRSLIQHQEDVLEDVMQEEIRQLRAAHGVVNDLVSSAEEGRVVTYPHN YCPCSRSHPVPQAPYSPLSTASTYPPTSIPELPSRPLSRTDSLPGYRSDASGSPPAYE EDEDVSDSVANGFRHYSSAASTSSSSSRWTPDSSIIDVSPRPSAETLRYPEIAEAAEF AESSETVFDAKN CC84DRAFT_1090777 LPLGLLTAAVGHPMLVELKSGETLNGLLVNCDTWMNLTLKEVVQ TSADGDKFWRLAEIYVRGSTIKYLRVPDEIVDVVKEQQAKEQASRGGRGGMHSRGDGH RGDRGGRGMRGRGRGRGRGGGGGGGNNA CC84DRAFT_1092033 MTSVSPNSLPYVSGTELPRVSEGETIRRSNEQDEKEEEEAQEPL AKKRSRLMFWKKYEQGEKSDWWFASTGIPLLAATLGPLANISSLVALVTSWRMNVYID GQFVEELEGKSFADPRWCYYFNVASLVCGFLGNIFLLFNFTQRIRYIIALPATIILWY LATGFLIGITVSMEIYAPPNRPYETYTQGFWYAVAAASFYFFCSMLLMVNMLGFFLGH YPDNFALSDSQRTLILQTMVFFIWLGGGAAVFMKIEDSAGQGWEYADSLYFCDVTVLT VGFGDFYPTTDLGRGIVFPFSVGGIITLALIVSSIYKFMRELGEENIVMKHTDRMRQR TADRSVTTSFDLRQREHAERHLIRRRSLKERERPKISAPTELREMRTAMGNTVRRATF RPLPNALTPGRNKKQRVILLKEEKDRFLAMRGIQQKSKKYRQWLALLFSTFAFGILWC VGAVVFWQQAEKNTQGMTYFEALYFCYISLLTIGYGDLAPKSSAGRCFFVIWSLIAVP TMTILVSDLGDTVVYKFHRWSDKFADFTVLPKQGIWRTFLDKHPSLLRLVQRLQKKIA DRKARKRVERGFELDDPDQPVENPGWDTQTRDISAAEAQVDESPVEADNRATAPTLPV LAEEAEQDALGKSPSPKAVAHHLALSIKRVAADMHLPKPKRYTFEEWVFFVRLIRLTG NKTGSRGDEDEEDEEGLVEWDWIGPDSPLMSGLSESEWLLERLCESLIRLAKKPYNVR GEVRLETGEGVDGDDRVIRWAGDENDPRDEQRLDKVAGDTNDGDPGRAEDRGTGAGDV T CC84DRAFT_1145778 MFSVARKSALCQARTQLRLSQPVTVPQYSALARLLSTLAVLEQR DGKLNPASLSAITAGTKLGGSVTAFVAGSGVKSVADEAAKVKGIEKIIYVENGAYEKG LPENYAPLLVENIKKGGFTHILAGHSAFGKNLLPRVAALLDVQAISDITAIESEDTFV RPIYAGNAILTVQSSDSQKVITVRGTAFPAPELEGGSAAVEEGVDPKVESTSEWVSED LAKSDRPDLGSAEKVVSGGRGLKSKEEFDRLMPPLADALGAAIGASRAAVDSGFADNS LQVGQTGKNVAPKLYLCAGISGAIQHLAGMKDSKVIAAINKDGDAPIFQVADVGLVGD LFEKVPELTEKLKSQ CC84DRAFT_1090771 MDLAAFDVFNAPSPHDSYDIYDGKTSPGSSLGRLSPFFLDTSMV DDSATKEASREPSTTTPAPVSDNPLDAPDGPRPEAEDADGQDDEEMGGVEDAKKDKDG AAADPDAQATADLQATARSHLVAQTYATIIPSYATWFDMRYIDRRESKALPEFFNGRN RSKTPAVYRDYRDFMINTYRLNPDEYLTVTACRRNLAGDVCAIMRVHAFLEQWGLINY QVDPQERPSNIGPPTTSHFRLTVDTPRGLQAFQPAPNSKVTEGKPHAGTERAASQQPT AKSETKTLVGRNIYESNGKEVSAEPDKPAANGEGASNGAGGSVDLQKLEKETREPAKK VICHFCGIDCSRLYYHHTKTSDVPGRQNREELCPRCVVDKHYSRGLNSEDFVKVDRGD YPPTPDVEDNWTQEELLLLLEGLEMCDDDWNGVADHVMTKTREQCVMKFLQLEIDSKY AEPEGTQSEGGAPSTKFLRDLEYLKEGRLPIFHGDNPILSVVGFLAGLAPANVSEVAV AANRSVAQMRKDLNDKINNVQSGASDKGKEKAAEGEVKNEDAMEVDAAQSAEAERGIV SADPQESNPLATLPFALSAARSAALASHEERHITRLVSGAVNLQSQKLQLKMQHFNDF EKLHAAERRDLQRRRQQLFMDRLSFQRRVRALEEATKKISSTLGGPAGLPGSVSPEDA VKALTDAIALFGVGKGEEGVGVKRDSVDGSVQPIAEGAEGFGKHEL CC84DRAFT_1164392 MSYADVAAKGPKQSPEEARAPAQPIVERSDDSVSSLVDVDSPHV SSVPSDFEQQSVKTETQAERIEFEKKAKEASKEAAHQAEVAKEKAKEKAKKDAHIAKK NADNPVVLGNVVTVGILGTVLGVGAYRKYAANELTWKVVGAWAGVVGLFAVGDYYVSN YFFQKYPPKK CC84DRAFT_1205631 MYAGKSDDIERFNGATQQRAAFFSRLDHTRECIMIFPSASSVLL AAVDGTLTRRLLTDTELAWQIDNVSPPSVRRSATASGYRRFFWTPSHRSPAHITTLMR LTAGITRRYPPAECGYSVNSHVRLAQHRAHKSSNYVMNLVEDICAYLYRSDTFSQLFT MQPFIVALLFRPEQAAVVEIFLSGLLQVWVDEGGGLNAYPAGRSVANPWRVGGAQWVK EN CC84DRAFT_1091365 MAEPTEATASAPPAEAEEKGPSKRALEKAAKKAAAKAKKAEHAL RPKEPSKPAEKKPANAEPTNVFSEGWLKRVYEEKPVKDVRSRFPPEPNGYLHIGHCKA IAVNFGFARHHKGVCFLRYDDTNPEKEEEQYFTSILDIIKWLGFEPYKITYSSDNFDK LYELAEQLIEKDGAYVCHCSREEVNMQRGGPDNRGARYACEHRTRPIAESIAEFRAMR DGKYKAGEAYLRMKQSLTDPNEGNPQMWDLPAYRVIEKNHHHRTGEKWRIYPTYDFTH CICDALEDITHSLCTTEFQQSRISYDWLLEQLDMKTPKSEEKGPMQREYGRLNLGGTI LSKRRILMLVEGTTAEKKNADGTVEKRTIPPSVRGWDDPRLYTLVALRRRGIPAKALL NFVEELGVNDALTEIQPPRLESSIRKHLERTVARQMLVLDPIKVVIEDFAAEDDQEIT VPYDPKGTIPGERKVKLGKEAYIDRSDFREEDDPNYFRLAPNKVVGLYNVPFSIRATS YSKDENGKVTEIKAVKATGEKPKTYIQWVDAATAVPVKARQYNSLFKSESPNALDWKT GGWADDLNPESEVIFENAVIEAGIKGLLKEHTLNPSGSSDDLIRFQALRTAYFCVDVD STEDKIVLNQIVTLREDKAK CC84DRAFT_1090883 MPRERQYEFFVTTKEPHQLEGQDRGRIRSVVMKNFFEAQWCGGY TKSENHSKETVQRKTNLKSRFRLPKPGPRDKKTGSQTSSEDSPKGIEHSTSSGPNTPR ENRGTPRRMRAKFMLKINPGAHLFDPFDVLPVPGTWQLNVLVKLYKSGSGINSVAINA RNSWWSFISNDAGLLHATLATWALYGILIRGMYDLQVETIRHKNEAIKEINNKIGSPG GKISDELVGTVATLASCENLLGAYDAAQLHIAALKRMVDARGGLFAFGHNDGLMRGIL WVDIHTATAFRTPPSFPKVYLDPDTPPLPEELLEEAAYTSPTCLLGLSLAGIECFNIF YRLHRLALAVSPRWMRSVSRLTFSNLLYETEYMQLWVPDYSRDFLDFDLDSNDEGGEA LAEGAALADSASVVEALLAASQIFIYVALREIPPKARLFSILLERLRVALDRPNIKTV SVWEKASNLQMLLWVLVVASSAAPAGGGREWWVHRLADVVEELGVRDRRELQEMLEKV AWTDVFFGSVLGDVWQGVDECGHAIERGQGFSMQGPASP CC84DRAFT_1145787 MPLVDNPQIKQASLHTPLPLQLHAYIWPFLIVWPAFLSVYLSPQ RYEQHIQSSEWTFVWVGSITTVQALIWLSTHWNVNLKSAFTTTKASDVRTAQLIKVLP IANAGAAEICPLKRETVGGKPTVSFLFQKRRFLYDADKGSFAPLSYPLDVEPKPQIKT FQDTKGLTSADEVEKLQHYYGDNSFDIPVPTFTELFKDHAVAPFFVFQVFCVGLWMLD DYWYYSLFTLFMLVAFECTVVWQRQRTLNEFRGMSIKPYDLLVYRQKKWQEIQSDKLL PGDVVSVGRTKEDSGVACDMILLEGSAIVNEAMLSGESTPVLKESIQLRPGEANIEQE GLDKNAFLYGGTKVLQVSHGNNAEEDGSAVSRLSSGLPPPPDKGAVAVVVKTGFETSQ GSLVRTMIYSTERVSANNVEALLFILFLCIFAIAASRYVWVEGVKQDRKRSKLMLDCV LIITSVVPPELPMELSLAVNTSLAALSKYAIFCTEPFRIPFAGRVDVACFDKTGTLTG EDLVVDGIAGLTLGTNGGKIGPDGAHTNVSAVSEIGTESTLVLATAHALVKLDEGEIV GEPMEKATLTSLGWNLSGKDTLTPKTVSAKSHAELVQIRRRFQFSSALKRQSSVATVL VNDSKTKRKIKSTFVGVKGAPETIRKMLVNVPPHYEETFKHFTRNGGRVLALAYKFLA QDAEWGMNRINDLKREQVECDLHFAGFLVLQCPLKDDAVQAVRMLNESSHRVVMITGD NPLTAVHVARQVEIVDRECLILDAPENDDSGEKLVWRSVDDKVNIPVDPTKPLDPEII KSKDICVTGYALSKFSGQQAWLQLLRYTWVYARVSPKQKEEILLGLKDCGYTTLMCGD GTNDVGALKQAHIGVALLNGTREDLEKIGEHFRNTKMKEVYQKQVELMKRFNQPAPPV PIMIAHLYPPGPGNPHYEKAMEAQAKKKGFALPAPTDATNGAATNGAVEKKTPSAQQG AAAMMSSFTDKMMESELAELDSEPPTIKLGDASVAAPFTSKLANVVAIPNIIRQGRCT LVATIQMYKILALNCLISAYSLSVLYLDGIKFGDGQVTISGMMMSVCFLSISRAKPVE ALSKERPQHNIFNIYIVGSVLGQFAIHIATLIYVSQYVQRTEPKTPNPDLEKEFEPSL LNSAIYLLQLIQQISTFAINYQGRPFRESIRENRGMYWGLVGVACVAFSCATEFVPEI NEKLRLVPFTYDFKVMITSVMIIDYAGCWIIEKGLKTLFSDYKPKDIAIRRPDQLKRE EERKRVEEMEAQQKKNAELEEMAKKAGLVK CC84DRAFT_743336 MASQLQISITERPLLAAAGTIGIVFAAIAFRDYQNYCSLGPHGL PATFWGWYTQLKMTRMARKDVTVPAPYDIDNVAGPHDKEQFLPQDASRALKWRPGNKA PQIPNFVAPQRQTSDIASEELKKAMYSYLDMLVESHNSVLQTQKSILEGPVPAVGIKN FASLPDAKKPDVYRSTRGEIIHIHPPDGSTHLIMSLADQKSVIETGWGRRHRLSGGGR LPWNYTFTYAPRNEMEFVVWKTLVGAAVEFCLANSAGRADQK CC84DRAFT_1091732 MATQSSILWPEHLLPGYTDNFVSNEVIAVNLTTQQIWELLADIS RWNSYYKNCAQITEPDAGSYLKEGSVFKFSTFGFPPLTCTVMESVVPKQGTAGRLAWH STTDDGLEVYHAWIVEELEKDRVRILTQEVQNGPVFKEWAREAPNKMLLGHQDWLDGL VQAARGQEVRRTNLESVNFPVRQLDSKKVE CC84DRAFT_1092620 DPLNPHKWSLVRRWFQACTVSGIAFAGTFVSSVFTPAMEKSATD LRSSIIMGSLAYLIHPIGLTLGSPFAAPFNETFGRKPILLINLPIFALFVLGIGFAHS MVTFVVLCFSTGIFAALSLIIGPGILSDQWQPEMIKG CC84DRAFT_1164398 MKVIITGAGGFVGQTLAKKLIETSPDTELILADVQAPPNPTKSD KVECIGADLTNADTRKALIDHAPDAVYILHGIMSSGSEANLELGLSVNFDSVRGLLDA IREKKSGTKVIFTSSCAVFGRAAVKNVATETDIVPMPESSYGTQKLMVEYLVNDYSRR GLIDGRVVRLPTIFVRAGAPTAAASSFVSGIVREPVHGQESELPVDPNIGIWLASPRT LAHNLVHAMNVPAEKLGHFRTVLLPGYTATSGEILEALEKVAGKETRALVKEKRDEKI EKIVLSWPGKYDTSRAKELGFSEDVGLEQTIRDFIAGEK CC84DRAFT_1092161 MNVSRCIELHNEIVRLGWEGMGRLPEDFHPQTWFEHHGQAAQNV RGKLSSELIAFLEGAYEMSDSNQYFHYYASALMAPGDDMFAFLDGICSPRWVNQYEQH HGQLQDGSGLGRYIRLYFAVNHSSHPEGLIFDLEENVAIMAMSIHDSDGLCDSGRIKW HPLEDILAAWLDMMRTGKVKAVGPNTEWRPWELVPYTEHQVNEAVEVFDKLISAIEAR MPQNDSPPNTEPSTLFDKAALDAAHIPPGFAHSFLTRARRPRFNFIAPGFSLPTNSSI TSQPFFNIPDTIPQEHRDWFHSPPIHLFHSFKTYTRPDPHPNIYPEDIFGYPFNLSTY PAGLYFHECDNGNNQHDDAVVLVLPYAIGGHGWAKKADGSAFGENTHDESANSKDCYE ELYQTGRQPFIKRHPVRLVQVLRSWVGMVERGDWAVGAEGISNGMDEWKNADTRGGWE KYVITPEW CC84DRAFT_1244862 MVSLRQKLALSLFTSGGTAQWLDGKLLGSCADIACPQADNTTIG AACRIANQTYGGVGVDTFPFNASSPSRWAGRNLTWTVAIHDYTNYDPDTRLERTIEKA FFLGSSLDLTTALDFGGCAVILEAYDRVADERGRAGSKSCEEVIGAKCHEELQEGIDR FARAQAGRRFNSTSDACDGLEAYLKSVEGEQSECGMEWTQVRYVPMVGDAAPQPLTRE QNASTNCYSTQPKSNNLAFVTNWNNTGTMYINDTIKMINSINLALTVFWNSAGGPDVA LESHVSCLWPVDETTRSRETMSSGLGEEDEGAAGRVGTVWAILGAAFVTAWFSVI CC84DRAFT_1119613 MADMQLDEIPTLSLLYKLSRIPLDADHPSPCEPNAAYNDKISII KADITSLVVDGIVNAANEALLGGGGVDGAIHRAAGPDLVRECRGLNGCEAGDAKITDG YKLPSEKVIHAVGPRWYALSPEDAEAKLKSCYRRGLELAVEHDLKSIAFPAISTGVFS YPNVKACNDALSEVRKFLDEGKGDGLQRIIFCNFLQKDQDIYYKHVSKHFPPVPAPAP EETNAAAKTSTDEADIAAKLPDPPTSEPKDPEEPSAKKQKTEAAADDLVAVDKDEAKG TPKGEL CC84DRAFT_1205639 MKIAAGAVALLTAAPWNVAARLVYGNPTATVDGGVIVGTTTRVI GATAAINKFLGIPFAEAPVGSKRFAVPKPTTWSKQIDTKAFKPACIQAFRPIEDRNFI QDVFSIPRPEESEDCLYLNVFAPKKSWKPYFRKPRYPVLYWIYGGGFNFGNAGQPIYD GSHFAALENVILVSVNYRTNVFGFPIAPDIANLTERNLGLLDQRAGLDWVQRNIHAFG GDPKRVTIFGQSAGGYAVDVLLTSPWPNGPPFRAGIMESGTYSYNPLSNCNNTNFASW NNLLDHLNCTNTTSPFTCVMDTPAADIRYAQEKFNIGFGMAGDNVTIVCDPRLRREAG RFARVPVIGGSTVEDGSYYAAKNGTDIDRYFKTVFPNETALKTKILDAYSLNPAEGRF DNQSILAQIHTDWNFHCPAVFLSNSSTRYVPTYRYLFNATFPNARLSNLPDNRWPVPA QLAYHSSEIPIVFSTYNLTGATREQKRLSETMRRAWAAFARDPSRAPIRGWKVAGKEG ATVMDFGSDGKAGFRLGRDTTGKCEAWKDFIWNKHY CC84DRAFT_1244868 MAGPSYSSSHSTTANSQGSTFRKPVPPTYEQILALLNDARSELE TTYAHVTSVPNQDTTTPKSSQSLTNVLLRNLRDSQDDCNLRALSPQDILDTRIERERD LIDFWNQSSRIAEGKDAVNEDIENFPLQAAALDAGIVYRPPQFLGVPKMAEEQAPSRG RGNVISNPKMNASVQLPHPLSAYPLSGAGLAAQLRGVTQCSTPSRGTFEQSLFQHSPF SPYNLSEVPATEVVPSVDERELARYNARTSAEPREKVQQKRHNWYEKSSDNSGWNKYV HVLSVPPTRVQQTGHAVDSPMLRSPMTPGVFSADGNEDYTSGYVNSSIQWSPENFSPV TGGDQNSSPVQQAQGQDQFQSGYLPSPRTPYQVQQQMISVPQKRKKTASATQDYPAVS QPETPKKLKGAQPLVKSGVVGKQLNDFPSPNIPFPAVVQGRPLDVTIVELLTFFPRYI FSSEVVERIVSNGGSQNLIAKIMNANLRLPAPMEDNFLLHVLQARMRHKGDRTANGGY DYTKWTVGRHQPLANHDPDKLDIAGLRTRFDIENFPSFRDANSIPFKKLAERVKAWPS GRDALNLTHCVRYASLHPEENWNYPADFRDMITYLTNGLAGVVTFPVPVTDQHLDRAA WERWRNT CC84DRAFT_1244870 MISIITLRFCEVVSYRFEEEISGNMLANISGHRQPASIPRTTVQ TVQELRDLLDQADLTTFEIYMSEVNTRNRLAEALLANNESARQALQHGVVLSPQGILE EFVEYEFHIIESWNLTRLGYAENFPTTDNEPMQGRYDVFDSDDSFPLRWAAINNDIAL PERQWLRFRRNRRLNLPYEHWGILDNFLHGGAVRVDRSIFQERALNLFGIEIVGPQWR SLTQPNLWFHLIDPPGEPVPAAGPSQRAAQSQPQNRPHPLTGAPVQQPAPAQQPAPGP APPAPQAGLSRPQGSTTRAAQPSASRRQRPAQPTFAAIPPLSNAPNVGHPVQQAPAPA TLAVPAAPNPPAVAQTAAQRVQGQHIALFDAPSPNIPLPAAANFTLAEIMTFIPNHMR SVDMIDRVFSNTGRYQQKALANMATSHRHHPVTDNFIWKFIRGEMVKEYPGVTWDRDN KPHTRPANPQYVLGGLSVTGIRTLEQVSTRGNKPSYPSSPVPFSRLARNVKHLPAGND ALDLTRCVDWVLRNPTLGAQYMFPTDYATVLAVVGGANTATNNHLDPAAIARWLGPNA NNRAEGNDMQWEQECNWHRNTYWPAQPNAPAAAPNNVLQAAMPAPTNPGGNHGLQAPP PMPPQNPPVAGPSNGGLAPPPGHAGPSMNSGNMLQSAARVPSNSGASNAFSGAAPPPP GYGIGNGMHVPGPGSVPLPSGTGSRKRPHHEHGDDEDSYSDNGDISPRQKRPRMQAPA SQPRVLRDYPDLSDPRRNQRVLDRQNVVRSYHTIDPGLEQDGQAVLIQRNPATVQRSN VIEMDPFDGVNQRYGEPRHQSTQQTFTVDRIQRHGLSLESRPVQKRAHPRRNAGVHEG HSGTNTDGLANYRASSRVPRIQKELSAASGPRLGSHQLSVWTEEELPQPRRPSGYFHG YFVGQAHESGRSFASGNGYDGNQQTQPRKEEPQLERHPEYPGYVQAQPYSPSRDRQNG ATTNERGGDHSFTNLAEMAQPLPDLPIDPALLASPGPAPVEARKRPRPNEGESSSLDF SNRNRSPDLHRHQSLGLDSWCRRDDSHNDG CC84DRAFT_1217282 MISANFRDIQHRFYDNPGWAPQRRELELVATLTERRIDMGSKSP ADHFYQLYYAQHNNVQEMRQALITPSDDPFVFRSILDEFVFTENSIVEAWNMSRLGGV TARIPEADIPNDIKNFPLRSAADDLLSHEGRAWIPPNLPLHRRTFGNGPSIPLSSAPL DQFMRPEPTMAPHTAPAPKLSGAELKHHEKEKPLPNPLTIAARIAGKMAFAANKAQIG SHNLIMNNAPAGLHTSTQNQVRIAPLFNPTAAVMTPTASKAPVGSHHWSTGNASAASK DHPPHQAQVAPPVPAKRTNAFVRKGSLVRNLLPVQKASRQKTGPRKTSSRTTSPRKAI ELDPESSSDEDRSFQGSKRAIAQGRKNARDGIVRRSGRARKLVYGSLDEETLNGNGVD VNDKINTSEKISEMAGIEMVYSDSDNRSETSAGHVDTMDGEGKTINGNIPRTEQDLDA VVDKARQKSEDCEESDKDLSDVPDDLSDMELGNMGCGYIRNSEDDQQAAGDAASASFG SPEVSHVSALSNDTDEPDDHHEVDVTQSDGEDSEDEHPEDESSADEYVHHAAADENAC VEDIYDFSWIDHSDVPTNEPDNDSTSDYEPAPKKRRHH CC84DRAFT_1145809 MAAKSRKAANLGQSGKSGKKASQSAKSPNDDKVSKTKRVDKASK ASDTPAQCTIRVANDCFGQTSPHWNLPFHLGNATAAELLAYLPHSLKGVDVVDRFVMN GGKAMLIAFMLNTYRTMRDDRVMSANSICVMMQCSMRAYGVKGWTAGKHQHTDVHLDP APIHDPDSLDVSSFRTPCVSHPKKGFKKLKNLFAEPIEFRDLAQGVKQHPSGDDALDL TRCVLYAVNHPDESWLFPDDFVDLVNHLGGAQTPTPFHCDKQAFGRHLAALEAITAAT PPKKRSYAKFSAPRFDTAASGEKRRSGRLTTQAVSYLQESDSDIDALYKNNEHEPPTK KRKSARASSAESEFTGNVTSDSESLGDVTGETSDEFLAPKPKRPVRASAVKGRILTQK AVHKETPKTPRAAKATRPYTPIVYAVPISGMPLTAAAPTAIFAQARALEARAPVQITA PVLDFNRIVIHDRNVWLYADSGCVTREDMFASAFCSERFDGPREQAPFRELHRLSDPD PLDMSDWAENIRWAKEQWLYFNADTWTEYGDHLEQITQWRRDHGWWSDTAIATGFEQ CC84DRAFT_1205641 MSPLDISDSEKGMSVEILTRNLRFPNANVTAIELLTFLPGCLNS PDIVYRFASNGISLDAILSIVNTNRMLEQQWTAEYCYRTVRNAMRHAGHSDWTLKKHL QYFADKLRGWNATSLSVSGFRASLSISDKRKPDADVRFARLADGVKHMPDGDDALDLT RMIQHCVQNPSEEWLYPSNYDMLLAHLGGPTHPVAANMDRPIFERWEHVIPHVPRRNR PSELTTQPKKRGRSGTPQTDGEAPRRSGRRGRPSLKRRELQEDFLGADDSGIEDQVEE FVPPSTPWVKPPVIGRAAMSFQALLYDFSAQPSPKTDDTFDAYAFGYGPRTMAPFRPL HLITIKSLKFEDLSCWAENLRWAAEQHTTFGETGWTECPEHMERIAQFRCEQTWASAE LVISIEDHQQEEEEDAAWLEAMIEGTLASMRRRDVDPHISIQGDIDEEYFDAEGRLKF RPRP CC84DRAFT_1176082 MHGLKMLGSVILFALSVVAQKASSVTPASAMPVVTMMWGESKPT NAALAASAESVGSAMASQIATAASKMNMSGMSMATGTTKTMSEMSGMSMTSVSGMSMG TASATGMMMGGAPQDAAWGAGISMLMMGMGAALVV CC84DRAFT_1217286 MSVFRCRTEEERLQAERDHEFIWGVIDKDEKVLRQLPPGSAEPQ TFLRFLQQGNLSDEALAAFDPEALKIILSLRREIREKNRVDLSEWHAEWLQGEQQRNA WVVPPGDDASRSLLMRPAEIDLKTHDVAAQLVPLNYWGEDDEMPAGTLEQKERWAKEA KTARYPENFNAPTVPTSATVNKYVAAPNDLSQSVEKRFRKMQETIEKNERPAFDNRQR CPRPEL CC84DRAFT_1244884 MASRRSSRGPSPPPSTRPALLTMSSDPVSPEFPDQTASAGGMGS QEMGNNRAVTHAGAFTNRNLLIDRRHFQEGELSAHLPASTEYAEPASCLQAYNKVVSP ASVIAPSQDRFASPIFGVTMTGLGARMQLYYNNAGLFPTYGLTVRHGPWGSTAPERED NLPGNEIVPSNHRVHFSTTAAPTCAQDLYNEIPFDVGLPSQRGLSGGRATAPTNLIPQ YGVPESDIFDFDREFGVNNVFADANRVLHQELPSDPALPATGFFHTGPTYLPTEEQLS GERDLTPSAPLGGQEQLQHEFQVALVRKLTLPSMEALNRAADECKQKNFYNFGIGRKT STFHRPPFTSADADYDYATPPSTSSPMPPRKKVKLQQAFASANLPPAQAPVFPQPAQA SVIVQPAQAAVVSQPVNGDLKSKWSPVGEYLFEKPASDLIRDARKPNPDDYSQGALVY RVATSTTGRQTNFTFRHHYNVIINCLRDELVAELNRKLRVDLSVQNLHLIRDLPTLFP GQRIGVALRRRIEFAQKAFQRRIDWMGCPEHYDLMASLNFSKPNLDLVELDRLVKATG VWVFENWQADHPGQQRGSS CC84DRAFT_1244885 MDAASHLKIIFRLMSPLRSTAEPPKSASQGTDSAGKTYVPCRDA SKTGNGELTSSNTLGSKRSAFCNSVFWKPRSSLRCTSMKDASRSRRWRLTSSIRSCWS SARLRDENSAKIRRGDEAMAGPCFVMRQIRSYRSIIQPFGMAMMIMIRMTSKAKMSRG TH CC84DRAFT_1176087 MGILTNPLHLLGPPLLFLVSFPLAIFAAITTGIALSLLTVRVSI VYFELGVALLHAYLYPEPPKVASRRVVPPVSPPRFRRTSTSSDSAAPAVRLYTKSGSS ASLVNVNRMANARDFEGVGGWRYYEGEEEEAIWMGMNKRLELPLAIPPGRRHQRRHTG EDQRWIGSPEVLRMSPLQSRMRTPQRTPRTVGLEDDYGDEYFPPQPILRPLSTAMEPL SLSSQHSRRASVISMSGSSSNTSGSSSPESHKQLGD CC84DRAFT_1259311 MTTYEIRPHNRVLNHIALHVPDLEAAVTWYTTLFGFRRLRAPIC CDRSTDPCAPIFRVYDAELHKFINPPMSEPAGFNYTRGGVFHLAVTDPDPEGLLERAK AMGARQIGEAVTPASCEGEGERQVALYMQDPWGNVIEACSCSFEKMMANRDK CC84DRAFT_1259312 HRPNYELLDTRTQAAPWSSLTSSHFPHVKTLLKRAQPARVARAL PLDSAPVNTPATPNERLIPRLWQDGSVTTSSPGKTNILLPNLITKRDHGPALAHRLGH NRPAPQRHGHNRLLAGRAHLQQLQPLHPGRFHRRRVGPRAPLRPRLLHGARPRQKGFA PAPRLNYLPAVSRVRTRWPYWRGRRSPATASVSSRVDAAAHACPAHGLGRGGGGRRTA LCGKQRH CC84DRAFT_1176089 MSTVTAPIARLLFGAALLGLAYLGFAIFGLIVLGFAWSQKQKQQ YLHVESQQEETWPNSCNEGSYVGYGRRRSGFQLRKLHSLPWAGRTWKRWTAWVPEYEE LLKYHERRKMYTCLMRGGDVQERDFIGQAL CC84DRAFT_1176090 MYPFTIPLWPEDDDTASTSSAVSDAPSLEHLTEVEPRTFMDIID DAIPDYTNYRSPKSPLCDGTSNTFHGVRFGAEALPERVVKQHKYLRHSHSAVFRSFTA DMERDIAYARKLRLGEHRRRRRAYSVQQDANRPFIEGTPEVTPSHEAQCDVNIRKCAK IGIFTVEEMWPDLLETFEDGAGKDTDGKELPRPKKGLYSKFKKFIKPHGDNFIRSIHT KDEWASEAENARSGRREKDDNQNQRAGINLLAHTMGFGMGRF CC84DRAFT_1176091 MRKRDRMQNRVRALFTSDITPSAPPHRFPLPPAQQASSPAPPLS SPPCPPASSTDLSVATTPRSLVLEKALAKTLEKLPQAEKAAFAQASKMIDERTLLSRV HAYDAAHKDDSSFRPHAERLSKFLILLDGFMGGVAIGIQASPEISSLVVGSVRVVIDL ALKFTMYFSKLSDMICTFEDYLGPLAEYGKAADISLVETTVVNAYANVLGFGRKARRV FVDAEGNQRKWTSLRAFMRQHWETFESEFATIKEDLQHHLDVLLHSVQSLHFDFSRKV EQARRREEEGKERSAFLTWLSSIDFEKTHQDTFAKKHEKTCDWLIKEPKYQQWFTSPA SSLLWCHGKPGIGKSVLASNVIEDITTKIGLREDAALCFAYYNYRDARLKELHQIVTA LLKQLCRRKDQLPRDLLQTKHDALPSSLVGTQERFRSLIEDLPQVYVVFDALDECPEQ ERGDILGFITGIVTAQVRCHVKVFVTSRNEMDIAKAFGDRHIPTIQIQTENVTADIET FARSQVEKLQGGEHGKTLYVTNDGLKEKIVRTLATKAEGMFLWVNLQLDSLCQASKAQ KDAVVEAALDALPQGLPDTYVRILERIEAQTPYMRDLAINCLAWTLYTRRPLSTRELQ LALAVNSNCKVREDLQTDSPQVILEACANLLEEANGLIRPIHYTVQEFLTTAIQGLPH RSIRAQLLDSKAVHTRLSLACIAYIRLTAFGKPARDVWGLHCRFNNNSLASYPYQSFD YHIIRCEELSLDVINQLETILRQESASLAAILQIKVLRDGHDYWTIKERFNRMDFLVT PGTIVYSTSLYNVPTLRQKWVEQAPPTYALHLAASAGLTSAVNRLLEAGCDINEKDSN DSTPLYYACSDGDVDIAQVLIDMEADINVQGGHYGNALQAASSRGHEAIVKLLLDKGA EAASCRGHEAIVKLLLDKGAEVNAQGGRYGNALQAASSRGHEQVVEMLLNAGARQPKE DDSVLISE CC84DRAFT_1176092 MMLLTFPFIFAAAGSCANLLSSHAATVTAADIHEPIAIDDNPES EPQHERFHAREIASMAYSPSDALLTRPNGDTTTSEMPSTSLITPGIPSATSAPFPDVP YDHHSDGSAITLAIIVSIIGGALLLTLAGWAWRKQKNKKSFGPDHHDAELAKHKIINA SGAPGGASRHYSRDMREAEARPYQRSPTAQRYVIQH CC84DRAFT_1176093 MTLYPFEIFFLLALVAAVPIPTEAEKNSGGEKYAKDKGAGRPVL SYIFGAAGCLMILGVIYFAWAKYRRGERVCPGVKRKPATRNISRELVKSHRHPAPTSY GWQRPVMPINEAANPYAWPRQQTNSKPADGGYGLRDLNKPLPRRPQNDHGHPPYGVRK PAPILRSGTPVPVVYPNKNGFYTVPVYGSTSHGQDHRYR CC84DRAFT_1069928 SKPVSRDSRCGQDHKHQTCQGSAWGNCCSKYGYCGSTKDYCGAP SCQKEFGSCNG CC84DRAFT_1244894 MPPFYPYFPSSTTPRAPVATSQFIFPYPQHQNTTNEAHNGTNHQ NSGTCASCAHCHESGVPCSVCDCGHHHDGDLPCALCGHNHHTGLPCPQCGCEYHNDDG LPCPPNGRNPMLNLTGPASSDCEGCEPPILPDIPPPLASANTFHSTHGPCQDLTVVNA NGRLQLRNGTVTGLLGLSQAQASSPDGGPGYHSKQTGQVLMVVMFIAGAAVALGALYC VGRAYWRYKMRKAEKQRVISA CC84DRAFT_1205644 MAYITLFSSLLPATLFFSPAQAADCNLAQSRRSGDPSGAAIASD LRGQDNALLQSVCNGGFPPGSDIISTWNTGSQIYNVTRFDSSQPLQYCFDAFDNIITQ CIENDNYWGGDWKLGNEIYAIYDSTWPEHVLEAELESPPSSSAQDVSPSSTPDPPARA TVVTTTIDGFPITQTFVPTTIADASPTTSSTTVDGVVVPLIIGAGGVAWIPFVPVGGA PPAVITPPADLPDGGNGDGDDNSDSQSSAPESTSSSSSSSSSRALRAPDDVFPADAGT ATFDSGAAAQIVFFGAAAPTPTPSSSSEASAEPTVDSNAEAKKLCHERCGSKPGLTTS DGQWCLANCGCFLGAAGC CC84DRAFT_743504 MTFEQLFAAYFVSQTLSLTTSAVSLPHIDNSANTFRPVKRADPS WHPSCDNHPQIKDAWPRALHMAQHTIDVLTSNDPFSNQNAVNQSPFELWWEPARLNLF GQNSLTSHDQMLGILVCENQNPSRLTIEAEFYQNIAIGPPVTIACVDPPNGKGASRCA E CC84DRAFT_743505 MSSSSCMSWRTSWALRGTSNMACLIAHSWRGVLRMQRRAIRQRI MLIAGRYLRWVSAAIWRVNGRRFADMTMISCVGRVEPAHVGFRLDWRRFRRERAEVYA ELGGG CC84DRAFT_1164406 MGWQERIVFFRDFAYAHPPRRTRMASGTVGDVPAATYAELLSGR MIDIYVGEGRRHWALHRNLLCHHSELLESELQGHNGKQRQDSLDLPDHDPAGFELLVK WLYQGKLDDVSDMPDANQKYDYAVSCHKLYLLCDRFDMPQLKNVAMDQYRKGLNQAEL VPDAEEIDEIYRKSPKDSPFRRLMTRIAARQIMDPDSDRDVETYRQCFENNTDFAIEL VKAIKYGTGGMLFDDPTDTGNQCEYHDHDDGPNCHVKGKGKQALKSTTRRRALKSVSS DPSTKSVQTLLPPRRPRPPPILQAPPPRHARRQSETTSAGPLRRRLTSPAFSTVETST EHATAGPPSPKAEKDKFRRVTPPERLLPTPPLTEENQSLPALADRPPSSDGGSHQHRA SNTVSENGMDENAKPEHTSPPRGIWQWARAGTRLNMLGRMPTIPHPPMTLKAATTAVH GALGGGKAATKDDFSIPSAVSTEPDNETQAEEVAEAKMEGLGIANSTVEQRSLFSQTK RSSDDLVAQLNDTPSPQNQEWTNGDHKPANGVPSEPITPSPASRKATTNGVMSENTST PSPHRIPKYKIALASQILSPQRAVS CC84DRAFT_1164407 MQCLIRDNPPDLTLCVYCNTLHPPLKPPRTHKVTKLTKVCMSQW AVVGYFPQVWDEEQEGGYSLLHAHIHDVFEKRDTDPAAAELLAGHYSTSKNPNFSYDL TSSASWIDKRLVLQHTHVFRSKSRAPLKLAAVLALPLRLCAHQSTTTAEAERARYVGK TSDGKNTPFLTHAIVSGFPPDQRSSAPKPAMFRNVTSLEQKQIDAAEAGEDVVWKCRG CVTKYKVTMEKDGALKIVSWHCFGADLLHANRYWEWLVRREVANLGAGKRNSEYWFPA GRSMPDFKIVEG CC84DRAFT_1259317 MPGRLQNKVAIVTGSASGIGRAIALLFAAEGASVIVTDLQESSL LAAAEGTDGHLTTVQEIEKAGGRAAFVKANISVASDVEALVEEAITLFGRLDIMVNNA GTGEDRKKIWEYREEQWDKILNINLKGVFLGTKYASRQMVGQQAGPSGDKGWIVNIAS IWGLAGQSNYTGYTAAKHGVIGLTKTAALDCAPHRIHVNALCPGFTNSAMTHHVFSDE ALKSHLLERHPFRGLGEGKDIARAALFLASEDASWITGVGIPVDGGYSSM CC84DRAFT_1196227 MSNSLEDDFPWHFGVFDAHCHPTDIMSSIDALPSMNARCLTVMG TRAQDQHLVAQVADKYGVKNPTPFSDFLAQTRRNLEKYPFALVGEIGLDRSFRIPEAN PGDREDNELTPGGREGRRLTPFRCAPAHQKEIFKRQLQLAAELDRGVSLHGVQAHGLV FSTIKELWQEHQLPVLSKRERKKRETDGPSVTSHVSSQGADNDKPRPFPPRICLHSYS GSPDAFKQYLSPSIPVSFFASFSTAINLGNDLEGETPEAFVQMIETVPDDMLLVESDL HTAGAEMDERLEDMVRRICVIKGWGLDEGVRRLGDNWKRFVFGEG CC84DRAFT_1119631 MTSNPMVEARNGSSPFLLPSPPPPQGHLTTAPSANNHLQPSPIP PTASSLSLQRPLSATTASSSRERYSHDQADGANNVRTSHSQSVSSNPLTLASSRSKDT QAADDRQHRIARTLPPWVQSADDDEHADHASSLLLPRTPTSARPASHNYLPTPKSHVP GRRFDHAREHAPVTPKTPLAEQASKWQQFAKASDLDRPPSSRGQIVDDDWMKENMPDL EAEWQPMDQDEKKPLKGFFLFSSAKRARFRRVLMTHPMVPLVVRLIVLTFSVLALALA GSIFHRSDSRSCKNNSSTWMAVIVDVVAIIYTVYITYDEYTSRPLGLRSHYAKMRLVF LDLAFIVFDSANLSLAFQALTDSRWACMDGTDLADPRNGGSGCPYVKSICTRQKALTA TLLIALIAWLTTFAISTMRIIERVAR CC84DRAFT_1119634 MRLFLLPVSTRRSLIYCEKLHDKAASERGYLDKLTNKASETWVA WESDAKAPLNWKKRVTHMGNQALNRIPFEEWGLKTLPALTPRRKLAITEGTEKWQVIY PGQYLHKERVPEILKQLAKEKQGMYRSKMLWSIVAMPFTAPFALIPVIPNLPFFYFVY RAYSYWKALAGSKHLEFLLQHNLPTPNPSTELDEAYTAGLMYPTRDISRAAPTPTKEQ SEKVADVVESLTNGGKEDVMVLQRWNGKLIAEQFHLPDMEVEIERAVEQVEQSIKARD KLVEEKLELEKATAGPGQTAKDALPRHITQPLEEAEKRIHEAAEKISHEKPSKDEKA CC84DRAFT_1244899 MQTLRDMSGYDSNLLSRSFVLLGRISLPRPDNMQRASPSGNAQF AFFLLEYRRRGTKMPLWVDAVCIDQSNTSERTYQVRMMDRIYSEAECVVVW CC84DRAFT_1119637 MAPPAADLDLSVRPVEHQAPPKVRSKGESGSRLEKPLVSSSSLE QYEHANVTPVIGEEFPKLQLSDILHDDTKVRDLAILVSQRGVVFFRNQNLNIDDQKIL GQRLGELTGKPETSKLHRHALSNSKRGIAVDENGKLDDEVSVISSDQNRTFYADRFGA NSKRLASEGWHADITFERIPSDYAILKVHTLPADGAGGDTLWASGYEAYDRLSPPLQK FAEGLTATHHQPNFNRVAAEFGAELISTSRGSPENTGLDFAASHPVVRTNPVTGWKSL FGAGHQLEHGWIDGVTARESEILKGYFLQVVVENHDLQVRFRWRENDVAIWDNRSVFH TATNDYAGKRQGNRVVGLGEKPFYDPGSVSRREALARE CC84DRAFT_743562 MMSIALISMSPHFTIDTAASRADALLAELPVMRTSCSGAIRQPS FRAVNGCLLQYYTHVAMMCDLRFLRHWTHTGHVIVHLQDRPPRRHQELELFLLEIWLF ESCGTHVYGIV CC84DRAFT_1196234 MAGATLNPADERTPLLSESIVTAAEGNSAPLLTEQISNEYRVTD SARSHGKAADEETGSSGGLARTSIRQVVLVLLIGVFISNADGSILLAVHPIIASEFDA LHDSSWLLTSFGLAAAAMQPIYGKMSDIYGRKSLLLVAYVLFATGCAYVGVATTMKDV IIGRVISGLGASGMTALVSILITDLVPLRDVATWRSYVNIAATTGRSIGGPLGGWLAD TVGWRWSMMGQSPAAAIAIVLIATVLPSHTQSTSDAVGTTRGSKLARIDFLGSLLTTL TILCFLLPLQIGGDRLPWSHPAILGLLGAAYVFAALFVLVEARFAREPVIPLTLFQNK DVVLSALIMMIQGMAQMALMFAIPLYFQVTTGASNTVAGAHLFPAVAGNAVGGLLAGA FIKRTGRYKTITLLAILSACGAYLLLILRWHGHTNWLESLYIIPGGFAMGVAGSTLFI SVQASIDSAYSAVAASTLYLAGSAGGVIGMASSSAVLQGSLRLILDRKLGEGGFEGLK KMKIIERAVSDVHYAEHAKPAISHIVVASYVDALTWTHVLSLTCSILGFVLTAFLTQH KL CC84DRAFT_1068720 RESLTSLLSASDWRKIDRLLRAVVDIGGDHEAKKLSRTVHHISV KKQRLEHINKGLREALVIQKRHSTRGRPLPLDRSDEYHGGAVFWSPHSIQRARDRQHQ KETDEEQLRRQKADQAEARRASQQLKARLLQERR CC84DRAFT_1176106 MLTQDNGYHRVCRESGPGTYYRLPPPGVLRFLRRITVVIGPLQS HWDRLHLLTYLKERCKNLQQLTVLLQWDLSLMVGEVDSVSVNDDLHTWLTGFGIHDWK TWSFSFHVQFDFLGHPCYHDEDDWVIDGFDVVPADPAIEEYLDDAIRNKVRCNSAAGC LSIKA CC84DRAFT_1196235 MGYSEVLCNICGVSFNIGRIRTPTEPRSAAWSRFGPVPSDFHVS DSPENRYGSTESFVDGVRNSWVGECPRDAGCMFTFRKIRDVGAEVRISPRGRRKLVIE TGTEDPQAEKDDEEDGDWEEPGDDDDDERLEYASDLEDDASGETDDVDMEDSEDSERE EYRRFCVRALIAKSDPFGPGWSHVNQNNPAHPAWYRGNYVVAKIDGVQDEMFPLFYPG RDGETEYGTDGSASEDSLSGLSSRNLGHYLGGKLGYDLKQQYHVEHIAGPGCLNRAGY SGHEIRVEEMRGCQVSQCLMRKSTNFEPLDDDEDFERKGEFCLSGLSDHMPSRDSDSP RVKPPRHGCERPRAENTIWDESEIEQFAMPFHPWCFEVFKRTSMLEHGTIDAGGLTSW WLEEATADRVFEARNHSDVSQCKAQEWDHWKGTEYLAANPLYVPKLRDILQQVISTEP GFSPRNSAFAISQGTTPDASKDMFVRLPTELQLEVLDHLLSKDIASLRLASRAFRYLP ISYFQKLLNRQMPWLWEARPTPTTPNHLPYSFWATVTAGEAEIKLQETQKGIDSLNEY VQIVSKEMPELKDVLEEALPAEIQSFLDAQQSKVENNEDRRPFFLPPDRTDYHLLYVL IKRHWNELRGLQNRKRIWKECECIGRRISRMRNKGKIGPLVRQF CC84DRAFT_1164413 MRVVVFGASGVQGAHQVPVLAKAGHDVVAVSRNPKHLEVDGKTI ETFAADFADKAKISKAIAGSDAIFLNLPSTSFNQSGPILQGAKDIGEAAKEAGIKLIV FNTSMPVPKEAQDIKAQDDRREMKRLLRETGMPVVSIEPVVYIDNLLEGWALPPIRDR NTVVYCHKPDLQVSWICHHDVAQLMVAALQRPELAGRDFAVGGPETVRLEQLAEKLSR AWGRKLEYENQTVEDFCNKIGKTMQGRGLETEKIVSQMFKAYTYYNEAEDEPFNIDMA PVLKELPVKLTPIEEWAKRRSPPGW CC84DRAFT_1217309 MHTLLFTSLTLELRAAFANADGNGLIGWGKTMYNPACAFACRTV IKSNPLLCTPEDGTVNYGTVHSPIYTAPECFTRDPAFLRTMAVCIDTYCSVSDHPSTG LIEEYWASHLATTTVGSYKWKPAVSYADALTAGREDERVAAANETVPEHHEKIGDLRR RHSHGASAPQEETFFTFNVSSPLPLAKKKAPMNVTSFVDPVSWQKAYNGMRDFETNEK GHSTYSITVMLVALLLPIPLSLLRFVPGLPTSTGWSYLQATLIYPATWGRHHREPVGA AIGGGMVPTRGQALYIAFISMLNVIFLLTPYVHTHPQTTFASLKEQDISIIGNRAGVM AMGNAVAMFVFAGRNNPFLWVTDWSYSTYLLLHRWLGYWTILHTVLHSVMLLAYYKLQ GSYEDELARTYWVWGIVATVAVVVMFPASLLVVRQKVYEVFLVLHVVLTVVFVVGYYY HIWYCYQYNWGYEIFAYIVGGIWGAERVVRLVRMALKGSRTATVSEVPGSNGEVLKIH IEGVRPSGVAYLCFPTLGWRFWETHPFSVATSESKRSAAMDEEMQASASAVNGSEKAV ATTERSLRDGSNHTRDSSAWPRNGATFIVRVRTGITAKLAARLSASDSHRIQLRVLVE GSYHSNAAAQLAQCTHLLCIAGGVGITAVLPYLHEISAPRHAKLFWGVRQRGILDTLG SELDALPSAVQVRTVVGERMDIREILQQETAVTGAKRDGGKELLGIVVCGPAGMADEV RQTVSKLGRGAETMRPFVLVDEAFTW CC84DRAFT_1074850 MRLLSTKSLQLEQFNDEERLPPYAILSHTWGEEEVTLDDLLREH VQTMIGYRKIEQTCRIAAQDGFGYVWIDTCCIDKSSSAELSEAINSMFRWYALSQKCY AYLEDLVWHEGQSSGVGSHQYGNAHSLQRSRWFTRGWTLQELIAPSEVYFYDKYWTLT GTRTALADILAKITSVDLEVLVNLDLYISRTQHLKVFLRERSVAQRMSWASKRKTTRP EDLAYCLLGIFDIHMPLLYGEGIEKAFRRLQMEILRYSTDQSILAWKL CC84DRAFT_1196238 MAKPRKDVKFQHRGSNPSTKARRPSMSVSEHSETAHSEPSSPTR NGHAAAKIDEAPEPPAQTEYEKKMQNFYTRTVWTFAMLGGFFWALFAGHIYIIILITA LQIISFKEVIAIHSVPSKARNLRFTKSLNWYFLGTTMYFLYGESVIYYFKHILLVDRI LLPLATHHRFISFMLYIIGFIFFVSSLQKGHYKFQFSQFAWTHMALFLIVGQAHFIIN NVFEGFIWFFMPVALVVTNDIFAYLCGITFGRTPLIKISPKKTWEGFLGAWFFTVLLG ILMTHLMARSKYFICPVNDLGANLFTGLECTVNPVFTPKTYVVPFIPPGLPAISNTYV FKPLYLHILVLATFASLIAPFGGFFASGLKRTFKIKDFGDSIPGHGGVTDRMDCQFIM GTITFFYYSSFIAVNHASVGSVLEAAITGLTPEEQVEVVRILGRSLVEKDMLPTQILQ YLTAPAKR CC84DRAFT_1259328 MDDDDFDFSDADLDHLPANTLQQLETTALRATQPQHHAVVPADD SAYYGFDDGDEDQVVNLDDDRGAPRFPNTHAEAYQPTQPDHGASAQYDGAVDVDELPR QSQVDVGKLLERIKKLEQEKRRLNQNLDAASADVIHKTGEVDNLRRRTEHATRQAEQR LAQQQQEHRDAAGKIAAERDALLRQLEQAKTNSAFDEHSRVDEHMRRPRRVVPSRPKP AAPVALSPAGTPSKRQKNLPLGDGFDDDDVVMVSPSKRRDKPKAATPKQASKRKRQIT NDSPVPILELSEPRTQPKAPDPSSINEAQLDINLLRNLWRHDQRYALLHRLLSHRCSK GDDRILEALTQHAYPSDPMKKLSSVVYDSFSASAPSHNARELAIRLCGIFNKLWMQCL RESYYAPIYLILDALQFVLACEPAKTAVAVMQDIIPLIVESVKLVAIPIYEARNRNEK KTAFLFSSAYQNTVDEINVQSCLDLLYLLATCCLSCPSPEPLAQFWRDMPIDWVLLML QKEQPIPHMLILLRTLSTSALPASLGPIIASEPETQRGGESNLLARLTNLFSESIEPI PNPKSAEPAQPVQESQIWIVRLKILDVLTQFSIPEYGSAVLISHGLCIGRLIKYLNHA VSALYARPLSPTQPEKIATVNTTMKLLNHLTTCNPGFNIKSKLNETLGGLHAYYVSLT RLAFSEGLVLEAGIEQEVMDMAHDILDDGLSPEEGDALAEVFPSGNSA CC84DRAFT_1119665 MPPRPFVNDALWRTLCPRWTQVPIQRKSGLLGAAKISPRPSLCS SPSQQPSRTYNSAAAAIPNASLPPTVYSVPDGGIRRRPGAGRKENKHRATDFVNFSNE ELYDRLRIDAAKGLHQEVMNMLRILVKDRRERPNLPMYTALLHSYVSPEWGTAGKIRK TLEDMAAAGIEPGPRACECALEALAVHPDSFLREDILNYMKERWWTPTPTAQCYIIAG LLRERCFEMALERLENMLNEGTRVDAWLWDKAIWMLLEFGEVEEAFHVLSLKRKTSNT VDSGLSGILWSQLLDVAGKRQIPEAASMIWYSHVITGYLKPTTGTCLNVLSVAARAGD VKLATDVFRVLAERNAVFNHHHYEALIQCYLVVMDLPAALSVVLIMQESSLKVTEEQL HPLFAYLSRDKERPMNAFMQLQDLERSGRKIPTAAVNVCIAASIRLGNLQEAIEFYKA LKTVAVAGPTTATFNELFRGCYRSGRKELAMYLANEMVELDIQPDRITYDRLILTCLH AGDIDDAISYFEEMRSEGMLPRRGTHEDLIERVLKARDARCVALLNWYKTAEYRVEAR LATLQRLVFRHFEEGGERKKKLSEDENVRDGFDAIEGNRKGDPDSASKKQQSAQSALE ALQGEMATTPISTETKTEAAVQKDDNSGINDTSAALPRDAKPETKSQPESQTSSVPDY TRFNA CC84DRAFT_1090658 MAEFDFDNSPEAGLKGSLRKDFFWGYATAAPQIEGAWNADGKGP STWDILAHTPGRVIDASTADDATRSYDFFREDVKLMKSYGVNAYRFSLSWSRIIPLGG KDDPVNEAGIKFYSELIDELLAHDITPFVTLFHWDVPQALEDRYKSMLDAEKYVPDFV RYARVCFETFGGKVKHWITYNEPGVYALAGYAGGAHAPNRSSDREKYSEGDTSTEPWI VGHTQLLSHAQVYRMYKEEFAHTQHGTIGITLSGNWSAPYDATSPADIAAAQRALEFE IAWFADPVHGSGDYPASMRAQLGSRLPTFTDAEKQTVKGSSDFYGMNTYTAFFVRDKV PPGQPIPPEDHKGNLAWSDINSEGVSRGAESDTEWLRTSPWGFAKLLHWVWDRYRVPI YITENGTTAKGEHVPAPPAGDVLNDVHRIEFYRAYVGEVAKAVTEGIDIRSYFGWTLL DNWEWAKGYTDRFGVTWVDFESEEKKRYPKRSAAFNREFFEHLIAR CC84DRAFT_1244933 MAEPEQIRSFGHDPAVHAKADVHVEEVVVQRISEENLQKESAKA LKFWSNTGLRIILIMFIQLCNQSGYGVDWAVIGGLNAFESWHDYFGFGTAGSTFATLN ALMRIGTIAGSPFLALSDVIGRRGTMFVGNALTILAALLQGLATGMPMFMAGRFLLGF GSTLVASCTHNASQIAPVHLRGRLVGIMGATFHIGSIIMDAALIGFSQMPGNKAWRIP LLLEAIFPAIVVVLIYFTIPESPRYLVKKGKIQEAKKVIAKYQTTSQSTDEPIVGMVI AQIEESLESTRTGFKQSWNFAVFFTKVVRYRLLVLILYSAFQSWNGGGIVSYYLTPAL ETIGIHDEIPQLGINLGLVITYTVFTLLGSWIIDFWKRRTLIFSGLITIIAMQTCAII TSWRYNVSPSKTTAGLTILWMFMFQCFSATFIATMHNLYPVEVLSLNLRSKGMGLYGL IQGACGVVEAYGISIGIQKVGYKIWVVYIAYNTIQLGLSYFIFPETANLSLEEIDSIF ETPGIQPVKMSL CC84DRAFT_1196244 MLRYPSPAHKSDWESALPIGNGRLGAMVYGGVHTETLRLNEESV WYGGPQARTPKSAAYLAQLRELIRKREHTEAEKLARKRFLARPRSARHCEPLGQCYLE FEHEEEFGDYERTLDLENAEVKVEYTVGGSHVRRRYIASFPDSVIAIHITAEQPVRFS VNLSRMSDKWYETNEFLDSTAVRDKSIILHATPGGHNSNRLCMAAGVQCWDAAGTVDV VGASLEVASTDALIVIGASTSYEPKIDLEEAAIQRVALAFRHHPELLWDRHRRDWQGI YRRQFFQLLPKSDISNEMPTPKRLKDPNDMGIIALYQAYGRYLLLSSSRNTDRALPST LQGIWNPYFSPPWGAKYTININLQMNYWPVNTGNLSECHMPLFTLLHRLAISGKDTAR DMYGCGGWCCHHNTDIWADTAPQDEWMPATLWPLGGAWLCVHIWEHFIFDEDIEFLAW MFPVLQGCVEFLLDFLIEDESDEWLVTSPSLSPENTFVDAQGSKGIFCEGSTMDMAIV RDVFTGFLNSLERLQRREGPMFATQILQHLTRKVRDALPKLPPPLIHPTYGTIQEWGR APYADSEPGHRHISHLYALYPGSSIAAASTPRLAAAALATLERRLAHGGGHTGWSRAW LICFFARLRKPAQCLENIRALLRDSTVPNMMDSHPPVQLDGNWGGCAGILECLVQSHE VVERDGREVRVIRILPACPEEWSAGTVRGIKARAGFEVGFEWEDGAVKGKVEVRSRLG YEVVVCVYRCGDDDAVDGVEYLITGKDLCMARDEDV CC84DRAFT_1217317 MSVEKDAHLPSPSAAIAQNPAEQIQLVEEAAVDIDKSQDVVVDD GEDGLTKKKKADAGLGNYFRVFTYGTKLDALFIALCVLTSIGAGITMPLMNIVFGQLV GHFTDYFLPNSTVTKEEFMSEVNKQALYIVYLFIAKFGMSYISMFTIRISGLRMSAAL RLAYLRATFAQPVSVIDTISPGKVSTRITTSSNTIQLGISQQLALLFQSLAFTIGLYV VAFVKGPLLTLIASISLPFIFITYGIIVPPLMKEAKATEAILEKASAVAYEIFSSIRI VAAFGAQAKLAKQHEVLLDNAKKQGRKVAPWMGLVMAPSMMAMYGTFGLTFWFGVRQY EHGHTSNIGDIVVVLFSVMTAVLLIGRVAGPFIAITKAATAASEIFATIDFPVPDISG LKEPEISSEQSISFENVAFSYPSRPNVQILDGLNATFEAGKLTAIVGPSGSGKSTIVG LIQRWYDLSGTVAKQVTKDKTEDSAPADLVGQEPIQDEKTKKKKKGWRKGKNKDKKLV KSGEKEEEVNLGPNTCTGTVRIGNIDLLQVDLKWWRSQIGLVQQEPFLFNDTLFNNVA FGLCGTKWQDLTKEEKMKMVKEACKEAYADEFIDRLPQGYDTMVGESGIKLSGGQRQR IAIARSIVKQPPILILDEATSAIDVRTERIVQDALDRVSKNRTTIVIAHRLSTIKRAD KIIVMRQGKLVEQGSHDELVKIEDGVYHSLVYAQNLAMEADEELADAPLRKSKTAETE KSDIVETEEDRPASKDEPEWTDKSFMSTGGRMILEQRHHTVLYLLAFVGILGAGAVYP IQAYVFANVVQVFTLSGQSFVQKGYFWAGMFGIEAAGVGIAYFAIGFCTHMISIAITR HYRQEYLLNLIRQRIPFFDREGHSAGTLTSRVSGDATQLQQLMSTEMSMAAIAVVNLV GSTIIAFVYGWKLSLVGLFAALPPILAAGYLRLSIEQKFEKTNAAVFEESSQFGTEAV GAFRTVLSLIMEDMIGNRYETLLRYHVKKAFSDARLGTVVFAASDSVELGCMALSFWY GGTLLASREYEVTDFFVIYMSIVQGAVAAGMWFSFAPNIAQATSAANRILSMRPARDA PPPTYAPIEPSPSGVAIDFRSVQFTYQLRPTPVLNNLNISIQPGQFAALVGASGCGKS TTISLLERFYDATSGKVEYNGQDITTLDPDEYRQNISLVSQEPTLYEGTIRENVALSV DDATDEDIQAACADAQIHEFITSLPDGYATRLGPKGMSLSGGQKQRLSLARALLRRPK LLLLDEATSSLDSESEKLVQEAIERAAGEGGRTVIAVAHRLATIQNADVIFVLGSGKV LEKGDHQALLRKRGVYYQMCQAQALDR CC84DRAFT_1053698 IELKDAAGNLLGPFGALSYTPDVLAPYLAFAVKVNTTPLLTPRE RELAIIATTSVTKSEYVAYAHRKAGLSAGLSDSQISAALEGKDVAGLGEKENVVYRLG LELARGYGKVSDTVFEGAVGVLGRDGVSAVSQVVGAYVLAGVLVGVGGVGAP CC84DRAFT_743742 MGDVLLDYFRSALPEELASVLGDTLKAVESGDFLTVLQTPEIQI LLGHQDDDNTRSTQLKDFPSWSDYIFHRLGLILSNRTGETGVPIEQTPAYRQNLFFLA AVAALYAFLQSNVTGPPLPFTSAEILLPKDITSSTTALSKLRADLVASLSADGVAAFA LTPNMELLCLAETILVSPPIQKYVEHSFWARLRVNFIHQRLLSEPAPSLQKAIYDDLA TVERMILSRSPEKEAKDLHVHFLLERAAIHTHHGFDKKAKADLDQATSERKFEFALTG LMGKRTKFQQKDTSQLLVLARSAGSETTGSSEETASKPKALDLNDDTLFESISFTKAA PTEIQNESSLPASLASLDPENQPKLDPLDSVILLELASSITNTNPADGITREETIPYA TRVLEGGSSNWQVYTQALLVRSRIEGYKSRTMERGLLQLQALVDQVIADTSGQATTDA ETGTQVTSFLPKAQEGESAPVNERLRYVFSLCSPSRWELEAELAARWVSLGGLRTALE IYERLQMWAEAALCYAATEKEEKAKRIIRRQLFHATNGNDEAADPDEEKWEGAERDPA PGEAPRLYCILGDIDADVAMYQKAWEVSGKRYGRAQRSLGQRYFSRKEYAEAAEAYEL SLKTNSLNHPAWFALGCAYLELLRFKDAVEAFSRCVQLDDEDAEAWSNLAAALLHLRP KTSTNEDGELSEAKVTNHPRTDALKAFKRAAALKHDNYRIWSNVLAVAGSTNPPSWSD VVNAQRRICEIRGATDGEACVDDELLELLVRHVVQADGGFDVSKPGLPRMVNELVEKH IKPLITVSPTLWNTLATLYMHSSRPGSALECHEKAWRAVTSQPNWETKGEKEWDGVVQ QTVDLVDAYETLGPRERTEGLGAGSGELVAKDWKFKARSAVRSVMGKAKEAWEDSAGW EKLKERLDELKNRD CC84DRAFT_1217320 MSSYDYYGGDGSHNQHPPQQQYGQQGQGHNYPPQQPAYGQQPHD QYSQGQGQYPPQHQQQHSPYPPAQSPYQPPQQGYGAPPQGDYNQGYDQNRGHSPYPPQ QQQQHYGAPAQQGYGDQGYGQHQPQQGQYGQHDQYGQGQGQYGAPGGPGGPAEGDRGL GSTLIGGAGGAFLANKMGGGVLGTVGGLVAGAVGANMLSDKKDKKKEKKHKKHGSGSH HSASYAGSAGLGGLYAGSHSGKEHKKHKKHRSKSRGGSSSSSSSDSD CC84DRAFT_1217321 MAKRQDPKSTATESKTPGPSAAGAKKGEPGNKSAVDFDEFAKDV AMLTMMEYMARRKVSMQIERKKVERLGVELKNIRETRGKRSGWQLLGEVYVEGVMNGE VADTMTQRMERCSFEWNR CC84DRAFT_743941 MFDSINLSIASLPFTLLLSALPHWYTIYLAQSQKVQGGWTNQNP RAFVARLNAKAASGKKLSEVEETILRGQAAQQNGFEWWGVWGVAVVFGYLMKEPKASM DYYTMVHVGARVAYNYLYLRTSRRKTSYLRTVAFWAASYPSVAILCRAAKVLA CC84DRAFT_1092569 MPPRKKAKASAASTPRPDAQPRTPQDTGATAEQELLNDAWADEQ ETQLFKSMMKWKPTGLHKHFRMISIHADLRSHGFAADDAPHTRIPAIWSKVASLYDLR ALDEREDAYTFSDDPDPLDPDEAPAIPDFELPEDEFGDMIWERRFHGPDSEASSSPPT LAADDEKLLYVPDMGLLKDQPDGILSQLTESAAEATSASKRGKGTRATKGAAKGAKAG RGQAAKNTKAQSAVSDSAEEEEDDDEDEDESSSESEEDTAPSTRRTNRNKGKAQPAPK RTRKR CC84DRAFT_1119689 MGDDGEDHDAFATDGLWKPSSFFEDPATHKTSLFAPIQLDVPQI KLHHPYAPTKPLEQELRLPDIESFEFGPLPELDGFDESSVSIDTPPPPHEDDVWEVAL ELGPANKHITFFTWEWFENSEHVETNTPYITESGPAAFDAALVSDDSKTTAGRVIKDR VLLESLWNLGLGRSSILFSFNAKLRSFESAIADGRASGVSPRTAQSVTNQFIHTGNTF LHLRSFVERTFAAADSIPARVALATAVSSLLSTFEEHLGRQSNNIRSVLQLQRLFAKP REILTHVAKTVDNVKHARSNEQLSSLIHHRLLEAEEGDTYIRKLSCQILRQVAQPSID LLSEWVGIRQEQETVPFADRGGFVAVNDNPEQQGPTEYIYRSELMPRFVSPEDGNTVF ETGNALRFLKYHHPEHPLASLHKFDVQSPQLEWKFDWDDIETISTKAKAYEEELRKAI LEFSNGTSTQKHKIIGAKDSCCLQPTDHEEYLQDSMRFLDGPPKQLSSELPDELKVLT EQVLRGREGSHSAGTDTFSPPLSITSTLSFGPLLSAQAKLVNATTVRLFFRSHKLRLH LALQRQYQLFGDGVFSSGLASALFDPERESAERHKGQMRSGVHMGLQLGARESWPPAS SELRLALMGLLSENYYSSALYHSTQDANVMPATGRDKNELPGQLNFAVRQLDESAMER IMDPYGLYALDFLRLQYVPPSPLNLVITSVALEKYDYIFRFLLRLLRMIFVVAHLPRQ YPDVDSRCFRTEAHHFVTALSTYIFQTGIAEHWDGFQSYVTTLEHRLADEDAANELGV RVTEGLAAIRDAHEKCLDSILFSLLLRRRQRKVMALIEEIFELILLFAKKQNADTKVE DSIKDMYLKLRGKIKVFLNVCRQLTGKKGYGKGKGTAEEDTMERLGVLLEMNTYFS CC84DRAFT_1244953 MRTPRTHSLDNLRTFLTALVILHHASIPYGGLGSWPYIPSPSSP SAEPGLSSLALVLFNIVNQTFFMALFFLISGYFSARAAEKRSSAAFFKEKGKRLAVPG VVYSVLGPGVVEGIIALLRDGAPVHDAWNRSVGKVVSVRGARGPVWYCGVLLVFDGVF ALALYPRASRTADVKEAGDKNAAKQDKRKPHISHLRDAHIFLCLAGAALASFLLRLRW PIGTTFTPLGLEVGFLPQYIVYYATGIYAHCSLGTELHRLVPRTSVRIPRALSAIRTI QGILVLTVNTGVFLLLFTPTPEAHLRGGFNVLAACYAVFNETLGFLLSVLVLRVFGEQ DWARRKWSVKGGSLGEVELARWSYAAFLVHAPVVVGLQCGLDGWGVDGVVKSLVVGVL GCAGSWVSGIGLGSGLERIGVKGYV CC84DRAFT_743983 MTYSSIKHLSVNLVTSSYRLVGEDWQHSHWPVIAQLIPHCQSSR TYASMDHSTASLMMRRFWKRYIYFPISRSSVFPAFCSKTATGKHGRRFPGNFNVGILT LCSSSSQGSRLRVFQNWGNMTESRNNGILQYKDQSYSTACYHEAEKRELIVFLSL CC84DRAFT_1164422 MTSDAKELLQTKNGGRFGKALRKEFLFEEGFRNLNHGSFGTYPR AIRDVFRSFQDAHEAQPDDFIRYQYPKHNDKARQALSKYLNVPTSELVFVQNATTGVN TVLRSLIFAPNEYILYSASIYGACEKAVAYITETTPAKAAKIEYTFPVEDDWLVSAFA AKIDEIEKKGGKVKVAVFDTVVSMPGVRLPFERITQVCREKGVLSLIDGAHGIGHVEL DLKALDADFFVSNCHKWLHVPRGCAIFHVPLRNQSIIRSTLPTSHGFIPSPSAQFSAS KNPLPPSNAPKSDFELNFEFIGTIDASSYLCVPAALKWRESIGGEDTIIRYNQTLAKH GAKRMAEILGTNVLDNETQTLTKCCMANVALPLDAKKMYEIGARAGLEEGEIGIAIRD WMSRAWIDDYKTFMQSMFYAGRWWIRMSGQVYLEMEDFEWAAGVVKEVCARAEKGEWA VKGKARL CC84DRAFT_1145882 MLLNNALVGGLMLAGCASAKAPTVNALFKRGGDIEDMLRRDADI MATLTRRQDANGAQSAPQISTTPASGDAAKADLAKWEAQTKAACDNALTVLNGQASNP SGIAVCYNLPFLDNQTGIFQAELRMYNVSAPIDPWKGVTAGDVNMALSYLGATVQNTN GTFAKRDLIDISYPPIKERSLVERQAGAPQELKVLMYVGKINSNLMGSAMTQATLQPL LIPQIELSAKNPASGQDVKATLSSTEASFVNGVFAKQATTGTDAAALASASAAVQSAA PFVVPGQTLDSRVTKAGLIVTLVWAVSFISVVGLGTYGRIQFREQYRRRVKNEVARNM RTI CC84DRAFT_1205670 MQHNPNSPWRTPASDYAKPSLQHTISMVNLHDEPNWYNRGPSSP TASSVSSCASRSATPTSTNTKNPWSHVMNSPSSTYSDQSNSTYSTQSTSSPKDSPNRT PQHTSRATTPTPIAPQPLRPINAVAGFVPMSPPPTSSGEAFRNGDYPSSPISSFPGSP NPYRQQSVPFSPLVNGFINTSLLSAQGQSLSGWFYELASKQFTDRAMVRKVWQWTISN PKLAILLVVCDDVASWRQAAFFDLRDESLPFPEDRLQGIVSDPQRVVDEQWRATAKEL PLTGTHVDFGSRDTVPLQHINLVRTSRGSEKSVDRVRMLGNADDQIYIRKRFVITRSS QKTSLLEQINKFKAYDHKNIAKLLCSYAQPSHVGILTTRTQYTLDDYLGVQASDPSRA KLLVDWMYDLAHALDYLHSQSICHRSIRPRKILIEGSRVFLAPFGIGANNDQHSPTVP GPQRLDQIHTYFQDQTYIYAAPETLLSRGKRYSDVFSLGCVFLSMITVAQGHSLSRFA QYREGSSQDASFHNNLDRVASWRNRLQAMTNSGQRNGGMLGSGRKVRQLKSEAEWLEI IEQMIKPKYQERVKIAKVVHNYGTGKTIGVRRRSLDGGGYSGQVGAALGLGNPNTVSG VASGSNVYGHTSGTGQKPELSVFDGYFQQQQPRRFEPAGIW CC84DRAFT_1164424 MPSPHPYEANQSIKLSALRARLSLPQRFPLQTLARTLVHPSADP DPAFNNASLSILGGNLLSYYTSEHLLCNYPRLPIEVVFAATEAYVGPRALAIVASEWG IEAAAEPGGEVEPGLLQFKRLKPGEGIPAALRKQVPWKWNVTQTHRIVATDEFGSRNA PPTPQSLANVPVPMEEAAQSFVRALVGSLHLHLGAPLVKRFFKSHFLSRHLEISDMFD FRTPTRDLSRLCAREGFEAPVARLISETGRLSRHPVFVVGIYSGKDKLGEGAGASLEE ARFRAAAASLKAWYLYRPLDVTVPSSMEGELDTTKWKPNMIDCGEVIV CC84DRAFT_1217331 MGGGGKIPYPKHVWSPAGGWYAQPANWKANTFVMGGVLASIVGA AWMLSANLEYRDKMPQEGRFFPSRYWSKQIIEHERKLKEEK CC84DRAFT_1196255 MDFCTLGMFIIDEIEFAPPKPPVKDILGGAGSYSALGARIFSPP PHSKSVGWIVDCGSDFPAALRETIASWDTGVLMRETPDRLTTRGWNGYGENEHRAFRY TTPKLRLNHHALANTQLLWSKSFHLICSPLRCIELVNNILALRNQSENAQSLRPLFVW EPVPDLCVAEELPNCLKALRLVDCVSPNHAELGGFFGKDTNGKDHVNYRLIEELCEQW LENGIGMDGKGGVVVRAGKDGCLVARSGTRKWLPAYHQRGENVIDPTGGGNGFLGGLA VGLVRGSGTLGMENIEEAAAWGAISASFAIEQVGMPMLSRSPKPKGGEYWNGVRVEDR LSEFKERLGRYVQP CC84DRAFT_1145894 MAQTGMHNLQTLIKRLEAATSRLEDIASTSAGFEAGTPNGSPAP PPAAAGAATSAAPPAKAVESLPPSVEAFDAIINADLKPWLELSSKLGNVIDGQAKAVQ QAFTAQRDFLFIAAKAKKPDISTNTEILKHLQAAIGEVDEIRQGNRDRALQNPLTMVA DSAWALGWVTVEGSPKPHEYLNELFGGAQMAGNKVLTEHKDGPDKTYAEWVRAYYKLF KSLSEYVKKFHLSGVAWNKDGIDAKEAAQQIKSGSTTSAANIPPPPPPPPAGGIPPPP GPPPPPGPPPPGALPPPPSSKKSPADMGAVFDELNKGEAVTKGLKKVDPSQMTHKNPS LRATAPVRSDSNGSLRSKSPAPPGKKPKPESMRTKKPPKKELDGNKWIIDNFDSPGDM IEIEAEINHSILISRCKNTTIRINGKANAISIDNSEKTSIVIDSLVSSVDVIKCPKFA LQVLGTLPTLLLDQVDGASVYLSKDSQNTEILSSKSSSVNINLPTDDDYVETPVPEQI RTYIKDGKLISEIVEHAG CC84DRAFT_744124 MSDFVLVGSPIEEPPIEDKTARPSMQPGETNPNSPSYLAFLDTH PFVRASVIDKIYGCIVGSALGDTIGLYTEFLPKNACETIYKSRKFSLVEPVTEIHADT HRLRFTTCSWTDDTDQALLILLSYLHHPSKPLTHLPQDFAARLQIWLSHGLLALSRPP AGIGRLVGSVVTSPSYLASPVAAATAAWERSGRNAAPNGSLMRTHPLGVIGVGLSEEE TWDVAAAVSRTTHADPRCSVACLIVVGLIRGLLRGEVTREAHIDALVERAYAHFLSNP SLAEAATPEPPIHFSKHELTSHTSAPSLDALSLDSPREMGYVYKALGAGILSLRMCMR ARIPSRLPPHTLFEDTMTDLIMHGGDADTNAAVAGALVGAYLGHTSLPPHWREGLVDG PWLEGKVARLCARVGVLEGRADIEEEHDEQADGGKGLLSAAELEARDRAMVMSIMERK KAREEQERKGKGKGFAAWFGN CC84DRAFT_1164427 MRFAIVALLLGATSAYVVTGGNSQSDAAVAARNVVSGRSDIAID ARDPHHKGRKQQRDIEIDARDPHHKGRKQQRDIEIDARDPHHKGRKQQRDIEIDARDP HHKGRKQQRDIEIDARDPHHKGRKQQRDIEIDARDPHHKGRKQQRDIEIDARDPHHKG RKQQRDIEIEAREPHHKGRKQQRDIEIETRDPHHKGRKQQKREVDEAEVDAEVEARSP HHKGRKQQRDIEARHHKGRKQQKREAEAEAEDDAELETRDPAPHHKGRKNQRRHHKGR KQQKREAEAEAEAEAEAEHDLETRDPHHKGRKGN CC84DRAFT_1187106 MQPKSTNDGETTSFLNRDHIKETARRKGMTYLLLANLFVFTMSM LTLICAVYSQRSQSTYSAARLMDEFGVFSPAMNVVEYKEVEFKLPSPVNTSKYVGVSQ DVNNAWLDIAYVPDQMVSVDDFPKLKKPMDSVKVKDPKSDETGYRVGLEVFHQLQCLN LLRMATYPEHYTKLQSDETSKPEETDANSSQDHCVEVLRTKLMCASDVSVFTYHAMPG QQAPMPDYDSKHVCRNFDKIKQWATDNAMPAL CC84DRAFT_744674 MLVCSFSGIKFVIIILQFVFLQTRMLRAKMLGLHLAFSRDVSNM TYRRQSQGTWIQYVIGTVLVDTIFGPLLPG CC84DRAFT_1259351 MRFTLLVFASAVAASPFPWAKVEAVTSAISSVLAAPTGNATKTR HHNHHKEPTPTLQLPCSCQQAIIPAGQMNAKELCEYKYFLNLACFHQTQGGCASPTLA TAQASPAVDLSYPSYQNSKD CC84DRAFT_1164429 MAVFRFLSLVPWLFFNGRLLAFATPGIRPCRLYDRAFQELPLVC PLALSAFYIFLAVFRDHDRPMTRYDPTIHSDSAMQLFIRSI CC84DRAFT_744939 MTDVYTGFWIDWEKGRSKGATLTLPQAQGFILVSFLTLFVQFSG ACLWRVVCFILHQARSTTAPRDGLFQQQQIILRNAITAPNALWNLSRSTLAWRGHVES PFRQAMALILITVIHMSFFGVAGLFSSQIASTGAGSALVRSEVCGYPKEISHIRAVEA YNLEGDQLTTFNSEVLLGRLTLTKSATYARSCYTNPLDSGATDCNIYINTYLEGVDVS AVENATCPFGGNSCGTSKGVRYDSGHISSSKHLGINFPQSEDVSIRRVTSCAPIDSEQ YATDWKPNRIEAFGGRTNTSVRFWEFGQGPQGCRATIPNNQTADTTFCVSQYQKDYWQ EPYTVLAVTAYVDNTTASDFKPISDFQRDDADVTLISIFSKAKYTDVVDDPLFNAHNN SFTKGSNGKNFSTPTTDLSVLGCTEQYQFCNTVNTKCTKLTGLYAALKTVENGDLQLS PQQAAIFRVIWKAAWSMVLQWATTILDNSLLLAQDFVFTMKSTTSSPLPPNQWIQEAH NLHNLSLAVLQRRVNEFSSPENFDIRPGLNSLKQINVTTDPHELNICQLQKIRSTAHV SVSVLGMCVILGVGSILILLDWTLIQQIFWFRSVTHYRQAKKADWQATGMLQLHRQAL EARAVGPWKVSDYLFPVLVEQGKKFLGLSVKHDYPEYSSVQREGSEYSLRAMDKGGQY SAISAEIPIEALGDQKGRAH CC84DRAFT_744948 MKETRTPLCPSLPPELWIRILIYHTDLTHLWTVCRNVSSQFRSY TEQVFADSALRNMKIDFHLEKYNLGGKSKRPEVPTTFARFVPGLKLENGDKDKALVCF QDHRKKSEIAGGRKKEYNRIMERWESNVNEWKPEMPNYTVRIGNTVNDTELSGLSIDI ATRQIEFNWRHTLTLFFREQALFRFLRARWEAESEKTMEINKKRLANREHLTADDYPM PRALAEVEIRKHIRRKRLREHYITNERMVWAIGSLRCFENHSSSSGSSRAFKLNPDLP GSGIGEKFFGSVNLVQELYLDEWSCMHRIDTKIEHMKGEEEFPRYSVPPPDLKKE CC84DRAFT_744961 MWSRTATLSSSWNMASKTAFSSSDMSKTILKRYHCRTQLQHSIF NRKRTSCSALVQFFRRVSICSLRSDWTQEKVASAVAFSSKCLDVSTTIFYYTLLTFFV SSPRSSLHHARAPGSFHVRLCPQFQTGDTSGHWVKHLHPHNVSVITRVSCVACTCFRG TVWTCLYHGPAYIFRRLAE CC84DRAFT_1259354 MTAESVAKNTMKSTANLTANSTVKSTAKSTAKLTAKSTAEFTAR STVKATVKSTAGPARRLTAKSITSNRRSSVAYQSAGNLYPTTRSTSSSVKGIGSSGSS LSLLQSNAKGIASSKAPLTSSRRVQPSSTQEIASSIVLHRSTTEEQQISPILAPLATR LAIALQTGNEGAVTENELLSVLPNALVKRFSLGYQLLPFDVSITWLLDANTAFVENPG TLQNILFANKNLKPGDFPNNNPLAAAGTAPGSDTRMAYFGGNPFVTNDGGTYYPWKPV SDPGMKMFDTNIITWLLGLPTGTRGDAVSAGYDIILSGLKDNAENFTRIALSQLFPNA RINGGRTGNNVCDFRGSSNPCLDGASLLIVGDNRNPGDDDVATAVVRSYKNGLPLLVM TEDGNYEPDSWKITELLGLTLGQNYFEGQKVIDNSPRSYQAPSSIIRDVFRADVLQVY SSLSIDPLRPSDYAVCIARDGLSTQTIRMGECVESPPSGVAAHALPYFGAIHRLQNIM NSISSNGLDIFRTTNGINTETLRILTLLANKIRVGPRGMSGDTAIAIKYPISTRTDGV AVTRALFADWIVPMSTYSTPRALDLGSLWCPDNVVYEAGNCTAPSFPDFSSFQVVLNS TLGDEWTSTGYTQSPGRAATITLLNDPGIPVAVRTFATRNADGRTAEYSAAGKPLYNR PQFPVSVWIPLRPGISTVINSPYGGPLYISLDGTDLTSSRQASFLFQNVSKHFAVLDA SNDNALRQLAQDLTRSPAYWVDIKGSGFELHVPAGKLIQSLAPGGIDIGVGRMVYYNT TTTGLSQLMIDYKTSWAEREYRMAGLKIDGEAMTTSLPLEDQRLCQFLGWDCLNESIH RITETQHLTYDTYAACGQLCSGNPITSSTVPAPIAWGEGHELGHNLQRQQLDIYWPDT SLGKGLGAINVWANYSMRSTEVSNNIFPYFNQWTYFRLTLPARFGTGIDDGPLRRHDV QDFTILFSARQSAYLKLQQNGQNVVLDHTCKVLGTFPIGTRSDVMLADAVWSNNGYAV NNGERMSFYFALPQILQGKTMANNVVLTDGRNIYTLMYQAARLFSAYATDAANWQARA TSLGFSRYAYTNDAVYGAGKTVNDMIGNDFLVVVLSLITRYDFRPYFTAHGVFYTSLA NTQVSANTPSGGYKALGSPHVVLGNQYPKTNLAVTPEGGPGAYVSRNVGIDITNAATT WPGADNNLDGLPEDLVGFHPRNCPGVTVP CC84DRAFT_745017 MVFRSSNEFLRAHYGEVRGTSPTTAHLPARVRAPPCSSTRRVDA IQTGGLAHDAYLRLVFSSTMSLHVRRQPRMFHLYIWCHGTSPWEAKMVVMFFSIGLVC YTLEGLFWVTIYAHHSGRVFVLLHLLAFCLVFTRWSRGVTKTGWDTFHTFASPTVVTR IIFIAAIFTIAPRIFAVFRIALVTSLLRSQSTSTARRIHEAPWYENARYSVCLFMAGT SADMGRGPRFWQPFAFACTTRGIASQPLHPLQLGPRTL CC84DRAFT_745011 MNQPTQVEAPKLSSPNPSAQTSPYQPKPHNPYQRMSQRRAIACT ICAKAKTKCDKAVPSCSRCTAKGLQCEPRSTRRTSDNSYRKPTKHLVSPKRFPTANSI PTMGTMGTSPRSIPSSGRPQIMRTVSHMDFHTAAKLSQYPANFNNFNMLQPLPTSYTP QIIDEYSYSSSPEPNMGGFSRSMEQNSYITSSRAMTPSTPEPFAFNDPINIADPFDNY MNTPSWSDDGSMAVGLGFEHDMPGMLPNDMWSTPEPESITPMGLCDSPTVMNTWSHPA LSVSPPQLPMGMPPHSKGVPSLSLSEASTEEFNSPSHMQQEWSNVQPHAGQIMGKPMV TSSFMDNVKSYAYPKAPQPIWEDIIVPRTQAF CC84DRAFT_1090798 MDAAEERAFDLALHLAQSEERKDPSDVTAPDLLILNQPISDFAV FAQLWTRSRYRLCADGGANRLYDMFCGELKARRDDFIPDSIHGDLDSLRDDVRSYYAN RGAEVSQDPDQYSTDFGKAMQKITANHSPASLEAREVLVLGTLSGRVDQGLGMLHEMI REETMDPRLRLWLYSETNVSFILRDSQNVIKGALSSRSFTENVGILPVYGPATISTAG LEWNVQSWPTQMGHQVSTSNHVKADEIHIYTDTPVLFTIERASMSTDDRGTAAQ CC84DRAFT_1259356 MDYSRYYDPFTDSARSSLVGRASYPSSRASLNNVPTIATATGTF LTPSASTTNLVKDLGTEEKVFLIDDRLGAPFEENDGSAWPLWGDEAEDDDALHLPHPD DDKLFKVNWKQRFSRDQIVSTTGVIFMILGLFMVFIGLPILTYTGIIDYVSGYETSLN ELTAVHPRKEDWAWVNDKDYPLLWGVRRGLIDPDTPEHARRKLGEFYDEYELVFSDEF NVNNRTFYEGDDPFFFAPDIWYGATQDLEWYDPDAVTTWDGVLEIRMDKFKNHGLEFR SGMLNSWNHLCFKGGIFEVSMSLPGPAGVHGLWPGVWTLGNLGKPGFTATTDGTWPYT YQACDAGITPNQSDSSGLSWLPGQRLPSCTCPGEDHPSPGIGRGAPEIDIAEMSVSYA EGKLPVATQSYQVAPFDVWYYPDYEFTRFPDQRLSYTNLYTGGPFQQAISATTSLNRN WYDGKAYQRFSFEYVPGDQEGSHITWKIGGQTMFTLDGRALGPNGNIQARQISQEPMS LVLNLGMSNSWTWINWAELLFPTVLRVDYVRWYQKKGEKMVTCDPPGFETTNYIKEHI RAYTDPNLTRWDQTGYGWPKHKLNSDC CC84DRAFT_745040 MVCPLTLWPQPWYPIRAQQLSATLGLLAPPISRTSYLLHKPNSP RSLTSSHPHLRNTGADLTPDIRFVQPGELTDRTADSSPHPCVYHAHRGRYFRCPGVLA SGAGRSSRWREHGLSMMSAALQGTRGPHLLFPMARTRYGCGERV CC84DRAFT_1205681 MSTNNTTAFPETINGVRAFFNSIPSQTDKLRYVLGRLTKPIAPR LAEATVVNCVDTEHYEWDHSKLTEIGLNVFASGDLRQYANTLEANAENMLKEIYFYHF RMLPNAHCINEKFCPGNPEANRFGNTRFATYAEGKAMLTECFNWDINQGRPQDGKCPS ILIGHALHNDTGGLEETIGFDPYASGTVVATLDTQQMAREMGIYNPAGSRHEIGLRDL CYHFKIPYRDSHTASNDAAYTIISAIYMALYGRDMPKTGKTVLHSIEAVEATSRMYSN ARFGISRYCTRCHRTNHFKKDCHARIPPCHKCQARRTPGRDGTLPDVHWFTKGRRAHL PEDCTWGSSIKVLHGLTRRTDWRDTS CC84DRAFT_1145917 MHSRARSRAFTPRNIRAGFSACGLFPFNSDRVLQGIPEPPDELT ILVSQGMPGSASNAGETVRSPLTLVTPVTLVTTQGLVSLQTIIINRDAHTLDGRSKRS LERHLDKLSKGAHLAFSQGILQRDQINFLMKFNNEAKVRRSASRGVLGNAKVMGDDEL EAARAKRAEKAAIEEAKSKAKRGRKRKASSGVVEEEGQ CC84DRAFT_1164437 MDSSQRNSTHEDIDGGWELEDGKSTVCSQIADLPLIVVGEIVGV LRAKGIEELNFSQSQRSTFYPPLLGYKHRFQTQ CC84DRAFT_1145921 MSSLPATHRALVLADKSQPLAVQSYVTPSPIHGSAVVEILSAGV VSYQREIYAGAATHAHYSLPTPLVTGMSGIGRIAALGPDATSLQPGQLVFVDCMIRAR DDPATAFLLAIHDSGTPGSQKLARNVWRDGTFAEYARVPLENCIPLDEKRLCGELGYE VHDLMYMDYMLVPYGGLRDIGLQPGDAVVISPATGGYGGAAVLVAVAMGARVIAMGRN EAELVRLKKHVLSSSPSAGIETVKMTGDETADAESLKVFGTIDAAIDFTPPKGASSSH VRSIVRAIRKGGRISLMGLNDNPVVPWLVVSKEISLRGKLMYEREDMVYFTKMLEGGL FPSGKHLVDTKVFSLGDWKECLDAAARHTGIGKHVVFSPRSRVHDSSRV CC84DRAFT_1057898 TSATNVAPVEIPRLLVKPGSPNHNSLSTYLEYAARTKLRSDRTV YIGTHYEYTAAEALQRLGFSLIRTGMKNDAGIDLIGHWMLSFLREPMPIIVQCKARMK TCSPEAIRELEGAFRSVPHEWRNKDVLGLLISNQKATEGLRRQMYLCPRPLGFLQIGK SGTITQFVWNRAATDRASRTVVSDIQLTWMGTPIFPDSAQVARETARRLADI CC84DRAFT_1187112 MGDILTQIQDELDMLLNQMQASFAYIRNRAPPTPIPNQPTLSSM QEFEAQNAAALNPSAAPPAPPPPPPREEFLKDIAELSRDMVLKEQQLEVLVASLPGLD KSEAEQVARMKELERELEGLEGERLRAVEEKEALLRRVEGKIVKAGKMGS CC84DRAFT_1090414 MQELSQDSPTVSPPQNAERRRVRINSSAAEIPGGAPSYSRVNDL EAQNSGSVESLQPVDDTTAEVNDLWHAHHNGAHLGHSEHQSAGAATQPEDEDVYTYSG NNQGGVFYHLLQAYKTPTTAANYSAESTGQSPPRLPESVSRPGSSGNATPRKKWYSQE KSNQSQETLATLIGAAAELANPKAESKVQYRPQHKRNNSNPLLSKIWKAKEEQDAKIK IHVASILKRQQYIIKMCRALMVVGAPTHRLEEYLATTAKVLEVNSQFLYIPGCMIISF DDSLTHTAEVKIVRTTQGVNLGKLKDCHEIYKEVLHDVISLDDALVALDELINRPDQH PVWLNVIMYGLASVAVSGFFKARWIDMGPIFIMGTVLGFLQLVVAPMSKTYNTVFEIS AAILMTCISRALGSIRGGNLFCFSALTQSSIAMILPGWLVLSSALELQSRAIVPGSIR LVYAIIYSLFLGYGITVGTALYGAMDPNATNATTCQAPLNSYWNFLLVPLYILFACHT VQAKYKQMPVMIAIAFSGYIVNFYANMKFSSSAPIAYTFGAFAVGVLANLYSRLRHGV AAAVLLPAVYVQVPGSLAASGAITTALQTASSLIKGGDTTGDNSNSLNAISFNVAAQM IQIAIGITVGLFMSALLVYPLGKKRSGLWTL CC84DRAFT_1187114 MLGSKDVSAVRVAGDVGERTRPEADSDIGDDWSTVPWKNQIKHD LQLSRHRNAYTGALVFNVCSFVLPALYSTLSKLWVAEFDSSMVVTTDSYTYIGVVAEV LNEGLPRAAYLIIGDRTSRSFRERLQLTHTLILFQSTLGLVMSFAFLGGASTFAKGFV PVEVRDASLTYVRLAAFSALSSAIEYAVGTSTRALDRPDVPLIISSTKFAINIILDLI IISKFHVGGITPTVNIQAGIQLACNLTSAFVGLAYFVYATSLKNTSAARRDPSDISLK PSFAALKTLLKPGFVFFWESAIRNALYLWLVHGIVSMGSNYATAWGVFSTIRWGLVMV PVQALEATTSTFVGHSWGQFRNSLSAASTKHQASWHQIWGIARWAAYSVSIALAFEVP LCLLMSFLGARPFAHYLSGSDEVARIAAHMWRTIDWCYLCYAVSTQLAAVLMATRPEW YLYQSLVSNIFYVLPWAIVCQVTTLNPGDAWTYHSVVFGGSLVFSLFDVMAFDAVWAR RLLRKKALTRV CC84DRAFT_1164441 MAISYKYDKVSESDSIPELKGHPYPRSKAKLALYLVTAALLGFL SSSVLSYISHLPPTKLHCGSSYADAQALGCKFDLLSSGWIPHECFDEASETEYREWIL NTNNSRRGPFPYFKDKELTQPIEGIDALSRYEDLVYTTMEEHLAHCTQLMRRTHRAAV SRGRMAGLNMDQDEGGAVIDSSHIAHCVKMIWRTDEYLTKYNYTTFGIKSLSC CC84DRAFT_1091617 MDEADVASAPKHDATLDGLKRACEIKNQITGKTLHILAPKPMRV STCAVEMDPDNQAIKEMRQEHSMTWEAIVVELNKKRLERGEPQTWTPAAVYSRFVRSA PRIVAAKGEYGFRAQDYMHLRNPQDHPAAQLPGAINNPSGYQFGAGGGRKRVRDEDHA AKDLADNLRQPVSETLSEQMEILKKADMSELMMEAVATAEQEFWGTVANALEKKTGKL FVAKVLESRFHEIK CC84DRAFT_1196266 MATNSTVPGATNGTTSHYITVRNQTYHYLHALPSPGITPKGTIL LFHGLPDFSYGWRHAISFLSSLGYRLLVPDMLGAARTSAPCPISRYTFKEMSADIAAI VDAVLGPNAQVIVGGHDWGTGVAYKFPMWYPEKTKALFALSYPYTQTYFGPNEQWVDL QELVDSGVYETYGYQLQWRDVSFDRNFTSKEDIRTLLTALIGGSTADGRYAVSEKSGI LYDVLPDIAPDSGFLKGEELEIYVDAISRNGVRGGWNWYRTRRMNFEDELDVAKAGGF RYKLPALFIPSLQDTTVLPRYYEEEMRASFDDLTIEPLNKSHWLLVEDPQGVNQVLEK WLADL CC84DRAFT_1187116 MAQDTTVHAVPASSLKSTILHLAKRVEALLARQASSDTPSRILV ALAGVPGSGKSTVSAALLVELAARGIADVAVVPMDGFHLTKKQLSALPNSEEAFARRG APFTFDAPSFLSLVQSLAVTPLPTPSSPSPETFLYAPSFDHALQDPVKDGIPISSRTR LIIVEGNYVLLDEEPWRDVAALCAEKWFVDTPRKVVLERLVGRHLAAGIETDVEEAVK RVEANDLPNGDVIRERLIIPDVMVEN CC84DRAFT_1217355 MSTTTEINRSFVLRAVKDVAFEDRPVPKLRDEHEVRVHVAQTGI CGSDVHYWQRGRIGDFVLESPIVLGHESSGVVVEAGSKVTNLKIGDRVAIEPGVPCRR CDYCRAGSYNLCNDTIFAATPPWDGTLAKYYTVASDYCYKIPDTMDMEEAAMVEPVAV AVQICKVASIRANQTVVVFGAGPIGVLSQAVAKASGAKKVIAVDISQSRLDFARKYAA DGTFLPPRAEEGVDSVEHAENVAKMIKEEFGLGEGADVVLECTGAEPCIQTGINVAIK GGTYVQAGMGKENVVFPITTACIRALTIKGSIRYSVGCYPDAVHLVASGAVDVKKLIT NRFKFEEAEKAFELVKAAKEGVFKVVIEGVQ CC84DRAFT_745208 MSLAKHPNPSSPVTSHFSLKGKVAAVTGGVRGIGLSASRALAEA GASVAILYSSTKDADAIASQIAKDTGANVKAYKASVENKDEIASVLQQIAKDFGGLDI VVANAGIANHHAAEDYTEEQWRKVMAVNLDGAFYTAQAAGNIFKKQGHGNLIFTASVS AILVNVPQKQAAYNASKAGVVQLARCLSVEWVEFARVNCVSPGFIATDMLDVHPEAHR KKWFDMIPAKRMCEPDELKGAYVFLASQASSYMTGANLVIDGGYTLP CC84DRAFT_1217357 MTAANGTSNGNGATRKSIRAPNPPLKDSVFDMFRLDGKTAIITG GSGGIGYEIARALAEAGANIAIWYAHSKKAHALAETIASDFNVKVKAYQVAVEDYAAV EQGVAAVVDEFGRLDIMIANAGIPTKAGGLDDLVEDWNKVRAIDFDGAYYCARAAGLV FKKQGSGNCIFTASMSGHAANVPQEQSCYNACKAGVIHLAKSLAVEWAKWGGRVNCVS PGYIDTAISGDCPFEMKEEWFSLTPLKRDADPRELKAAYLYLASDASTYTTGADIVVD GGYTCR CC84DRAFT_1217358 MRDEQIVGRALAEVMPQTTKYWFQTPHLLRLNLILLIPLLSSSV AGYDGSLMNGLQSLKQWQDYFGNPAGALLGLINAAQSIGSVVVLPFVGILSDRYGRRF VLLAGLIGVIVATIIQATATTLAQFVVSRFVVGAAGMFVVQPSPMLIAELAYPTHRGK YTSAFWTMYYLGAILAAWTTFGTEQRPDNWSWRIPTILQAGYPLVQLAFFWNLPESPR WLVAQERTEEAHAILTKYHAGGDPDSPLVNREMSEIVQAIRNERAAATVNWGTLVATP GNRKRLFIAVCLGAFAQWNGIGVVSYYLTLVLNSIGITDSFDQTLINGLLQIFNFAAA LGAAFLVDRLGRRTLFIWSGIGMLISYIVWTACSAVNNEQNNKAAGYVVVVCLFAFYF HYDIAYTPLLMSYPTEIFPYTLRSKGITCELLSIYCSLVIAAFVNPIGMENLGWKYYI CFCCFLAVFLAITYMFFPETKGRSLEEIAEIFDGPGAQVDAQAVEKEHVYADKEYKEV A CC84DRAFT_1245020 MDSDNRDDRDQACNECRRRKARCDKTLPECGPCRKNRRHCLYER HSRTPLTRKYLTQAGLAFEGPPSGLADYSWSEQTLDSGLPETNPATGGSNGEDEGAAD GMASLTVDDHEAGYLGVASGAAMLRLLLPDAHASYKKAVSHPPPAAPAPSLSEHWLDT PLWDALDMGSVDLDAAINAYFGLYHNSYPLVEEHTFRAQYSQVIPRPSGRSWHALAFM IAAIGHFTAATTPTDTDRRLFMAAKSNMSIESLEMGNITLVQTLTLMSNYLQKRNKPN SGYNYLGLALHMAMGLGLHKEFNDWDISPLNMEIRRRVWWCMFVFNIGAAITFGRPLA WPNRNVEISLPLNVNDRALTNLSRSLPDEVDNITTFSALIMQSKFHMLTNDIYTRVIS MPFPSATELIRLDDTLIGKWESSVPPWYRQTSPIPAKFATGHAIMWWRLYNFRIIMYR PYVMRRVLQARAPDTNNGNVVPRAVQEAYNRCLRDAEASISTISEFWASTPPTRLAAW YALYFLFQASLIPCVCLRNEPNAPSSASWRSQVNQTLHTMQLISTLNPSAQDCYNVIQ RLCGPFLQPQINDHAAGSTPDSTTLASYPPLESTQESPQTQINSVYAMMWPNANPADV DILMQDGIWNNFFTGMSDLPQPTIPQPGDGGANQFPWD CC84DRAFT_1176151 MEAKVLFDFAFVDLIEASNNGCRLSSWILDEECITLETASAQCG EIADARLRKSMQQAIMWVDALIPCVPEQTLRRLAVERPGNFENMYLFLGAQDDIDISR VEFFGLWDRDNKEIAYRTRRGFRVQASEGDRAAEYITTRPIDDEPGSLETAAKISLWR DSCIKDHNDSCPTFSAAFVPKRLIEVLDSDGSDCLHLCETQHLAHSPYIPLSYCWGKD QTITSTKATISEWLRSIPYHRLPRTIQDAITVCRNLKVRFLWVDALCIIQDDDRDRPQ QIAQMPQIYRNGHMTIAAASASDASKGFLYRRTAPNSETPAFTLPYVCPDSTRGSITI FCLDRTSKPLDTRAWTLQERLLSPRLLEFSEHQVRWLCRGSLDKPGWTNGWVMADEID STSKNILPKEVFDRVFDITRSASQRDSKIDLNENKRDWYSLVRAYTHRKLTRPTDRTL ALSGLAKEYCAALDDEYLAGLWRKSLFTELLWTVEGNTHPAPTSFQGPSWSWTSVNGV IDFKGQYGLEDGKNKQAIRAKILECQPVLVEDGAPFGAVEEDLCSLTLEARLLPALLL PSRASNRYNRPEENHAAVMKMSEDCKVQQIKINLDTSDFRARMPEGAPAEIVLLELYS LFMGSQWRTKGLVLRSEVISSAQKGARERRLAAKEKTDTDISVKDEAGPQSRPSTQTA ALRYQFRLYLGAEVDDWSAEQVREYAQSRSGDLLRMPKLGMPTKQDEQRSEPEEQVFY RVGMFDYTSNYWSQKDYDSRAQRECDWFKYSTPRVVKIL CC84DRAFT_1245030 MVAPLIVTVSNTWLGNDGSWSTFNIDVGTPPQSFRVLPSFQVQN VWLPIADECIKISADAEKCGVSRGAQPFAQRTSAGFHTNVSSTWEAIGLYELGLERDR GISGNGMSGFDTFRVSNTTLDRFPVTAYASPGFWLGQLGLSTVPLNFSETINSASLLV NLKDKGVIPSLTYGYQAGASYRETRVPGSLVLGGYDKSRATTPLTININADLSQALTV GLQDILVTNSFNGTLSMITTEPILAPLDSSITELWLPRSVCDRFEDAFGLEYDTNSGR YALSDATRNQLRQQKPTLTFTIGTNTLTGGNTTIIQFPYAAFDLQASFPIFANTTNYF PIRRADNESQYAIGRVFMQEAYIGVDYEQGIFNVSAARWNETDPNIVPLPAGDVQPSE VPRAGADGKSHLAGGAITGIVVGSIVAVAILVGLSWFVYKHKQRRSYGAYGGALVATS EEKTYPRELQDTDTEEVAGGEVFELPAKHGHSQLHEVERIAELDRHEIIQELASNRDG CC84DRAFT_1092037 MTSRQKAEQKLQEMTSGFIEKLKPILFAPVSMQQMVTAQDVPAK GPVWVSRTVFEKPEGSHELGSVLKDAFRALDFGGDSTYDFTIEDVDVQWTAYRPVGKE KEPEPEITEHEKFNGMMKDVPADTVILYAHGGFYYTGSPSSVRATTLALARETGGRCL VPDYRLAPQCPFPAAVVDLFLAYLSLLHPGKDAMHKPVSAQNIVFAGDSSGGNLVLSL LALLQHLRDHAAGRVRFRDQWIDVPLPAGVATLYPHCDHAMSFASHIRNRPYDIFILD LLPHLQPNYPSCDIWPTKPPREDIYADARTLLHPLVSVAIAPAWSANNMPPMFIATGQ EKLTDEQYYLAKRAEKAGATVQFYQYDALPHSFASFFPRLPQSKHVLSQWGAFCRDVV KRPGDLESVWVRYAADDVEFKGMELESPVDVEESVEVRRGKMREKLAVRKVWRGPTET AML CC84DRAFT_1092602 MSKRKSSSTIDYDSHPSELVGSGVSTINSKVDIKQLRDKIPPHC FQPSYTKSIYYLSRDIMLAAVLGTVAHTGIPSLPSVYLRTIAWISYGYLQGLVLTGLW VLGHECGHMAFSPSQTLNDVLGFILHSSLLTPYFSWKSSHRRHHIYANNLAKDHNYVP PTRISYAESLLFQANRLEELTEDSPVVIIFRILIQQLLGFPWYLLTNITGSPGSLHRK RSDSFLGNSHFAPRSTLFRPEEAHLIIASDLGLLAMAGVLWYASESLGLPVVLLYIQP WLWVNNWIVAITYLHHTHPSLPKYEDEAWSFVRGATATMDRDFGWTGRYLFHGIIEYH VIHHLFSRIPFYHAEEATKAIVPLLGSHYHCDRNSSFLAGIWTAFTKCQWVEPDNEDA KPRDRVMWYKGGPSPPPEISMGRKSWSL CC84DRAFT_1044209 ESRGRELINIAVAFFILDICFVCMRMWSRHIQRTRLRHDDFLVL AALTVITGTCATSIYAVKRGGVGRHLQYVPKEQRIEWLKSVYVAAPSLYIISAVLPKL AVISMYLKIFQSKFSRACCWVVVFVLVATPLACVPVIICQCRPLEYLWNKTIPGGHCV NQALMFRYGSLPNIITDVAMLLLPMPLVWNLHSSSKVKFGLFITFLIGSIGLVTSIIR FVAFFTPIVDGTWAAVSLLTWIIIEPSIYLWAACMLAFKPLLR CC84DRAFT_1187123 MEHSGLLSGWRLRAVTSSIALAMFLVNIEVSVVATSLVAIVDDL QGFNRIGWVVTSYLVTYTSMYPHIFRPHIADTTQGLIIIWSKFSNAWGRKTCMVCALF LFVAFSGACGGSQSMTQLIIFRSFQGVGAAGCVSLGLTIAYEMVAEHDYPKYAAIISS FSAFGSLVGPLLGGAFSEKVSWRWIFLINVPVGSLICVLLFFSIPNRFPYQNQPIATS RDGVHRSKLARSRSLDLLGAFCLLGASLLLVTALLEAGVTFKWKSAPTISLFTLSGIL WIAFVLQEYRLSKSVHTTTEPIFPWEFFQNRAWVGTLMLSLLSGAPYIVEIIDIPQRF QTLGVDAFGAGVRLIPFNLLIALGAVAVNIVAGKSGIPPIVLLSVGVAFQLTGVCLLS TLTSVTSIPSAIYGYQVLTGLGIGIMFGLCLVLPPAVAKSRDLALCAGAVLQFRVLGG ALALAVATTVWNNYATTRLQHLLPPDQLSMILKSTGAVSLLPEPAKRQVMEVLVRSYN QRTRVLIGFTAAQFVAVMMLWRRPQISFTQKDSKKTEKTEHSSITDAALKS CC84DRAFT_1217367 MAFWGLPTLLIKVAIGVLLLRFIIIYSHRWFLNALSHEPGPFIA KFTDWYGAYHSALGQLHIRTLEAHKRYGSAFRGGPNKMVFNTVTAFHAPGVFNIHTAI DKELHRFKRRMISQGLSDPCMREFEPSLLEHVDKFVRNIARAASAQPGQWSAPVNMSE QCKYLGFDIMGEFGFGQSFGLLDGPTNRFLIDAVTAASHRSAVYGQFPDLAKVKLEKI LYPRASSMRMKYMTLMTRLLRERLEAEEDGRAKRDLFSFIVHATDEETGRSFSQAELW SEARFLLIAGSDTTSTGLTALFFYLSRYPECYDKVAREVRSTFRSGHEIHCGLNSKMP QCVYLRACIDEAMRMTPPAGGVLWREVSKGSGGIVIDGHYVPEGCDVGASIYCVQHNE EYFPDSFSFCPDRWIVSEGNPKEKIELARQAFVPFSLGPRGCPGKTMAYMELSTAMAK ALWYFDFEAADGDLGVVGAGKLGGPPGRQRPNEFQLIDHLTSTHDGPYIKYKLREDVS ELLR CC84DRAFT_1176157 MSVVGVDLGTLNTVIAVARNRGVDVITNEVSNRATPSLVGFGPK SRYIGEPAKNQEVSNLKNTVSSFNRLAGRQLSDPDVEVEKNFVSAPLVDVDGQIGAEV TYLGKKEKFTATQIQAMFLTKIKQTASAELKLPVADVVISVPAWYTDAQRRALLDASE IAGLKTLRLINDTTAAALGWGITKLDLPAPEEKPKRVAFVNIGHSNYTASIVEFRKGE LTVKSTAWDRHFGGRYIDQALVEHFGKEFKEKFKIDIFENAKAKFRVQAGVEKLKKIL SANSAAPLNIESVMNDVDVRGMLKREELEELMKPLLERATVPLEQALAEAKLKPEDID IIEMVGGCTRVPALKNKIQEFFGKPLSFTLNQDEAVARGCAFSCAILSPVFRVRDFSV HDMVSYPIEFTWEKSEDIPDEDTSLTVFNKGNVMPSTKILTFYRKHPFDLEAKYAKPE DLPGKMNPWIGRFSVKGVKEDPKGDFMICKLKARLNVHGILNVESGYYVEEVEVEEPI PEEKKEGDVRISTSAFSDSPYDSVDPSSEQQSDESPQERAAKRRKSNSTSVSQASVSL AVDEALTKNRQAMDVDSKEPKEPPKMRKVKKQQRKGDLPISSGTATLDLSNKETAQER ENQMVMEDKLVQDTENQKNDLEAFIYELKDKILDVYAEFASDDEKARLNAKLEQTEDW LYDEGEDATKAQYVSKSEDIRSIAGPIIQRYRDKIQEEQASIRKKHEEQAAQKQAEIE RQKREAEAKQQANAPEPKDAEMTDAETQKPDEVEEPSS CC84DRAFT_1091965 MADVPPYPLYGRSYLLYRVSPLHHGDAPLLREPALNTHAKRLRE QLKGDNVRGVDVDFAATEGALPNLGPLDACSWDVIGDDDAWIDRHRQLLHPDASQLTT VVPAEHARGIHVSLDYETQSYNALLLRDPSSTAAPDGFTSLPLLLVKMPSAIRDIFLN YIRTSFDAHVAPLRLSSAFVTSTLETYFRRLTASTSTQTVQDVIRQLQVQLSFPTATT LLRHLDVTMAGRDVPGFLSRGRLLRNAKDRPFTAALAAYMREHLALDISHPKVRISRI SCASFVLATDRLKLMAPDIADVSIVDSDTPEGSAGELAVQDFYASLVKEATGTGKFLS EDLTMDRRSSTPSSSTSASASRRKRAVSNVAAGSRTSKRSKAREKENRDGVLGDAEMA DA CC84DRAFT_745235 MPTHEELEGWNVEKQASDAMTASTLAPRPVTPVHAVDAPCGITT THSVFHVPSKRYLFRANDPRGVPSDLDEEEIALMETTAFGPPVDGRAVEAIRAESSFA EFAAKETEEDRNAALLAARRKDVQRDVRNLARLDAQLEALRLRKKLVSGLKKEVLHGG DVRVVDALVGWEVPRNSLGKIVDGEWKPKVAGGEAMVKSDSGLGAVGEEDDDDEDADA DEDGDGEEDEDENQDQNEWEKDDVGNDDREEVSPSVGHPL CC84DRAFT_1245054 MAGRYAETIHMDEYGGRHYPPQPHPQAPSPPPPGASTARPRRPR YDGADRDRPRRAHTYRAPPPPASSHSSTSPPPRHPPPRRSPRNHDRSPPADDYYAPRR RRHRDEDYFSDDKHHRRAPPSRPHHREERRREPRDHAPREHRKDKDDDRRRPRPERKE SKWQKEGKKLFNEYAVPAIKAEGTKFVSRQIGNILAKGVS CC84DRAFT_1217372 MRVFPSLVLCSCLATAVLAFGSSSCYYANGTEISNTEIKQCSDG VTTVCCALNRENTPGNETNEMGWTQDECVPNGLCQNRYMTDGVPQTTWWLEYCTNPDP TSSECLDVCRHTRDAAGGSRMTPCGASSNGGYNALDDTRDSTRWCCGDSDSCCTNNID VVELPRNFTGHAVTSSASSSSSTPTASSTSSSQASPTSSPTPTDTKASEAGNNGLSTG VKAGIGAGAAVGVIAILLAAFFGRKAYGYRKLAKQREAEDKYALQPHLYGQEHKYAHT DFAPSELYSNTSTHPAEMPSSEQPRAPTELPGSHERYDQN CC84DRAFT_1145964 MVAPSPSVQLVEEGSNVRLVEEGDRNAGEHNDASSTREEVQSNP DLYAPPAYTVMTTSGEESLDAEAFTPEKTPLLERSQSANRNDRPSPSYTPEGSASGSR VERPSSQGSSQVISARKLVEWTNDNANAIRCSVLNDRPATWTVKKKRGMALCPLAAAV LLREGILSTKHLQLVPSLLDRPSSSPRCDPHDPTDPLSAVIISSYDVIGDVLLGAVGG PIEVGQKLGPQGREMERNAALARLAQGSTWSRENPTENALMVGPKAIVHYGVGTYKGC RKIVETCIKTPMIYTHSLTRGFHNTPKLYGENLRTREEVFDLKSGLVVGGKTLVLGTY DGIKDFFWMPVKGAREEGVVGAGKGFCKGIGNMMCNFGEAACGTIGYSSYGIYKEIQN SASGGNLPARNVVLTLGEAEYEQANEEERRNVIKRWLQVQMRQM CC84DRAFT_1176163 MYHSICLVSRDRRRVAGLGVAHRELPKSCRELQGRVNASRGPFR LVTQISTIVLASCRWLARKLPNNDVNSSSRPRSFHCNRMAGLNSCGRTCANDSQADIT KARITGWLLENTFVKVIPEAAIVEMTMPEAAIAVAVTVAVANKRLRRRLKLTERRFPS PWQSTVVHGRLFRR CC84DRAFT_1196273 MPWNTHASGTQDPPEVRNWRIHMIALVASMSALAMGYDTAVIGG TMALKSFIRDFGWENVSKSTRDTIQANIVSTFQAGCFFGALLTFPIAEKIGRKRTVMI AGLVFLLGAVLQTAAQGNLNLIYSGRAIAGLGIGASSLTVPVYIAEVAPPSIRGRLVG IFEIASQGGGMLGFWINYATDQTVDVNSKAQWIIPLSLQLVPGMLLVAGMFWCPESPR WLARGDNFDAAEKILTTVRSLPSSHPYIQHEMGEIRAQVEERSTNHLSKSAQFRKLFQ KGTRNRMGIGLALMLMQSFTGVNIITYYAPRIFETLGISGTSLKLFSTGFYGIAKTLG MITFTIIIVEKVGRRKGLIWGAALGCIPMWYIGGYVMRADPAAAAARGDISRDGWGYL AMVCVYVNAFIICATWQGITWTYASEIFPLDIRMLCVSLTTADTWLGSFIIARSTPYM ISDLGYGAYFFFASVLLLMGVWAFLFVPETKGVSLEEMDALFSRPMHQVVWAQIRKKP LPLDEAEAERKKSLRSSSMEKEIGYEHAEVEHKRQI CC84DRAFT_1176165 MNTQRKNLAAILPAPQAEFVVEERPIPTPGPDEVLVRNHFIGLN PLDWKRQLTGMFVPSYPAILGTDLSGIVEAVGSNVSNVKPGDRVLGGADGMISHKLDN ASYQTYTTLRASSTSKLPMNVTSYLLLFHLLGLPKPESNAQPPNATLLIWGGASIVGN LTIQLAKLAGLNVYATASEKHHEYLRSLGANLLFDYHSDTVIEDAVAAAESEGKPIAY VADTIASSATLSSVQDVLSNSTAIAKVIAHTAPWPEELTKLDGIKTDMVHGEEVWYKP DMIQLGSTIFHEHLPKWLETGAIVPPPYRVIEGGVGKIQAGLKVLKAGVSNQKVVVAV CC84DRAFT_1245070 MTGEFGRSDLSMLRTLHRVSWNRGRWTHQTLRERESPIVSQHFY ESCPAARLKQVEAKHTEGCVHIIVDWLPMVLKEYCASRDYKETTSRDICATQTSFRMS AVDVFEPISQTTERLHFCSLCKKPFSQETSCARHIKYCRRRASQQRQSRPQACHTCRL AKTKCDFKDPCSRCARKQLACSYGGKVAPIHDSRPGQIERVPSHGTLSPAHPESDSVT GLGRSENAPLFSLDELADIDLFDSFSAVYPPLGDFQRGSTPRKDLSLPHIFVNEAGRG INPFEPSSASTLASSSPHSLLSQTPLSLAVPITSTITQPTRPPTFLSNGTRNFLLSII NSYPRMLAQGTILPPFIHPLGSRLHFDGRPSDAHTINPDCSNTATTQLLEPLETLTTI ARLFHSHPPSNTDFLWRTISTESAQIDSTLRTLSLGSTLAALQSTMLYGTMRVLLSGR SYAAINAGIVRSMEKLAARCVALTGAAFSVRHRKAGGGGGRPSWEEWICEETRRRVSV VCFITALTIGAQADDPIVNPNNHVLPSSKALWEARTREEWEDLYEGQYTSDNRPRLET VGDLVIAKLGRGSRNEGRAGRGAVDEMIRHPSFITFYYRRLDPRHVKHYFAFRHPPPL IAHLPSSPSPSPSPSPSPSLASSRNTNTQRGLNLSVPYDQHKHTVRSDLPKALKVTRP SATPTPTEGQSSPASSSSPTMQGARTPARFLAQV CC84DRAFT_1164454 MPATTTFLSRAGSQLASRGSITLRASLTASRRTPALAALARYYA SKSFPSHTVISMPALSPTMTAGNIGTWQKKVGDTIAPGDVLVEIETDKAQMDFEFQED GLIAKILRDSGEKDIAVGSPIAVLVEEGGDVSAFESFSIEDAGGEKKPAAPSKEGEAS EASEPPKSGSGTAPPAKEEAALQAIESDSSGKRLELSLDRHQKKKDEPVQPAAGAPAT APAAATYEDIPATSMRKVIAARLTQSMQQNPHYFVASSISVSKLLKLREALNASSKGE YKLSVNDFLVKAVAVAARKVPAANSSWREEAGQVVIRQHNVVDVSVAVATPVGLMTPI VKNVNGLGLQTVSSSIKDLGKRARDGKLKPEEYQGGTITISNMGMNSAIDRFTAVINP PQATIVAIGTTQKVAVPGELSEDGTPSVEWDDQIVITGSFDHKVVDGAVGGEFMKELK KAIENPLELML CC84DRAFT_1145975 MADTEPSPGSPEAEDRWPGGQTQQEPPAADQDEDTHHDTTAEDA DGHAPQTPSDMPTPTPPTPKLHVPEPEESDSRRTAEFFDQRMHSDARPRTDSQSTAAT AATTATRPSTRSSLVFVITALETIAASKDAKKNKKLGDSVATALAAIKAEGDPSRINP EILFEPLQLASEAPSVPVSIAALDCIGKLISYSYFSVPSEQQGDTEAVPLIEKAIDTI CACFQGEATPPDVQLQIVKSLLAAILNDKIVVHGAGLLKAVRLTYNIFLLSKSSANQQ VAQGALTQMVGTCFERVKSRLVAKEARLNLSRVSLNDKGNSEENVHEYDDDEGEHTNG TGDAAEGAEEEQDDPESGEPSDKPVDVHTGPKITLQSFENNMSFNDDRIHDNAPTLVT RIKGKQGSRHVSGVEASPIPQEADEEEEDEIFVKDAYLVFRAMCRLSTKTLAVDHALD VKSQGMRSKLLSLHIIQTILHNNIAVFVSPYATIRSGSGDEPTTFVQAIKQYLCLSLS RNGASSVNKVFEVACEIFWQMLKYLRVMLKKEVEVFMKEIYLAILDKRSAPAFQKQYI LTVFRRLAADPRALVEMYLNYDCDRTALDNMYQRVVEHLSKISSTPVTVSPMQQQAYQ EQREKQSKASDWQSRGTLPPSLSTASMNVPHEGEHAYPPEYAMKQESLEGLVEILRSL VDWSEQSAPNAARNSNVDLRGSVDNLRASIDNRTISESPMVGGDSGTVTPMMEDDYGE LEKAKQKKTALTRAVREFNYKPKRGLKTLIKEGFIASDSPDDIARFFHETDLLEMGPL GEFLGEGDPENIAIMHAFVDQMDFNKTRFTDALRRFLQSFRLPGEAQKIDRFMLKFAE RYITGNPNAYANADTAYVLSYSVIMLNVDQHSKKMKGPRMTPEDFIKNNRGINDGADL PEDYLRSIYEEIAHKEIVLETEQEAAADKGLIQPQQQGGLAAVGQALIGGGRDLQREV YVQASEAMANKTEQLYKQLLRAQRKTTRALPVSKFIPASSSKHVGPMFEVTWMPFLTA LSGQAQDHNIEIVRLCIEGIRLAIRISCIFDVESARQAFVAFLARFTNLYNLSEMKAK NMEALKVLIEIANTEGNLLRESWREVLTCVSQLDRFQLISAGIDERSVPDVLKTQSPG GAQSRKSLNVPSNRRRQISHTNSMQFQADVAEESRSTDVVRGVDRIFTSTANLSGEAI VDFVKALTQVSWQEIQSSGQSESPRTYSLQKLVEISGYNMTRVRFEWTNIWQILGAHF NEVGCHTNTNVVYFALNSLRQLSMKFMEIEELPGFKFQKDFLKPFEHIISNASVVSVK DMVLRCLIQMIQARGENIRSGWKTMFGVFTVAAREPYEGIVNLAFENVSQVYNTRFGV VISQGAFADLIVCLTEFSKNYKFQKKSLQAIELLKSSVPKMLRTPECSLSARAGFVKE SEKGSSIPKQPTRQTQEEQFWFPVLFAFHDVLMTGEDLEVRSRALSYLFETLITYGRD FPRDFWDMLWRQLLYPIFMVLKSKSEMSKVLNHEELSVWLSTTMIQALRNMVRLFTHF FESLEYMLDRFLDLLALCICQENDTLARIGSNCLQQLILQNVQKFTPQHWNQIVRAFV DLFVRTEATALMSAATAGTPSHYQTPNGSNGVDGEKTPTASAHPKDLTLGTPGAEEST SNNALGINGLNSPRRPSVVTNDSQNSLAAEQARMPSPLPKRQTQELEDYRPEDQDVPQ PPVVVTAARRRFFNQIITKCVLQLLMIETVQELFTNDAVYEKIPSGELLRLMAVLKKS YHFAKRFNADRDLRTRLFREGFMKQPPNLLKQESGSASVYVSILFRMYHDTSTDRAAS RADTEAALIPLCEDIIASYIELDEETQQRNIVTWRPVVVSVLDGYGNFPAGEFEKHIE VFAPLVVGLLGTEMAQDIQRAVQALVMRVFESKMGIKREGVALMTPAVGGRSPRGSFG SPKVERRASRGK CC84DRAFT_1092253 MRGAIVFSGSSHPTLVDGICDRLGMKRGTAQLSKFANGETSVHI HTSVRDKDVFIVQSGSPKINDAVMELLIMVSACKGGSAKSITAVMPYFPYARQSKKKS HRGAITARMVANLLNIAGVNHVITIDLHASQMQGFFKCPVDNLIAEPLLARWIRVNVP NWRDAVVVSKNPGGTKRVTSLADALKLSFGIVTTDRRRAATSVPWNESAMFEQLRLDG TYETPELIAAALDADAQIIPAPSQSHNSAADAGKPKLRSRAPSNPLERRVNGTANLQS PLSKSMRAASIVSTKEEENLPKPDEKSEQPLTEENSDEVEADEYTDERARDVTHGRLV RGHIVEDTHPSPSMSAVSHNSWRQRAPSDGDDADVPPHMMSSFMSTASHSEHAHAHAL GGTMDAAASSEDEEEDLRNPDLETMVTLVGNVKDRPVFIVDDMLDKSASWIAAAETVV KRGGATKVYCMATHGIFGGDALEELVACECITKIVVTNAFPIPAEQQAQARDKLVILP VDNLLAEAIRRNHHGESISQLFMHYD CC84DRAFT_1090910 MAAPGTCTHTWKIIKSDTNIIVWNCNGCHSGPHPFIYECEKCKV QYCRPCTSKPRA CC84DRAFT_749035 METRDMQFQSRFTAAARSSNQSSERVRPFKLYIHPLSVLGRLTI LIVSRHDFNMSRAALLHGQHHRTVFRRDSILLKDQPRYHTLAWVALWPTILESVDVVC LHNLVIAYPKYESTSKVDYCVGLAPPLKLHDACQEHSIFRHMLRHTRILEPIVHASPN PASRSILAQSLEICLPVAC CC84DRAFT_1145980 MSSVSGYDSAKGPQEELNDFWENLITKKPSKITNIFPPSLYADL LPPPNMPGPARGKNAAESYETAARDCCARVRQIIRECHRTNEKFTDPEFDIESDMRVR NCLEGLISWYNDPLPPKSRVSPRRLCEALDTLAESNLLASQTILANLSTAANILDDDD SSDRGGPGSVHRIDWIFDKPSFTVDSYSSSDVKQGANGDCWFLSAVATICSNPNLMDK ICVARDDDCQECGVYGFVFYRDGEWIWTVVDDNLYVRDQDFDAYGDNYDPTGVKERKY KKNNQTGSDALYFASCADQNETWLPLLEKAYAKAHGDYNAISGGHSGEAVEDLTGGVT TKIKTNRILSKERLWNELKQVNKEFLFSASSPGSYGDDSDARRGLALSHAYSIIKAVD PKDEQGNEHRLVLIRNPWGERENAGMGEWNGAWSDGSKEWTPYWLKELDHRFGNDGLF WMSYDDLCERFHLIDRTRLFDNEWTVVQSWSSVSVAWVTGYLNTKFVVEIKKAGPTVF VLCQLDDRYFRGLEGKYSFDLHFVLQEENAPSGDHIVRARGAWFGNRSVSAEVTLQPG RYEVVPKIVADRNSDALDVFEVVTKVADRNPQKLRQIGMNYDIANAKGIVQLSAEEKK KKEDEKNAAVEKKRKDKEETDKEKAEFETWKKEKREREEKEEAEREKAGSADTTFNTQ ADESKPSSTIVDSDPKPSPKQLKNYVVKDITTPATQQSFKDDDAVKVEQPASGDLPLR PKDDPSTYPAPSPISHRQPPSHLSRPPPSAYGGSIFSGPPSPTMGPPPPIYYAASDAG PVAPPAAPPAKEGEKKWNAICVLGLRVYSLDREVSIKLVEPKDAEEGALLDVDGVGAG ATIA CC84DRAFT_749081 MALIRLLQRKPDGELVFREPTSGDVPAYVILSHTWGEEEVIFQD MEISADRSKTRSKAGWKKIQFCADQAAADGLQYFWIDTCCIDKRNAVELGAAINSMFR WYQNAARCYVYLSDVSKPKGSDGERAWKEALRTSRWFARGWTLQELVAPRVVNFFSVE GRRLGAKLSLTTEIHEITGIPEKALRGDALSNFSIRERRSWAERRNTTVEEDGAYCLI GIFGVSMVPNYGEGRDHAFRRLGEEIHKLHKGVNFELYAVGLNLASLPEAAQFVAREE ELSKMHELLHGHSNRSAVVLYGLGGIGKTQLAIQYIKRHKEKHTAIFWLNANDEDSLQ LCFRSIAQQVLKYHPSTRVLSEVDLEGDLDRVVSAVKVWLDLPDNTHWLMIYDNYDNP RTSNASDRSTVDIRRYLPESDQGSIIITTRSARVTQGQRLHIQKLIRLEEGLKILSNL SRREGVENDSNAKALVVKLDGLPLALSTAGAYLEHVTISFAEYLRLYEASWLKLQRPS PSLSCYEDRSLYTTWQVTLDRIRKQNAASAQLLKLWAYFDKQDVWFGLLQHACSADNK WIQKLTEDELSFNEAVRLLCEYGLAHPEPSLGQLTRSSGYGVHSCVHSWIIYVLNSEW DDGLTRLALTCVASEVPSTDVDQWWLLQQRLLQHAARLEHCITDGNVGVGGTEWALHK LGLLYANQGKLAEAEAMYSRALQGKEEAL CC84DRAFT_749127 MAPTASPTNDSRDRTPASSARIGPHPSYIEVAKPYILQSAIQRC LVDLAMSDAKEDAVRLQGVAYIDQVRRALQLPVRTFNTAVIYYHKFRLLHAENEYSWE GAAAAALFTACKIEDTLKKSREVLAAYYNSKVGVGEQLSSDDPRFENHSKLILGLERL MLESAGFDFRNRYPQKVMVKLARALRFDPGREAATMWNVSLDMYRTFAPLKQTTPTMA IACIELAARLHEMDTSEIVDAGVCRYSKWNTTRAEIMETLLDLLDLYTHHRVNTALGP YYSLETFINIRITLNNEASAAKIPRYATYASEATSSGPKSTNGTKARNGIDPTSPLAP LTPATPGTISPGTAQPPKSAIGNRGQSGTVRFMLDAKRAQEEKKAVDKYWVVEEEEYE VEVPNTPEVRDRAPDRDRERPRERPREPLRDRERERERERDRERERDRERDRDWDSRR VR CC84DRAFT_1164463 MAVNASFVSPTADAKVAHMAASFDPNGLVSMLMNNLSGWRLVLT ILVLAVAYDQFKYIWNKGSIVGPMWKAPFIGPFLESVNPDFKAYHAKWESGPLSCVSV FHKFVVIASTRDMARKIFNSPTFVKPCVVDAAYKLLRPQNWVFLDGKAHVDYRKGLNG LFTRHALEQYLPIQEQIYNEYFQKFVKITQDNNGKHVPFMGEFRELQCALSCRTFVGQ YISEAAIKKIADDYYLITAALELVNFPIILPFTKSWYGKKSADMVLEEFSRCAAKAKV RLAAGGDNKCILDFWVSAMIESEKYRQRIAAGEKVPDTEKPSMLIREFTDFEISMTLF TFLFASQDATSSANTWLFQIMADRPEFLEKVREENIAARNGDMHAPISLDNLEKLPWT RAVVKETLRYRPPVIMVPYVAKKDFPITPEYTVPKGSMVIPTTYMALHDPEAYHDPES FIPERWINGDADKQVKNWLVFGTGPHYCLGQVYAQANLMLMIGKASMMLDWNHQVTDK SEEIKVFATIFPMDDCLLTFKERDA CC84DRAFT_1259391 MQLPEQDKLAFKQWLLPKLANRTEAEPEVLADYVAELFAGEGSP AEIKRASIEQLSDFLEDHTEAFVDEIATAIEHRIWTKPVEGKQKDRKKLAAKRKAKGA LQPAQPTATPPTGPKADAARSSSSARPAPSTLAPDAPVFVPRAQPIPTAPLSNDDFIP TGPRADRNSTNMQDGRQAPGRKRKLQDRITRDDNDADISAGERPHKQLARRGGGQVGR NGQRADATVVTAARAGPLPNVTRFTPNMFPNGQPPVLPQFSPHDPLNPFSFLANLSAM MSMGYSGQRLGSQHPNVPLINPAVAKIKCQDYETKGICHLGTLCPYDHVQGVVVPADP EYDPNNALLAIPPHLNSRRINDSNRNHYQRARASFSHSGPLRGPDDTLAVEQIPEDRL TEQHVRDFFSQFGAIVDVQVRTKERAAIVKFSDHPAAQRAYDSPKAIFDNRFVKVFWY QPGPSNGFHGVVGKTPRQEGIPAIYYEDEEMVDIEEFSKQQAQVQKEFEEKRRRSEEV TARSNLISAQLKAKEEELTGLRSTLIEKARAKGLEAIVAKEKQALSEDLAKLAAEAAG LFATAGSTDTMTISRGGFLNGRSFSFRGGLRGRGSTRGGRGGVVRLDNRPRSLAIAGV KAGSEKETVLKRHLLNSKGCTGVRHHAERAHTLVASFEQRFQAEIFLDEASSIDHVSI ADLSWVPNEATVVQQSIVIDDPLVHQDADDEVSGGEVDVKVEVDLDVADDNDQWL CC84DRAFT_1176176 MVMSNRPAEAAFSTGAINARTQHTAKASDSIHAALAKIMRATPH HDEQATPKLSFRQKLLRFLKSVSHKLHQVNSRTPKPTPAATETYQTEGTVFNLLSHIP VIDLVMQDEDEKDDDEGDERQPRRAMDLGTHVEMIEEFRKATMHTTAVDLPCDIWHAT LLRSKRWY CC84DRAFT_1176177 MNHKLASSVVVAARASYAALAATDADIDCPTGADASTHSQSSVH LSSKIRNNDGTLKAIRSSPRSDWVSPPCSEEHIANYAGRLLSDLSGPPKVPPTSSTAL LPSDNSWSLRFGDISCYSPDSDFCSKYTFSDLGTCGVGITVGPGKKPEKHLAVREPLS G CC84DRAFT_1164465 MVAIARSFGAARLAARSVQTSQPAARFISTKAQPKTLVMNSAVR NAFKNNAGRQIIQSRGIVAESTAAAMVAAAKIQGAGIATVGLAGAGVGIGTVFGALIQ GVARNPSLRGQLFQYAVLGFAFAEATGLFALMMSFLLLYVA CC84DRAFT_1092070 MRFTIIAAVAFSSAVFAASEKRDPQTDVASILAALRANNPEDAD AAAAAMKIKIRSAEPAPEPQSQDDLNSILAALAQNNPADASAAQSAVAKREAQDDLNS ILAALSENNPSDADAARANIQKRQDDLSAILAALGQNNPDDAAAAAGLSKRWL CC84DRAFT_1176180 MFPNIFYLPILLLPVLGQATHPKSVCYTRFGSKSVSSLPIVTGT KATTLTAVRVTVIVPKTTKIPSPTTSTAIQDVTTTVTSTAPQDTDAFHTTVTADTTIT SVFSETLVSTEFARTTMTTGDGTSTVTTKTLSLTWVRTATKTALRPIITTTSTCPRFH IHHELHYQHVFHFIYYNVYQDDS CC84DRAFT_749982 MQDGYPVSAPLLHRQSVVSLSPRGPQSAARPWTHQGRHCGFEAA RARWRRQALDHARVRGPTGRIYAPRIAGKHLGGEHGPLYRPEQCFDEYVVALLLGSFK PELSPSTCSIVYTAPSSWQWPLGVCREHGCWRLTSVSDERSERGRQPSVNAPPEAVYA STATPALATSHSTPYLRPPLPPSLQRSTSPRSARSASSKGSSSTNLTHPSSNNRVEKK KPSVKPARKQLHCPDCKKDIKNGFDKHFREHLHDLIGSDEFKFDMHEAFGCGYCHAQG VLVEGGKVFHGVAELVQHVKYAHASTPQRLHWDISHSFNHVLSAQPYFRRKIIAMITA ENSGNHNNTIPSLSWVADHRPLLRKLQILSGRLDRNPSFHGDIAIDTLLAQVYDAAAQ NWQQPFAFSFPPVSPHGAPQGPLRSSGPLHPRQELSEDMSFAGIGKAFPDTPTPQQPP YASDARSPSILSPAPHVPHAPPHVQRALQDLQDVELMDRDAPLYQTGLYGAGVSPVTP TIAFDDYTPYSTPPPSQGLQIDSMRDLYNDLQIDERDLYPS CC84DRAFT_749981 MRLSLVPALSSLLPLVYSATPPTVEIRNGTILGVLNTTRSVEKF LGIPYAEPPVGTLRLAQSIPLKSPFGTLNASAYGPACYNSLNVGNPSEDCLTLNIWRP AGETSSNASLPVLVWLYGGGLVAGYAADPKFEGTNLTANSAAVGKPIIIVTLNYRLGP FGFLNGKEMAELGLLNLGMLDQRRAFHWIQENIGAFGGDPKKVTLAGESAGAVSIYSH MMAYGGRDDALFHGAILESGGAFPLTGPDTPAFQASFNALITNTTCASTLNGTAAEKL DCIRGLDVPTLRASVGKSTGQSIDGDFTRTSLINALHSGAYAHIPTIVGTNTDEGTTS APTNVSTLSDLLGPVSDGYFRPRKLPNTTASTLISKYSTNPRLGCPYNTGETRFSGGA LDKMACSIFGDIVQIAPARMIAHVLTRSGVPVWRYRFNQLPPGGEMTGKGIGTAVEQQ YVFSNVVNATAWDGNVAFQMGALWASFAHDMDPNGVVAEVGLPKWPTYGKDANSIVLS GFGSSIEQDTYRGDAIQYIIDKVLPDGAA CC84DRAFT_1187138 MAGARQAVDWVYANAGKGEWKHVDKTRIGVWGQSCGGLEAYTAG LEDDRIGHVGIFNSGQLNETESEAVAGNLHKPIFYLLGGPTDEAYVNVSVFLGRVVGR DYVDLPKGTPAWKANHALGHGAAFDVPNAGIPGIVGSKIVQWVLRGDAKAKKWFTGEG AYSVGITDVEYKSLDRIKVAPIQ CC84DRAFT_750094 MSTRSVPTLTLQGARTALAAAERRAQEIGVPMNIAVVDNGLHLL AFARMDGAKITSIDIAINKAFTAAGHRAPTSVYKEAVWPGGPAFGINNSNGGRFMTIA GGVPVVQDGVVVGAVGCSTGTPPQDADVAGKGVEAVVKTFGPKAKL CC84DRAFT_750054 MCGVRPRRVSSLPGFADGIALGFIYNKLEIVEGGIHFDSFVTCY TFHKFALFQSLTSHSFCLVCNMRASTTSVALGLLAAANAAPFKTSTVKRQDAGKIVAA HFMIGIMATRSSAADYDDDMKKAKAAGIDAFALNIAKDDYTDAQLNYAYESAANNDMK VFISFDYNYFETSENEAVGAKLKEYCGKPGQLMVDGKCFASTFNGDNDPSKLFNANTV RTAAGTDLYLVPNLSPTGIRDATAVGDIDGSFNWIGWDSNGANRAPTAESNVTTSDFD SKYTEWLAGKTYMAPVSPWFFTNFPSKAWVFPGDLLWFRRWNEILALAPQMLEIITWN DYGESHYIGPDSETSLHNDDGSSAWANGFPHDGWLEMAQPYIAAYKAGSKEISVTEDK LVYWYRPTPKAACGTFDGIDTLQDAVFVVALLQSEGNVTVTSGSNTQTFYAPAGASAY QVAMGTGAQTFALLRGGADVFSAKGGLEITENCSPVNLNAFVGVAKGNAA CC84DRAFT_1146002 MATLEAHKHISPDSGYPTVLHPNFNPKIRNWTPTDPIRSEITPP KDRAHFADPAKSRLLSVATATDLTEGIGTLLSGVQLSQLTPDQLDDLALLVAERGVVF LRGQDLDTAGQVKLFSHFGILDKHPAQKNSAHVTIKGSSSDWRQDAAYTPWPQAGWHA DTSFEVNPPSYSLLRMEAHPDVGGDTAWVSQYGLFDALSPAYQQLFEGLHAVHTSRLQ YDTILDLWGVGPNRPPIDTHHPAVRTHPVTGLKALNVNEGFTTGFAELKRVESDKLLD FANWHIHAADDQLVRWKWEVGSVAMWDNRCTVHRVIPGRYEAGTRRGVRTTVFGEKPF LDPNSESRDEREKRLKKEKEGEDTRNGVNANGNGTDGGPGDANKVNGS CC84DRAFT_1164471 MASSMPPVPAKDDVRATWTYLEAGVDKIMHSLRDGMDMKTYMGL YTAIHNFCTAQKAVAGSSFTSHNNRGGAHLLGEDLYQHLIEYLKVHLKVVQDKSHEHT DEALLSFYIKEWGRYTTAAQYNNHLFRYLNRHWVKREMDEGKKNIYDIYTLHLVRWKE DLFTGTQEQVMRSVLKLVEKQRNGETIDQMQVKRVVDSFVSLGLDEQDSSKSTLDVYK EFFEKPFLASTAEYYDNESKQFLAENSVVEYMKKAEIRLEEEKNRVSMYLLAEIMSPL MRTCEEALITKHSQALREEFQVLLDHDKQEDLGRMYNLLARIPEGLDPLRTRFETHVR KAGLSAVEKVSVEGDSIEPKVYVDALLEVHTQYQDLVNRAFNGESEFVRSLDNACREF VNRNKICKSGSNKSPELLAKYTDTLLKKSSAKMSEEDNMEALLSQVMTVFKYIEDKDV FQKFYSRMLAKRLVQSTSASDDAETTMISKLKEACGFEYTNKLQRMFQDMQISKDLNG TYSDWLDQTYEAPERKGFVDASYNILGTGFWPLSAPTTTFTPPQLIVQTYERFTTFYN NKHQGRKLTWLWHLSKGEMKANYCKVAGGKMAPTFQVSTYQMAILLPFNDTDTVTYDE IAELTKLDKQTLDPSIGIFIKAKVLTATPEGAKPESGTTYTLNLGFKTKKPKMNFNIA IKGEQKQEAEDTHKTIEEDRKLLMQSAIVRIMKSRKKMKHQQLVSETIAQIKNRFMPR VPDIKKCIDILLEKEYLERLEGDELGYLA CC84DRAFT_1164472 MPSLNLTPLASLRLSTHLIPTHSNIPNTAPHSHPLFIYHSAYPP STSASTLESHLPSNGLTPQWRYTMYSTTHYHSTTHEVLCVYQGRARLLFGGEQNPGKV EAEVKAGDAVVVPAGVGHRLLEDYGDGGGFMMVGSYPEGCNWDMCYGNAEEGEKAKVV EKVPWLKRDPLYGDDGPTLWGKEKLEGIKGKSEL CC84DRAFT_1164474 MMDEPNGSGCQCCCGDWESAHDRCRNCSAKGQCDYEEPCQCAGI HSPVCCASPLQKPLKSDRRWSDNMWRPFPGPRLERYVLKDQYSGDRPSPPPRARSHSP QDPNDYQPYRDRDYGYRPWSRQSSRPSVQATKSPQVSRKTSIEKLLDSLHLSKDLPPP PESPIVVPIYRFNFSWRGRNRPGESSRWSRFPFSRSRSPAIQEVELSTMGGNDESTDT DQPRDSESAVSSQAVPPLIPPTISYPSTGMPPTERIDLLLAGIESIQGQLNFLRDHAT SLGHQFGNPACEINNVADRINNAADRIDNLTRTFGPTVTLPVLNDILELPQEDAGPAV RYAECLPSRTRPARTPSPVRNHRESFCTI CC84DRAFT_750409 MSKSHLCLGEEMHMSAEEKEMARLRNMGDEKARAEAACHGRGRQ ERIRSGRTSRHLEIPLADHLPITNSTNRTRYRASHHSRCLRHQNPPLGPHRWPRKTYT SCLETRHKHNAYTYIPSRYGKVPNRMGEIQIPNDEVECLPEFDGNTKQKRSAKMIAAL KKHHADGADSDFTIIDTYTGSSLLDWDIIIGYARPGICVDPEVRPLVRASLTNDDKIT LYMPAGCGVDRNRVDGELLVAIRDIKVWKEFDAPIESRRR CC84DRAFT_1205724 MAGSLYVPDPSAQDTMVQPWDEAFRVRTPRAEPSILGFCGLVRV LVGAGTRLRHDAQGVFGGTAVTNPTTNQSASVTSNMLHRREEDPQCQEIGCGSDGLLC HYNTQRDVGLLVPINFHTAPLVSLRAACFSNGLYEDTSFQRCFSNLLAVGLRRFMVDT YWDASRGEWSLCPAEIPPAFAAGSSSSTVPVPTFTASSSLSPTSAGVTATVTSVGFPK RQDAFSRLGPRSITSSIPSSVSSSASASASSSGSSSAGSSSAAATSSTPVAAPDQAPG GIIFQLGSYNCTSTITLGYLTGIFNDFLDATSTTTDASFTYLLLNIHAAASWEHPDEP AQQPSADQLPGAGHLLSDVMNGNLSEELYRPSKLASDRAHLSQSWTGVGEDNMPLEGY YTVNNANTNDPSTADGWPTEAYVQFHQYFRLLAIFGTIDPQMAAYNQALDADTIFPAS TLSFQQHVSLSSTGNVTSGCLFDAAQTSINSQTNSSFALTTVPSSFSVPSNPNLTTPL PEIANLTVCGLSPFLNHSLTSDNTTAATNPLPYAAFTHSYLWSWAPGEPLNATDPNSS GATGNRCAAAYTSGAYPGQWHVVDCSAPLRVACQDPSQPYSWSVSSRTATYDGAEDAC AEPATFSVPHTPLENAHLLSAVRSSPSPSSSPSGGDSILLNLNSMDIADCWVATPNGT CPYRPPSDIDKARIVVVPTVAAVIIFVCAALTFFVKCASNRLEDKRGRRRRTVGGWEY EGVPILAHGSTIDLTSAWQIFERRGESRCCPAGLCYSTLHNSSKVRQSKTRLPNSPAH NCTPLPHTTAPLTPTPPPSPNSRSRYFPAIRNFSNPPTPPLVLPIPFPNPISIVFKDA RRKLPLYLPVQLRVRLKQRTLFHQTPYLGKDMRFLLRSLCGRNPDPQPPLKMRPQRRI SPLILLVIFHPAKRRPPVLPPHAPLPFLPLSTDIVNAHVEVHAKRPRVPEQTATRMRA RGVVGRHVGVRGGEREGVPGSEEVADRNCGRACRRGSARDVVCGGCMPFPRAWVRSQG RRWRWRWGQSVGWRRVHVARHRVLIVFDYLDAIWLTRYLCGGDARDGAATGKRSRRRA EEDFVCAVRVPIQFC CC84DRAFT_750828 MPPKLSSPQPPLALRARISTSNPGTTRVRTAGGDRAMGREPAAR ERSTAVGICVTVPACHSRTHGGKPVYAFPETETSAPTACNPLRRHLPGQHLAPTCDEH TPLSQATRRDTEADVHRPGPIGFARLGAVTALQAATCRRRVIFAVMV CC84DRAFT_750889 MYTVLFCSVQFLSMSHHFVCIAYTAVCSEELAEKVNIYPRGTSR AVRTLSKSCTHVPSACETMSGLIQGVGCAGTSGTGRHERSTPPRASFAAASERCLPLP RRVLSRSAPVASGSRSGPACCTQDSAQD CC84DRAFT_750896 MVAHRRGPWSQHEDRYLIELVTRNGPHNWVRISQEITTRSPKQC RERYHQNLKPSLNHEPISQEEGELIEKLVADMGKRWAEIARRLQGRSDNAVKNWWNGG QNRRKRNPERDQPSHPQMHHPQTAYHYPAVAHQSHHDDEAHRLSYSSSRRTSLNPQTH SPHALKLPQPQGFEPYAGPRPAPLQLQPYNSHASRGRGIETPMPSPSGYSIVSADGAP SLITDTGSESRSPRGAASPLDLTLAPLVGSREERRNSCTRYLPHMGFANEDDDHTSYD YRRGSMQLPPLSGAENRTTTPFREAISKQSYRAERPQLLTQPAHQLVHHHSLPYSAPA EQRPLPPFATLAEPGRVPLPSPRNTSPSSRHLPSPFTRTAQPTETRSAPVSPKDKPQD SRMDLRSLVM CC84DRAFT_750882 MRPWWETGAYACDEQRDDPQLMYLLPSGSALVHRRPSLFPVRWT RSLESVLCPCSTSWHGFLARAGPLLHGRKRKPRSQTLLFRLRSIASPATLARLSRRDP LSLAHPGRPPVGLGCRGSPGGLAVAQAHGGYGGAHQMCRFRTSVTALAHQYPHLGGLF PMSM CC84DRAFT_1176191 MDRACCKRSGMCISVGASVQAPRPMLVAGGLRLPGRTRWCSSLH AAGLCGPQIREPDDGQMLEPQVTVAVSAGHRRAVVAAEQCKRQQFQHKHVPALRATHV ATASPLRSLLAAGVRQGRGRSNGAEAGGRTERQIAITSGPWTGRAEVGEVKAWRPLRA RPARSPDWVARRPAATARAALPADEQHLRRSCAQLSSSSARSPLAASLRTVWRAAAAL GHDKTPVWQAAQPHVPSGLHAGHWPRPRCAAEAASQHALDSRIHVAHTHASPSPSPSP VQLSAAARGGPQRRSPTCSTAARQLHQRLREASCQAATDN CC84DRAFT_750942 MRRSPSSGLTAVPAIHMWLLSCPPACLSIVQPTGLKIVCQMPHA WQEPENGARPRAVRQLFDIWMVHARRSSESHKHPSMILGSSRACTRVIKGLTIQQSKA RARFRIPSTVRPPEIGLRQQSFSARICPHVNPRFDEDVQEGVLNFVA CC84DRAFT_1176193 MGPLVAFVQAQRARRSPTDATLITLASQHDEAQAPKRPSICGVQ RSAEAEEKPGQADHASICALLDSIIVGVELVWTEWSRSSIVAVEHEEPASARLPVQCR MRERLPRYRLGGWFDGFVGLGGEGGVELGQNAFSSATKVSTLCQQLPLVRRWCLSRDL LQYAPKRLNLGPLGDQVSESRLLPSAYRGPRFYNCQAFLVT CC84DRAFT_751038 MGGGPSIQSILYAFRSDICMLLMVKIGMVGGQGGGPLFAIYNRY IAGVFPRIGHVPRTRIRPLNISDGEMTAPILLAGLQASTNDLT CC84DRAFT_1205728 MAYPGNPWAGNVLPLEDGPNFPLLKYSRKERRVLSNSYDEAGLA HTTPLPVNTFVENVAQRIKNAGQHVAKVETIPKAQNVVARDTRTRTRDVERCGPLDRA TRISGSSLARRKTRGLSAFPTASKLVMPRASRMPPSSRSLFQGREEKRRGRQMNWWSG REEEKAGELDAMSYGEWEAPKEYLRYTEVLSSVREKRCWLLLRPTAPPPAVHSTSHIT AVLDILPYVGNTPQPSSTMYPPHAVAIVHVSPNLMPRLPAPQSLLKSNHTAYHPDVPF ASSPVQLSTDTTTLNITSRLRSPTHPHKQKQHRHHQHPYPAHARALEQASTPASSAVP IGTFASRRTSFPSSPCLPLVPNARQAAHPPPLPNAATILARRSSGVGSAAAKREAPTG RSERACTARSTTMQCGLADCDDDAALEVTARLAEGAAAASASTRQAITVRLGGPRCHS LSAPHRIPAYRRPVRANNAGLRLVSSRRQDPVSTNEPLRPRVGARPFHRKRKRSLAHA SRCPLEALRPRPIACRRRASSAPVRPARRTATRGATSHSMTHRLQSSIDPIVCTPTSA RHAPRCVAGLGDEGPAGVVARVPCRIAAAAQQRTPRSAGAPGLAAQLPRCITPILVGV WYARTLARQQREAGRRCLCPLRFRLVVICAPAIPGTRQCGVGVGLRDTSLRRDTLSSP VTMHAPGMCTRRSVFNPDRSGGHTRDSVRVPQ CC84DRAFT_1146018 MAAPLRDEDKDRRRRLAEEFLDPAQDDSARSYRAEILNMLNRGQ RRLIVSIDEIRSHNRELANGLLNEPFAFVEAFDEALKKVVETFPDRPKHERSDDAKYY CAYIGSFGEFSCNPRTLGSQQLNHMVSLEGIVTKTSLVRPKVVKSVHYNEVKQKFHYR PYVDQTMTMGAASSSVYPTEDEDGNPLITEYGYCVYRDHQTISIQEMPERAPAGQLPR SVDVVLDDDLVDRVKPGDRIQLVGVYRSLGNRNASSGSSTFRTLILANNVILLSSKAG GGIAQVIPTAMDIRNINKIAKNKRVFELLSQSLAPSIYGHDYIKKAILLMLLGGQEKN LENGTHLRGDINILMVGDPSTAKSQLLRFVLNTAPLAIATTGRGSSGVGLTAAVTSDK ETGERRLEAGAMVLADRGVVCIDEFDKMSDVDRVAIHEVMEQQTVTIAKAGIHTSLNA RCSVIAAANPIFGQYDIHKDPHRNIALPDSLLSRFDLLFVVTDDIEDARDRQVSEHVL RMHRYRKPGAEEGLPVREDGGQLLGVGLEIDTESNRNTEVYEKFNPMLHSGVTITVGR GAQRRTEVLSIPFIKKYIAYAKREKPVLTKGAADHIVAVYSALRNDELDSSQRRTSPI TARTLETLIRLSTAHAKARLSKRVEQKDATIAEQILRFALFKEIVEDDRKKRRRVTRD LDAMSTDDDSSDDDEEDGDEAETATRRTGGPSTRSTRTGTTQTPAADDGEEDDDEEDL YSVTPKTQRTTQRSGRAAAGSSQFSAASSHPASQLPATQTESQDSQGITPARVQVFQN VLGQLIDGPLFANDAADVEPLVAAVNARLGGGSGRQPFGEAEARKALEELSERNKIMF SDDIVYKI CC84DRAFT_1119835 MSPRVFLITGTSTGFGAELVKVVLSKGDHVVATARDSSKLSFPE ANSSNSILVDLDVTKKDSIDKAFDAAVKKFKRVDVVVNNAGYGLSGPFETLSDAQMRK QMDINFFGLIDVTRKAIQTMRDLKSGGVIQQITSIGGQIGVPSFSIYCASKWAVEGFT ETVSKEVKPEWGIKFTCIEPGGFRTDWSGRSMEFGENRTDELDKAYDHIDPKKIASNR HMKQAGDPPKAAKVFYDLAVMEDPPLRCVVGTDAYKAISSKIDTYSENVEKFAKWSNS TDVDE CC84DRAFT_1146023 MKITLWTACLLSSVAAYPSVQERQTEDHSWRAPSATDRRAPCPM LNTLANHGYLPRNGADISMDILVAGLKAGVNLGADATKLVGATALKGSTTGNASTFHL TDLNKHGLIEHDGSLSRADIFSGDNHSFNATIWASTASHFTSPTISLATAAKARKARL AAAQAANPQFNMSDGDKQASMIETALYLNVMSNETGVTAVTAWVQKLFTQERLPIEEG WKRPKGEIGVPTILGLVGLIGVAGI CC84DRAFT_1259413 MATPRVLLSSAIMPEVNNWIPPSYAQDHEKLEHLAKDIFADEVP GDDSEVHFYLAALDIGTTTCTARLIGESVIPGDPIQPLDLSFWDSAFTEPEVAKPQSK TERKAKKKSQVKVQEKLDSESDLESGPVGKRTRSSNPRSHTSNRDSTFYPTLPADATP ENYYKDRDDCTGSKHESPATLAEPSRKAVEYSTLRCIPKLRVR CC84DRAFT_1164485 MLLRSTNSLGGWGVLSIAIFGLSLDQATSTSLATLFLDTTETVQ WVPFAGVQGRREDPTNCAVLQAIITWT CC84DRAFT_1146027 MPLKVIVVGAGIGGLSAAVALRQAGHEVEIFEKSAFTAEIGAAL MVCPNGTRVLNKLGFSFSNARAIILKRINVMDGQTLDNTAAVDVSRAEETFGAKLWAV HRVDLHKELLRLAIDEGGVGQPVKLRLSSEVATAETDGTIVLKDGSVHTADLIVAADG LKSVLQSVVTKEAGPPTATGLSAFRFLLDTKVLKADPKLAATLGRKGSSDVGSLQDTH DPTKVRYIIWYECRDGETQNFVGIHPTRDINGDNQEEVKAAMLDEFKHFAPEVLEIIH QSTAAKCWPLFVHAPLSHWYKDRIVLIGDSAHPMLPFNAQGAVSAMEDGGALGYLFQN IDNPRSVPERLELFQRVRADRVARVQILSNVKLGREKEVEEQVRRYADPRGSSVPTNM VEHVAHDYGYDVFQKCDEVVAATLFSSMPA CC84DRAFT_1092317 MGNVRGKPTGEAPEETDYRPVNWKRVFLTPKYIPWHIIGIAVLI FTVYITIHHDEVVEKLRPFSEKLRDLPAGWLIPIAILFIISFPPLFGHEIVALLCGVV WGLWIGFAIVAAGTFVGEVGTWFAFLYTLRRKAAKLERTNLNYGALARLTRDGGFWIV LVIRLSAIPSHFSTAVFATCNVNFWYFFVATFLSLPKQIFLVYLGVLLVQQKASNLGK NIMFGAVFVVTVVLGIWIWIKMAKVKKILLEEQAQRRQQNLEDEMRVADKEAEQRRFE ISEPQAAWTMPQQMSMPRVPPGEYVVRQSWGLPEPPPGRAF CC84DRAFT_751086 MQPDGSPEAVCKSLDGAVAQLNGRQKIDLFEPGELTPTTPLEVI FKVLEEYVQSGKIGGIGLYEVKASSIQAGSKITKIESVEVELSLFSLHVLKNGVAAAT AELGIPLVAYSPLSRGFLSGQFRSLDDIPKESLLHHFPRFHPDVFPLNLQLADQIAEV AKKKGVTFAQLAINCCAS CC84DRAFT_1217412 MLTYPQVPVTEGTHVEVPLCEKGDQRMQANAGIKLFIVTAQRSI FELFTQNILYDPAIMGSVIGMEDYSLQGAVPVDPASTAYTLRDDDLLVAAIIQYKPDS RLDDFAINWASQTCDLWKKGQPTRASTEQKDRTCNTSEDYYITKKACG CC84DRAFT_1164490 MDHLPLKISVTRNTNERTPKHHVVIKPSPWTSVFTPWTSTPIKE KAIRKRSRSRENLQSGTDRIASFENPWPSFHKPTRQELWDSLAFGADEDPCIELAASH APEVLADGSSRAMRDKQAAQLLRIETPDFSFDGDKHRSKSTWLGHASMLLQLPSLGIG GSPTRVLFDPIFSMRCSPSQSVGPIRSYFPPCKLEELPPIDVVLISHNHYDHLDCETI KGLWKLHSSTIRFVVPLKNKKWFTECGVPADRVEELDWWESAVLSGAESSDPQLQITC TPAQHGSGREGGDANTTLWSSWYLERSAAHGNPYRVFFAGDTGYQFHSDPSWPPRPPA TAPKIGPGQSTGPKRPMSKRAHSKERDSLSTEYPACPAFAEIAMRLGQPHLLYLPLAL GATWAYLKSFFSNYLPDSLDPFPRHSPGIAGAIHMPPWDAVRVLREMTDNTAGDIKSD RPIAIGMHWGTFVTDQTEVLKTLGQLEWACSQQDVEFSRSLEGKRENDEQRVRFLALN HGQSVTI CC84DRAFT_1259419 MKVSRPALLACLAIAETSAERTFPSRHVVRQYSVSNRGNNSVAS IFGSNGTFSIKGTTTAPGVIVLDYGRDVEGHPTFEVVSATGDTSCLEITYSETETVLD GFYMSDGPLALAAAMDTYRVNQYNVTGPSLHTNRLIQGGFRYQKLNLSTPGELVLKNV GVKPTIPTMSLDQLPGHFETSDEDLNRIWKVGARTIQLNDIPANSIPSFWQISSEGAL VESQAPQVLSGLVAATLMQYRLAFEVKPTVKGFGFSVLADTLNSGIYISCNIANGSVS AYFGSGEDGVPLGSGTLPSNVTLGVWHEVAATVNMTNIAVSIDGLSVLQFVQTSAFVG SFGLGASFGHAAIFRNLSATKLTGEEIYSASLMDETFFDDFLIGTNPADSTVDGSKRD RIAYAGDLDIALISSLASTNGVNYIKGTLDLLGSFQLTPGFFAPTAKIQQDPLSTPIE TNLTGLIGYSFNLLTAAANFYKRTGDADIPKEWAPKAVRMLDWAHSQVLPENGLLNIS DATFGGDWNYYDPAQVGVVSKFNMVYAYALQECIPLFADGGVDTQPYVARLVSLRAAI TKNLWSDELTAYYVSESIQNGFGQDSNALAILAGVTTSSHTSFSILNTLQKLSMPNGP LAFSEGATTSGFAKYISPYASAYHLRAAFESKDSDSAMALLKSLWAPMANPQGVNYTG CFWETLDASGAPGLGKQTSLCHAWASGPTGELSEHVLGVKAVAPGYSEWRVDPLTLGL DWAKGKIPVPMGEISVAWNATDGVIRAMEVSSPQGTMGTVVLPCSGQGSTLKVNGRVV SDNGSFPVTGGEPFVLSYDL CC84DRAFT_1146033 MVAKHTDSKAEEESPVWDEPPPYSFLSSGAILASSFTLNADGTL NVDLESAAPDELTKLLPIHRPAVPEPEVESPRKCPPLNIIVQVVGSRGDVQPFIALGT ALQRYGHRVRLATHNVFADFVRKSGLEFYPIGGDPEDLMSYMVRNPGLLPSLDSLKGG DIPRKRRMIQEMLRGCWDSCILPDPISKEPFVANAIIANPPSFAHVHCAQALGIPVHL MFTMPWTATRAFPHPLTKVKARDIRRSQANYFSYGIVNIMTWQGLGDVINKWRVKDLH LEPVHTSMGPDLIDFLKLPFTYCWSPALVPKPQDWGSNIDICGFFMRDEPAYTPPQDL DNFLRAGPVPVYIGFGSIVLEDVKRMTESIIQACRVANVRVIVSRGWSKLGGDNPSTN DVFYLGDCPHEWLFKQVSAVVHHGGAGTTACGLYNARPTVIVPFFGDQPFWGNVVASN GAGPVPIPHTALNSENLAEAIKFCLTPAAQQAARAVAEQMRRENGVEMAVQSFHRHLD MPKISCDVLPQRAADWVCRLSKSKAETKLSHAALKEKVSEGQAKLADAVPYRPKDYNM DVERLDPLSAGASATFGVLTDFTSALGGTFIDPFKQHKQARLAGGDGGSADLAAVAAV GRGVTSMGTSLSKGALVGMPLALAEGLRNAPRLYGEEIKGHEKVKDWKSGGVVAAKNF GTGFYEGITDIVTKPYAGAKKEGALGFIKGVGKGSMNMVAKPGSAMFGLLAYPAQGVY KSIESLKKSPVTDAVRAGKLASYER CC84DRAFT_1146036 MATLDHLKQTLRIQMNKSVFLEQQPLSEQQYSDGFQVLLQASKV SYQEFITPQLNQLLRFLVDSQSPVSILEVGPGPSSVLGHLPGRLRRKIGKYVAFEPNS SFAKRLNESLCSKIPTEPILPSLEHPVVIHQCPFSIPDNMGLDHNACFRNARNNYDLI LFCHSMYGMQSKEKVIEYALGMIKDRPQKGMVVVFHRSGSLHFRRLVCNRISIFSTGV VRVADNDEDLNKFALFIAGFQTEDKDIGSAIWADWRHACRTLGHREEGSPNYLLFNAP EVMIVFTRDATSLPELTAQVPTADSSTTIKNWMARSHRPASVVRPTDIQQVQQCVRWA LKHDFSLTIIGGGHSSHCLWPTVVSIDMCAFDQIHIVAKGEDRGAGYDAGPFVVVEAG CKTGDIVRKTMAAGITIPLGARPSVGAGLWLQGGIGHLARRYGLACDAIVGAVIVSVQ SGQVLYVGHVPSRHRPTDAVLPDCGHDLLWAMRGAGTNFGIVISVTFKTYTALNHVVR NWILPSTGVKELRSRISDFDKLIAKKLQRNSSADGYLYRDAGQLQFGMTMIENYITEP TSATPLPTTGDSIWGPEDNLQVVNGVDLFQTELYVSTLHGGHGNGKTSSFKRCVFLKD IGGPRLSYLLAAAMETCPTSLCYLHLLHGGGAVGDVAADATAFGSRAWEFACVVTGVW QRDLDHTQVAQNSVQWVYDVANKLLPFSCGAYGADLGSDRRDVALAAKAFGPNLPRLT HLKRSLDPCNVLAYTCPISTARMEQKIIIMVTGESGSGKDFCAKVWHTVMGCSHMSLT ARIVSISDVTKKEYAKDTGTDLDLLLNDRAYKEHHRSEMTSFFQRQVQERPSLLKEHF LKVVHSEADADVLLITGMRDEAPVAAFSHLVPDRRLLEVYVQASEKICQVRRGYPSGI TANGCIDGQRDSSLKSLDYSPSFIFNNETTGQEAAKSFARDHLLPFLNDDLQQLAGMV RSQPDFPHQGTNFRHVLGIPQQPSGLALCTSLLRTHFAGDWAEIDVVVCCEAGGFIYA SPLASQIHIPLVPIRKAGKLPPPTVSVNTTRSYISSLATDPQEEERIEIERDAIPKGA SVAVVDDVLSSGKTLCAVLQLLEKVGVARDDVSVVVVAELPVHGGRQLIREHGYGRVN IRSLLVFDGV CC84DRAFT_1090361 TQKNSKSAEIASEKKLARLCGAVRTILECIGEDPSRDGLLDTPT RYAQALLFFTKGNDDVLANIVNNAVFKEDHDELVLVKNIEIFSMCEHHLVPFTGKMHI GYIPSGGRVIGLSKLARIADMFSRRLQIQERLTKQVALAISEILQPEGVAVIVECSHL CMAMRGVQKTSATTTTNCMLGSLSSDATMGRTFLELVKL CC84DRAFT_1245240 MMNPARRKTDQPLVLPQLPNEVFLEIAYLLCTSDLRQLGRVNRR LQDFVADYLSRYRYNVGIHALPNELVLEIAQHLGHQKDCSHLARASQRFYPVVMRYIV RHDVVSSGSSLLNFAAKRNLVGMARRIIRLGGDVNTRIEFSTRLVGKQLTPLATAARH GHQRIVKMLLEFGASHFVDGKRLPLALAILSRHENVAMILSQELDSSEASFTSSTRQT LLQMACAAQLVSLVRYYLEHIPCSSHDCDVALLRIIQKDARKIGIIKRQLYEDVFQIV LMLLRHGANPD CC84DRAFT_1217418 MSISLLLALVVSLFLGTIAGSDAPTDLTGFPKCAQTCISNNHGK CALLDMECMCNNQVPTIFSCMQGSCNAEDQATTLKFAQDLCDQVNATSTAKASGAAKT GATTLSTAVTTATGSVDATSSPIPSSSAASPKSSLSTGATAGIGVGAVLGAVAVAAGG FFLWRRKRGRGVEELPGFAVREVDSEYTLPPEYGQKGVEGVHIIHHEADGVQWMELEA KEATRYHR CC84DRAFT_1259422 PANCAAGVQICGSTCCTGPATAFECLNGACVPLNGPLPVPTGSP SISITLITSPAAPNTTVSVPVVSLSETTVVATTNVTSWSTTAFPTGNHTATGTGASGT HHASGTETGKPEPSGAAGVVRAGTDLLGAALAVALGAL CC84DRAFT_1090454 MSLTNSRFYEEKYPEVESFVMVNVKQIAEMGAYVKLLEYDNIDG MILLSELSRRRIRSIQKLIRVGRNEVVVVLRVDKDKGYIDLSKRRVSPEDIIKCEERY NKSKMVHSIMRHVAEKTETPIQELYENIGWPLNKKYGHSIDAFKLSITNPDVWKDVTF PNDVVKDELQTYISKRLTPQPTKVRSDIEVTCFGYEGIDAVKEALRTAEAKNTQDTQV KVKLVSPPLYVLTSQCLDKSIGIQVLEQAIEDIKASITKAGGDCSVKMAPKAVTENDD AELAALMEKRERENQEVSGDEDESTSDEGGVAEAD CC84DRAFT_1091923 MVRWGKLFNADGHDRRHRLQDEMRSLLPVRRERPPPPPMPPKEV TKVALRLKHQLEQVIPCELEEELITKPHSHVLTQAVIATAKEAGGEDYAACVVYCLLV VQKWFKRQALAELWDADLHEVRAAAAEMMAKRIIEDEEDHNYLMEHILLKRYSIFVDG EPTAPANVIERAVDLHALTVIGSSGYQKCIQHLWRGWLVQDDEDPSRFVHWENKTNTS YWSHLDPDRMRVPRYQNALQIAISVAFLALYTGAINTINPSGDLDVVEVLLYIFTLGF ICDETAKLWKVGRYYIGFWNVFNSTLYALLATSFVLRMCAYGAGHDEEKRGHFNTLSY DFLAFGAPMFWMRLLLYLDTFRFFGAMLVVLKVMMRESLIFFALLIVVIIGFLQAFVG LDLTDNSLQDSGFVIQAMLNAIMQSPEFDGFERFQHPFGLILYYIFTFVVMVILLNVL IALYNSAYEDITENAIDEYMALFSQKTMQFVRAPDENVFIAPFNLIEMFVLILPFEWW LPRQQYDRLNDYVMGFIYSPLLIVTAWLETCAAHTVRTNRKRGEDDDDVIQEWEQVMG ECDFEADGWDKKVQSTKPNVEFDTAVLEVRKLQQEVSELKEILLEMRDKANWGSDTLK ESKIEEKGESSESVAREE CC84DRAFT_1091302 MPWRKKWLDPAEHEGAEPEFGKVLEKRAYEVWVSEVMLQQTRVS TVIPYFNTWISKWPTVEALAEASHDDVLAVWKGLGYYSRATRLHDGAKAVLATTDDVP CKIPSAVDALQKIPGIGRYTAGAVSSIAFGEAEPVLDGNVARVLSRQLGLYVDAKDKK STDVLWDVAGSLVKSVAGYPEQGRSPVPGQWNQALMELGSTICTPRPKCEECPIQRTC RVYAEGQILSTKKAAVTTDVPDIEDACTLCLQLDTEDLATAPEDAGDDDENDAAPKPA KKRKTEQKPPANTISNYFTSRTAPNPKPKPDPATPTLSTEAAPPATESSNKRKTPPAT PAQAKAIATYCSLFPKKMPKKAVPEEDAFPQQTLLPADQLTTEVRKATACAHVESLGL GSLDVGEVRELGSLVHVFTHLKLTMHVVHVGLEGAAEGGIGEGKTARKWVEEGDMGGA TLSTGMRRCWELVAGT CC84DRAFT_1164493 MTATQRKYWEEYRMPECIVIQDTDSVYPKASHFKPLLIDDLVPG LPASHPRLIEYGNSAEGASVVKSESDYHGKVIVSYDSATGAHEVWMAAASMTEPILKS A CC84DRAFT_1187160 MEQAQESTPIYQAYPEGGLKAYSVVLGAWFTLLPASGLLNSTGI LQAWLFEHYLIDYTESEISWIFSTFAFLFFFGGFIVAVGPLFDRYGAKRLLPVGALGL VASLVATSWCRLYYQFILSFGGLGGCACSVLWTTSIATLGHWFDQNRGLATGIATTAG GVGGVLFPIVFTQLVGRIGFTWTMNCFALISAFCLVVGVALLKTRLPRSPHASLIMDW RGFKDFRFVLTMSAIFVLDWAVLVPPAYISTYASSKGLHSLSQHVLAILNAASILGRG LPGPIADRIGRFNVMILSSAACTASILGLWLNANSSRAILSAFAVLYGLFSGSVYSLT PVCVAQLCRSDDYASKYGTAYGVVSFATLAGVPVSGSIVDVGGGANYAALISFCGAAY AASTVLFVVARGCSIGWGLQAKF CC84DRAFT_752089 MTMVRHYPPPLHSPLGPFQQPLASPNGQLPRNAPGFNQQSMAPQ LPQQYAHPLSRHNSYHSQGSDSGRNTVKPPEHMLRRKTPNGILAAAYDGTSVEQTEKP HATKHIILPVTAESGMYNLNQDLPLRSPGVHQDAAADWSPSLYFQSGSGRALPQIDSM LNQIPPLQNHPQFQMFGSPFGGGPEQMMQSPQGPTVSNDQGPFGPYWHDGTFIPYRPA AMRDPRYYNHHAPNWSHPQHNAYMTHAYNNTLPNMQNIQPHPYQLQQLQTPYQILGRS ANDYPASFQQPHPNAALDYQDQPLPVPPSHRPHPDYSLASSHSTHVVDQFPTPSTAEF GPQSLNALSREKIFAWAHTVYVDLLKYLQSTRKTSSQHSNGHQQPVRPHIYPKPPKQP AANFSSAPTNATNVHASEASQTAKFDFHLQQQTNRDTFRRPSHTRSSSAWSVAGVDPN VNRQSWYPSQTQPFANPHSIHPQVAGMEPLRPLRRMSGSVAGIHQLRNEVPPSMTAAS ALDAITKHCEESNWNWIDGILLGGCLAYALGDYQKAHGWYKHILKLDTDHVEATSNLA ATFLALHQKQEAERYWRRAVKLRPSYFEAVEHLLGLLCSDHRNKEAVQIIEEVESSLK LVKKEVLKNVDGRSECSTSTSESPRLSEVSDRPVFEYETENDSIPKDPEETAGADQPG FGSSGYAIAGCDNGRILALVHAKGNMLYALGDNAGAAKAFENAVLIGAGLHMQGIDGL IQHILSVVGYDGSERSPGGRRTAPSNDPILLHPEPALKTAQLCFPPNGQLPGLRYVPN EVMARKAAISTTSNSLLSLAKIFQDGMATNSPKATAFQSNYGVREILALYYLSLALQP SPSTANNVGILLASVQQSAPSKRIPVSNTMQKPTVPGLVPGSGIALALAYYHYGLMLD SRHAHLYTNLGSLLKDIGQLEAAIQMYEQAVACDKNFDIALANLANAVKDKGRISDAI VYYRRAVKASPDFAEAVCGLANALNSVCGWHGRGGIAEDRGSRDRWHVDDNGMLLDAR SSGARSGGWIHKVVSLVEKQLADGEDWGRGIMDELFIQDILRSLTLTNNSTQELQEEQ SRVRGELVKWRGRKWEGARLVRLVERVIRRLSWQWYQDKYRTGKERPVASYRRPHLPA GLTVPAAPTVLPFHTFTCPMSAKQIRLISQRNGLRISCSTLRAPWLPPTVYAPPPPPT PYLKVGYVSSDFNNHPLAHLMQSVFGLHNKARVKAYCYATTASDNTVHRQQIEREAPV FYDASSWPAERLVNQIIKDGIHILVNLNGYTRGARNEVFAARPAPIQMSFMGFAGTLG AEWCDYLLADDTAVPPNTLRSWRRNIDLEDALVDENSGGEDDDWIYAENIIYCRDTFF CCDHRQSAPDAQEAQLNWEDEQKRRWSMRKSIFPNLPDDAIILGNFNQLYKIEPTTFR TWLRILQKVPKAILWLLRFPDLGETNLKLTAQAWAGSEVANRVIFTDVAQKSQHIARA RVCDLFLDTPECNAHTTAADVLWSGTPLLTLPRYNYKMCSRMAASILKGALPKNQEGV QAAKDLIAESDDDYEDKAVRLARNCKYQDGRATGRLSELRRTLYEARWSSGLFDTKRW VRDLEEAYDIAWKKWERGEGGDIWLKKKTQEPIATA CC84DRAFT_1146052 MSSEAPPQPEGPPQGPPQGPPQGMPMGPPQPGQQPTPEQIAHMQ RQLAIEAEKAGMTVPEYINRLKQQAMAQHQAQLRQQQMQQQQQQQQQQPIQPGPPQPD AIALANWLKNQDLKPRTVIHDEKRKDMFRVKRAIRALMSPAYEKACSKNPILPKVNDR VTAENAFKKLPLSLLALRVNKVDPDHAHDGHNHAKAKRVKGLWTVRIEQHQEANDDCY YIWLYEGSQWKQKLYAVGALVGILAVVLFPLWPMKLRLGVWYLSMGMLGLIGLFFAMA IFRLILFIITMFTAAPGLWLYPNLFEDVGFFDSFRPVWAWQETPEDIKNKKRAKKEKK AAKLAAKAAGTPLKPAKGKKAISGTATPQPEAQAEAAAPAPAPVAAESAPQPTGSEAA PPSGTVSQRPPRATVEEAEDDE CC84DRAFT_1164497 MIRQQQSQIQALTTQSAQPNTSAVDDSTPTSERSMSMPPLPTQP TAIPARTTMSRQSSFASRSRNSSQAVSPALRPTSSHGFVGFESSESLPPSSWGGRERD ESAFYQAETQNLTRENQMLKQRIRELGRLPPSFRRRAN CC84DRAFT_1092558 MGPNDNFTTSRWRRNRSNANLLEQNLQPMPSAANMNSLRPPPAL ERKNSSRMSLFNLFSKPKVEKARGHIEVGLAVPMQPQEPPRPASPPKSALRPNPPPQA QQVHRMRSSQFLRPKSMRPPSIARHPGDDWEPPPLFQAYPQAIKYATIQACVYSPDAL MRTQSQRRQAEMMRERMDSHRDLSTTLETPSEHKKLEKTHKRLDSILHSTPQLTNKIY VLVTSGHILQYSGEGPFDRLPERVLRLGKDSAAFASDLIPGKHWVLQILHSANEDGTA GDGPKHSLLHRLRIGAPARRDASSFLLVMESAEEMESWMTIVRKEIENLGGKKASSES SRNSASIDESPENTSIERPYQRPPVKRGSSRLSTITPVDSPLQSQYSDSPRIVTSEWE SGRKEKAASVVDSASIQSSRPSLQRQSVEASSIPTSRDSHEQIQLDQLRERSRYSYVS SATSVSGVNTRNTSRDPSPAPPSPIKEVSTPADAEPRRSETSLRSFHMHPGNNSTRRR SMQPLPVTNEDITASAQIARTPKRHSIYGPTSPTTSEPRRKLEVGTPLSDPIILNSSL RAWSPANNVQPRASAQSQNALPAPYMLRSSSAPPGNMLSALSPPPQQPLPTPPSQRPQ STSLGNMSNAAAALPYPGERRISATPKPYLRPLPVRPQSQNSDGSVVVPRRSSLASGN KPARLPLGVVVNRSVTEPARPSTTASPITQRQSSPSRPYQPAQPQPAGQSLRRPTSVQ IRSDPAPFLSSSRPNRVVSSAPSFVPGNRSISSTPPSIPVLRGHGQQLAPPKNLAPRR SMPAMGLPPPAPPPNMPLPPPPPTTTVGGARAVAV CC84DRAFT_1217428 MPITVTSLSCQDYTQWSSLWAQYLSETANTTLPESQFHSTFARL VAPDGDIHGLVLRDSETGSLLGLAHFTGLASPWSEKRICYMSDLFVAVNERNKGYGRR LLNAVAEAGRLLNCRRVSWITGTDNVVARRLYDKLAASKDVYYRWDLD CC84DRAFT_751447 MDVASAIRQLREGQKPQWPTTSDSLEFAQSLDQSNDIQKTFRSE FVVPTKPQLKRKTLQDDDRTAAAASGPDDESIYFCGNSLGLQPKAVGEYLNAYLKTWG SIVVGGHFTQLEDSPLTPYQDMAADCARKMADVVGASPSEIVAMNTLTINLHLMMAAF YKPTEKKYRIMCEWRPFPSDWYAIESQIEWHGLDPKKAILPVDPDEGYLMTTEHICKL IDKHADELALILLPGIQYYSGQLLDIKTITAHAHSHGITIGWDCAHAAGNVELKLHDW DVDFACWCTYKYMNAGAGAIAGAFVHEKHGDNGANVGLKGWYGHDKDSRFLMDNKFVP TKGAQGFQCSNPSIVDLTCLSGSLSVFEKTSMEKLRSRALLLTAYAEHLLSGIAARNQ RDGRDPFEIITPKDPRWRGTQLSVLLQEDLMEVVSASLVEGGVVCDKRKPGCIRVAPV PLYNTFGDVWRFMRIFEDALKAKPSTP CC84DRAFT_1217430 MSPHKVEILPSRAALPQYGISKNGFLPEKAPLERLPQACYQPWE QIIIELPKLIEKQQIREKVDALPVIGTEQLSSEAEWQRACSILALIAQGYIWTGPEPS QRLPPAITVPFLQASEHLEVPPIATYATLNLWNWRILREGADITQPDSLVALQTATGT DDESWFLIISCAMEARAGPLIDRMLGALEAVEANDVPTIIAALEYFKQRLDEIGRLLE RMDERCNPQAFYHTIRPFLAGSMNMEAAGLTNGVFYDEGDGKGSWRKYRGGSNGQSSI LQFFDAVLSVNHSRSGGFHPEMRKYMPGPHRRFLDDVEAISNIRSFVASQERNVALTV AFNDAVKALGAFRDKHIALVTRYIVIPSRMPKPERGVIRKDIASASTKMALEAQTQEL RGTGGTKLIPFLRTSRDETNETAVER CC84DRAFT_1187167 MMTETAPAEKRRRLDRVTAACDLCKKRKVKCDGEQPCGYCKRKD CAVTCTFSGPKTRSVGNTPNHQNTNSTPHTVRSRAHSEGNTLRPGSGDGLNQDQNQVG SSLSPTTSRDDHHEDTVVPLEGRILRDAQGKFIFIGDCAPLSFLQTVRYLISSEVDPD GFPVPAARDCIIEIARPTTASRPSDTSVNVHNVPHLVSKYLVATSGLAELFEREELVN EMKAWASGMMPHTDDAASAVFFLVLAIGAQDNDEARADAWFDHARSALSKNMCNIMNV ATVQGFALVAIYMLRAFQPNGAYLYFSLAARTAYAIGIHRTEVNASFGGSIRTMRDRI WKSLRVVDMLISTNLGRPPCTSDVDCTVKYNIVQIDNGVASNMLDASVQIFMIIERVV VEVYSRKRISLRIADFVSRQLKGWASNWLQPLSEAIDRPSVRDTADITLVGACSTLCS YYYGIMLLTRPFLIYEIYTFMGASMKGTHTQGDHASKRKYADAALDAATSFVETLQTV IRTGTLPHRMPLIVSWLFTTSLVLAVGTLGRSGSMFVENCEASIRCLEYFSSVDPHAG QYSRIVRSLLKLTAAHVKKREMQLRIKRKQASSELFGLLTSDSHPPTEDPSLDRFRQS AEPSPPYDLEGSAPAPAPMDWTMYDADFFALPWPTEYDPGLQDFLQPGTHNSDGASVA DIPLFPIHDQQVNQGFHP CC84DRAFT_1090355 MTTAPDYPNYDRGPHLAAVYIAGCAVSLVFVGMRLTARFSIAGV GVDDWCMLITWAIFLPLTILVCMFSFDGGTRHLAYLIANPEHLVYVTKLNWIAQPLAI FCLGSGKIAIAFLILRLLNRASVFRKWSLYVAVIWTAINTFLMILFTFIQCDNSAALW DPSLKETTKCWDPRVQSSFSIYGAAVHALTDFYLAAIPVTLVWGLKTDLKKRIALCAL LGCGSITGICAAVKASKLSTLNARSDFTWETFQLFLWTGIEIILLIVCGSIPALKPIY AICMGRRPGSYRSTRHMTNASKRSGMGISLNRMPDNESHARFARTQQSATVVSRVSED EMELNRDYEKPPLGAIHVTRTVVIE CC84DRAFT_1187168 MKFRDGMWLTNDAFTVQYAEEAYTVTPREDNKAVTLLCPTRKIF SRGDTLNLATISVELEAHFDGVISCEVTHFVGARRLGPDFDLFPHGKPDADACVSKVE QGTTITSGGLSATVSGDAHTFDVKFHATGSSKTITSLLNRSVGLAYSPALTTPKQVED ISDRKHYMFTQTDLAVGETIHGLGERFGAWNKIGQNVEVWNEDGGTSSEQAYKNVSFW LSSRGYGVFIDTPDKIDLEIGSERCSRLQTTVEGQRLKWYLIYGPTPKEVLTKYSILT GKPGKPPAWSYGLWLSTSFTTSYDEKTVRHFVETMNENKIPVEVFHFDCFWLRAFHWT DFVWDPEFFPDPQGQIQRMKEAGLVNHISVWTNPYVGQASPIFKYAADKGYLLKKTNG DVWQWDLWQTGMGIVDFTNPEACTWFTGCMEKLFDMGVDAIKTDFGERIPTKNVQWHD KTVDPSRMHNWYAFMYNKLVYEALQKRYGNNEAILFARTACAGTQRFPLHWGGDCEST PAALAESVRGGLSLGLASFASWASDIGGFEGKPKPWIYKRWVAFGFLCTHSRLHGSSS YRVPWLVDDSSTEPENCTEVLRHWVRFKRALMPYLFAQAEESIANGWPTSVRAVALEF PEDPTAWYCDREFMIGSQLLAAPVFDEEGEGEVYLPPGRWFDFWTGEEVQGSRWVRQK HGFLQTPLFVREGTVLVLGQSEGEGGFAYEWLKSGGTVTTYGVKEGDRAVLVDRTGEQ KGVLEVAADGEIKGLDILEGEWKVEKVG CC84DRAFT_1187169 MGLGFNIALAIFAGTGSFLFGYDSGVMTDVIQSPHFLKFFNTNP ETAIIGAINSTFSGGAVFGSLMGGLTMDSLGRRWTVLVGAVINLVGAAMQSGAQNLAM ILVGRILAGWAVGVLSMSVPIYQSECAHPSKRGLIVGITQQMIGIGFIVSTWVGYGSA HVPETSSFSWRFPLGFQCIPCLILICGIMFFPESPRYLVETDRADEALTVLRRLHFNG RNEDDIQAQFHEIKTTIEAEKAVTAPGWLIMFKVKQWRTRLLHATFMQVFTQMTGINV IGYYQTVMYKNLGIEGKRNLLVTGIYNVVGPVFNAFFITFLLDKVGRRKPLLFGTIGI TIALICEAAIGSQVPSATGARKDSLSIAGVFFIFSVSAIFSCSFGPISWVYASEIMPL SIRGRGSAFATGIGNWLVSTVWSQVSPIALADITYKFYFIFVAWNLFVTLPAVFFLWK ETKQLSLEEIDLLFGDRALGTLPDHLGDAKEADVVHEEAKRLYLDNFRITRIH CC84DRAFT_753632 MASLFKLASLLLAVAPAVASYDLIRRQAASNATYKDPNASVDDR VADLLSRMTIEEKTAQLIQGDFSSWINTTSNAFNASGLAWNMANRAGQFYVGYAIPPS WVAGGVKKAQDYLVHNTTLGIPAFVQTEGIHGLLIGNATIFNSPIAQACSWDPALIEK MAAIIAAESKTIGVNQVFAPLADLAREPRYGRVEETYGEDAHLVGEMAYAYVVGVQNH SVAATIKHFAGFSAPEQGLNTGPVHGGERELRTTWLPSFKRAIIDAGAYSIMGSYNSY DGVPTIADYHMMTEILREEWGYKYYLTSDAGATDRLCCAFKMCSCTPRGGGQRDAVDG EAITLYALPAGNDVEMGGGSYNFANIPELVEAGKLDIKVVDTAVARQLRAKFALGLFE NPYPGLAASNATNGTSGFPHKAESVKVARQLDAESIVLLENKKQTLPLKKDAHIAVIG PMADFVNFGDYVVYRSQYNAQNVNPLQGIRNASTGTVTYAQGCERWSNDESGFPEAVS AAEAADVAVVVVGTWSRDQGELWTGLNATTGEHIDVNDLKLVGAQAKLVKAIIDTGKP VVVVFQSGKPVTEPWISDEAAALVQQFYPGEQGGAALADVLFGDVNPSGKLSVSIPHD VGSLPIFYDYLNSGRFAGGSAPNPGEIYDNGTLKFGSSYVFGTPEALYDFGYGLSYSN FTYSNVSVSKSTVSADDTITVSVSITNASPVDGKEVVQFYVQDVIASVVVPNKQLKGF KKVLVKAGETVDVSVDLEVKDWGLWDIRMKYVVEKGDFIVHAGSSSRDLRGNATVTVS CC84DRAFT_1176226 MPEPHVHAWHQAPEIASLAPDSYTAQRLQHGTAEHLHLTSRRFF IGPIPEGWLKTHRRDWYKHHLHINYSTRTATFSSADRRGSRDRRLTGLDGPSASALFP SSFPQPDDVDAENGGPALVGEANAPPAPRGQPLPALEVPRASSQKDAVQSPEEEEFVD AVSEPDEDLRVYTYQEQPEDEPGPPTTRRQSTKSFVTASSRPLSPSDHGENQDNEAIP LPHEPQAQASGPQAPTATGSKSEGKRPLSTGLEPNTEEPSVDAVSTTSLLRKADGQKE SSPVTQPISPATGILAKAKRKSHMGSSESTGSPLERAGETLSRKKSNLRNLVKFDIPE DSKRASIHLRAKQAQMTVQRASTKLRRRKIKDGLVVKMERMLVRVDSAGKEVPDDFDE NASQKIDSRVKDKWREYMVVCRHSESEGADFVLQMYKTRVIPEIEEPNASKNAAYEIP LGRKITKVNLYSSLDKSVVVWMPVSRGTRIFIMQARTASNAVEWYTFLRNVMGWRRSS ELQINIPDMSVSLRISDPFRKLEETQIKAQEANDSDNEEAILKTMQEEQAVAQSLILK CLDQLEDSPEWADILDSWARNQRIGLAWKRYDRLEWIHGANERKMYGTIAMAKSHELE LRPKTHYPTTAITRKKHKTLTEPVPVEGFLIRLTSQRGRARRLGLMYHKQLYFATHDQ FLVFSRPTNSTPPPPPKMPPTEGSAVPSARTIRESTPDTWAINPYPVLDDRVEWLSEG HSGTPESRRLHDEDAADEAQRKLQNLLNCDGYINLANVAKVRKARLGATPVDEDIDTG SDVDFDEDVEDDATRDDGVTKEVDIARTFELIMRNGLIIRLQAADIARRKEWVKHLRA LAKYWKFRTAADIALYKSTRSRNLSALNIDEEAEAYIGQFAKKWEVTQSFASPLLYNM CGIAECRAIHMSGMLYRKPRIHAPFTRCSVLLAGGTLLIFQDVLRSGTGKQLAHIHHE RMANLDLRDCYVYSGLLTESDLLYQNQTFDANKPGHHALPRIYLEDGWTSTDEDVMTT FVVWHGKSKSWFRAEEGAGEGEQERKKGRRTRLKRVAKLGSKGRSLVFRARSRAERDH WVLAIQNEIEKVQGNRNEDFRIQESVGKGKKVAQGSESNGMVEAG CC84DRAFT_1217436 MYGLGIRLGYYFQWYGAILARWLAPSEVKSLAFSTDVFVAATFL ALVILTLTDVESLEPVETYIVLLLMFGAYLALVPIYVWRLLTACDPYWDPTRYPRVNL GAMSANLSFTLLIGVLVFQYWFWFDRVPDLDHRSCQQYGFVFGEVRLNSKASVVLHAL MYFWLGLVCIYILLLKLRAMAGFPDPGAESRRPKRAHIEFLQNLDVWIKIVIALAVTV ATELTISWNEIGGVGTLSGAGQTIPFAIGLAAISSDVGEGYATADSSETTAPTGD CC84DRAFT_753933 MYGYPQAKIRAQRDNYDYDYNCQPRLGQRGHENGYQRESERNDH RRYRHSRDRPNDIEEATRAHVKASKDYDDAKAKYQEYRRKYENARKKLDEATAPLSAA KRREGAW CC84DRAFT_1164509 MMASKQTIAFFGATGGCAGSSLACALRSGYHCTALARTPSKLVK CLTIDHDIPASVIETNLTIIQGDAKTASDVAQALISPTNSSHVVDIIYSSIGAYPNFK LSLTTPFVLPDPTLCTSGMRAVFSALSTLGMPTSSGRKPLLIALSSTGVNGKARDIPL LYYPLYKLLAAQPHADKRGMEEVVLGDKGEHMRDFVIVRPTALVDGAKGVEKVRSGWE WGIQDEKRRVEKEAGPAIGWTVGRTDVGAWIFKNVVEAGGWEGRCVSLTY CC84DRAFT_753988 MKPIRIITTFTQVIFVSASGGTKDPKPPHTPTYTPYTYTTSLCT PTATQPYTVTNTWYETSIAYTPHKSTWTWGSELYDCTTGLATTSILVS CC84DRAFT_754070 MPVVRDSTEIRNPVEILLRASRPQRSSTHLLHHRDWRYVTGVSR HEDEDNISYRERESTLWDQSGWDAQIGMFGKENRRYAAKKAAEPQDTILRYDEEEVEE CRLPMGIGGAADFDMAGSVATLNKQLAHSEDVGGMLTVPKNVASSTRERTLKRIAQAR RSRQCFRSRAYSIAGFQRSGPLVGEVIEEDEEVWFRIRLVVCLKFSCQGTDKVQRILA GEPADGQAQVVTPNSPANDVLNEVRSTPKNDHTRPQVLSVDVSDTATTAPIAKATCGS DPDTDHFMRTLVPYERPCFDETRNANMKDILGTPETPHVEVFALSDLFNWFPEAVPAD ALFPPEVPISAKEIMAFYPHHIRWKGIALRLANNAYLGDTIIAMQAFFRGKDKHPLSI TNVSQFFCDVLKNDLSDFEVSNFQCKPDRHLYTDHLRPSKPLNGPRHGFVVPSFNQLL EGLVYLPSGLDARGLTQCLAWYLDVRDTFTPRLDLNVLHTQSLIRALRMPLKPYKPRN LDILALQEWQEKGQFAKRRLDGQGSSDADRVDHSLKQLMRSRVTIDTNTETLGVYAVV KLRHILMFPYFAIGGMMCKALELGIEKAEARKAAREVQEATEITDSTQDPAQEQGLTA LSYQEKAGSKDNSQVSQEMRALGFPVGYKIPKKKRLLSEDDASEIQSKKRLGPALVET GAPLGPRAMSISERRHAIGPHPLTTAVPPQEPTNRFTSFPPPDHGPTTAPASMLGYRR SQNPNHYHSAAY CC84DRAFT_1259441 MNFEMAEHNSTGLPRAPRKNVVAALVLRARPRMRAYSPKVRTGC ITCRTRRKKCDEEKPICRRCREEQFKCDGYASLPSKKKQPAAQKNTSLRTQTTKSISR SVEKIVRRYNQHDLVAGARINLHLEAQGAGGMFLHHFRSITIIDFIRVANPKDFWFRR VLPMCHDDPVVRQVVVALGAAHRCYLDTISKPGPESVSAVLSHTEGVAVSLYNEAITK LISLEVTSSSSGDRELKYLICCLLFVCLEYMLGRFDEAVRHIKAGCQLFQASDLSTAP DDVRQLFQEAGTVFLRLIVDATIPPQDYDIPNITPHAKPLMEIDDASQAFSSLAEAKD AIWDLDVRMAYCSEGQHDDPKERLEKPDFENCNEDWKELSSLFEVWRSKFNLLISALG NVNILPIDIQREILVLTAQRYMWDTILYPEDSLEDEGLRELCHAHIDLVEQTYRIEAT WMGRPVFTLDSDTIPAMFHAATYCQDPAITTRVIDLLRQYRRREGLWDSWEVADMLSK QLETTNTALEDGFVPRLLLR CC84DRAFT_753994 MATIPESDASSNTGTLTPAPLQWKIMAILLITAIGFGSNWSSGI TGAMKSTIKKEMKVNNTQFALLEASEDFMVTALMLVSGLVTDRIGGAGAMLYGNAIYS IGSILVAGAAQTRSYKFMIAGRVLRALGDIATQVAQYKVFSSWFAPGNGFASTLGFEL GVGKIGAFAGKASANIIAKRTGDFAWVFWVAVFMNLFTNVMTGVFYWFTKVANRKFHG VTDPATGEVLKEKSKKFELKKALNMPWTFWCIMAFSLFETSTALVFLQNATELAEQRF ETDSILAGWYSATAQYAGFFFVPLIGVFLDVFGHRISALVFCGIGVFTSMLLVCFAKT TKGTAASFGLFAFANCFGPTTIIDSIRTSMWHPSVFGSAYALKITMNNAMNIIVRVIT GVIQDRDNNSYDRVTIVYVVLAGVSVAVSLGLSVASWKFVDLRQLQWSRKLRIARGDV LHERRRRFEAGEGKSRPISKACLVALVVFVLGGWSAYFWGVATGRNE CC84DRAFT_1205759 MDIALGFLWAWDVYHKVLTSYLAKKYRTVPLPDTPSYHCNEVSI IVPTIETEATFTECVRLWLRSNPREIIIVTVPRYKAHVEQLVQPVKDLTDKITILVAP VANKRQQIMMGARKATGKIIALVDDDAYWRGSGVMPYMLAAFEDSSVGGVAGLQSPEI PLERQDASVITVWEAAAALDMFKMNHDQPVRFTADGGLWVLSGRTVFIRAAILKDPHF AEAYTHQVIDGKIVNGADDVWVTEWILDHGWKICVQNAPEAEIFTNVPHDRKFLLQNL RWERGNFRSFWERIFGNPGYRTMKQRHPHLTSVMIEKLTRPVWVSAYIVAWSTTLQTA PWLAATFLLWMTLGMGGSFWTYRAFVKEYPYMAGKVWKLMLMGNMGPVMDIYAFITMK DDRWLTRVADAQYVKA CC84DRAFT_1245343 MLSGSACKIFSGIPLQTLLEDRTITSRPPHDVVRSRLEFDQTDS YWWDTTGVVLSKLMTQAGYPLAVHYKALAFLYDNVLQAWGPIPHGTDGQSDGRPWKAT FLEDGSNFEPSLNLSATGTTETCVRFTIECNSALSGTSEDILNQKATHALIMRCADAL PDFDLTVYNYMVETLMCDDKEALRLIQDFPTCRHPQILFAFDFERSGRILGKCVPFLY WKSHQLGITRKALATKFISGVPEVGPHFAPCLAAWNDFMVSVPAELGGEPTTECMNFD VVKPGKNSRIKIYIRPHKTSFNCAKYFYTLGGLLNDDATLEGIRILRIFYEVLLDIKE GEEDKELTTYQSGSGSLLFNCAFRPGAALPIPQFYITIWKFMPSNAVIMERLTAFWRR IGWNQQAEKYAQDWQDTFPWVNASECGNTTVLSFAYKAGGSGIYQSVYYSPMAHVAAE RLGYV CC84DRAFT_1259444 MSATIQDQGDVAAGEKRIESQGLTATEALETNESTDEQTTVYLD GWALWSLTFALTSTAFMLSLDNTILATAIPRITSDFKSLNDIGWYASAYRITQMALLP TCGRIFTLYDVKWSYTILLLVFELGSIVCAVAQNSITLIVGRAVAGIGAAGSMGGAAI IVSYCVPLGKRPVLMGVISLMYGIGSILGPLVGGIFTDNATLTWRFCFWINLPFGAIA LAQIWYTLQTPPPAVKAELPPREKIRQLDLPGATILIGSVVCLLLALQWGGIVYPWSD AKVYGCFIGFALMLVLFVFIQMKDQNSCTVPLRLFRNRTVCASSGFMLFLQLAVAAQA YYWPIYFQAVKNTSARDSGIYMFPFAVSSTLCTLASGWIISRIGYYVPFMWIGTPILA IGAGLFQLLDAYSPALHWVGYQIVTGIGFGVCGQVPIVAVQVVSHKEDIPTAVVLILF FESLGGALATSIAQNIFTDSLLQDLGKIRGVDGGAVVEAGVKEFRRLVPLELLDQVIW AFEEALGNVFWLALASSVAALLISIAMEWRKLSDETDEEDMDTESI CC84DRAFT_1259445 MPFVKDDLEPIAIIGMACRFPGGANSPEELWQMLAEGRSGWSEI PADRYKWTSFYHPSPDAEAAHNQTGGGYIDRDLAAFDAAFFNIPVAEANGLDPQQRIH LETAYEALESAGLPLESVKGSRTSVHVATVSRDYDRNAYRDPQDLAKYQLTGCGEAIT SGRVSYTFDLRGPCFSLDTGCSGSLVGLHLAIQGLRSRETDMALVGGTNLLLSPDMTI AMSKLHMLNDDGKCYAFDSRGKGYARSEGVSTIVLKRLSDAVKAGDPVRAVVRNTGIN QDGKTNGIMLPNSSAQEELMRSIHLDAGLEPSLTAYVEAHGTGTQAGDKAEITSITNV FCNGVDRLHPLLVGSVKANLGHCESASGLAGLIKTVLALEKGLIPATPDVLDLKEGLD LENRNIRIPQSLEPWPALSVRRAAINSFGYGGTNVAAVLESYQPVQRGHPANGANGIE TVNVIPSLIVVSAKSSKSLLRNIENLQQWVSSQSPLSPDILRNLAYTLSTRRSNFSIR TSFLASTPEDFLAVTKRITPPERTTGQPRLTWVFTGQGAQWYAMGRELLHTQSAFATS LRASEAILKSLGAEWSLFTELSRDDADNSRINESEISQPATTALQIALVDLLASFRVR PASVVGHSSGEIAAAYTVGALTHAAALTASFHRSKVARLVKQVLSMPGGMMVTTLNEA DANAYIGRIGSDRLSLACINSPSSTTISGDKSALEELKAILQGESIMAKSLAVDVAYH SHHMKAVAGEYLAALQGLESSEACDDIQLFSSVTGEQKTSGFGPEYWVQNLVSTVRFP DALMAACRPSGGSRAVPRVFVEIGPHAALAGPTRQTLTPHVDHKYYSALVRGKDAYTT LLTLAGKLFESGLPIDINAVNTMSDSKMTHRTIPNLPLYSWDHSNRYWHESRLSKEYR FRKHPYHDLLGLRLVGSTPLEPIWRNILSLSAQPWLSEHVIDGFAILPGSSFLTMAME AVRQLSDERGAPRIKRFHLRKVKYSKAIMIPEAPEKVEVMISLQGRGSGAGVPRDLAE WETFRITSSADTQTWNLNCVGGIRVEYHSDSNDVDGGHAQLQSLSELRDQLPRTSAAC TQTIEHEALYDEMRHNGIAYGTNFATIKELRIGECQAFGKIEIPDVAKCMPSGYLQPH TIHPATFDALMHIVLPLYFRHCTVGTAMLTSIEEVSVSADMTTFPGSCLQVCALLSPS GPRSGSVDVTAFEHDNPVVLLRGQKFQGIATSQSSVPTTCVRGPLHSVHRPLKMIATT PGVPSSLCFVDDEAARAPLHPDEIEIKALAFGLDDTSVETVLGRSGDATVIGECAGIV TAVGSDLTHSYRIGERVCAWNTNIALATRTRVKALFAQKMPESWSFAMAAALPQNTAL AYHALRNCAHVEGGQTVLVHNAGGALGQAVVLVAGLLGLAVIATVQTKADKDALVSLS AIRPVHILFSGDFALGQAILRWTEGSGVDSVINTSSSSLPAEVFASVKAFGTVVDLGN QTSIAVGDRAIRYMSFDSRQLLRYLSASASSASKAVLSLLADENSDGLIPIIAVPITD AALAFKAVQAKKNIGKTVLIADEDAVVDVKEVAAQSVSPAHVNRIIQIVSGLSLPEAQ KAELIASIRDAAAMGSDAPIDHSNGASPPAINGSVDIERRLATASSLEEARTIILTEQ TKKLSSLVSVNEQQLDPYQPLADLGLDSLIAIEFKDWLGRSLGADIRVHDILDADGLI ALADLVAQKSRFIASDLPEEPIQASPAAHSEKQSTSVAERQTSKSQGSDAPSAVIGAV NGNHAANGQTKGSRGVLTAFKENGVANGTTVSDSPDPPKRGYYFSPNACPKFPLPPLD SLMDAYLTGVKAFTTPTEFANTLRLTEDFQTKGSKGRLLYDRAAARHADPVCENWEHE LQLRRGFLDRRIALVPTTSFWFSHPISKRAHSQAERAALLAFTANQFRLKLEAGLVKP VVLNERELTTAYHPYIFNTVRLPQAGSDEMERYPGIDHCVVIWRGHSFKLDLVVGGQP ATFEQLLGAFESILSRELDRAQVNIFTSDNRPTWAEARQVLVQLSAGNATTVAAIESS AFIVALDEAAPQTANERARQFHFGGERDAANRWHDKSLQFVVCSNGVSGMIGEHSMLD ALTITELLDAQVTAIHKYNPRAASAGQSGVTPVHLTLKTSTALDTRISCIRKEFAATI AGAGHEYLLFDGYGSSLLRAQKLSPKSVFQMVVQIASLATFGFLPPCWETVNQAHYHL GRVDIIQVVVPAVAAFVHAAQDESIPLSQRRALLVDATRAHVNLVNKASRNLGWERNL TALRALAEPNELPELYKDPVHDRVRPRLMQSHCFETGMMEKGCISKHPDAIWSHYEVY DGTVYFSAVGFDAQRVRRFCGSLKEAAVLMKKIVLA CC84DRAFT_1119908 MASSAYGSTLPWAEPSWYTGRPTPYYKESHKRLRDAVRQWCEEH IAPYTEEWVAAGAVPPSVYTACAKAGFLVPIASGKAIPKQWSNYPIIGGIKPEEWDGF HDFVLWDELLRGGSLASLFIGLVVGAPPLLKFASKQLQDKIFPEILSGEKRICLAVTE PAAGSDVRNLTTTAEKTPDGKHYIVNGEKKWITNGMFSDYFMTAVRTGGPGPEGISML LIPRSEGLRTRKIEVIAGPLSATTYVTFEDVKVPIEYLVGQEGNGFRQTMVNFNHERL WIAFQAIRGCRIALNDAFQWAMKREAFDKTLIEQPVVRNKLGNCGRQVEALQAWTEQV VYELEHLSDAEGARILGGTTALLKVEAGMVCKYIAEECLKIMGGLGLTKTGQGARIEA FYRSVPSYTVPGGSEDVLIDLGVREALKLHRQAQKVKTKL CC84DRAFT_1187180 MMLSRFISLFGVLAGASVTVRKTGQGPTGYEVTFRYENATASSI TIGSGLQPFTDLYHATPFGSARFDPRDYQPGWFFANYFGPPWDLPYQMMNMGNGSWVY TTPLPSGTYNYAYLVDCLGNTTCDIDSGKYVIDPDEPPFLNVQGDQTQSTFQVPFDPE FQYDPDINVNFDYALPVDEAHRGTVHNVNYTSPGSVHPAQDVHDFVIYLPYGYNNVTN RKYPIMYLSHGGGGDAQDWQNLAQASHILDRLILEKHIVPTVVVMPSFYNIAPEYKQV YGSRNPPAVAPSAPVVRENYMKYLMPFVEKTFAVSTTPSERAFAGLSLGGRLTYEMYI NATDYFGYFGIFSGATFGGPGSYVNASMIAAKPALRERGIFTSVGLYDFAFDDIRGLE AAFQANAVPFLGRVVPFGYHAWNTWQDCLWFFGRKALWKSLPFKVNAIVSTQL CC84DRAFT_1259447 MPAAKPTYFSPSRNPAFAPTYSQISSIPISPTSTLISIAGQIGY DAATQTVPPTLAEQVALACANVDTCLEAAGATKADIVQVRQYVVDLLRGGVGQDPEGP RVYAEWLGGLKPPSTRVGVQALAREELLYEIEVICVVNRDT CC84DRAFT_1091515 MSTSTFDLQAEWRETCREFISATEIDLSVKSPTAEQITAKLDEL DEAKEAKSRSKVGKAKRAVGNTLRAVQTIGSLLAQGAELTGFGGPANVTMNCVSFFID AGFAYKKIADNIDDLFSRIGPIMERAEVYIKDREVIGPEMELTTHRMLIAVVKTCQHC ISLLRPPHSKRSKTKMFFEAALFQSDSGVQSQIDLLITLQEQEMKMASAVTVTAVKKT AVDVGVVRADVTNVATGVGLISEKLSKDDVATAEERIKEEFKAKLGVEESVVKSLQLQ YRTRREKLRTGTCAWLKGHSQYAQWSDPRKKSVPVLILSGGEGSGKSYAMTSVIQDLE RRYPQGRDDSTRISVAYFYCNRNTQRDPERDQKSHDSQRETRSAKAAPSVKEMLRTWA CQIMENDTFYRKDVHRVLSNNADFAQLEDFVRELFLDQLPKGAVFFLLLDGAHEMDED GYSELSTMLNYLSETSRSLSSLRIMMTAKPSLQRELESYALSSTVTIQIEGQNMTDIH SYVENKANVLTCFKARTNEIQELKSWVISELPAAVNGNFLLAERKLQEINQCQDAESV RQIIDELKRNGASLFDSIDKEVMACNKVLSTKQVRHLNALLLWVIYAAWKLKVYELES ILYVQEQYKPFQPLAKVIRENYSPFFELSGLDDDDYATVSIKSSAHAEYFKDASNQRT SSNWSSNQTLSKGEIQIVRHFVEKLCEQDLYDKLGLAEFFDQKLAKSDMSIAVDSLAG GMGEEANTVRVYAWLNLTYHLKQIDLDTVDPTIKAEMGPLLVKMFTDEEVIRLDKFDE SSWVYNDEGLSEIVRLLKSSAVIKNVVREQGGEAWINRVLRAKDPTLELLRSQAPIMA KFWLTAELSFHAYKAFTWWYGYCNKVESIDDPTRRIQYSPSQMTSSAEIMKIYEKDIA PLIVGMDSADLKSTRSLGLTFLEYRLVAEAIDALNRVVTADPDDLLARDSLADAYAMC NEAQSVLPNLDEALRHKDLVIAQLAGGKKLYADEDPEESRKRLVLAKISWLRELKRHD ESEELLRNALKEDPSDDPSRLELMSLLCESQKSAEAFELLQALESEIDDATNNTAVSR FLQNHALSDEWRSVLVSLLDSETRFEAVKTYYRLAIKDGAADNNPSARWFHWSLVEGL ASILIKYGTGPADREEAIALLEKSVRDHSDVLSHRVQCVRRLCKIYVAQAREAAPGSP TADAMITKIKAFSPEWKEETGQFDVSQAEIRALLARYYRSVGDSEKAQETLRPDMELA LKLLSDDDPDNDWQGYRKLGDALMDCGAIEEAQAAWSLIQPEQEDSHLRERSPSLGGS TTTETFPRAQGPSLDDVQDDPSFETYSDANASPSAREDGSFSSDFARLKRDNTSMKPE GPLWYLCSGRGCEALWTYADDFYVCCECVYVQFCPACLKKLQEKQLEHEECDAMHEFL HVPAWTPESADRTRNKKVLVGGEEKEIESWLKEIEQAWHLEVLDAKPVAA CC84DRAFT_1146098 MALGLRRGAADSETVNDALRRLSTPSPVFDHVNFRLQSTSLNGS VLRTLNTPWNSGPEDQEKEALWNDLQHIRAIPLTGEQVKNMGKDPAKCAKLEDSVFRK GNDLYIGGLDIFHQAHCLNRLRKAALDGTANVGSAREHTLHCVDMLMQHLLCTADTGF YTYNWYADMKWPQPDFSVQRQCKDWRQLVEYRDAYAVDQGLFEAYEKPRGVYENQRGD I CC84DRAFT_754196 MAAPHEEEEIRGRTQQAPLASQRAKEQGQSQQGRVAKWFPLGAK EGFNQWWAGTSPMATEHRVLSFIPHLQKPPTQTQSGSAPVSAVPSAADLTAPPRTSEA HETTSILTDPYGPRQWNSEMVALSGKDRALNEFSVQRVGEAAEHHLVMLHGYGAGLGF FYRNYEALSRAAGWKVYALDLLGMGRSSRPAFKIHAKDKEGKITEAENWFIDALEEWR IKRGLERFTLLGHSLGGYLAVAYALKYPGRLNKLILASPVGIPEDPYAVNEAMPEPQE STMGNEFTQDAAATTKDNNNFMKQRDGAKAKADDQKEPPRRRLPGWVAYVWEANVISP FSLVRWSGPLGPRLVSGWTTRRFSHLPEEEAQALHDYSYSIFRQRGSSEYALGYLLAP GAFARNPLIRRIQGVGRQWIQPHDGAIVDGDVRPKPSSASQDAAPRAGQRENGIPIVF MYGEVDWMDVAGGFASEEKIKQERLRILEKATAEERQKDNGEAKVVIINKAGHHVYLD GWEQFNRVMLEEMADVKRREARR CC84DRAFT_1090732 MALPASNLAIDEMVKGNQLPNPAAAAAYANPPPYSVLQPASASS AHHDRLASLKTPRIPYQPADPTSLLPSAPPQIYLNLLILEASLRSQYLTLRARRRQNT FVLTLLAIWIAFFFYLLWLRPREDGKGIGGSKYWLVDSFQKLCFITGLVTALLFWATG QWERGVRWPRRWVGVANRGLRGMNVKIVVMRGSWAHEIAGWLAFMLPFSGGLWGGETG GSSYHFVNLSHEKKNDLAEGFPRHRIVEDGKEYAEEDIAPGGDLIKLILLPKPFTPDF REGWEIYRAEYWGRENERRSELRRRIRARQREVARAEGGWLWWTGWRGWKRAKAVTGR SSDIHHHQHSHS CC84DRAFT_1119919 MARHNGRTVSRVVLTTALLTTTFAAPAPRLLRRASSDPFAILDE QKWVNPDNMTWADFKAPPGTSWSDPSRQGRDRNFNIAMVTVDYPDSPFVMTLEPESDI FGNPQPFAPRVKREDVPAFYRDLLNTPNELNQGHTIHEYWMGDSFGKYGVNLTVFGPY RMPSKSYQYGIDDDPNGGFNKGACPGGQGNCGTTVDLRTDALGAWRQEVGNETADAFE LAFILSAGQDESSTWQEFGEMKFASPEDVPPEFGPPANSSLPNWAHTRYVNWTSWAAA STIWPNAGGGSSTQGESSGMATFAHELSHLLGIGDNYNNPYSDPARRAYTGPFSMLDR GSFNGPGGPHTRWQIPPVQGASMGSLHTMRDKHQIKLIDDTPILQISRSGLAASGPVI AELTARSVDPGTGLMGFNISFGAAGDLAPKCNTSTDPLCDGGKYNNYNVEVVDRMGAD SFTPDSGVMISKTKNSDRSQPFQWIIDANPEDAHVVDFYYPNGTARYWSIGDYRQLVD ALFHAGTRSGSAYEHVDEANGLHFYVLDINRDDTGVLHYTVGVSSTASSNGTATYGVE LAAGTVEPTNSTSASWCTFTLKNTGKAATYAASAHPQDLSAYTGADIYTLSAEVEGEG WKVETPNALAWAKFGEETVARVAVGTTSKGGYQTGGEAVVTLTATSEGDGSVKASAKC TV CC84DRAFT_1092426 MDMNMAWLTYPLALHSSREFECGDKTAEQCSWYKQRWHFWYIAD YVFALSTIAFFMTAIGIFIIAHFTSSHILGHRRFRGPNIWRKLIATVRYLSYRGFHVK SLRWNSAPVGVLLLGLSGTVFFFCMDLAPQPYYWPDIMFGGSPPLATRSGWMALACMP FIFATATKTNWITLVTGVTHERLQVFHRWISYAFFVLALLHTFPFIVYHIRWHDMEEH FSSSLVFYWTGIVALIFQAWLTFMSHSTVRSILGYEFFKATHFLAAVVFMVTFFWHCD YTLTSWDYFIATAAIYVPSYAYSWFRIIFEYGFTQKARINVEENGFTRIVVPAKFKWT PGQHCFLRFTSLGLLPALSSHPFTICSSPSIEEDRPSELVFYIRHQKGFTRKLYQHAL ERAGVEVPVQVDGPYGGATLQKYRDSDRLLVVAGGSGAGWILPFVERFARAGLITQKG ERKESSADPEKTSALETGAAPGPKSLRVILATRDTSSRKWFLSSVSKLLARYPSTHVH VEVHSTGSAAKEADLPEQAEAPRSISSADEIEIHTKGHDVHVPGKELEGRPRLPAIIK EEAAKAAEASESLGVFVCGPETMQNDVRNAVAAENLEILKGSRAEGVYLHSEHFSWA CC84DRAFT_754388 MESMSMATVTSTMAGMSMSMPMATESATSGSMDMGSSSVMAMST MAMTTWTPASAGQYAGTCIFLIAFAAIFRALIAIRFNFDRFSAKIASTRGDNQAHLYT DEYKGAHRPWRANEAVALGAMDVVIAGVSYLLYVSSRVRVLPSLTDFRMIAVMTMNVG YFLSVLAGVFIGSMAFGRFMSRSITQ CC84DRAFT_1146113 MVRLCSASVLLALVAVKATTAAPPKRQSKGTATIDLNTNIGSAN ALGAGFIYGFPDNGTEASNAIPDHFMTDIAFRASRAGGAQIPPKGWIGGLQAYVARFN STLSNYRTTRKYGGDFILLPHDLWGSDGGAGVNALYPGDNGNWTETETFLTRLVQDLK AHDMLEGLVFDIWNEPDIDSFWARSWDQYLEYYVRATTIIRKLLPTTLISGPSAAHPP SLDDAKWKAWLSAVKKSKSLPDIYSWHQIGSWSREPDRVIPDFTTLLKNQDLPQKPID INEYAALEEQNPANSAFYLAQLERHNLRGLRANWGSGKKLHDEMGNLVYKGASGYVPN GDWQLYKYYASMKGDRFATTVSKDLLFDVFATKQDRVVKIIAGTRTIKAAYDISIKGL TSLGLSADGNVNVQVYRFDWAGNQAKIGDPVNLGISSYKYSKDQLLLAVDPPTNSTAF AYEIRY CC84DRAFT_1259456 MVKKEDVGIVGGVNVGLPVLMTMGAFLSIALYNVIEITVLIFIV FKQRKGMYFWSCLTANWGIVPHAVGFILKFFQVTTLDLLSSAIIGVGWACMVIGQSVV LYSRLHLVVQDKTKIRWVLCMIVFNGVVLGCPLIILALGTNSKQSARFLPGFLIYDKV QIVVVSVQETIISIIYIYETVHLLGPGGGSSSRPLKKLLMHLIFVNVMVLVFDITLLA VQFSGHYELQTTYKTAVYSVKLKMEFSVLNRLVRIVKHKDITSNRRALGSNTLSLGVW TGNKKAQISTSPRSPGASVKINEISSTGDNSEDTNVRTSQISIDRAETAGTALHTIDS DSNGT CC84DRAFT_1164518 MQHAAVGAQCLLIHDVAHGIRSRTSTAGAYYVRALAGSGLTTAH LPSMVRMNSCMPSQYVDLPEPERPDDELGEGHGWTSAMKDKCLSIGSTGQEEQEMKTV AHLLSLHFRNIPHSTPPKLS CC84DRAFT_1164521 MERGSRTAAFALGDTTLLLFQLGQTSTDIVSTSGTIPGHGPTEQ ILNYLCPKSGKPNDTSATLKQHFCVAVSDLAQVDAWEKHLRDVNVKILGVNNWERGGK SVYFEDLDGHIGEVASRGTWPHY CC84DRAFT_1217460 MNGTEKVGETNLVTIPTLKSVKWAWLQPFEVLPTEEDGEEGAEY RGEEGAGKKEALGGTDPVADKFTQYMALGVTLSDEKPRYEVGPYTAIEGYLQLLEPLM KAETTGS CC84DRAFT_1217461 MTRANGQSAFIMVYNIVYGFIWAHFYYVKTAKHSLEEIAMAFGD RAFTDNDEDVVDTATAGKEKHEQLRKKV CC84DRAFT_1091469 MTQHSYPYEPLKTKDSFRVLELLPGILDDPLQCRIRETIWSPDT KYEALSYVWGPPIFPMSMEETSSVSILWITENLFHALRILRYKHMRRTLWVDAICINQ SDNVEKGHQVALMGNIYRKAQSVVVWLGR CC84DRAFT_1164522 MASFSGCAPELIDSILQHLSFRDLLAVSIVSKRLHKCVTPLLYS QIDMTVHRDNPRSIIHLCRSIFNRPELAAHVDAVRLRDSECFSRWTHFSMNKPPEASP PRPNDEDGMSKFVPFISRSGLAYADIWTEKLRSGDLNAFVALFLSRLPRIKSFRVGNM VVLPDHEKKQQGRDAPTEARDMENQFLGKVFQSAVFDSSNHGISRFRHLQHISYPWLL NPWPGKNPDFCKPKDMMALLSLPSVRSISGWCLNPESLPFSWPTGPPELAYLTSLSLI HVRHDFLGQILERTRVLKKLRWVWSHTPYLDPLNTDILDLDRLTGALLVVQNTLEDLV ISTDAGPTWDDPDFQDIDICGSLNGLRNMVNIKRFKVPFALLLSDVYNPSDSYTGRLE DSIPPNVEVVTFSDNYTGFNQGFGSRLHEWPKIASWLLETAWKTTPCLKEVCMFLKPY SPRGPSHELHKLEEIFEGTDVLYNIKHESKDSQRWD CC84DRAFT_1217468 MADPLSITASVLAIVTAAVQSVKSLNDTVSRYKGRDRTLQRLQN ELRDVCGILDSLKEAIQAEASVSALLKGPVGRCGDICQEFEQSMRSFNEKSKTGVRDW AKLEFMRGDINEFIDTISGYKSTIALGLGLITIRAAKVSQEAWKEYNELIQDTVHDLN IHLQRVDEKLEGFSNPSSSPSSVNLGDEKEVTKQCLQICEGAKQFLESSRKSSVLEET LDATHDPHHDRFEAQVLTRQALDENRDSFLKIIGLLRNRLESLILENNPEGGKERSRL LDDINASKQCLEICKAASEASSQKVYRVGEAIADGDSDQVVANTLADLFDVKRAVSKD RSAQLIGSMSGEELRFLAEKRYESRFGAVAPETSSATSTPVVNTEEETSSTPPLTGLT PHAQSVHTSEKPSSNEIRKRII CC84DRAFT_1164523 MREIPARTPTYHPIKDPATAEWGLAIKRTDYEKLLEGFRPRDMD DKWLCITEKNDAQGNTTVHWYRSWGNMEYYTVIVEVQDPKQAKENDWAKLTKITWEKL VGGLEVPVEEAKGDVVDLCRAFVGCEFENAGSASRSE CC84DRAFT_1205774 MLWQAPLALLGLFATLGNTAPLAPLGGDTEDLANVTTHSLDKRI NEGVRYVWRTDGRSPSVLKASDGFQTKGWTNGYREDVSLYRHCKGAKNGASMDNDGFV STTWKHSVAEGWVLDHHHGSAYVYKIATDEGLIDVEATLKGYSPYPHELEFAAIHSIP WKQVQGWYKYSSNGKGGTRQSEYVYNKDFSQSMYGNRPHGGSQFPLSGFPNNHPAWDK LPWARYAHCRPKNAKRAEEIFAQQSPPTHDIDTINGVEVATVAPLEARGRARGSRTSR KRPSAAGRRKKTTKKPAKKPTTGTKKCTAAMKKSGKCKDTTTPAKCTPAMKKAGKCPS TCSAAEKKSNGGTCPKCTAAEKKANGGVCPTCTAAEKKKNGGKCPPKKGECGPSKSNK ARALEYVKALKAGRAGRKMKWSEGTTGAKGGKGTKAGGKTGA CC84DRAFT_1196327 MSRANSREMVLKLSSRANRCQCSAAAERLSGIIAAQRFLDAHPK ANLAILEKDGDIGGVFSRRRLYDSFWTQWSHGLSEFSDLPMARPPEADCYHDFYRAKY TTDYLHEYCGRKDGRGRTLRDRMIFNVNIDSVKKVDGLWNVCSGGRTFTAPKVLIASG LCSLAKMPSLPGKDRFGGAIVHTEDFGETNIMAAPNITKLVVLGAGKSAADVVYEGAK SGKEVHWVIKTTGTGPSFFASGKGKGPFKNAFEAAHTRFVATLGPSIFNRVNIWTKFV QRNWLGRWLVGKLLAQQDQAIRMEADYQGRDNAKGFDQLEYETPFFWQNGPGGLIHHD DFWETISSCVHIQRDQVRSLDRNLVQLEGGAELACDAIICGTGWIPSLRFFDNEQLLQ LGLPMPLEDEPSEVADRWESLLQDGDRHICSRFPLLANPPKHSHRGVSTTTYRLYHGM APINDDSILFMNHLNTGNKMLAAEVQAMWAVAYFDKQITLPSREDMERSVATWVAFSR RRYLSNGGLGNAINFETITYADALLDDMGLAAHKKSWWQQWFEPFRPSDLGKAWAEYL QKHPPGQSSIEDAGS CC84DRAFT_1090342 MSHSQNSTAMVMGAGECEMEMLWNWRIVDSCILAGSWHIKNNAM FAASCIGAALLVVALEFLRRLSSEWDAFLLRQFQRQLRIQQGALAAAAPANCCDGPAA TLGTHYATFRATSLQQLVRAIIHGITLGLAYLLMLVVMSFNGFIFISVILGAILGKFL CDWMVVRLPFEAVDREVKDERRTSVAAAGPTGCCA CC84DRAFT_1119948 MAGLEMLAARHIQDMSSASAVEYHWGYADRVVPCANDAGSCKYL DVVYAAHDLGMYYTGIIWATIGGILILWAIGRHFLRSHPSGVALPNQFAGEEVNQRPS TLQRFTAAAPAYARRHLLPDASRAIFGRVTRTQVLTLITLVGYLTVWSFVGIYYGIWV TPVKKNPGLYNTRTSLGPFADRVGVLAYALTPLSIMLASRESILSLVTGLPYQSFNFL HRWLGYVIFLQSSVHTIGWCVVEIRLYQPQPTVAVEWVKQVYIIWGIVAMILLLLMVV LSTPWGIRATGYEAFRKLHYVLAMVYIGACWAHWEQLKVFLIPGLVVWLLDRAIRLGR TALLHYNFLPSGHMGFRAAPADITFFKDEINGDVVRLDFDHPQDAWAVGQHFYLMFPE GSIWQSHPFTPLSLPVYGADTQRHSYIFRAKGGETRKIAELSAKRAAHASDPQESHEG LMGNARLSVVMQGPYGEQTMRDLASDSNILCIAGGTGITYVLPVLLDIASKPQNRDRK ISLVWVIRHRGDIDWVAPELSILKQKCRNLRAGMHIYVTRAAEDHHYEPNVPTISEKA IETPCSPTKEITPVANSTDSSSASVSSALSVHGVSKSELNLDHMQARPDLKMIVEEFV GSTARGRTDVFASGPGGMISDLRSIIAGVNSGADVWKGDERGDVRLTCDDRLEW CC84DRAFT_1187193 MKLLQYLLHIAAISSAAEAAIARRADPLAKGAPKSAYWPIPPQP KGPTKPYVFGHVSAAAVCSGPVTSNPTSFWMDQQDHTGNARGYAPFLGTFYNYKTYRN VVKDYGAKGDGTGDQTAAIQNALNDNSQGGNRYQGPLAAQPAHVFIPGGTYQLGSKLD LRKGTIIMGDPNNPPVLKAAPGFSAEVLIDGYDAASAPETSFMTQLRNVVIDTTALLP SQKITALRWGVAQGCALGNVKINMPSSSTGHTGIWLQAGSTIAVTDVQITGGAIGIQN NNQQVIFKNIYFKFCTTAYVAPGGFNSLLQGVTFDTCGVGIDVTQNHGDVILLDSESK NSGNTIVFRDSSNDSGNRNNQIVIQNLKHDTNNPIAVKSSGQVVLAAQSTVTTWVWGN ASPGQYQTGVTYPNSRPQNLLDASGKFFMKDAPTFAGYANDQIVNVKNAGFDVKGDGR TDDSAALNAILLQNAANCKITYFPYGVYVVLSTLFIPPGSRIVGEAWPVISGAGDKFR DANTPVPVVKVGNANDVGVAQISDMRFTVAEPLAGAKIMEWNMAGTSNGDVGIWNSIV NIGGMRDSTVSAQCQSQNPCQAAHTVWVWTADHDEDQTSGNLIISTGRGILVEATKAT WLVGTGSEHHWLYGYNFNNAQNVYAGLLQVESPYMQGRGAIQVAPAPWTARNGDPDFS WCAGGDGYCRSSVGVNVQNSQNINLYSSSTWAFFDGPWDGNYGNQCANQDCQQNMNRV AGSTSSLTWYGVNTKAGKTMILDGKADPQTLNNPGGWGGSLVAYRQFA CC84DRAFT_1119952 MCYANFTQHSGCGHIGETSTKPLTLCDEAIQRLYSLRGPNSPSI SSPTQQTSFFPPPKRSASKRRFLSLSQTLSRTSSIASRSSRSSAATSVDGRPAPRSAA PSFSPITTVAADIDYANLPVHQLKAVKCREPIRRSQVASDMDVCPECKKALMDMRSML ERYDKTGSIRGTTAFEQFLRFGDDRNYTADGDVTIPVRDSVAGEHGAMQAIVLGHASE SPNPNERGGTWGDGDAETLSDHHVNHVSQPKGPQRAMIVGRAIDGHPSEAGFLVEGGT NGLGYWKGDDVSSLATSRVFAGI CC84DRAFT_1164530 MAQTVKLAEYLFQRLVQLGIGSVHGVPGDFNLTLLDYVEPAGLN WVGNANELNAGYAADAYARIKGISALITTFGVGELSAINAIAGAYAERAALVHIVGTP PRATQDGRVLVHHTFADGDFTRFAQMSKHVTVAQASLRDSRTAPEQIDQILVQCLLHS RPVYIEVPVDLVGVPVFSERLQKKLELPEPVWGSPQESAMNAVLEKLHAAKQPIILVD GEIRAMGVVSELQNLTRKTGWPTWTTGFAKGLLDETASNFHGIYRGKWEEETTRDFFQ RADVVLCFGAHFSTTNSWGGTSLPESANTIYFSDTEIRIGSRIFRDVPSRQAVSALLR TLDTDKIQRYEQYPKLHQDLTVSVQETDQSGPITQDKAWKVLATFVREGDIVMGETGT PGYGAREMRLPPNTRLFTPVTWLSIGYMLPAAQGAALAQRERSAGQKAGRTILLIGDG SFQMTVQELGTIIKENLNVVIFLINNDGYTIERCIHGVDEKYNDVARWRYLEALSFFG ADKGAYTATAKTFGELGEVLADGRLSDGEGVRMVEVFMDKEDAPEGPLKDLIEAQRVK GTKA CC84DRAFT_1245417 MSSSELPIAFVHASDIVPATIALSISGIIVVLLRFWVRVSHKMG VRMDDWLILAALVFVVAMAVVSLYGVSRQAVGYPSEELSPQEQLTSLTPEQRLVELTY WLSSVLMLPATGSVKLSTLYLYRRIFPVETMPAFNILSNLSVVVCALWTIAFFFSRVF GCGIHLQCSWASFAEISTCCTDKFLNQDVLMISDLITDVLIWLLPIPVVRVFEHEVWG LNISTHRKLGVVAIFLLAACSLAAAVVRLLIQLAIHKKGFTARTNVNRQCWYTSSSSY KQLTRISDIIDELVLAVHRGCHRPDRMLLADDASVLQVDIHFERL CC84DRAFT_1176259 MGGYTGQETTHFCCMIPTGHYPTGVIQSSERKNRIYDVAERHDL YILEHDPYYDLQLGTTFTTSENTNTNFHTADRYLVQLSPSYLTIDTSGIVLTASPLEE ILGAPRIHRRLVHLSDQNSRRGDSLIAACMFLWIRFNPIDRPNVTAANHDFGAAVDKD LEVAVERLA CC84DRAFT_1091664 MPIKLPACEPCRTSKQACDHSKPCSRCRERGSPSACLYRARPFK KRRLEQAGSDLLGFSNTDPPAALPNPLPETSHDSSEGLTIVETPEPSRGMPSYPNPGF LGSSSHTTFFEHIPRDTADSGQLPTYSADEEEIRNGANLIDHIHRYCHIGSCIALVQS WIAGGVNLALAGPLITTCAEVTQHVFSSYEMSTPSSFTPARSISQTLFTNSSQPLNAG DREDIAHWCTAFGIHNARWEILGLFFAALAAATSHTPKFEPLYRSHQAQRDLEKLAVR FSDRCLDISLSLDRLNDLQLLLQYENWISHSCLHGDQSYISWRKLGDVISSLYALGYH ERVDPASPPLESFREVAFARAFSGDTNVSLFLGRPPRIDRKHCRWLPKQFQWSADAKV DYAAETQWSAICAVLKGEALDLFHVEDQAEKVRRANLIRNDADLQWASLPQHFRLETT LKECARPPKERDFLVSTLLNHLHVHFLLHLQLQTRVNDPGPELVAIAARMFSLAIESI LLKDFLAYSGTTLDWKVAYYGLAAAGIICLSLLKQSPIAFDSGSLKAQMIQDLNVLVA VVETGVFIHPIDPNYALLSRATLTIKSLLRRLLSRDTRQPAPLTETDTLVQGPTAITP ETWNIWDNQHLQDFEVDFWLNLAEHPFLIETPTESLPTSQV CC84DRAFT_1164532 MFTRQFYFLGLAVSAVASPALLQRQYNASNSSMVQSFKDVPITK EIQYIPCFDNYTCTQLEVPLDYENLDAGFTNIAFLRYEAAEQPASGDIIFNPGGPGES GVSVLISLLPQLLELLGDEYNIVGMDPRGINNSGPLLDCFDGKPWLRDYYYGQVYNDV DPRSNASISKYYEGSGTFGTWCMQYLNKTAKYANTPATARDMLQYAELLAESQGRSRK DAKVDFYGASYGTVLGTTFAALYPDRVGRFLIDAVVDGDDYYHGNWSQNLLQADASVE SFFDTCAKAGSLCAFYRNGSTASDIKKRFDAILLDLEENPVPVNDPLFVQFPTVVTHI DVRVLIQTAVYNPPVYFPLLATVADGLEHRNGSLAAALLVKGVAPTSECDYLPGGTGT DTVLPKQVIACNDNNKSFNGSEEALFDLFAEQKRISTYLGDTWPLAVVPQCRLLNITP PKSQLFPGFKRINTSTPILFADNVLDPVTSSAELMAGYFEGSAILLQDAVGHGLVVTS SNCTSSYVQKYFKTGELPPKNTTCETDFDAFPQTPGLKKRGLEPRNPVLRSHIAL CC84DRAFT_1146150 MVNAIIRDAPFGQLVRILTGNRIFKYAEEDPNFRFPISYAFTGP TDAENTEKQELRDDSTFQTQKASIEETVEDSVRDMEKVASASTSVTDSSARLRPVSSN ITRIKSLPYTPERLHQDEQAAIERTESRPIAPTKTADGLILVDWYSTDDPENPQNWSF KKKIWTALLIDIYTFVVYCSSSIYVSSEELVRIRFGVAPFKASLGLALYVLGYGIGPL IFAPLSEVPTFGRNIPYITTFALFTTLALPAALVDNLGGLLVLRFFLGFFGSPCLANG GASMGDMFSLLYLPYAMAFWVSAAFAAPALGPLLSGFAVYAENWRWSQWEVLWMAGPV FLLFFFFLPETSPSNILLHRAARLRKASGNENIRSQTEIERKDTPLSTIILEAIWKPM EITFKDPAILFVNIYTALIYGIYYSFFEVFALVYPVMYGFNVGRTGLVFLCIIVGCII SMAIYFSYLHFYLIPDVLKNGLRAQEFRLRPALIACFGPTIGLFMFAWLANPSIHWVG TVVGCTIYAVTVYVIMQCIFTYVPMSYPQYAASLFAGNDFFRSLFAFGSVLFSRSMYV NLGVDKGLSLLAGLSVMGIIGMWVLYIYGARLRARSKFAVS CC84DRAFT_1146153 MVNLTATLASLIAANHILDYLDVLDPFGHISVRNPNNNNTFFIA LQMGAGVVSSLQDIGEYLILDGSGVNGTESGYAERYIHSEVLKRYPDVNAVVHSHNED VLPYTITGSVSLEPTYHMAGFLGNHVPNFDIQSVYNGTDPQDMLVNTPRLGAALAAVL GTNTSRPTSPLYTTALQRGHGFITTGSSIEQVTEFAYYATSSARVQTRAISLLSSSGM GSQNPQYLSVDERKDSKDMNIWIRYKPWRQWVYQSERSGMYRNGLGSPPV CC84DRAFT_1259469 MFSKTIIAVAITTLFATSAIGAAVAPAPAARGILIAANPDAACN CPNNCQHNNGDSCSFYRDGNQLNGICQHTGEGGRLLCNA CC84DRAFT_1092043 MLPRPLLTTTFGLSYRKIPILAIGKDVYCDTSLIIEALEHFFPP SDGWGTIYPPSSIPGWNYRGYARGFASFWTDRPFFRTTTGLIPPSVWASPFGTDRAQL IGHTLDPAKLAAKVPQNLGAFETHLSLLEPTLKDSSKWLMPTEAPSLADISVYYQLKW GMDIARGKGVYNLTGGNVGEDGEDIAQVVFNEERYPGVWAWFNRFEAYISSLPDLQTE ITSADTRWKEDIRRMEGEASLGLVPTPAGPNKELDGRRGLVEGVLVSVVPDDTGKGNP TVGTLMHVGVEEISIKPEEKGEVHVVVHFPRVGFVIKGMEGSRL CC84DRAFT_755332 MSFALLALGAIGLVAAQASETPKLTAECVDTIIFFARGNDAHYH DGRTTPFLDATCAKIVAEGNTCDYIDIQFDVTLGGPWCAQVSEGSTNGISQITAYQAQ CPCTHIVIGGYSEGANVVGDTLAGGGCSDFTTGIDPTSAAGQAIAAAVVWGDPRHAAD QSYNVLDGSSLQAAGRDAESLARLQAYASVLRNYCAAGDPICAGGDNVTQHLNYFDLY TDDAATWVVGKVDAAAALCDATSSSVASAGATTASSAAGPVAANSTSNAVSIATSIGA TQSAIATPSGANASSIATVYL CC84DRAFT_1091851 MRIETCYFCSSPVYPSKGITFVRNDARAFRFCKSKCHKNFKMKR NPRKLAWTKSFRRAHGKEMTVDSTLAFAARRNIPTRYNRDLVQTTLKAMSRVSEIRAR RERAFYKARMRGNKERQRADDRKLVEENQHLLPPSERFAVVPPEEETMEVDVDAVKEK VKARRKEIAEDELSEDELEVPKTKVKSKRKLKMKVGGGTEAMDVDE CC84DRAFT_1164538 MSLWVWGIGLGAAAFFGRAGLVALRRSGGGAGALGRGFYKGGFE PKMTRKEAALILEMPERGVTKELLRKKHRQLMLLNHPDRGGSPYLATKVNEAKEMLEK EIK CC84DRAFT_755027 MARHSLVQRVASPIQSVAPLAPKKPTWVYRHAGPSKSTYIRSGR YWRLPDDVIAKLHIKSSDFSDLYRFFYEPTFTFDYSVNYLKTKQDLCMYYFAYAQHTC KHRILESNLRRTRERLDRAVTPERKVLSYLCAFYERELEASRSLDPFRRFVAAQAIMD GCLSTVTNLWSGSARERVLHLQSSMNQVSFHTESILNEIMLSRAPLIHAGQRYDIHMQ KFHEVFQHHRTLLQKTNNDRKHAKLQAKKEIGGQHRFRFSAHSELTRITQQKQLMRLE NSTLEALRSLAHEEPNMWPALAVFASTTYQTHFRALKLLGQFLTQNYRYATRNSISDS EFRFRFSLWVKMQTCYARLCVLKSELDHLRFGFYELSLFRTMHTDLVLPAGIIKRSQD IVALRINSERAATINDWAIAEKFTKSNEQHRILPHIKRLPLQLQHKLEPKNLQRSGLF RLLRESGLFEGHKRASLPHKGRTRTQPIQHPTGLKHTD CC84DRAFT_1092356 MPGRLDAKVAIVTGGGSGFGAGIVRKFVEEGARVLIWDIAPAPA STLSASLSPGSTAVFTGDVSRHEYWTAALESCVQTFGTLDILVNNAGVVHVAGPSHEV DEDEADRMWRVNVKPLLHSARVVVSHWIDKGIKGVVVNLSSVSAIRPRPRLVWYAASK GAVTTATRGLAAEYAEHGIRYNCVQPVLGETAMVAPVLGGIDTPEGRAIPLAGIPLGR LSTPEDIGAAVCYLASAEAGLLTGVCLDIDGGRSLL CC84DRAFT_1164544 MAGGSTSNGARVKATWHIGGWGNPYEGGGQPGKGIVTYGLSSNR QRPFAGFFTKGVWNSVRRVRNQVLYVVPPFIVAYSAMQWAIER CC84DRAFT_1091296 MGRWADADSDAERLPEGFQRIGYDADTETYTFRAPDGQIYESAP GNRYGELYPQGQRPLLSAPEVEYNNAQLKKSNRESVKMLLPFALLVVVFLLVMFKFLG GAGGSQADQELPQVHCAQGTAAVQVKEGQTCWEIGEAYGVGVEELLQLEGNEGVDCDK LAIGQGVCVPA CC84DRAFT_1164546 MSTLLRRSGQIARLSRPNLRAASRSPLAVRRRIPVPQCVYAQQH SRLFATGPPEPPRDKKQDEVAKDNKGQAEKPAPENSDRTSKLSEEEEKLLDQLVAFVS MGVPKAQKQAMQDAVNEIKKTGIPTELRDEMERLKRGEKLDLATAAKISRLTTKFARK TAEEQLKASSGEPQQKSGAHDPGPGQPQGEKGKKKGEQGQAGFGTPQAMDWNTTLITA FLAWLTYRMIVPGENTKEITWQEFRTTFLDKGLVEKIVILNGNRAKVHLHREAVASMY PDSPAASQSFYYYFTIGSVESFERKMDDAQYELQIPSHDRIPVSYANEISWFGTLLSF GPTLLLLGSLFYFTRRAGGSSGGSSGIFGMGKSRAKKFNHETDIKVKFADVAGMDEAK QEITEFVSFLKEPARFQKLGAKIPRGAILSGPPGTGKTLLAKATAGESGVPFFSVSGS EFVEMFVGVGASRVRDLFANARKSTPCIIFIDEIDAIGRARGGKNFGGGNDEREATLN QILTEMDGFNTTEQVVVLAGTNRPDVLDKALMRPGRFDRHINIDRPTMDGRSQIFAVH LKKIVTTEDLDFLQGRLAALTPGFSGADIANCVNEAALIAARHAAESVTMVHFEQAIE RVIGGLEKKSLVLKPEEKKTVAYHEAGHAICGWYFKWADPLLKVSIIPRGQGALGYAQ YLPNGDTYLMNVNQLMDRMAMTLGGRVSEELHFDTVTSGASDDFRKVTQMATMMVTKW GMTKKIGYIYYEDDQQNQLQKPFSEETAKNIDFEVKRIVDEAYQQCKDLLTEKKKEVG LVAEELLKKEMIGREDMIRLLGPRPFEEAQDFHKYFSGKHGRVPGLIEPNAGEGSVKG PVVPPAPATFKSIEGEQR CC84DRAFT_755616 MGPLSYYKLPRQVRAAFVAALAQRTHHTLLAFHCARVFQNHHAS KACNFKRPCLPKESHCGLSALSTLLHHYDHP CC84DRAFT_1259478 MSTTTLSSDPDMELAIRKMSLESSVAPSYSFAQGWAKLSDELRL AVLIAVLAQDYPIDHPEHEKLLEILYLPLLLTANAAIATEAFSAHNRYPAPNQNTWLR RMEIKMTDEEATPGWSFLEKLCAGALGFERLREVDMTLISNRKKGDPRGTRFTSMVDL GLSPLGLTPIFSNIEKLSITRKILFKDNVKCSIWFGRVPASILQRAQQWDELRLEGEY TDEFWFPWASSMLQGD CC84DRAFT_1146180 MASISDYSSSDEEMLEQNGSRKKRRLSPVEKPTQQPLATTSRIK AKQITAPSRVQDGSGAPAVSEISNASERVSFASLNVSPWLVASLASMEIKKPTGIQKE CIPEILKGRDCIGGSRTGTGKTVAFSVPILQKWSEDPCGIFALMITPTRELAIQIFEQ VKAIGSRQSVKVILITGGADQREQALQLASRPHVVIATPGRLAEHIKTSGEDTICGLR RVKFVVFDEADRLLAPGKGSMLPDLETCLSILPPPERRQTLLFTATVTPEVLALKEKP RTPGKPPIFVCEVDTETLAIPPKLQQLYIMTPVTHKECYLHVLLSTPENVKKSVIIFC NRTKTATLLEYMLRLLDHRVTALHSGLKQAERVSNLARFRAQAARILVATDVAARGLD IPEVALVVNFDVPRDPDDYIHRVGRTARAGRVGHSVTLVGQRDVDLIHAIEERVGKEL EEYEEEGVSVEGRVVREALKPVTEKKREALLQIEEGRDVLGKRKVGMQKRRL CC84DRAFT_1196343 METPEITHERQRNAFETLVDNLSAELGPCSGINSEDVDPGMLEQ LMRDYVSDEAHWSKYFFPSQHHAYTRNLVDKGNGKSNLLILVWSPGKSSPIHDHANAH CIMKVLKGSLVETRYAWPTVHLNEKEEHPLQTISQRMYEENDVTYMSDRLGLHRISNP SPNEYAVSLHLYTPPNAAVYGCNVFDEQTGHAKHMAKCTVYSEYGKKEPRL CC84DRAFT_1120004 MATTVDKIKAIEDEMAKTQKNKATSFHLGQLKAKLAKLKRELLT PSSSGGGGAGVGFDVARTGVASVGFIGFPSVGKSTLMSRLTGQHSEAAAYEFTTLTTV PGQVIYNGAKIQILDLPGIIQGAKDGKGRGRQVIAVAKTCHLIFIVLDVNKPLTDKRV IENELEGFGIRINKSPPNIVLKKKDKGGINITATVPLTHIDHDEIKAVLAEYKMSNAD VAIRCDATVDDLIDVIEAKGRSYIPVIYALNKIDAISIEELDLLYRIPNACPISSEHG WNVDELLEQMWEKLNLVRVYTKPKGKLPDYTAPVVLRSTACTVEDFCNSIHKTIVDQF KQAIVYGKSVKHQPQRVGLSHELADEDIITIIKR CC84DRAFT_755795 MSQLQMDHEHHHQDSYEDQHATWAHASHYDPNHQTSVQDFNHFS YGPIPMEPIYTNAMQPPPQHRTAHSQLHPLIMPQVTQWPSMMTSQSTYVPPIFPSAPI PITPVSATPVSATSTHSGRTSSTPRKTLTDADRRRMCQYHEDHPTVKQTEIGAMFGVE RSTVSKVLRQKEKYLYQDDGSRSPIKRSKGKFPDIERALANWARNHQRQGLPLTDTII REKAKFFATTVGNNDSHLKASSTSWLEKFKQKNNLLGSKSRKGSIAEESEGSNPPSNV HTPGGISPTSPSGVSPSPLTVSAKPSKEELKTESPEVHDFANHRRPFHSQSNTSLSSV FTDTAPSSFSAGPTSPTSLSSPFFTPDSACGPNPFTLNQQARGQPGSSNFQRPRSQTF PMLVGVEQYMSPPPSSEALTPKFLNSTALDSPMDEFQPSLAAMEDAMQASPTTVTNSM QPPPLPNGLTTIPSVTNMHQPSVLQSVAEDTTPGSPSQEEAARALELVWTFFQQQHED FVVEPQEYVTIGKLMEKLKIKRSSESLPSGMRRTSEPNYGTVEKVESIDSLH CC84DRAFT_755796 MVIIISSIFFRRRVFSRLVCSYYTGFTFYHCDGLYTPACQYLLI RISPFTIVRKDCTRSRGIPLLYLLTCFLFLFIVAFTLHHTRVFTTTSLKLALLSTICF SSARFGTARMTIPYHYLPKIEQRLRISVGGAKAQRGKGWREPWGLGMVC CC84DRAFT_755803 MTDCVRTQAFTLGSYPRKKLFVLRSPLAIIALSNLSVLAAVTWH RIWCSRYPGSFEYLSILTHEVAQRRNLAIPDCHVVAADRELPAPTTLSTIMNDAQCSR PSAH CC84DRAFT_1092521 RYLSHTVQTRVLNPAFLPMLLRTLRATLFPQNGLAPARQPPSEE EAKAIKRRCAATLLGLLPTTVASAFFANQNQVDHLRQVEALLDCLDDTYLNKHLIFAI VELVVLRLVPELGDGGVQALLEERLG CC84DRAFT_1090252 MTSSAAPELELPHPPQATHSVASVSQEKELSDKATETTSDKATA AFIRRVLCSHDVLLGNGERGRNTPRPIEDVLPPLSSSNEVDLQLYGIIAVIIKEFVQT WYSKITPDHVFVNEVIQIIAHCTRALEQRLRDVDLEALLLDEIPGLLEEHLSGIRLAN QQASSQHSLVTDPRVVYHALHPHPALFPVPTDAIPSSIAEQRESESAWRQLLIQGVLA VLLPTEDLENGCLRALVAEIFAEMILGNGISGKACEGWLLWEGITRIAEVLQHPGAKE EDSQSHDSLEQPLSRLERYGLLAPPVAEHDGSSHMPLGNTNNYQNAPIPANGLFWMII QYMFLAGTALRAAIGMIATSSSLPLRSAIATGGQSAVEADHQTPLAESGNRAETQLLT SKRPIVSMKLWSCASQLVELDTRMPWLSGFISMIRWGVLAGPGRLANTNGILDR CC84DRAFT_1187211 MAPSNSAAPVAVAEEHMKEIVQSLYNLIVQGYDHQGALTVGAMK RDIQHLIEQLVKLSQMAPDVHINIPPEVTQYVESARNPDIFTREFVETVQRMNQLLKG RQEAYRLLQETLARDFIQGVPELKDDITKVVEATGGKVSPTKAANT CC84DRAFT_1217497 MNDPEKEQGALKDDVYSQANSPREGFTKADQKHMYRLGKAQELK ASLSFAVVLKAIWEYLMLANTQGLIDGGLAGLFRSFIWTFLAFSFVGLSLAEMASMAP TSGGQYHWVSEFAPPSIQKFLSYMMGWMSVLSWQAGNAADCFITGTLIQALLQFNDKN YDPKRWHGTLFTWAMVLVLYVVNIWGHDFWPRIQNLLMIIHILAFFAVITVLWTLAPH NSAERVFTEFSNGGGWNSTGLSLMVGQISAIYSILGSDATAHMAEEVHRYIPISLFWS YIGNSLMAIVFLITYLFALPSVEDAINDPSGYPFLYVLKGAMGPAGVNTLTVVVLLIV STANINFGASTARQTFAFARDKGLPFSYWLARVHTTKEIPANAILVTCLMAILLSLIN IGSLVAFNSIISLQIVSLMFTYFCSLSCVLYRCLYYPELLPVARWSLGRWGPLVNAVG LGYVMFAFFWSFWPNETPVDTETFNWSVVMFMGALVLALVMYAVEGRGVYTGPVKQCR MARLDI CC84DRAFT_1048192 TATPLPSGVSPPPEVINHDNQSGVIAIITGFSLGLVLLAACMKL FARKYFRNFRHDDVTFALAIVFAVVQSSVVCFQIHVGLGVTFANLTWDQKMTIRKAGL AADLFYIVILFLSKACCSLFFLWLAPDQLHKTVAWLLVGASAVWVVTSLFVEGFRCPL GDEWPLYWQQCTAFFARWAYIGVLDMAIELALFAASFHLIWNRHIRLSSRLAIIGAFA CRLPNVVLTILRLVFLNITLTPENSAYWKSRVACTTQMAIGWSIFSCVIPYLRPLV CC84DRAFT_755861 MAQNPLRRILISGATGKQGGALIAALTSHPTPTIHIYALTRNPK SRSAQALASNPNITVITGDFSNPPAIFAQIPKPWGFFSVTNPMNAAREEAQGKAMTAA AVAAGVRHIVFTATDRGANSDSSATVVPHFASKFAVEQDIISKSKESGGSYTFLRPVA FYENLSDDFLGKGFVSMWRLNGSSSRIKFVSTKDIGKVAAEAFLNADAEQYKNKAIAL AGDDISLAEFETAFREKTGKQLPETFGVVGRGLRWALHEQLGIMFDWFKSDGFGVEVR EVKGAYPFLKDFREWLRTESAWRKA CC84DRAFT_1090445 MESSDSTLPNALKRNKAFHPTHEPFGQEAELHVQKDSVSGPVSF LNPTNAHFRHATRPDVRFKWSSRNNRKGRHALLIDRHQITSKTQGATPRPTSSPRSIA RGLWRMLTYYPVWDVSFDVAYVFTLGSVIWVTNAFFVFLPLVRPTTEFSNEELYGGGV TAFIGATVFEVGSILLMAEAVNENQSGCFGWAVSQALSRGDDDAAAAPTPSQSHCTHH HPNRHNLVGKGRRPVTKGAPDTHPRAWRWWPSGHDLHSLGFLASLAQLCGASIFWIAG LTALPGIYDHLSRPLAIAFYWTPQVLGGLGFVVSGTLFMLETQPTWWKPAPRTLGWWI GAWNLVGGVGFTLCPAFGYDESSWAQYQACLSTFWGSWAFLIGSGLQWYESLEKFPVE VDGEGTGGGGAKEAS CC84DRAFT_755900 MHISPRSLITLVSGLTFTTNETLIQGMISFIPTPYRGEEYNHAY SIHAKGYRNNSAPSTSLHSSTKNGRCSSRNAMQA CC84DRAFT_1217501 MASRSRSRRAERDISASRSDTSASTSPERGVEDDDNDSLMFQTN DSQSSLAPSISPNSDEDALRRAIEERCRVSPISRLPAELMIAIFAKLSSPGDLKHCML VSREWARNSVGLLWHRPQTGKWQAIKSVIATVRRGDSFFDYPTLIKRLNLSALAPEIS DGTLQPLSNCNRIERLTLTNCQKLTDLSLTSMLQGNRSLLALDVTGLDSITDRSMFAL AQNAVRLQGLNVTNCKKISDESLEAVAKNCRHLKRLKLNGCQQLTDRSIIAFARNCRY ILEIDLHDCRNLEDESITTLLADGPHLRELRLAHCWRITDQAFLRLPLGATYDALRIL DLTDCTELQDAGVQKIVQAAPRLRNLVLNKCRNITDRAVLAITRLGKNLHYIHLGHCS RITDTGVIQLVKLCNRIRYIDLACCTNLTDNSVTQLATLPKLKRIGLVKCGNITDRSI VALAKPKQVGHGGPVTPSVLERVHLSYCTNLTLSSIHSLLNSCPRLTHLSLTGVQAFL REDLIVFCREAPQEFNEHQRDVFCVFSGVGVQRLRNYLNTDTAHTRRALFEDEGTMYD DGEQPEVMDDVTAQANGMGIDDMDEDFGEESEMIGEN CC84DRAFT_1205801 MSSARYAPSTSVPQQHAYRPSASSSNAPPGTFAPGTKVQVGKYR VTIERYLSEGGFAHVYVVRIPKGSEGLPDVGVLKRVAVPDKEALANMRTEVETMKKLK GHRHIVTYMDSHASQLQGGGYEVFLLMEHCTGGGLIDFMNTRLQHRLTEPEILHIFSD VAEGVATMHYLRPPLLHRDLKVENVLITTVGSNRIYKLCDFGSTAPPRPAAQTAAEGR LIEDDVQRHTTLQYRSPEMIDVYRKQPIDEKSDIWALGVLLYKLCYYTTPFEEVGQMA ILNATFKFPAYPQFSDRLKKLISSMLQENPQRRPNIYQVVVEVCSMRHRPVPIKDIYS HRTQSEDRRNQQLPSPEPQVSSPPIIGIQKVAPVQQTQSIPEVARMRRGRERPEQASP MAKPCRSPAGRGAASDPFAALDSDKAEVRIAAADELAARYPSLDEFSLLHDRGQKFEF GQSPGGADPPLNKRVTEALADEAFSVPPAKPAASAPPPKPVSTTPSTSTSRPISAQKP QRFDGPQDSPRTSNVTLYQPTPQRSTMVSTGVQTSPAPSPVPSQPQLKFPDVNKKPIW RVPDVGHHNRTMSQPRTFEKAQPSPSSLRPDHTLPTRPSLDATRSRSHATALQIPKSP ASSRPSLETHRPSALDLGVSLDRAKSAQSNRPNSIHVASNLDFLREREAAPGRNFDAP SLARGGQSPAVLDSDSDDEKNITSNMDYLRARENEDSHQKKHRRSSSQNTKSGKRSSL HSITSGTKNIVKGRFGDAFKMFESNSASAPDRGRSDSSPRTPTDFSAEPRPNPNALTP IAGSEATGGISDDERTLDETEDLPPEVRRELERRRLSQEERRVEAAAAEYRARLASSD AKGKAGPTRASTIQNRVKNLLDESQKPPPTSRTAEGYGKYTERDTGKPLPQRPLDQAA RQPAPAIARKPIAFPQQNAPQDLNLGYAKPRPGSQPQPLPTSASAPPAVAAATRVLTG GRGPPPPSKPTKLRTGGTGEFAVPRQSPTKATTGRGVEKPLPRVGGLGEGRNGGEDEW DVDSFSKRYPSLNKLEMVEREIPSGGGRGSVRDV CC84DRAFT_1217503 MKFLALAAALAIPALALPEPMSGGPSLQSRDNVKLNQYRNIDDC RNDNAILFHAAPVSGTCYNLDSQTGAMFINTAGFLASKLYNQINCGGDWIGVTGGTCL EKSGWNSFKLQ CC84DRAFT_1196354 MDTPTAETTKLERRGSAPVKRRVSRACDHCHRMRTRCNGQAPCS RCVELEYVCQYNREKKRRGKVPRHIQKQREEAAAHQGLPNAHQDQENGLSIQTQFENS EMDEDLWSPSEPTPIAHHFPGKEDIHHVTSPNLHAHMMPPPEYQQDPTHIKSPTHWHQ LASCKFVNSNIAGVMLTTRPAMSFNSPVTHIMIDPLLLGPGERLAQPQMHGSMGRNEE LMYAMNDMHLIPDTRTPISVPSQFDNVGYPMHFAPVRQSSPRSYGSVDTGSPQSGISA ASSHPGILPCKYPVLKPLLPHISNIMTVPMACDLLEYYFQSSSSVFMEPISPYILGTV FRKRSFLRQHKPRKCSPALLASMLWIAAQTSEATYLTSSPSARTIFCQKLWKLAIDLL KPLVHSPASHGFAHSHGTVVSPAVHDAFGVERAMGQLENRTDSAMPPTSTLDDVATYM NLGVVTSASEYKAASLRWWNAAWSLARELKLGREVPQDSTKDRQTGDDAEIGMSDCSN SGAHVPAGTPAAALVEEAREERRRLWWLLYMIDRHLGLCYNRPLAMLDNECEDLYQPV PDTLWQAGEFYTGNSGPKRKGLSFQCTSHDVFGFFLPLMTILGDIVDMNAQKNHPRFG QRTSWESFESEITHQLEQYAYSLQEFKTQHGCGAPGDDAADPIRGECAHQTRKVVAYA THIMHVLHILLHGKWDPVALLEDDNMWICSQSFLAATSNAISAAHAVEEILELDPDLS FMPYFFGMYLLQGSLILLLLADKLSTETNEGVIRACETIVRAHEAAVVTLNTEYQRNF RKVMISTLAQIKGHSHSREMSPAKRREVLSLYRWTSLGNGLAI CC84DRAFT_1090326 MADQSDITLYTTQTPNGIKISIALEELGLPYKVHKIEFSKNTQK EPWFLEINPNGRIPALTDTFSDGKTINLFESGSIMQYLVSRYDTEHKISYPQGSREWY EMNNWLFFQNAGVGPMQGQANHFTRYAPEHIEYGVNRYQNETKRLYGVLDTHLSEKKI PYLTGEKCTIADIAHWGWIAAAGWCGIDIEHFPALKAWEERMAARPAVEKGRHVPDPH TIKELLKDKEAMEKHAAESRAWVQKGMKEDAEKLKK CC84DRAFT_1164562 MCLKVQPSYIVSGEHVHVRASLLHVSTRRHNTCSLTHPDTNLTT THQHHPPLRSLQKL CC84DRAFT_1164563 MRSILYTTAASLLTSPALGLVARANLPPKFTVDSIGIQSSNFPD VYRDGGGGGTINGVNIMTFSDTTTTAGGVTGSMKGFADNTIAYVGQNGAPLHQTTDFG ANGVPNMPIPFTQNETQYTADHFDKDGTRCVLWPQASIATIPTLDGGELGIAVYPVAL YSSNIQNDLYNTLVEISADPVAGPKVERVVPQLFYADESPLYGGFSTVVAHASSELYL FSKEWDASTLTGGMRVAKVPTSSYKDRATYTYWDGSSWTPDLAVASRAPAGLLFSASL STGDVFWSEYYSTYMVVYFNTFADSTFYMRYALEGTVTGKWSDEIKLAVTQPGLGPQP YNYAGHAYPTFGQSGKELVLSYTMAGGALTDMLKVTFE CC84DRAFT_756096 MAVASRQPIAAVQQLTPPSSSHGARGLWDFAVPVDSATAFPSYE PPMSDDVASAHPYSPRQPLASQPNGMSKSSRANTVDSQNQYSGENYAPHTSNQGHERG RALGAEDTVDGNEEDGIKEYYKAHEKNGSKSRGRKKSTKKHPPGWTEAEKDENNWIHR DKLKEIETKELEEFSMRVGRQSRSNSRSQSAARNQSRGRANSELTDTMSSGDDRHDYP RMISPIPAEDEEEEPPHTGWDIRSPAEIEAEREQFAARNNNVIRPSTSRIPIAKTSPL PVPHNFVDRDQPLPRPRNGSGSWESIAANGARVRSGSMSSQILLDDPNAFGDAGRSPV KSNFSMPTSPVDAKAPKAKTPAKATPGSRKTSGPKSGAKPRNASAGPRNTSGTSPVKR PGTSGGSISRPTTSHRPEGEAPWIATMYKPDPRLPPDQQIIPTHAKRMQQEQWETEGR VGSMYDKDFRLLNTDEISNKRASSILPPIDLEKAQQDQTWPLPSPEKPKLDPIETTMS KSPTNEQGKFKLTPTISQGPTFPPRAPSRAQEPKVAPPIAPVTPKDTIRLPEPPEPEK EKKGCCCIVM CC84DRAFT_756074 MTGFDRRSSVRPTDWAKLDSRPGGRFANVKLRCPDNNKTSPSLA ILCSSTGQTATGRRAGRPQPDSLVHLSLLPCAFLIDFFRAAGTPPSTSAFSPLPTPTP AGTTAPAQLQQPLFSLHNIIHRAVESVDSSLGRLQLPALRTGPVVAVAPTRNAPSPAV KHFTTPHPLR CC84DRAFT_1164566 MASGAVNGHANGTNGQARERTPLRPGIYSPTMTFFDPETEEVDI PTVKKHAVRLAEAGLVGLVTMGSNGEAVHLNRAEKAAITRATREALDEAGYQNVPVIA GASEHGIKLTIESCKDAAEAGAEYVLLVPPSYYRYAIDEDAIVEYYTKVADASPLPVI LYNYPGAVSGIDMDSDLIIKLAEHPNIVGTKFTCGNTGKLTRVALATNAVTFKSNGSG YMAFGGMADFTAQTAASGGSGIIAGGANVIPKTCVKVWNLWAEGKYTEAFELQKVLSK GDWVLTKAAIPGTKSAIQSYYGYGGYPRRPLKRLSDEKVKAVADGIAEVMKVEKSL CC84DRAFT_1164567 MAPLEVLMVGTGEYTTGFVGGGASTSDKKVGVVGLTLFDLRRRG KVGNLSMVGVSGDKFPAIRQHLDKNISQAYNGLDVSFQEFPKEGKRDPDAYKEAIDAL PKGSAITIFTPDTTHYPIALYAIERGHHVLVTKPAVKLLEHHQKLYEAAKKHNVFVYV EHHKRFDPAYADARFRATKLGDFNYFYSYMSQPKSQLETFKAWAGKDSDISYYLNSHH IDINESMVPGFKPVRVMGSAAKGIATDLGCDPATEDTITLLVDWVKKDDPSKRATGVY TAGWAAPQKAGVHSNQYFHYMASKGEIRVNQAKRGYDVTDDDTGLLWYNPFYMKYAPD EEGNFAGQIGYGYISFEKFVDAVNQLNEGKVTLDQLDSRPLPTLKNTIATTAILEAGR RSLDENRPIEIVEENGTWSLK CC84DRAFT_1259497 MATLITPTRLTSLFTSEVPWPKSTPPTPSNWAAEHSNFAEYKAL GWPVLLALSKIPLPEAQALDWLAILPAPTSPDFPVQAVGLTVLLDQAPRHLCTGTHER WRNAFFDPLALGFARRLRALPASLAVHTWARWEREGWSFAHWSVLSNFVTAPLAHAED LAVHEGLLLPEIAARRALVESHYAVRDELHDPHLATSSTATAEFARLIRVGMPPGADM PRTVFWWCRVNEAHTPIIRRFARYPYRNAALGRVSTPAELEFLAATGNFGVGLDGEEA ARVRADVEDGIWTALGEE CC84DRAFT_1092074 MSIRQPFEYSPTPGPDYIRLLYLHPIAENPAELRGTLKFHKLNE PMVYEAISYAWGEFPKFNQVIVLDGKILKITDNLYSALMAFSRPYGVRVLWADAICIN QTDTTEKSQQVALMAEIYSKANLVQVWLTLHSEAAADAMKYLKDLSSRAD CC84DRAFT_1245464 MGLRGSVWGRIGTLSYESDKDAVPSIAGADKQQRCAGCFCQPMM PFQHVEQQAGAGERTRRACSPMTAKMVSRERQTRRGSAPSGVAQTEHRGGGGSGGGVW EAHPQASSRVISSCDSDGDNVASQVVFHGWARAACGCRLLHAPGASCRARLPCAGSTA LAKSAAALPPHALIVLGGSRAIHGPVCSAHQTAIVHGSMASSLPVPTPARAPGASLH CC84DRAFT_756156 MFNNNHHNYLVPVKPATRDDSRIPRAHDTQLPRGECSFLLPAAT LGAARERCSCRSFFPDSLIRSQCGCGHQAWHHEPEPVSTALAEQHDLIVAELKRLRDQ VRKHEHLEHQLKQELFKERMAREEHFRTYKGIEARMYANMQHLKVHMDDKVEAVVDKT QEFNDQMKAMQERLTLVDDVTMELENRIDRMELSNGTSREPTPGAVTPKPLLSSPQSV PPVPSLPLLMQSQSILGQLPIRNDKKYPLSWSARVIFVPRKGQKFAYDPDSNGYKRCA TRKLQQNMNFPSQDSSCFANCIETTFKGILRGRPWMPMTAHRPQDEPFGRMALALLPS DLTHRGVWDYPFLEDHCIAHDKMQGDVLYITLQFEDVTWNEIRFLPAILGADDSCWKH DEELDGTAKYKSLDSEIMYDYQDPPPTYSSRTHSMADRAPSKLDVLADSASLLSPIER VQTHSSHYSSERSSLRSFSTEETRSFEGTEDDEHCDKKPKLRSKHSMPGANGAGHAPQ PIYLSGRSKRKMPVREKGTKEPLHFNVSNVTKWRPNLLHPSSKGKEAIQE CC84DRAFT_1146231 MASNTHSPEHDALDTKNGLEVTASKSSSDGNNPVPLEGQTEHLP TFEHEKRLALKFDVRILPMLAVMYLFNALDKGNLGNAKTDKMDVDLHFKKGQYNTMLS IFFVPYVLFAPPIAMLGKRIGPHRMLPILMSCFGSMTLLSATAKNWSGMMALRWFLGM AEAAFFPLVIYYLTTFYRRGELARRLALFYAASNIANAFSGLLAFGVFHIKNNKLEAW RYLFIIEGAATIIVAAFAYWWLPKSAYEAKFLTEEERKLAFTRIQIDSSAIVGEKFVF KDALQIFKLPSTYGFLAIEICLGVPIQSVGLFMPQIINALEKDVVKVNLKTVAPNCVG AVFLLILAFASDLSRIRFPFIALGFALTFCGFIIFAAIDVPAHLSTAYFAAFMMVWGT SAPSVLLSTWYNNNIAHEGRRVTLTSVGVPLANLMGVVSSNIFRDEDKPKYTPALATT AAFGATGCCIALLLGTYMIFDNKRRNKKQGVNLSARDVSTEKLREGPNSPDFRWFL CC84DRAFT_1120050 MRCVEIGNSIMAQPQPPFDNAPTKPTWKERQARIDATLGITSTT RPSWENVAAAPAAGIEATPKEPAGPAGSLASIHNLYADPSLPNKWTTAYPTRASPPAE DAYSLQHALVARYKLSDDPNKTLDLHSIVVQSPLLKKSLGKVLDGYPGITIELQRVEF TAPFECFVHRWDRLQAERVALARKNKNAAPVTAQVAKDAVAHLEVLCETLESELAGLI REKDDLIAHGVMRFENLWTLFEPGCLVYRKRDGHDRVYKLKSAKIENASSGRVYNLEC QYVDFDGTNFGYNKETIIIKDFKGTKKIAKFEAYPLEFVEGVEALKARLMERGAMFEA YKEYRFVAYNGIAMGKVNRGEQRFNVKSRIIIDAHSFSRYGTKPSLELFETPEVVHDI AGPASPDDTDDDCVMLDENVGKKPEPVPVGIKPAPSTDCVLTAEQHLVASATVRGYSL RDKKWCSFFIDSICDIVWNLDAFASLVAPQEQKDLILSFAESQVKSRDDFDDFIQGKG QGIIMLLAGPPGVGKTLTAESVAEAMKAPLYSIGAADLGSKPAALENKLHDILEMCSK WNAVLLIDEADVFMEARSTADLERNKLVSIFLRLLEYFSGIMFLTTNRLENMDAAFES RIHLTLNYADLDKPSRKHVWTTFLSTHARAKNANVALGGFSDAELERLSKEKLNGRQI KNVVKTAMLLASRYDECLGMGHLETVLRLRKMNERKTVGFFGGGE CC84DRAFT_1176297 MGGRLYPGLCMYRFNPALEDGEAEAGEVEVEDPEVGEAEAGEAE AGEVESEEAESEEVVVWEVEAVELPFVPSVSAQMINSSTFAHLKRSTHPVQHSTAPHP GLDTICFAQGRENSPGSLRAPIGSALVLSWRVGA CC84DRAFT_1092504 MQGDETERLKVENVQAFSRGQSFDGTLHITTHHIVFRYLPPCPP GVDPTANPPREKTIWITYPQISYCCLRPCPSASHYKPSIRLRCRDFKMFAFNFLDERD ARNVYDSIRALSCKIGRLDRLLAFAYNPKPPESDVNGWQIYDARKEFKRLGISPKDSE KGWRISEINADYKYSKTYPALIAVPTKVSDSVLRYAGDYRSRQRIPALVYRHPLNNCS ITRSSQPLPGLSGKRNAQDERLVAAIFSTNRGWTTTQTPNPATPANISPESSVVNLNE SAADSSFVGVDDADAINSGKITVDDLTNSAETDPDAPKIYGAQQRNLIVDARPTVNAY AMQAVGLGSEKMDYYPGAEKAYLGIDNIHVMRKSLECVVDALKESDYLNVLPNYDMLR KSGWIRHIANILDGTSLIARTVGIMHSHVLIHCSDGWDRTSQLSALSQIMLDPYYRTL EGFIVLVEKDWLSYGHMFRHRSGFLSSDKWFTIENERIDRNRDGSGPGNAFENALRGA KGLFNRHSNDSNESLTQMTDPNGAVAAELNTSDVDPSKETPAKPFGNKIGPAEEHRIT KTSELSPVFHQFLDATYQLLYQHPTRFEFNERFLRRLFYHLHSCQYGTFLFDNEKERV EARAYDRTRSVWDYFLCRREEFLNPSYDSTVDDSIRGKERIIFPKKGEARWWAELFGR TDEEMNRLGPQAPPNLLTPQTSNMSSPPNGRSGTSTPIPQEAIVTGTETAAKATGAGT NADPPLETTHSASPRPSVEAEQMADPLGAVKDFSQQFTANLSSLGKSLRSPSPSAQSV ENRSRSRSHSRVEARKELEVEMQ CC84DRAFT_1164571 MAVKAVVPFLVAMMLLTGVCNTLLTKYQDMQCVQNCDAPSKEYR KHFEQPVLQTLQMFIGESGCWLVIGVFSAWQRFASRRAGYEAIPEDGAATPASDGSDT PDVANPLLPAHPDEEGRVALTGTNILLLALPACCDIAGTTLMNVGLLFVAASIYQMTR GALVLFVGLFSVWFLKRHLGLYKWFSLFVVVFGVMIVGLAGAITKDDKATPSHAALAE NAMSILRREETAMTAPSQAVMTVIGVLLIAGAQIFTATQFVLEERIMEKYSMEPIKVV GWEGVFGFLVTLFVMIILHFAIGTGYFNAREGLYQMTHFPAIAVSSILIMISIGGFNF FGLSVTRTVSATSRSTIDTCRTLFIWVVSLGLGWETFKWLQVLGFALLVYGTFVFNDL VRPPLKSCVERKPEPLLAEDPIEHL CC84DRAFT_1259505 MATAQGQISKRRKFVADGVFYAELNEFFQRELAEEGYSGVEVRV TPTVTDIIIRATHTQEVLGEQGRRIRELTSLIQKRFKFPENSVSLYAAKVQNRGLSAV AQCESLRYKLLNGLAVRRACYGVLRFIMESGAKGCEVVVSGKLRAARAKSMKFTDGFM IHSGQPAKDFIDEATRHVLLRQGVLGIKVKIMRGADPEGKAGPAKSLPDSVTIIEPKE EQPVVQPMSQDYGAKAIAAQQAAEAQRQAEQGEGEAAPAESYAEEQ CC84DRAFT_1187227 MRSAFLLGAAVFGTASAHPRASPLVEDLLSPLRSSVSKVSSGVS SNTISTRATCSGNTADTRSEWCDYSIDTDYYSEVPDTGVTREYYFELTDVTVAPDGVS RTAMAVNGSIPGPTIFADWGDTVVVHVTNSLTDSQNGTSIHWHGIRQNYTNDQDGVVS ITQCPTAPSEQITYTWRATQYGSSWYHSHFALQAWEGIFGGIVINGPATANYDEDLGM LFLNDWDHQTVDELYISAESSGPPTLDNGLINGTNTYDEGGFRYNVSFTSGTSYRMRL VNAAVDTHFKFSIDNHTLQVIASDLVPITPYETTVLDIGMGQRYDVIITADQASVADN FWLRAIPQSACSDNDNSDDIKGIVYYGDSVGTPSTSAYTYDDSCDDETANITPYLSKT VGDSSSTNTEAASVAFNDDSLFRWYLNSTTMVVDWEDPTLSQVLAGNTSYETSNAVIT LPTADEWVYMVISTTMSVPHPIHLHGHDFFILAQGSGTYSSSSVTLNTDNPPRRDTAM LPASGYLVIAFETDNPGAWLMHCHIGWHTSEGFALQFIERYDEIAGITDSDRLSDGCS AWSTFQEENSIEQEDSGV CC84DRAFT_1176303 MSFTKLSLLCTLPLLALGAKFKRATPDEFGLFGYGQGFGGFPLF YADGYAYLGEPSSSNSSDPGVVTFTPSGSGTSQSWIGNPNTTLTNYTVSWSDVTFAVP TVSSSDRRVRFLTNETSDSDVVTTGFYFYGSTAFVVEGGKLESPWYALQVSERVHALY WNDTSLGQVPVILRNNPPSNPQNVPGISSN CC84DRAFT_1120069 MSDGEDNQSVVAAEEVEVSADASAGKGQMSVLEALKGVLKLALI HDGLARGLREASKALDRRQAHMCVLNEACEEEAYKKLVVALCSEHKIPLIKVPDGKQL GEWAGLCQIDREGNPRKVVNCSCVVVRDWGEESQERSILLNYFQTEQ CC84DRAFT_756521 MVTNATACASSYRTQAPPDMQSAECPYCLSITLGYWHGDDESLS DISSLKQELFHGVCEQCEHASTDSTLILCGWCQHQRLYHIFVCLGRAPSSLTGFRVDP ARQLSLNCDYCRLLQQLDARSDTSTNYIGESRSGFNVRFPEGSVGEPHLIGVRPTAFY VHSPALQLRSAETVDWITHSEVHVGSPEAHRYGLVRKVNWELSRQWLSTVLISKPESA ESRRGPVRSLIDVRVIDTSRSCIVRLPQDAEYVTLSYVVC CC84DRAFT_1259508 MACHNLGYRYLWVDRFCIVQDDEPERKTVQLNQMGAIYRGAAFT VVALAGDDADCGLPGVSEPRTSVQLIFTFADMCMCQGVRPLRWHIHYSEWYDRGWTFQ ELHASSRCLFFTNEGVFHIDYTQRERHGAEPLSVYEESTGRHVRDYLGRGNRTHYYTN MVHEYTAKTLTFPSDILRAFSGALFDNYESRTVFGLPWADFDRAVLWYVDDEDGMVAP PPGAGVFPSWSWTSAPGQKAFHAERPYGLAYWARVQTLDDVGFPVREIVVARPDENDL RLFSSMKETAQRDAEYLQKARVIAGLAWHEGCVTSHRPREISADCTRERYTERLGDRW PDYSAYWRDAFGRHNPRDLFSAADIDLSERDGRLLLHTQKARFVLDGPRRIGGFYDGE SETLNTYYIRNSSGRLVGHLLLDAYQAYIPSDADFLSLAAGTDLLLNYHAFFNENAYW SNADYGCPCHRFASNTSSVSHRRVQHIAECRHHPSFTSPLPNQAELDAEHAQGTYKTH YELDMQAIWRHYAGVSYYGAGGGLMHHWHDVPVVHVMLVAPSTGQGKGTGAYQRLGLG VVYLKRWVEAGPVVGSVVLE CC84DRAFT_1196366 MTAPKVPLWLDCDPGHDDAYALLLACHDPRVELLGVSTVHGNAP LDQTTLNARATLEAIGKRDVKVYIGAHKPIKRVAVSAVDIHGESGLDGVTLLPEPVQP PATDADTLEAIYKALIATPPNTAWLVSTGTLTNIGLLFQKYDDLAGHIKGLSIMGGAV GGGFTDAPMGKTKLEGVRFGNWTPYAEFNIYVDPEASHIVFSHPVLRSKTTLIPLDLT HQVLGTKDVQHTLLYGSDAPLDPAAADTSHTPSRVRALFKQIMVYFASTYAEVFSITE GPPLHDPLAVSAAIYPEIFDDKDGERFQVDIVTEGIHSENAEEVGELGRTKVTKLPPG EGGCRIPRGVDLAKFWASIESSLKKADEASPMPPISREELVKLGVI CC84DRAFT_1120073 MVFGYKIWFPSDDEKHAMRALLDWYPLVAQWSVLVVFAAFQLGF LLSWIASKGLEYERPRSPSFNKRLEGQWTWLRKSTQAGNRIAWWAKKDVISGWGTRAE WTGGGLWTVWLLYLSIAPTGNNYIHLTKSFGAIGASQLPLHYLLAMRTPYSPLQLLTR LSHEQLKSSHQVLGRIVFFLFSLHAACYLNLFIQMNVLAKRIQMPDVIFGLISITLFT AISTTALSQIRRWNYRVFYISHVGIANILVVPLYLHVHHIRPYVWEVVIVNALHLLSR FIAHKTYTGTISVLPGTNLIQIRIPLTSLSSTLSWRPGQHVYLSLPTGKHYSTSLSDQ FTLRNKTNPFSIASIPVKDKELLLVAKTLGGNTKKMSELAKALATEGGDAPSIPLALE GPYGASAHLPDFASYDKILLVAGGVGATFILPIYRSIIEFRDPEHAGQPQVRFIWAVQ RLAETQWAFRSTSGVSAATPAHEDGDADAEAGDDDVAHSLAPSTPIGIEVFVTRGAAS AIPLGDNGEEIELAEDDQLLSMEEEMKKPRPGMVLKTGRPKIPMIVDEVFSRGTRVAV VTCGPKRLTGKLRESVEQWVRQGVEVYWHEETFGW CC84DRAFT_1164579 MDTAVRDGVYFSILCHSKKHCALCWAAPVSTYSPAPGLEMHVGL KAIVIPILQPLYRHGLRALHLLSTPQVQRCCSPQTCAPWHRISALVPSAAQCSAQQRS AHPSQLRRLPSRRPNTLPILFCTALGRGQSRFVARAATWLGRPSSLPVPRWRSAAAER VCGGERCLLGRHGAGRHTAARGSEVCDGWMVALTRVQV CC84DRAFT_1120077 MAEQQPQATVPQAAAPQQPAIGTPTQQPAQIPQQSNAGATDSLV CQWQNCGERCPTAEQLYDHVCERHVGRKSTNNLNLTCQWGNCRTTTVKRDHITSHIRV HVPLKPHKCDFCGKAFKRPQDLKKHVKTHADDSVLLRSPEPNRGGPHGGPGYPGQGGK LVADLQALAATASGYQYPEGGLGPNGGYGQQHPGGAPAGFYGGPPQNSAYGPVYYALN PAQQNLNDSYEIRKRAAYDALNEFFGDAKRRAIDPATYYDVGQRLMGLQGVQLPVIGA GGYQQGGMSEYGHGGTMMAQATHPPMHPYSLPLPNLRTKSDLLNIDQFLEQLQSTVYE NPNHAAAAGVQQAGAHYVHTGATYRSSHSPPGHPSTHPQSSHATAVASSSTETPALTP ASSVMSYASQHSPGSHHSGSTVSPTTRTSMGSMYPTLPSVSAMSDMSAAAPSSGLAPA FDADGRRRYSGNLLQKAAPDRHEHDQMDTSGDMEDRKPAAESEQDELHHNVNQLAIHS PKVDPALSMRSPSAVSSASTEQPNETSQQTWVENIRTIERLRAYLKERLEAHDYSSDD EDIKHEDESRMSDDARDLYPVLRAVQEGRE CC84DRAFT_1196369 MSGRGGAGNIMRAQEQSKKSVEDVEAQRTPTTASPPPTSSASST NAQAYAHTGRGGAGNWYEPSKLAKDGTFSSPSSADATAPPTNNARPNVSTPWHPEGQE MPVGRAGRGGAGNFVWKDEDEEERRRVEEERKRGEVSQSVERDVEAGLAKPPGVLLGG VKAGRGW CC84DRAFT_1164582 MKTTLLLAALPAAATALPTWPWTKPHHDNPKPNKYPNKYPGLNV LAQKAGLKYFGTAIDNVVLDNPTYIAIAHNRSEFGQVTPSNGQKWELIEPQQNKFNYT LGDQITVPATKAKQLKRCHNFVWHNQLPQWLTGRNWTKPELINVLENHIKNEARHYYN DCFAWDVVNEAFEDDAVASLRKDIWLNTIGKEYIELAFKFARKYTKPGTKLYYNDYAI ERVNNKSRAVAAMVKDFKQRHIPIDGVGLQAHYTVGRAPTYDDQLATHKLFSDLGVET ALTELDVRIQLPDNATLQAQQAAVYANSTKACLDADDCVGITVWDFWDPVSWVPGTFP GFGNADIWDANFTKKPAYYAVSDLLRSY CC84DRAFT_1217528 MARRSARLQKQSPAPTSARSDDSRDSWETAPSREQSPAQAQLPA VAEAEEPTMKTPQKRAAAAKTSKASQLPQPATTPSRTPSNRTPIKPAGTEMHPAHHHA STAKFLDEARWLGFQALGAHTAPPKPMGMSAGQDTPTKATPGKVPDGDVVSPDTRFRF RFKSPLNALSPSSSRILKDTTQATEGRGLFKANEFTAPVDMTPKRKTVVPKGKMARFS DIHMKEFKKMDSIANHPSAFRAKALQASSSKPDLTKAETSAKTPNTLKRTQSKMDLLE SMPKAPASALKRTQSKVDVAETTTKIAPTPLKRTQSKMDMSSSSLPRAQTIRAVPPSR DGPRPTQEANPFAKRVKRTEADDAATTRPISRDGPATNTLVAGTPTPARKKTGLPRLA DRLMTPTKSSIARSQSVKPLKSQSMISGPSLLRSPSTKNLFSPTNAGTPSPTKNIFSP TNISNAVRDGVRDGIRKTSSSLQRVRSILRTPSRKFSDDPTKVAAGTHMSPPSALNTI HVLPAMPKTAPVKKQVNFTNSTLERAAWDEVGKSPSPMKLRAGSEIPSGAVIYPSLGN SVEYPTIPEGNDSAPDSPSRRLTFGGATATTPAAFSFQSDKPIDFGPPSTGTIRMVRK SDASSLAEGKKRKLDTVSESSDKENSEPAPDAGRSAKKVKTAPAEVPQTPAKSASKLP RRTPRGGSLTKSRLAFLATPKRGKA CC84DRAFT_1164584 MSDLKPLTAFISGPLDPTPAYFAIHYAPKLDTAIAAGHNFIIGP VRGVDALALQYLLEKGAEPKRISVYMARFEFASSTWRTSFTSLGVNVVEVEDAVTTRE RDAAMTRDSDYDILRYRTEEEARAAYGAGWWPRVSNTEMNERRRAGDESQEYRPSGEV EGGKAVKQEETKSKVRKLFRRK CC84DRAFT_1164585 MSSSTIQIQKLGPDVISEEMLVQAATLFSSAYGIWGSLAQEKMS KFCKPGHRVKMSAERLRQQSLAPGTRSVLVRAVSGDKLAGYAFATRWDYQGRQVCWIT QLCIDPELRSQKLATKILLALRDGEKDRGFGILSSHPHAILAALRAFGRGIEEIDMDI VKLHARGILDASPVDYVKSCKLKGTLFGEKGDDGSVCSADTGFWVDHDEPMAALEQVK AKGVKWAFGELLEGCEFIVVVKGAEVESAGDRVRRQENSSDL CC84DRAFT_756782 MSLLLLFQKQEVIMSLGLGGRKDALPRPDESEYLHLSHLNLQES TSKCLLRWPLQNHVARSTCPSDLRSPNKENLSCMLALHVLHLLSKRGIDRKMSLPLPF QKLASPRGMSWGACVHASCAARAGASSPVPYSQKAIFAFDVSSWTFHELTTPGKLCCL RLATPYKTAKLDSPTRCGLPNPRAETSMCLSSGRTVSQRTLAQGIRASLSHLTTKTSA TAIWTLKPSCPSPIPVSHPSCPTSR CC84DRAFT_1176313 MRAHAASHSLSAYPPAACTSCAPSATVISAELRRRTWAIRRCNS ARTSCSVQSRSPGSRTVHASGSCTEANGHAGVIWLVCTDGGCDQTTSSALARAGRVHN PNAIHDTHLSHARSAATLTTRPDKHPLLHACPGRLAQRTSPSTPSGPHHHHVIPRTSK PALAHKGQDAGRQEDAICYRLPDSKRKATANSAQRGDTEPSQDQTKQVRNPFHGSGST FLWTFPKECPSPALSPAERAEPEPQSLAALTASGRDGGGALREGRIQSRKQPLFGRER VASGFLADVPTLDPRRRGTDNKQE CC84DRAFT_1091271 MANVNWRTINIDALDPDSPANFDLSSLAPSVAPVSTADVQSLAG QIRQLLRGGDSAGALQGALENPPYGADAQGKDVHLATVIEILQSIRQADMSPILSQIY SSPGGTEALDVLMKYIYKGMAQTNAATSARNITPQATGFSQVHARGGGEGGSQAMSVL LSWHEKLVEIAGPGSIVRVMSDRRTV CC84DRAFT_1176315 MKTPVRKTFRKEMLSLKQASKEMQAERESLSRSRNAEPGTQGYY GTLRKEESLGIKMGVIPGEGRNRADAPEDDGTRSSAAVYGVALSFGVVAGQGCRATLV SQGVDARRCRPWPLTNARVGIPQIPISNEHRGQIEPVNRHG CC84DRAFT_1245481 MRQDAMHPARRTLTDDYTDAWEQREVVGGAVTDAHVEPGVCQHG TESRGGGCIQGRAGRVRRRRKSNARGRRRAAQGQGGGGWRLVLEERTSALIPTARDRA VREKATGNRTRGSAGRREFQRPSGAGRASHAGRSAWLRPRLVLLGLVVSVGTHTGAVW PAVADTVSSQPKVIDKRATPPRARPRGMGLCREISPARESRQPCGRAVCSVAVSQRLA LLQHPWLATLVLGLSCRSMLHNLAKGLSRGCFNVFPAALHRGLSPGTSWSRAWIPKAL SLISICLVAGPGERVSRQAPLTSAAFI CC84DRAFT_1205828 MAWEDAAQVAFLQRSTGLTGRNLPSRSRTWRNRSPTPWCSASIA SLCEAHSSQQLRVKHYNSVYPVTGTFVCVGSCGAPCCGQPGSVSCANPSSPHGDLSAC CCKYPAGSRNGRRRTPVLSLRRRSCSYALHMLRSTQTAATPSASVLVLSKSQPPNIVS QSRGQQTPHCALQAATVRSGCLKRALVVPSHRGCGTAPR CC84DRAFT_1046574 NDWGLLLAVETRLKEAETMYQRALLCYGKAWGPEHSGTLDTCHN SGSLYVGLGRLSETKKMCQRALQGYEKTLGPEHTSTL CC84DRAFT_1164594 MEIDAALRECLRWLSLDTNQHWLLVFDNVDRHHNDQNDTQANNV RTPPQRRAGSVLITSRLACLQRLGSGLKLGIVEREQASAILETNAVRTIEGKIYGLFR GIEGKGLTLVDADIILKQLTRIPLALTQARLYI CC84DRAFT_1120091 MAVIWGLDLKEMQWGKFKNSYMWNKEYHLRRTKFIVYQIAMIFC VVSESLGTAALSDYVDQQDFVAAHSPGPESATVHNNDYVGIASYNIFVGVYVATIFGS AFFFDLFWPERKEIPSVKLAWRICSVLACLFTLSCALAYTVILATRSAYVTGTDATTA SRLLAEYGGSPMRYRDNGRAVASVVFLWPGMLATFASTALLWHSLSHIDAHGPKSTHA RTRDGLSGDADAEKPVNGSADGIAGTGNRYAPPRISE CC84DRAFT_1164596 MRRGFLLIQKGRTRDWTSATQRIARQIKFTSPGLLRKKNQCIIS WSSLVFLTNPTPTISSTMPLPLVHYLYRSGLPKYFRQDSNGESSWALVTGASDGIGWE LSRQLAERGFNVILHGRNTAKLSRCRSELEASFPARHFRTIAVDASAFTDADIDRIVA TTTDIPLTILVNNVGGTAPLSSNFKHFEDYTTAEIDAVFSLNITFPLKLTRAILPRFQ AQSSPTLVLTCGSQSQIGQAYVAGYSATKGALHTWSRALAAEQYESKSQVEILEVIVG GTYTQQLQKDPNMKPGVFMPTAEIMARAALARVGHGHRWVVGYWGHWVQGFLLYTCLP GWMADSVVAGILKPSVEAKKT CC84DRAFT_1164597 MTPIYTVSPSPEKGLGCFSTKLIPTGTLILSETPLFNVREPRTN AAVLAAFLNITKSEQENYLTLYAQTATTRDAKVIDIFNSNAWQTGSRTSICPQAARFN HSCVPNASFAWNSRLGKVTVHAVTAIPANTEILLSYERPYQVGSARREKLSAYGFVCS CVACGSGAEASDIRRARMVLLDARIRCQRRQLWRSAIPKAEVELVRILKEEAIVGEAL GLAHHDAAVGWRKYGRVDLAVRHAAKELEICIICFGPDSSSVDTTRAFLLELKAQLAK ESLLVSEPVLLAEGVSHSTGRRPPRPIWAVYRA CC84DRAFT_756882 MLASWHSITLQNPHVSLATMAAPFTTCVECFETFSQGRDFSHLL VELDFEKTQLLLWGNSSGILKAVKKERHPSFCRLDHRRRS CC84DRAFT_1187241 MDPERRKQVEKRLKMKLDLRCSIFVLMYIMNYLDRNNLGAARLK GLEADLRLDETQYATCLSILYVGYILMQIPSNMIINKVPRPSWYIGIVMLIWGMISTL SGNVTSFGGMVAIRFCIGFVEAAFLPGALLILSKWYTRRELTKRNAILFCGNLISNAF SSLVGAGVLSNMEGTLGHRAWRWLFWIEGAATMFIAILAIFILPDLPHNTRGFTEEEG AVAVLRMTEDVGEADVDSETMGAFSGLLMAVKDVKIYVMMLTFTAYVVGLSFNAFFPT LTGTLGFGYVPTLLMSAPPWAFACIVSLINAWHADRCEERFWHIVGPIIGGIVGFVIS MSTENVAARYVALFLQASAYAGFIVFYSWISSSFPRPPAKRAVAIAMINAFSQLGNIA GSYVWNMKANGYRKSYGLVTAMFGVTIFGCWVFKIILQRLNKQIDEGEAAWVTSGDVA EQTAKTEGVSVEEGTKLVRGFRYLI CC84DRAFT_1092114 MADRPPPTVVPRASRRARLPSFVRLPMLIILNICLQSALWTGAE NVLSHELGAVSKVPRPGQEQEGFGELTEPIVRLVSKIALVSVAWKLRYDYFDIGALTA VINIPFAFLLTTFYNISLLTAAAHVAIEVISIALPTYLLRPIADINNPAVPIRNRYLL NSFQVSWSNTALAVGVYATVLYSSLQTNWLTIFLINHFDLPSVELAHDVQAATFIPKL LVAGYATKAFLLDPAIGAQPETGAVTPVGPFEPATATLPQTLKHNFWFFSRRTRTLIQ RSAVLSTFLLANTVLRGATLEGSEIAGSAGYAGVWILAANICAAWFVWTGDADP CC84DRAFT_757129 MFEAAATTGASILILGIVGYSYTRYYKHNVLSKMENAFTPGDPV LELAAVGKEVPNAPHANVSVPGSEDGGEYDRWIPREEQARIDRIMCGEEKGRYHLLIG EKGTGKSSMLIEAMSKIDGEGVAMLEAHADPEIFRIRVGRALDFEFHEDNIGALFSIR GPRDASALLDIERAFNKLEKVALKRRARVGRPLVLIINQAHLIRDDDDGRDLIELIQQ RAEQWAASNLATVIINSDKYWVYERLKLHATRMEVTQVSDLPKDRAMQALKNYRMKYW KEATPDSVLTEVYNKIGGRLTFLNRVAKAQDMIHKCDEICEMEKTWFLNNCGILGSEM DDDVMDQQKYASTAMVLAFALHKKYEEMDKTYDDTIGHILPEIPLHQARYIMTRADFI RQHHDLSIFAIDRHAKVRADSVPMQRAFNQICSEPGFEKFLEATLDRIGDIESLGRTK ELTFKDLWEGGKYKITSRDRKGNEVGVVEMETVAPPEKEDDGDDKD CC84DRAFT_756947 MSSNNDASKPAEAGEANAVQQTNGDGPVEPKGDEVANGHADTIF QITVKLPHAPYEHAITISTSEQVQDLRQAIIETPQLFQYSCFHLEIDGQRINDYVELS EVPGLKADAVLTLVEDPYTEKEARMHVIRVRELIGAAGDRTDALHGIMAGMSLHDTVG QEASGKGDESTEQTPLSTYDFKAGGSVKTLQAPAQEPAPKTIKSISVSPWNPPPYHLR SKGHLLYLLLTTNENEQHHITATVSGFYVNKSSNAKFDPSPRQAPKAHSAHSLLTLLE ELSPSFSQAFQSYLEHTQKRDPLTFFQLSNAIPANPWLVPAANSPHTTHQPDLARTQE SYLISGVENTETLRDWNEEFQSTREMPKEAVQDRVFRERLTSKLFADYNDAATRGAML VARGEIAPLNPTEARDAQIFVYNNIFYSFGADGVGTFGTEGGDEAARVAVGKDVFGVR AVNNLDIPNLFTSGTVVVDYLGKRIVGQSIVPGIFKQRDPGEHQIDYGAVEGKEIVAD DKSFIPLFEQMSKALRVKKHPVWDKDNVRHELEGSVETKGLIGTDGRRYALDLYRLTP VDVSWIEEHWSEPSEDGKEKEEGKDYPHRMATLRPELVESFGRLKLREYVKKELEKKG EAKKEKEEENKKTDGESDEVAKEEAEAADQDRVDISGFSYALNPDVFCGQHPQTEEDK AEWAKDEAEVRAACNHLLFEVMPRLIQELKDGDVGFPMDGQSLSLLLHKRGINIRYLG ELAELSDKEDPRLQALRRLVIQEMIARGFKHFANSKLRNVSAPFAAPCVAHLLNCLLG GEVNAKPVAESDADLKALYPENDFSFEKLTPEDVKKEITAQVALRYRFELDEAWIEPG KKLQMLREVALKLGLQLENKEYAFTKETAASETSTAAPATNGTSTSSKKKKGKTASPA RAASPAPQKTVQTFHADDILNIVPIVKEASPKSLLAEEALEAGRMSVAQDQKELGQEL LLESLTLHEQIYGVLHPEVARVYHTLSNLLFNLDDKAAALELAHKAVIVSERTLGVDH TDTVLAYLNLALFEHATGNGNTALVYVRHALELWKIVYGVDHPDSITTLNNAAVMLQT LKNFPESKVWFEASLSICEEVSGKTSINTATLLFQTAQSLALVKDMSGAVKRMRESFH IFKDVLGADDRNTKEAEQWLEQLTTSAVTQAKQNQALASGRLRRLQLGNRGALRPQAA VGQTTNETTTANRPRSGTNRVDQRSIEELMKYIEGESPKKTPKKRTPTANPKRRGGKA SS CC84DRAFT_1146297 MTSKGLWTPKPAIASSNPPHQGPRRIGQWLYLKPEKIDEYKKCH AAVWPAVLEQIKDSNIKDYSIFLSMEPRPTLFASFKYVGNAFDEDMKRMAQNEKVQEW WRMTDGMQESPVEGAVGSASGPGWWGQTEEVFYVE CC84DRAFT_1196381 MRLLRYEDDGRLTITSFDDDAIPRYAILSHTWGADTEEVTFAEL AQGEGKHKSGYKKICFCGEQAQQDGLQYFWVDTCCIDKSDKAELSFAIQSMFRWYQNA TKCYVYLSDLSWESAFKSSRWFTRGWTLQELLAPNIITGIPCEALDGAPLSRFSVDER LRWKGDRETKREEDAWYSLAGIFDVEIAPAYSEGAASAFKRLKGEIDKLEICIRDIRN TDPRHDKQRIEDTKGGLLADSYRWVLDNTAFQQWREQPNSRLLWVKGDPGKGKTMLLC GIINELQSSMPQSALLSYFFCQATDARINSATAVLRGLLHMLVTQQPSLASHIRKKHD HGGKAMFEDANAWVVLVEIFKAVLQDPSLRMSYLIVDALDECVTNLLKLLEFIAKQSS ASSRVKWIVSSRNWLDIKAQLEQTGYKVKLSLELNAHSWKVDHLAQEKGYKAEVRHAV LQHLRLNANDTFLWVALVCQDLKTTPKWNVLNKLALFPPKLDSLYKRMMDQISESDGA KICRQVLASTAILYRPIAVPELVALVEQLEDLDDLESVREIVSLCGSFLTLREDIVYF VHQSAKDFLFAKAYNEVFLDGSEAVHQTMFLRSLAVLSRTLHRDMYNLEAPGYPIKNV KLPKADPLTVSRYPVGGLEAVDVVDNFLRKKFLYWLEGLSLCRGVENGVASMKKLWSL VQEMRDQDRLTLLVQDAWRFIMYHKGAIEGYPLQTYVSALLFSPTGSLIRQLFQHEEP KTISIRPALSDGWSACLQTLEGHGDWVTSVAFSPDSARLASASWDSTVKIWDASSGAC LQTLEGHGSTVRSADLISILASLHPYKTVTEPQKPVFHGVTISLNYTWISNNAQNMLW LPTEYRPACSAVSGRCVGIGTGSGKVWFCRFL CC84DRAFT_1217541 MRLTASLLLLSSSFLAPIVVAQNSDSEVAASWTEQGVCGYFYEK PGAWKSLAETCVKYCENNGGHGYSECDHTPYAGMDLPNGIDQSTIMQDDSGDVFVPCK CKCDNPDVEGIANAILDIVIEGLSHLDEIICGVFMTAMVSVVEIGIDLIPGGAEATAA ARGVEAVKSFTENALDVADSMGNWVGKACGIQDPNWPNSIFDVLLTSPDSYGTSIGCK KKNKADCKSVDPVPDKPKTKGPDDPATKGPDPVTSAPEVQPTSAEAPPATSDPAPPAS TDAPPASTDAPPASTDAPPASTDAPPASTDAPPASTDAPPASTDAPPASTDVPTVSSD VPVSSEVPVSTDIPVSSDVPVSSDVPVSSDVPISTDVPISTDVPVSTDVPISTDVPIS TDVPISSDVPISSDVPISSDVPISSDVPVSSVDPSASVDPSATPSVDPSATNSVDPSI TSSVDPSATSGTATTSSTESCGVGSTVVQRRGATQTAGAPACEATNGCTITNPDKGGE DALDDALDAVQDVTNILRLRGIDGHRSPRRILAKRAPKSGKPCSGSPGNEDFVLQSDD YPSNSELAANVDSWGYKLQNNKCDYGWLDGKTQIVGNDYDSEHVMEWQTVTDFFSKMN AKGGQTYDHPDPNQPDGTKTDFCTYWIQSWSLDAGQEFSVNGSAAYTPWNHIKTVYPG KTLGAAYKSEMIRLQRNINSTPKSNMFTDKVEYIWTKTKMDKYVLDKTKRDKVLERMR LLLGARTYLVDPKVKEIFKKQKERMGAMIDQLDTDMQTHTRSTTVKDPVTGAVSTETF RPWVKQNLLTEWNTFMDQKWMTATAKHTKVMDYFINELDDKNCQSQPKMSTADKTFCA NLRTLERNYRSATVFTVPW CC84DRAFT_1217542 MNSLPQELVERVCVFLTRDDLKNTLTLSRSFQYASERASKAYTT FDLNSSNTEDFKKLYAGRRWVYLRHVRYQTYIPPSAEVADAEHLAKHNCRESPQELRE KDELFTEQIKSIFDTIKSLEDSSSEGKLQLTIYAPVREVRETCAHRKCISWRLHLLSP DSLPHLGSVRALRIEDPRIIYPLEDNNSLLKIDLRVIVDLAVKFPRLEYLGCKLFAGS GWTPYYNSEVARTYSRDCDRPGPLRDTRLGFAKALDNASLPSTLREAQLDFLWPMSSA ERIDHDERIPNLAGSNTYDLFSSSLRALSYGLRRLELKLVADASLFWPSAGSTSYPNL ESVCILFHMSTPAGRWYFDGPDGLGSDAEGFQVDEGSYPPLEDTSDDEALDEEVDDEG LNTEIVNNKRFRVRPNNEVLSPFLTAFAKAASEMPKLKEASLWSPLRWQTGDAYGNSD ELGKNIAKWPDRPLAWGITYIAPKTFGFHFEGQHYSQSRQLFWTTGTWRPSDELHDLF GRIGDQSVELLEYWGLDNYGKEMLPLREVFDQFQIYGRRHPACAWPVDKSFIIHKTLQ CC84DRAFT_1176329 MKTLQASLLICISQAWAAPAPQASSCALDPVNGPPGASGSLRPC PELVGYDPSNSVPKSPSTVIPPDEFELAPGQSDDAELGLFIDLSKVKNPQPIRGGTTG PTDPGPRTEAYDRLNSDLFIPPSTDSGDVSNAKWPFSLSHNRHGLAGAGWARNQNQDQ LPIATAMAGVDMRLSPNAYRELHWHKSAEWALMLNGSARIAAVNEDGQNFIDDVTEGD VWFFPAGVPHSIQAFENGCEFLLVFNDGAFSEENTFLLTELIDRTPETVVAKNFRTSV DTFKAVPDKQLWIFPGTPAPADIEKQNQTGPAGLIPKKDTYSFHWSEQQPYRVPGGSI KILDPETFPIAAGFSAALVTVEPGAMREMHWHTTSDEWTYFIQGQARLTVYQAPSSSR TFDFNAGDVGYVPVPNAHYLENTGNQTLIFLEVLQAPRYADISVNQWLGITPRQVVKD HLKVGDAFLDTLPKVKPFIVPGNKDLLATNFTGNPL CC84DRAFT_1090988 MPSLGPLPSPLPFTEPFVGSLKKAAPFNHQDDPNWYAHDPQCLI SESAVNSFVTGTGDAIYRSLAPLLEATNHELILVTCFWARSSSLDSLNALLRKLSEKA ISRGTGKIKVRLCFSSSSLFQKLFHTQSTQGQTYPSSSWTKQFGLPEPSELEGLDLRI SSIFILPFSVMHPKFILIDRRSVVLPSCNISWEEWFEGAITFSGPIVENFFQFYKRFW CRMDVQSLPTSTIHSDYPSPQHVNTAAPQDLRDIVPHGHTITPISTTSSTIPTIFLPS PHRRNPNFHPFASSSAISAPPTPLNVFVLNLLAQAARTIRIQSPNLTSPPVLSAILKS LGRGVDVQILTSERLMILEQLVTAGTTTSRCVKTLIKRYKKLARAEAAHLATDEEAAL SAPRMGVLKILYFEPLHGSKPRGKEEGEPQQSHLKMMIVDGEVVVLGSGNLDRASWFT SQELGVAFFDKGLVGQVEEAVDRGMKGRAKLVFDGSRLVERPKQWTCMATSRNRSS CC84DRAFT_1090768 MPISILPPSAPIAAAHLRQDDSDEDMSDDDGLPVQSATSIVTPG ETVTSDPQWMRGHGTYVPAESTTIISTVAGHLHKTNKLLSVQPLRARYTPEIGDLVVG RIVSVQTKKWTVDIAAPMLASLPLSAINLPGGILRRRTAVDELNIRTFFSEGDLLVAE VQSLHGDNTASLHTRSLKYGKLRNGLFTSLSGAGGGILSRRGGVVRSKRQTFTLQTSA GEIDVVLGVNGYIFVSAHKKSGHDEKDVSITSLDQGVSETLYSSQNDDIEPGVAREIT RVSTLVKGLVACGRRVDEDMIVRVYEGAVELEAEEKVMGASERAGGAKGVVEAVLGRL EMGG CC84DRAFT_1092599 MRTNIDKEIEAIDLDQLFDQTVETGLFQQYTDATTGPSSSEDLS YLFEEPSSNGSNPCDTTALPNWGTSNEGDAWHKAIHRLGEQNVASPLIDDRFSSVYPQ SDGKASLSDPLLGNFEELLILDDFDSRPASQPSTPKAQVEKPTRKARSSPDRSIRHGV HKVSHKKSASAGNIVKMMRPSTYRAGLQDIWTRKLDNGAETFNLHMPPHNIHSPPPTG KLVPDEHSNNYFSREPQPYTIAVSPLAGESGSSPGIHSANYQLTPQSSPAVDQNGMSN AFQFSNDGMNGAYITNAALSALQTPPPSNRMPSSAWGSDTSPNVDFGSFSSSPDFHST TKTTGWWNSPNSHPNSIPVTGTSTPSTYQGSQSRSASKNMGFSSSSSSVAGLGISCDS ASFGAYGPDLNASQNGIPQPRFTRRRHSSHPHNRAASRRKSSGSSQHSAHAGQRGVSL GGGFVNFTPDDSRKILTGVAPSGSSKTKARREKEAAEKRRKLSQAAVKAVMEAGGDLW RLEKEGLLALEG CC84DRAFT_1164607 MAQPSILSTRSIARQSLGLPTVCGLPSVHSTQLGQQRPKIRQPP RHSAFDSGTHCQEIFQEEIMCLNASAPYETHLRDCRCLSLKPPVRHAPIIRAPSDQNS S CC84DRAFT_760451 MDRDTPTTPAPQPSVWRWILGFLMVGACWGLTTPFMRRAALNYT PPARPSLTDPNNSWLKRKALGIWYAVIGTLSRPAYAVPFLLNVTGSIWFFILIGQAEL SLTVPITNSLAFLFTVLGEWWAEKKIISRDTWIGMGFVLAGIALCVQAKS CC84DRAFT_1164608 MAQTDGLTPEQLAFFNDNGYLLVPDALSQDTVKELLQDTNKMLD EFSLDDHPMTKFATGGDDGDGHVGDAYFLESGDKVRFFFEEEAFDKSGNLTKPKQRAI NKIGHYLHELSPSFRKISLSSHNAAIARSLGFRDPRCLQSMVICKQPQIGAEVRPHQD STFLYTDPPSAVGWWYALEDATAENGCLSFAAGSHKRAPVAKRFVRTGKDDGAEGTGF IDNEGAQFPKALQREQNGATNGESKEEQYTMGEVKAGTLVLIHGNILHRSEKNSSDKS RFIYTFHVIEGDQTYDKKNWLQPPEKGFSKLAP CC84DRAFT_1217549 MPRKPSPIAMYIVSLAISLGPASALPYDSTNPSDRTLAWAPCDL DFPKITVDRITGPIDYATLEVPLHYTVLSSINSTLELQLIRHAATKEPFKGTVIFSPG GPGGSGIEQVATTGHLYRDEVFNGQCNVVGFDTRGTGRTIRFAFDPTKGSNVTTSFTS NAHPIRNNDATFASAAKWKVLKKKARDDRKCFTSSCKNTEGNVDVGRFLDTPFVVRDM VRLIDALAEDDKHRFWGRSYSIVLGQTFAAIFPDRVDRMLLDSNAFENWLLNCISTGP SLSPGIADFIRSGTTVQDIMPELAAVILQARRRPYRSP CC84DRAFT_760316 MNLTLWFFPEFICSMLVLQNSDSWAPLFRYQFNMRLRRALGPNT AVLEAESARRIAWQASFTQLRRLNIHFDFSLAKDQLDRRHAVNNIHFLGACCDQGRAA FIRMLELMETAFPNLEEVDVQVWHVICSGHMGRWSSKTGLQKAQQGCYGRRCSEKCPQ MIGEVLQAAMVRGRKV CC84DRAFT_1217550 MQGLHDRSTDGTYSRNLKKKKEEFVLNRSSVLVSHRGLSLTVVG LNLAVFLYGRENPRNYWKLLDKPGCTWTLLTTAFCHKDLQHLSANMFSLVPEIPQVLQ VCGQSPYQFVAFYISAAIISSYAQRAVSYTRWTQQWSSRFFIGEPISMGASGVAMAIF AASCFAEPPWASPSFLLSALTLVRQVTLDFGGLIHNKGNIGYAAHLAGAVFGAMYAYF NADRYLWSNLVHLFSVYLPKSPPPTPRHKDLDLDEIVRRLNESA CC84DRAFT_1176335 MDPNTIIVSYWGLEEPVTTEHKHKIDDSRDSKVVLKGMNEGGEA AGRQKQRRLTLVALIFATLGAAAPAVPEYFQLEKRCQGNGDYCDNAAIRLPVRRRRDP SLCCRQGRRMLLSICLSKGCCGKQYTAMERRCNGIVMTGNLDDSHRRACFPNIFMMLF QVSC CC84DRAFT_760532 MLAMGTALSKDPARTPRAKLSLSSKKKRKIGNVSSTIARQSITW PKRIETGLEEIDQSLLELSLNSGADKRAQRCHWNKLPTELKLHVFSFLGIVMGDPVRH PATTGSRYHLETLRFRLVNKEFRTLYRDVWYKSNTFVVAPIWINPLEEDRPIFVYPAA RNGHFIRKLQVELTLDWGHDGLEMLRCQTTDWCRLFRPRPHVSAFQDEHDKRDDKDDL GFDMNGGGYTRHCACGESCSLGTSTTDWQNHFQSLDELKISLRVTGFDGCSEGCTQSA HELIQMFTNSVRRLMIASEIIVSAKNVDVRVHCDCERVAAFVQSLVEGKIKKRCS CC84DRAFT_1091808 MASPTIIQLPFSTFISFSSTRSQKSFRHDAATTKAKKTSAGVTM ASAAIAGAVESAVTYPFEFAKTRVQLHTAPTSRKNPFSVIASVARNEGFSALYTGSSC MVLGTAFKTSVRILTFYRLRNYLSDEKGTLSPARGILAGVLAGTAESIIAVTPTERMK TLLIEDARNSKKLYRSEFQAFKFLLRTHGLSSLYHGMVSTTLKQASTSGIKMGFYNVE KEIARRSGLQQSSPLTFAMGALAGTVTVYLTQPFDTIKTRAQSASGSDMREACRRILS EHGVREFWSGSTSRLGRLVVSTGIVYTVFEKTSSLLTMGLNQNKNESN CC84DRAFT_1069091 KQSEEWAEKGLVAEAARQRQFEQVNARLGKLDFDGVEPELGLHL LSLHWNRQHHSFLITYRPAFMRDMACNGPYFSKLLLNAIYFSAAKFSPRHELRRERDN VRTAGWRFRERVRELLGGALDKSDITTIQALLVMTNSLFALGDERSAAWLYAGLAFRM IVDLGMHVESPKLTSHRKFSDEDSEIRRRVFWAAFVVDKIQSLYQGRPVTIKESDTLV PIKFLDTFEEFEPWTPLAYSTQPSVDYPGSPSYTVSTFRYLCELSVILSDILSTIYTE RSFQNTPGELSAKLETINARLAKWHEALPGHLSATSQKAAMTPPPHVLNLQALYHVLV ILLHHPFITDGHHYHAYRNISAKSLKACATAANAIVQLVRAYDKAFSVRRAPYLISYA TYMAATIYVRIAAKRTAGSDAHNSLGTCLAVFNENQHTNSAVRRANALVQNLMKRLGV TV CC84DRAFT_1205847 MNHTELNARVAWQSGPKKRGGFSILWTGLALIITCTWTTLHLNV PGLYDTSKTQLLRKVKWTFIMVIFPEFVLARAIVELKTALDDDVKMAGQAEQMRRLGW CVHPSRSALWIQRVLKKLNFPLSVAQDSDVRDEEDARSCRAPVMSEGSAMNGDPTTSD RTPGTDNDITDDDLPTHDNVPRTCYRSVENLPARKQNFSFSDQTDAEAAFHPARRNCN DHKCYLWTLTHTVFANMGGLMLPLHDGCEITYTGRFLTSGTPGVAGIPRDNHFTEDDI VDKSNGDGFVRGIWLLQILRLLLDLIARAYQKYPMTPLEIITASFAALSFTTVIVQSK KPLDVRKPLDTKTEALLLSMLTGSTILFSTIHCGAWAYEFPSLVGK CC84DRAFT_1176340 MIAGGPARAEGMSCGESLRVFAAQIAGVQATAEGKRKGQRGGRR HGRVRSMRSARRCLNGRQHHGLCSCLDEDARKGRKQRWAASTANRRMEDGGHLPLMQA MSRTPGGALRSRAAAAIGIGVGLGLLADDAAFVKGVGRRSHSVRAGQRFLRGQRGWPK AWTSRGSVERVGLMACAGDGDLGQMEQCLAALLAVYGGTSRRPSKGETVSGPAATRTP GPTRRGRCRGMQQARRRGHGALRERRASVLGHSATSASWALLVHGRRRARVLTSCRKA PLWPPAPAPHLTCLDAAGATVVVLAPAAEPRPCSAAGSRRRDAAIAGSAKATHCDQHC ARREDSRSRNANARPEASPAVLGLHSAPYRPLRLRDRHRPFARTLEPSPSHPRLTPHS PHPSHADAAGTVAADLFRALLSPSPAAHDELVTLRRHPHPRLRRRFRYPLANLRFAAR LPRLHTASACPQSATTPNAASCCTMNNPNFPNMMQASQANRQQNIQQVLLKHYRQAQE KAPPGWQQQITPEERGGLALQFFTSYRLLKPETHENDVLRQSLQFETAAFMQSQTKEQ YNTTLRQKLFTMQSLRQQQLQGLNPSNNNPMSQMNQMNQMNAAQMGMMGQANPQNPGQ NTSQQVGQGFPNPQLRQQMQASTIPMSQSQSQMGAQGGNMGNPTQAPNNPQQNMQQGQ QAPQQQNIIIGRLTQRLMDQARPEIREQFQNEVNNWPADKKQQLLNRGINPLLFRFRQ QAENMLKNGKISRDLLLALQNQGQPNQMQQGQMQNQQMNAGQRQPGQQFDFNALANQQ SEAMRVQDQGQQVVPASNNNSNVAAQMGNFPPGQPQNAAMASRQAAQAAAFQNANANM NMQRQAAQAQADARVRQQMQQQSLQQQMGTPNLHGQPGGLNRPLGTPNPNAMSMLNRP MVPPGQPNPSTPQQRPQAHVPQMTPQAQAQVDPSQITQLMREAQQRAQQAAGVQGQPL TEQSRMQLIPAELDPMVKQQLLKVPEPQFRHILGQYMASARQRSMMNGAFPGGQPPNG QPNLMMNPGQNMPMGGMANGLMNAQNIANMRPGGLGQPMPGAMGGQQMPIGQRPGQLP HQARLLHAQQTLQQNPGIISMTDNRIFPPSALNAQIRQILPPDVKTWAQLKNWTQQNP NLTPGVDSQKLLLLQVLHFQDLMKQSQQGGMPGQRPQQPPNGMGPIAPPAQMTPGAVP NRTPQQQPNMPNLGPVQVTPQEMQAWRQRLPPQQANATDDQLRNFIMQQKLNARRQQQ ASMLTLQQTQQRNQGQPQAPMVGQGAQATRPPSVQAQAGQAAPPAKPAGQPAQPTLPN TQGNMNKGIKRPNEDGADAGTGAQPANAAPQAPAMVPSGSQQGAGLTQEQMSKMDVRQ QAQMRANLMKAQDASNKQAQPPRLPSQEELAAKLREPDRERKFKMMIQQEESKLPKAQ VQPISPDVRATLQQTIKEKLPHIKKVEQALRIFLISYESPESENLARTVIKARILLLR QLNPGDGTLHPELTLTESEFHMNIRHILSFVGKVMSRMASQQAQAKPGQPNQQQPQPP APQLNAANLKIVEQQQRQQKAPPAPTASQPPFQLGGASPSGAPKYFEGAKQLTHLSLP EKKRQKMDPNSQTSTPASKQSPRISSSKGNSPEIKRQAPPATQKPTFRCRFSECDYAV RGFDTQAELDTHVSHIHSKIDDAVQFALDAMAEYVDVDPKTGQPKQDPAAAARNSKPA STAPRGPPQPIKAGHTPGMPPSAATPIGQAAATPMARVPTQTGIKGSPSTNLLKTPQT SIKVATPSTGAPGKPTPASNKAPHKESEAQPKVEVETEEEVPPLVPVSLFDFSYDDIY AVLDTNGPLTTLDVKDEDNSWALRSRPSSPLDTPDSTSKDTPSTRQSDISENDNLQIN IDIKDADMPEAWLNMTGDALPLDLMLSQDIEALGVTLPAMDNDDMMLFYPDSVMMDLD SIDKAMDSMGGMPGISV CC84DRAFT_760782 MWYFFPSSLLGMFPYNLCLCRCNAFACVGSARIRRGRSCRFNVY CKMQDRTSARTGLGPTLALRTKKKHVSPACLSASTIPWSAWRGQTLRSRWVLRMVIMR SSTAGSEPDPDPDPDPEFSRAGVGAESGWVF CC84DRAFT_760781 MLSVRSTAKQSAGCKVGMCTPHRIARECDDGMLGDGLMGMAVHV RRRAVSLPGFAAATRRVGKGSGREDPTRSRCESTRWSAELAVSADSRVGLAVEKVWGN GRGG CC84DRAFT_1164620 MTKTSPSPAIPSWYRIIYLYIEPISTFVGAVYAHHFQSTYLQLQ HADSAPLEPLPLGTSIVLTQLANLYLLLCINEALVLRATTDLKVWKTFLFGLLVADFG HLYSVHQVGSWVYWRFWDWNAIDIGNVPFVYLLALSRVLFIFEVGFGGTSVDGKKNA CC84DRAFT_1217556 MHHRSSITHRPKTSSAADDSAAPPRPFEPSMKRTASQTFSTNGS DAREAPNALGAVHEGMSEQYHSHHAPSGSRSLSRATSMFEGGFKQIRRKLSSSSSRRP SLTAIFDNAPGPSSSAAAARKNSSDMLRLPLPHHDSGLPLSQSDQATPPVNSKISMLK RFSSLRRRPAASGPSGEFAKYNPVPPPIPSNYPLLVPGSAARQAAAAANDARLSQLRR EQEQTRKFLENGMSQSSIEEIDNDNESGVGMMCSSPIVRADSVLQEKKMVDPLQALPA EITTLILSNLDAPSLIQAELVSKHWHNLATSPHVWKDVFLRRFEPTVHVTPTPIQMGG VGVGMFTKNGKHAPAQNWKQMYRTRKTIDRRWKAATPNAIYLNGHTDSVYCCQFDESK IITGSRDRTIRVWDMNTYECIKVIGGPTHRPVSNTPPAMDTHRAKVVNNPSLNGTEKG NDMYHVPADYHDASILCLQYDDTIMVTGSSDHTCIVWDITGKDYVPMYRLRGHQAGVL DVCLDDKHIISCSKDSAIIVWDRQTGRQLRTLTGHRGPVNAVQLRGNLLVSASGDGVA KLWNLDSGVCIKDFPSEDRGLAAVEFSDDAKYVLAGGNDHVVYKFDAQTGNTLHTSQR HGGLVRSLFLDAFNGRIVSGSYDQSIRVADYSTGAVFATYENWTTSWILSAKSDYRRV VATSQDGRTLILDFGWNVQGAEALVSHA CC84DRAFT_1090801 MSHTEDWDNDKKAATKYTCLSYRWGDDEATHTVLINGKIRCVRQ NLFDFLDMWKHGRRRRRKLKRWFWIDALCIDQTNAAERNHQVQKMGQIYSNAEEVIVW LGKEPA CC84DRAFT_1091901 MAIKPITGMLRRTIVLDLSVAMGLGVAAGYGWWYGYHVPAVRHR DAYYQRIEDERAQALGQK CC84DRAFT_761233 MPGIISPLSASGTGTVAGMKRPYEEVSAPTYGQPHPKKRKVVHS LRHTQPGSQLVEPIAGGHGAAGDQEFIHHQLRRAIGVQCKAVGFDGSRPEALEALVAQ VHNYMSNFTDHIRKSMSSGRRTAPVPHDFVYALNSVGLTGSGVLEPHLDTGDLPASLL QPAFAPPEPAEPPPPDLEGMLGPELSGRAEKESRKYIPEHFPAFPPKHTWMSTPVYAK REVDPHTIRERAAKEGVEAEKSLRRLMERKKEGDRKKNASRAQAQRSKVAIKRENLWR TALRESLEEEDEDEANKLRQAHRRDEQGFASEADIRLIEEDNKAMAERRLAEQIEDEK AAEEAKKSGKAYTPPTPERRRERYLQQRMEQRVTVNYGRKFWRQKQRDP CC84DRAFT_1217560 MSHLDPFFKQVDSLQDHFIERLREAVAIPSISSEDERRPDVVKM GHWLADQIKALGGTVELRELGKQPGREHLDLPPCLLGRYGDDPKKPNVLVYGHYDVQP AQLSDGWSTDPFTLSIDDKDRMYGRGATDDKGPVLGWLNAIEAHQKAGVELPVNLIMC FEGMEENGSEGLDETIRAEAKKFFKDADVVCISDNYWLGTEKPCLTYGVRGCNYYALE ISGPGQDLHSGVYGGLTHEPMTDLVRIMNSLVDPDGKILIEGIQDLVAPLTDAEAALY PPIAFTMDDLHQSLGSTVSIYDNKEDSLKAKMRYPSLSLHGIEGAFYTPGAKTVIPAK VIGKFSIRTVPNMEIDAVTQLVEKHVNAEFKKLKSKNTFKFHIVHCGKWWVEDPNHPN YTAAAKAVERVFGVKPDMTREGGSIPVTLTFQEELGKNVLLLPMGSSTDAAHSINEKL DKRNYIEGTKLLGAYLHYVAGELKGN CC84DRAFT_1187261 MSTCKSAARISTAVRPPPSLLPRTQIRHESTTRRHKKLLTVQQA PSYAPTRPEPTLIFNPPSSAPSAYHTPLKFLPANDKRRALYASFLSTSTARAHATATS PVAAPGTPLSTNSLLPPRPSASLPPPLRQPYEKKYHLTDVEIKEIQRLRKEDPERWTR VRLAEKFGCSQFFVGMVAKNEEKAAKVSREHERSRARWGERRREARHERERRKELWGR DA CC84DRAFT_761143 MADVGAERLGMRLMGEVEELTLGELLASLHALINPSEQTTIFNV PELDALLFTPQPAPIQIPQQQQHHHHSDDDTWNHAHDARNEVPRPVPATVKQRSHPII ELISPPPAYHPSPAGKTALVHLLTTHAVLPSRLASVPLSGLTSAVVLIDPLHHFSVSY LASTLSSHIVSCFTAAGQDATSPDARREVIACVKQALEHVHIFRPSSWDNLLATLQSL EDYLFDKGKHGSMQRPVHALVIEDIDTFIPALRTASGSNAISTASALLTRRLDTLSAT LSCAVVVTSRSATAAGFRPNIPLSWPTHMQLTRLAVRRVEVVPFAPGMSIVEAEAERG QRGEVVSRGRFEVWRVGGGGEKDGAVIRIGRGVVVEREDG CC84DRAFT_1164625 MAPHTPIYPASSLPSEINTLANGKPRKPPITDLRDCALKEMVQY KCNVEKPKAGAPPLVVCDPVVRLFRVCRDGLHVETTAWEGTESRRVDGKV CC84DRAFT_761140 MARPLEDVYCTLLMSDSYLPGAAVLAHSLRDAGTEKKLAVLVTL ETLSADTITELKSLYDYVIPVDRIRNPTPANLYLMGRPDLLYAFTKIALWRQTRFRKI VYLDADVVALRSLDELFDIDAPFAAAPDVGWPDAFNSGVMVLNPDMGEYWALHTMAST GDSFDGADQGLLNQYFENRNWHHLKFTYNTTPNAQYQWEPAYRYYKRDISAVHFIGKD KPWTAGRHGPGGYGVYNELLARWWAVHDRHLKKESAAPTSGSGTASEYPSTGETSTTA ADTTTQHNGPIAHGVVEPEAPAASTEMPLSEPGEAVENIDQGLTEPIPTSQQRKFSAP HMEWDATRFEPPATSKPEAANFPSEQYTFSDSHELFKAPQAYPEPPKDMWYQVPEEKP KPAEPPKPIFPWEQQRDRPKPTRVFAEDLPPPEPTPLTPTITISPSSAFGAPGAHPFS TVHYDEEETMSEEVVAPGPNSPERVSSPKTADQQWQDFQQSSINAWDSVPGIETYVRA IMDSQTRRGKPQVLSQAVEQARSPVTRQERRESLILTDFPSAVERPSLPVTPAPVARP TFWGEERDEQRELPQAEGVPDQPDWVCPQCGFSSISASDFRPARGLPASSIPIETAEP SPRPTIPPSAEAPSIMTQPSTRKETVPKPQPELIASEKSTHGTTSSPAPPKPHPRRGV SFRGAPLVSLTDPELLDAHPHTPGFDPPPPSASVVFSVASALH CC84DRAFT_1245535 MPKPRVIYWFRTDLRLHDSPALQAALDLKPECLYPIWTWDPHYV YRARVGSNRWQFLLDCQNDLSKSITKLNSKSKLLLIREAPQTLFPKLFKEWKITHLVF EKDTDAYGRERDDEVKELAKEAGVEVVIRPGRTLYDSDELVKANHNKPTMSMSQVQAA GAKIGDIVRPIPAPKALPDPGLITLSFNQQKPASSPDINSIQRDAEDKSYHALAGPNQ DFAVPTMDELGLKPATTPHRGGETIALKMLDDIIANEDYTATFEKPKTAPTAFEPQAT TLLSPYLHFGALSCREFYWRAQDIVSKYKGKASQPPVSLTGQLLFRDMYFGAQAALGY VFGQTYNNPRCRFIPWHLPSEIDPNSGLITGKYLVDNAEAEIFFKRWKEGRTGFPWID ALMRQLKQEGWIHHLGRHAVACFLTRGGCYVDWERGAEVFEEWLIDHEAACNIGNWQW LSCTAFFAQFYRCYSPIAFPQKWDKDGNFVRKYVPELEHFDKKYIYEPWKAPIVDQKK WGCLVKGNGIETGDGKLKMYPKPMFDFATQREVCIQGMKNAYQIGLYGNDPKVLDGTW RKLFDDNAEGPTEGSKGLPGAQVEHEDENAEADGTNGEDSAAPTKSKTGKTTSKKAAE QGKTGHKREASQGTLDGMFTRKKKKD CC84DRAFT_761299 MKSRITTPAHASPSNVPNIRQCAPHQREGGAFSNDHQRNERTST SRSSVDSVSPSNPQRHHDAHPATTMRLPLALLTSSSTTTALTLHQSPAPVPVPAITNI SYWGSACPSSGLSYTFPPPANTTAPSNASTASVPLAFTLSNFSPTFSSFGSSLRMCNA VVFVAVDKGWKAVVNSKGTAAKGDAQVPEGARLYLRGSWAWAEDMGRQVRLAPRSLHF SFPRGRRAVPLAPGVQCAWASCLGCRVATHGMRRASACSTRRARSRGLSRICSRRWRA ATAAWRRGVRAGCRSWMSNSRRGR CC84DRAFT_1092484 MSSHDPRSSSQQSLGAHIDDDRAGRRRLLLVYIHGFMGDETSFR NFPAHVHNLVSITLAESHVVHSKLYPKYRSKHALEVARDDFSRWLSPHEDRWTDVILL AHSMGGLVAADVALLCRHRIIGVINFDVPFLGMHPGIIKAGLGSIFKPWPEPQDQTPE EPDPAGKRVSRLNTLFNPRPNDPNYNPPFPNDVHLPVRKGWENTLHWLNKHSNGIVQA SKSLVRSHFEFGSAMADYRTLKVRYMKIRALEEEDERQRASALQEIHHPPRIRFVNYY TTSTGRPKKPKSPKPSSPSPSRPASRASPAPQAPADSATALASSTSQLKIDSQGSDTS AVSPRSSIESPHEEDVLDVIPPTPIEDDSPEPSLHIVATEGGSGPELPDIPSIPQEPP FVDLAQFADRGQRKAAEKEHDQALKEYQRAVKARNKIINDRDKIQEKWEKQQKKNQQL KEKQAQQDQQTETKQTGKELQKEDKQVRKELKNAERAKGKDKAGSKAHTELNREDVGA VQLGGDSSRSTSHNGPYGNYDFSQSAIMNHAEPDEHASYTTGSNPPSSYNESTYSLTT ADSNVTNPGPEAPQKVRKLKKFCMLPPEDSKGNKDPTWIRVFMEGIDEVTAHTSLFFV NDTYERLVGEVGARIEDWVSEADSLRLVREMEGL CC84DRAFT_1205856 MAPGTSADGVAILGCCVKPAARRRRLRSRGALASTPARPCSSGT STLSLPAVWSHPPCAGVADAGATSAEPPTTPPPPRPAALRSSATCVPCARLRLLSTRP PLRRRQLNRLPARERCATAGLQQSPPRALPRQPESRAEDAVSPTARQHHPQLQHTLHA HRHTQGVAKTAFLDARPELACWPVERREPSLTLRSFACTTTLANSTRSLHALRQHTIR HPAFRRRPRAPVASSAPKPIDSRHRHQAQDFVKRDPVQVQEEDSVDDAGEPLLIREHR GRAVMGKAHRLFHRRAAQVTPNILTVNVEVVATLDSAGNVVGQATATPAIGGTTLIPA AVVSTVATDAVVLPSVPSVPAVPPFPSDLNVPSVPAYPWPSGVPTVAAVAATTQAAAP ESTPAASLSSVGVFPTASGFNNSTTSITSPSASSSLNSTSSRSSSRSSSPSSHSSTLS STQSSITSSATSAQTTSLAWVASSTVGGGGAGGFADPAGTGATSTPTVTPVTDAGSSG PLETPQVVGTVVGSLAGFALLLWLMLFLIKRHKQKQRGGALQLTGDDQTDREISQPMT QNAARTSFVPPSFLNRFSGASRSTVDTASTGEKSFQRISGRKLPSAFSEGMTSEQFAS GRGESTMSGSSFYHDDKGWYGGPGVISKDFGESSFAKEIGDTSNTGAMGTAARVRPSP AQTPVIHHAADDVPVWGAPPRNGGDTLSPPMTPNPDFPPRGSLGRSHPSRDGSTISRS SRFTENV CC84DRAFT_1091770 MVHWLDVTPEYNTSPLIPLCFVTVLSSYGLYMSYQNITRLQEYE DQAQKLSKWSNTVAARLNKTRTTQTSGTIAMVLSTFCSLLLLLTKFGITTHVVLALIC TAVSALARLHMKTFWNEKEQVRIPLMGKFNEAVSGSEEVVQLLGGITAAWIAAGLLSF F CC84DRAFT_761490 MAQKPEHLNTSALGTRAYWDTAYTTELSNFTADPADEGTVWFAD AGAEERMLAFLETLADADVLHKEADGEVAQGAEDEAFVAPSRFLDLGTGNGHLLFALR EDGWEGEMVGVDYSGVSVRLAEEIKATKGEEYEDVKFREFDILKGKAEGEWLGEGFDV VLDKGTFDAISLSEEVDAQGRRVAEGYREAVEKLVKKGGRFLITSCNWTEDEVKGWFD GGQLVYEDRAEYPSFTFGGKTGSSVCTLCFKRR CC84DRAFT_1217569 MLLPSLVTLRHPTSHELTPEDIFESSLGGVFVNDLQNQHGDDPT TTILYRPSNPAYAEIALHPADVTGEEQRRKFAHYLWNAGILMAELVGGRPAGDMKHDV NELWGEQSRWRDGEWWTGEEEEAKWAVKGETVLELGAGVGLGGIMSAVTGAAEVAITD YPAPPILETLRKNAETNIPAEQRAHTAVYGHQWNVLDDEFSTSHAHHYTRILAADCLW MPHEHENLAQSMLHFLSDSPDARVYVIAGFHTGRAKVAPFFEETIPEVGLEIEEIYEM DAEGRRRAWAAERDGGREDVGERKKWCVLARLRRRR CC84DRAFT_1092211 MTSLASFKNPAAYGTLLGSTLFQSFIGGIVAFRALPRPMFSTLQ KATFPAYFTLQTVTPALMALTYPGGPSALWTYKASGDGLAFWLTSTMFTTALVNLLYV GPETTRIMKVRKHQETKDGKKSYDKGPHSKEMEALNRQFGILHGVSSLVNLIGFLGMC WYGVLLGEGLRW CC84DRAFT_1146370 MSSNDGKLGAAVPDTPVIIPTYDIKLTGTHVTIVPVHPSFAEAL YAQVSGPENASLFDYLFDDPPESLDQFRVSLAKKAETTNPWTYTILLNSTSQPVGLAS LMRMDAPNRVIEIGSILYAPSLQRTPAATEVQYLFASYVFDTLHFRRYEWKCNDLNKP SMKAAKRLGFRYEGTFRQHMIARGRNRDTAWFSIVDGEWEGVREAFEGWLEERNFDEG GRQKKRLEEFRQG CC84DRAFT_1205861 MASRSKPEISWGRPRVSGSAMETSALSLPCSSTEPVAAIAERTA IPSTIPPGLASSSTEPKLDAIVHQHLEDWRLMIRQERMYMMQGPKITIYIGATPALTT SKRAAMAVSRTLNAHFTANPESTRFNFRAGKLTKKAIHTLLKHWLRETCKTFEAREVL LHNHYQRNIAQAREDIKVGDFELDVAVLRASRLLGMEKYTKSIMKYYVNYIRTGMPQH KEIAYVEAMQTHPKDPLWTAMINRLAYLQYNNQIENSKKFFKLLKKHPDLSNRMESAD QYFRSRAASNRRDSDHQVHPEGDYLGQDGKYQMGPEGAFTPAPEDDYTPAPEEDISAK EAILMWIESPDPVRVLYQALWEQGSTLLPIIRTLKPANHL CC84DRAFT_1217573 MSYFRPSVMASAMNKQTAPINPMALEFNPLVSAKHPVAEVMKGP VDLRELSREQRCGLLEGPNITLTRGGNRFAEVPKRAFMAVSGWANDCIQSRGTTSVLE LVPSAARADPQALKTIIGWMSVAYWGGNKVRAIPMGNNTVEACKIYHAATVLDMRPHV SHIAAYFHAYMDDHSTIPTFNELDAMQGAFHPDTPVYKHLVKDLARRRHKKEIPNKEE FAAYLTNHNTLARAMDEVDAKYMAERKAAKEAAIAEHKKRIIEERRAKEEKRSIDEFE ASLKAARGGRVGGYGMGV CC84DRAFT_761528 MLKSWITFVISVYFPYTSLARLLSGSREITHRKKASWASSLLAR PRFIREQTYITLYNM CC84DRAFT_1217574 MSEFQSVVSRTVTKNKKAHNAGLAALVDTKAPVDGMLSGVIDLN KLTVDQGLGLLRGPKVSVVLGGKMVHPNVPVRAFIATSNKANDYFRHMPQRKQVNLPA GCATAEALKHVLNSTTTNKAIGNAEPLRIKGGQSFLDNVQVYAAGVALGMSAHVDHVA RFLRVAISNELVSYENLTAMVTSLGTSDPIFQYLANDLARRRFQRAIGTAQDEIEFAR YLNQHQNLKQAMADIDQKHANARKNAECMATRAKEREQYEQEKKADLEYHARVRALTK KLNQTKGGVVSLTHEEGELRRELGI CC84DRAFT_1090704 MESKCSESADGDETFWYQPLANPGSSIRLIEIDPDTASDGTVQL HLFESTFLEEYTCLSYVWGQEHDGGGPFRILVNGKTFNVRCNLNNFLCVARRKYAKRR LWIDAICIDQANTPERNHQVQQMGNIYSRAKEVIAWLGNNCLAAEIIPRIDSRARHTA RHLPADIWFYLWLFMSTVRPDCWGLCGNPSGKRNKRSTALKEAVRGYWARAWVTQEIA LAKRVRLLVHDTEFDLTALDSARMRNFRPTFRALGELYATNTRHYKSQDQHLVELLNN YAWKECAIERDRVFSLLSLCVEGADIKVDY CC84DRAFT_1245553 MATHTDGQKSRVETVDNIAALEVKKDVDWSGFIEGTEEEKKLVR KIDLFLMPTIWVLYCFSYMDRTNIGNAKVAGMDKDIGLSSTQYFLAIVVFQVGYVIAE IPSNMIMSRWRPSLYVPFLMVLWGTVATLMALVKTPAQLLGMRFLLGMMEAGFSPAIL FIISTWYRRKEQSKRFMTFLSAGILSGAFGGIIAGAIVDSLDGAHGIQGWKWLFIVEG VSTIGCALIAPFFLLDYPATTKRLSSEQRALAVARLQADGITSRSADGEVASISHWRA FVSAVSNWRVWWLCVGYMTIIGCYSLSYFNPTLVQGLGYTGSEAQYMTVPLYVVAFAI AVPTCIVADRIPSYRPLMAMLVLVLGALFCALTAGIYAYIPRYVFLCFINSAIWTANP LALSYASVSLGPLHPETRAICLAMINGMGNLAQIYGSYLFPADDAPKYLVGFGTYAGL LTFGAAVYGSAWLMFRRFPFRSET CC84DRAFT_1146375 MAAIDVPRDPTEEEALALFEVIEEKFPSTTIGDDKWYVLTFAAM VAGGGHEFAPLLYKELIKRPEYKTSEQRQALMRRIRETLLKLVSVAGVCKPLDAIFDI DAITAPEDKDYSFSREGWQCDEENRKRGFAWQDRLYRHNQGAIDNALSSQKDFDWISK NISYGLYLSDHTILNDVETELTVLGGIMIQNLPRETGWHLRGTRRIGVSKEDVETIQQ CIELIGKFCGLKLHKVPRVADIEHEV CC84DRAFT_1196404 MASPFQLPNGKPKTVIVTGGAGGIGAQTVRAFHEKGCNVVIADL PFSRDAAHELISSLSNSSRAMYFPSNIADWENMRALFRESKKMYGQVDIVIANAGMME SSNFFDFEEDEGGELVESRDSQRVIDVNLKGTLNSSIILIASTSGYFGGTGVVSYIST KHAVVGAARASQRKAKELNIRVNVLAPFFTPTYITGRYSDEWKKRGLPANTVEDVANA VISTSTDPARKGHHVMVAGSFIKEIEIARTALTKQWLGEDIADVMAKGGQFFDDMGGY PMPKPRE CC84DRAFT_1176361 MCISGDSHDECRPLTTPQYPKFPANYANDLPYGITLANLEKECR CLDRIYEYAWVTHMDNSNRLNAYGAHNSFPASQYYVDQIFVLPTGIQNPARIAGSSQK LRKKTHSSTCLQLSFTSNSISSWPIIAVAVVLRRLTKTMFGPCLEKLAKSLQMTKTNC YFAITCLPARSIF CC84DRAFT_1187273 MRLLQYSESGELSIHSFDDGAIPPYAILSHTWGADGDEVSFADV ETGDSRTKPGYRKIIFCGERARDNGLEYFWIDTCCINKLNRAELSYAINSMFRWYQNA VKCYVYLLDVPSEKRKVSDEYTRTWEQDFRASNWFTRGWTLQELLAPSAVEFFCSEGK LLDNKISLAQQIHEITGIPKLAITGTRLSEFSINERLLWIQHHQTKFEEDKIYLLLGI LNVYITPFYGEGTTNVRLIDPLDDKKRIINTKGGLLEGSYRWVLNNPSFKKWRSDSQG RLLWIKGDPSKGKTMLLCGLTDELKKSSSHSLSFFFYQGTNLALNNATAVLRGLLFSL VSQQPSLASHLRKRCLRALYLTLTYLVVDALNECIADLPKLLDLIIFTLSSSNRIKWL LSSRNELPIKQKLKSINAQARLSLELKENAEQVTHAVNMYIDEKLSYIESLEDATLRS QVREVALVVQELEKPKSWDPLEVVQEAPVGLHQLYDRMVDQIQKLPKRNLEKCQLLLS TISVAYRPLHLAELGSLCKLSGQVSTLIRNTRTLIAMCGSFLTIRDNQVYLIHQSVKD YISDEARATVFRHKGEVHYDIFSQSLKLMSSTLKRNIYGLNAPGFPINEVITPTTNPL TTTRYSCIHWIDHLCESTMNESARCNKDLQDGGAIYVFLQTFYLYWLEALSLCKVKDA RRFIMYFKGAIEAAPLQAYVSAMVFSPRLSLVRKFFEREAPPWVSIKPPMTEDWSACL QTLEGHSGGVRSVAFSPDSRRLASTSVDKTVKV CC84DRAFT_1245575 MPSTLFWRYSPPLHQGVLFMRLRSMTRSLDLSEDSLRELPKIKT IPGIYAMTESSYKQRLICVPTRNVIAAYKKEWPSSEPISKVLSQPHRAYAINCMACCA LPRYNPQSRQVEYGVSCAGCQVFLADVVSRLRQKWAGVARDMVYSHRMYLFHGVSKLK CYGMRVRRVRGNLKLPSFCKGVDHSNDVVPVDEVGWQIPDSSISDEMRPQPHRMMHRR RENCFRKHETTGRIFHWHYFSNNAPDCNPHFRFLLLGPINPRSLYHQRA CC84DRAFT_1146382 MRSFALVSLLALAASVGATLDPADTNTKGKYPSNPSCSPVKTSN AIQAAECSHNTRTSKQTFAVFTTDHQYDKVNGAPYGTCMAYNCDSGTSMTDSADAWTF FWSDAGESEGEGVGCIKNPDDGVCGCENSDGTFVPGGSDCV CC84DRAFT_1259557 MLPYASLTFLSIATTATAACSRSTLQSATTALLAAQTAGNLSAL PLSPNTSYIENDAALALSSSILTKSVPIDLSRSLHDTTLCATFTEIISATGSHPYVIM TRMLFTADGAAISRIENIVADKGDWLFNAQASLSKAKDEVWVDIPEGKRDARDVIQAA GDKYLDSWSDGKVSVPYGSPCARLEGGSYTTNCKMPEFPKEFKDAGGAKNRRYVVDEE VGAVSIFNDFPFLDPKKPNGTSSTNFVRVEGGKIRYIHEVTICTQNNCGR CC84DRAFT_1164636 MASKPMTADELPKHPEYEHTIWDLKPTLAGKVAVAQGRGGPLNI AYELHGHGNIHLVFVMGLGGMKYAWQRQTKDFAHIQGDKYSVLTLDNRGIGESDKPFF RYSTSEMAKDVIEVMDHVGWTGKRECHVVGVSMGGMIAQEMAMLIPERICTLSLLSTA AGLFRTTGFLENLYARANLFIPKAIDVQIDNVKRNLYTQEWLDAPDTLEHVVKPFPTN GDRFAANELWKRTHPDYFQKGGFILQAAAAWLHYKSPEDLHTMANTIGKTRIMVVHGT KDRMITFPHGAVVWRGLEKGEGRTGKENWLGIEEEKDVWEEGEVEKHFIKDQGHVLPI EMREEFNSWFESLIKRGEALNEKEGVSR CC84DRAFT_1164637 MDQTNGFYGGPPLMNPPPRIFGSMTANGSPIPAGLAASMFSADD LDDGHDQGDPKRRRIARACDMCRKKKIKCDGKMPACTHCLNYKTECIFTQVEKKRQPP KGAKYIEGLENRLARMESLMRLSGVLPDDDDGSTDLATLEKRLADRARQGGTPKVPSP HERRASSVHASAEQPDGTPATQPGLPSPRSGTASPEPEPEQQNGSKTEKDEDALAEMM CSLVTNNCGETRYIGSSSGFSIFSPKGIQWVNDKTGDDSFQDMISTAAIEDNKWIQWR PDVFQDIFKRRVYRQLPPKAEALSLLKDYFENFNCMFPLFHEPTFMHLVDKHYSKDPY EGSGWWASLNVALAFAHRLRVMSNLVPQEEDEKAWQYLKNAMSVQVELTMRNTDLLSV QALLGIGLFLLGTPNPQPTYFFVAAAIRLSHSIGLHKKGSAFNLNTSEVEQRKRVFWI AYMLDRDICLRSGRPPIQDDDDMNVELPSEDPPDNIGNIPLADGKGKMNLFRLMCTFS IIQSHVYKRLYSVKATKQTDGELLNTIGELDKELETWKDEIPLEYRPEHDIKASHTPL ILHVAVLHLGYYNCLTTIHRMSVHHGYWTSRLSNFAIQGLNARPLNPRVFMSAQLCVQ AARASIHLLKYIPKGDQSCVWLIIYFPVTALVTLFANILQNPQDTRSRSDLKLMKLVV SFLSLLKNEQGNGSVKRMLSVCTEFERIAAIVLDKADREHASRRKRKQGDSEQDAEIA ATERELLSTSRNGPQSPPQNPSPQPNPQQDQTMIFNPDFHGFSEPFPFSPNFSHANLQ QPSHLSANFSPSLATSMLPQTSSHPAHMPMTSMDGVMSMGTTFDQTFNNVPQDLWKMP MTLEWDWADMTGTGGFTGGYEEGISMNGVLPDFGGDNGGHGTSGFNGNAMNGGQ CC84DRAFT_1176368 MDVFGMRMVELPNREKVTLRQKRAAAGSESQAKNSNIWVLQNIL PEKYRSLPVMGPGMAPALEDNDPDLPDLESAYIGLYSTVISLILLSGGTLSEGKLDRF MKRMNAADTTPIDTTDKALARMAKDGYIVKVKETQGGEELVDYIVGPRGKVEVVLGSP RTVKRMLWTASLLLRLCRTSSGVREDNDDVDGTQTTTRRDANDGFLSNRRRCTFSPRV RTRCLCPSSRSMTNSDHGLRVVDTGTMSSIDSLLNSDAKQGDQQTRTEHSIAPTTYDA ADALAALATLGSGQQYISRELPSPTAFTHAPRRSSSFSSHAAPVEPSPPIEQPQAHSP TLEQYHHGSNSPEAQRRQSLALARSSPAPVLAPIQNLSTSLQDQISEPPVSHGHDASQ VVSPPSNPGESAGRDETQIRGPAFVRDEPTTSQIRVPGSPHDTNDPPALTREASAPQE GLSSAVPTQSVEHRQSITSENVDPDTLKAIEIAKQSDLGLRAKRNASVAESVTSPTEQ SKPAPSKKRPAPSGASGIKKKGTATAKKPPSKKRRLDTEGDGYARSVTPSSKTAKSKS GKKGSQTGTPVAGSSPAPDHSSQVHPTDDEGESSDNELYCICRKPDNHRWMIACDGGC DDWFHGGCVDMEQADEELVDRFICPSCQEKGRGQTTWKPMCRRDGCRKPARTAKGKES KYCSEECGTIFMSEQVQRTAGAKAPKKKGKKKSGKNGEEPTSDDDQEPTPLGGVLRAK DLKALIDQAKDIQAFKGLGAGVLSPPRTASPTKGSFDGVNGARPADDGLALTAGETER LNALHKEKSQLKDRLEVLKDREKFVSMVKDQVARIAEREKMKAKELCGYDSRLSWSDA EFLIWRNSRIGKASFKFMTLAPSSDQLAEIPPANSEDTDMPMSDEQAAASESICLKKR CQKHAQWQKQNLQDARFEEVEIAESIRECEKDEKSVRERALRRGTKDKMARELRVGDA DNGERNAEGWVEVVKS CC84DRAFT_1164639 MTAVRLTARRPLFRCLNSPTPRPQLRRLAQQSKPQREYLSDLEY VSVHRAEALRLAQLKRRRNWLALGAALSMIAPMILVRFWDLPPPEEQNQDDQKEKKES RGVLDLITPRPTQTDSPRAAVDEFQGKKVVIAPGDKVIAAPVDAEHPMATDVDTVELV ETGTSYVPYFPKTITLPVPTGPEAVESEAEYQLLGLGIRKVSFLRVQVYVVGLYVRSS DLHILQNHLINTVNPTASALIPGEKEDLRNALLDPQRSTEIWDAILARKGVDAVDMAF RVVPTRGTDFAHLRDGWMRGIASRTDEVRKRQADLLRQQATENRQISLPKPVEEGEFG DESFGLAMKEFKGLFQGKGKAPKGSVLTLTRGKNGELGVWYQPTINTKKGEKMGETTK LGSVQDERVSRLVWQLYLSGQNVSSEAARKNIVDGCIGITERPVGTVEGRVL CC84DRAFT_1164640 MSERPLNILISGAGVAGASLALVLARQPGFKMQLIITLIERSPV PRITGQSIDIRGPAVDMIKKLGWEQEIKARHTTEKGLAFKNSKGKTMAILPASGDAKA QSATSEYEILRGELTELLLDGIKESKEKGAHVQVVYGETIKSMEDRADGTGVDVQFAR GKLEDQRYDVVVAADGIGSPTRGFIFGKEDNASHIRPSGLYIGFYSIPRIPGDDQLWH WATFPPGLAIHLRPHRNGKTMGVYLSICNAKKEPNPDLEAILHADVAQQKQYLRQRFE SSVCTWQMKRFMDGLDATDDFYMTHWCQVRTPQWAKGRCVTLGDAAFATMGVGTSLAM AGAYCIAGELSKIASSEQVPQALQSYESVYRPYVKTQNLDFALLPQLANPQTAWGNWV LHTVVGLFAALRLQDLVTWIMNRNEKEEWKLPEYGW CC84DRAFT_1217587 MASEDISSLKDYDSLAHKLKRYSTALVDEDTSFLRGYNSLPDEL KLKIFGYALCKDASIDQRTAQGLLDGSDRRESSTYYFMLTGLTIDDRDQSLFSTQPSS RESSRMLEAFFASNTFLVDICSSDQSGAMIPPALYRRFVRSLHLYILPLNVALEMLST FRINTVFVDVRNLAFSVNFR CC84DRAFT_1217588 MRGIKTPVPAQGDMELSSLVFNFETSYTMDDDTSCNIMEFGSLQ KIIKRKTDLDLVPVPLPSMREVINGESGSWLANTSWNTRFETLDRLLKRKAYADLVAD SIAGHRKDDKARVKDGGEHEIACAHKELGSLWSGQPAEVKFSILGYF CC84DRAFT_1120220 MHAAVSSVADHARRNHHIVVPLLFVVLAATANVHAPVQHQLLIT SVSWLAVCMATLFWAGARRTHGPKRRTSWLAGGFLALSQICERAACDKEGMWSTKGLL PLLVVLLSEIDVLPAHLALPTHASPTSPSKESAPTTEQHIQSPSFRLLSIATLSASLV LSTGFTASPTFALGVSSVIFAAVGFVLFDQALRTPSDGGTFHKSPQITADGSLSRAGT SDGPTKKQQLAVLRDLALVMALACGLAAYLMEPRITSSTISWEPVYRFGGGSVKTVRH YKTVKLVLVLILVNVLVNGMTFLILSRQSVVHTSCLYVFACICSRLRLSATIFGVWLT FVYGVSALTFLNSSSPSSSIIDMRNSVRLRRALLCVTALSVAFFFLHDTLTARYGLPQ GHLTPLHTSSPVSPYGPVQIDMTKGHPAAQLIESAEKDFRDLLRKQSKTLKEAVVEYR RRNGIAPPPHFDKWYEFATRNGVVLIDEFDTITDSLLPYWSLKPSTIRSRIRNALGNE DSALIAILIRDGSIAKAEGDPEWQRNATAGMLEKFVQYLPDMDLGFNIHDEPRVVVPN DHLSAMVAKAQKVLSTLAKNNLPKNSFSERPSDVNNGHRIEEVSYSRFNRFAHQQTWT HSRLSCPAQSQAQQFEDQVPDNLTAYAAGPLNYVLNQSAFTDICNSPSFSGSYGFFER PNSFSIVHELTPIFSQSKISSFQDILYPSPWYWFGKVGYDDWHDTKWENKTNSLYWRG STTGGFSRKGGWRRQHRQRIVRRLNALDKANISVPAHSAEDTSPALAHEVQEVDRTLY RSLVDVKFSHIGQCDPGDCDAQREFFFVAEHADGQDAWYYKHLLDMDGNAFSGRFYSF LKSRSLTYKMAVFREWHNEWLKPWVHYIPFSLIGDEHLDLVRWFSGEDVRKGESEANK EANKGGNGDSLGEKKAREIAERSTQWSGKALRNVDFEAWMFRLLLEYGRVIDDDRENI GYPGP CC84DRAFT_1164642 MQPEDLMAAIPSWFIDKKNGCFILKLFATWNVYDDPDVGSRSEF LATNFTLPETLCKMSTATVCADLSPMAKKEDDGKSDHGLEDGNVVLQDVEVSDHTDED EDDGVVWLDDEDSEGDVE CC84DRAFT_1176374 MLLNGVLPLLAQYWPIVLVSLTLGWLLNNKFWKGLNRYPGPRLA GYTNWWRFWDVWGRQHHWTIIKLHREHGDVVRLGPNVLSFADPRAIKVIYGLNKGMVK SDFYPVQNAVSKGQRLQSLFSTVDEDYHARYRRCVNSAFAMSSLVNYEPLVSSTVGVF LDKTQEMYASTGKSCNFSQWLQYFAFDVIGDLTWSKRLGFVEEDRDVDGIIAFLQHFL SYAGPIGQMPILDLFLEKNPISLFFQRIGLSKTVFPVTLFAQTRSNERFSEIQKIKAH GLPEDQPNPRGVDLLSKFAQAAHDHPEFMDDTRILSSCTSMVFAGSETTAISLSSVFY FLLKHPTVYTKLMQELDDAVVNGTIEPREDKTVSWAESQKLPYLDAVIQESFRMHPAA GLILERIVPPQGMDILGDFIPGGTIVGCNPWALHRRPEIFGADVDAFRPERWTEAPPH KLKEMKATMFQFGAGARTCIGKNVSLLEVYKLVPSFLRRFEIELERPDAEWKTHNAWF VRQMDFNTRFRPRKTKA CC84DRAFT_1259567 MPIQLLPASAAAFAPRSTVNIVLSSKVEPWLTQTLKRINRVKRP LNSVQQHTRCLTETLSGSNAIWSLCSIMVPKAPDSELRKDANPLVEAIFNYQVIHIEA YVVHVDMVSQHEVAFKLTPETIEALTEYHKDIYSVDVSANTWNWPEKEAQLKKLQDEF IQAVNRYVFRAGARALEGMEEEGAGELLEGRGEDVKGAIMGLFHPLLPPPPRIVDVVR PAPLLPSSSGATNWWPSHVLQSQPAPVESWRALSSTPSPTITTCGETSPSFWSTMGME NVQLPSPTPSYSSPSYSSPSYSQPFNTTSQYYSPPQAVAPIPALPLPSVLAQQCGSSA VHSGYSSGFGWETGFAPQYAAFT CC84DRAFT_1092665 PPSYISQALTEALESPVTQDVYNLAATLGHTTQHDDDAHLDVER LDATLDRHQARVDRARDRALEQRERNELERRDQSDRLRRVMNRLERLQGPYRTTATAS DHARTAAYGDRVPSRNSLYDWSPATEGDDDTELEGILAELRREQPNTHPEILRVLGRS QLDSERERSRAAVSRLLHSSQPSQSADSSLRSAALLQSVRRNPRFSARSRDLAQRYVD RDATNRSPHPSEWRDRSYLTSESTRAAMDRLAQRRTSAEQNRDRDMLQRVDSYRRSYL ERASNPPSTISPMLEQTIKYLSQIRHSSNNDDSLNYAIDAGFLAKDYFVQDHQDFVLD PFTIPPPAETSWLAPGAVLSGCQHATNVTSTVTTAGSGTSTTLYRFTHTGNRLSDART IYNSPRNRTSLSPPDVVTSHSPQQDRWPVKVTIHSVDYDRMALSATMEAYNVPSHPHS HQSILSSSDLAPSFTRTSSITTYLEGEILDFSTHTLLTESFKSTAGNDATYWRKLPIF QGMTDDDVVRCLTSRTWFEEVLSKEWILMRWKERCFVKSLNRSTAGSIQPPASTTIPP EPTRHYTSLSASSSDPAHIWGDGSSFRSGGDPEHTSFDDSGCGLTISGFYYVCLRRSD GKLEGLYFDPQSSPYQCLKLESVRGGVFPAWGFR CC84DRAFT_1187286 MSNAEYPKTAINKLGRLPKRGKYDYETVYSIIDESPILHVSFND PEHPFPVVLPMLGCTINFEDPDAEERDIYIHGYVSGRLFRHSKETGEQGLPVTVAASI MDGLVLALAPFHNSCNYRSATAYGHANLVTASEERLHAMTLITNSLLPSRWETSRSTP TPAELSSTSILRVRIASASAKVRTGGPSEDRKDLKDEALRGRVWTGVVPCWLAWGELV AGKDNGAGEVDGGIEQWRVAENERGRKVAYAAIEEGG CC84DRAFT_1205877 MVGGNAADVTSSPFTSQIFVQPIRPAPPIPRPYYSVQVGEQVIV KAVHKSKRNSADDRIIEQVSPTAVEHAAYLLNRVAHMLDRVLVHGAPILFEGLEEVAS KIELNIRARSDVLQRYVEVDDEAEAADLGRKMNDMTAFVHEVLSSYANEKCYGSCVVF ADL CC84DRAFT_762249 MADAPLLGRGNDPSRSTGSRIKNARNVDTNTSIIRSILKIFSGG LIAPADTTYATIMILLNSTNAEEQDNLTTQWRDHKLNELSFIGVVSPLVAVCLVSTGA WDEISGKGWYIRAIWYSGIVFALFSVLTATQQTLRLHRLSAHKDGLHLLRLCLVRDRK PLDSEEGEAKLVPKPFQIWSWQSSMMFLMMAVGCLLVGMLVLVWSAVIKETKNVEYDE NFKLAMSFTTVLAATVVYFFATQVSLMVGVSVE CC84DRAFT_762256 MKLAFAAVIVVLASVAEFAAAAAATASSPTPTRRLEGYGRYVPF WRPRTRRRALSPVTPAPIVVSRKSDDLTPSLAPVTDLWEIVEPFPLDIETESAGSSPS ASGTVGLSQSGLPGSATEYTWEDAASHGCRLVDAMRVSDYDAGQLYSPSKTSAQSSLM NYEDFTDWGWSANEVHGGNFTDLDGGQKQPDPGWGIGNALRDLGVSDKIEAQGGKNII IDAVHGKEPFTQGYTKDGKNYPATGADFKIGINTADGVLMAVDRVSTASAAQKRNPPV SDDQLPKVQSFSDIGYLIYKHILGKDPTSLKYMMSLMITNEETASIIARALGDKDLGP WPGTSFNWRSKEFKALLGSPNGMGFGYLLVQHKSQLGKMFIKQVQVFHGNTWHHLPNM VFILEEQSGTEPPPKPSGSPPQMEEPMMTGVPSILQRRTAEPEIHIQGPKNFLRAHIK HVEL CC84DRAFT_1090557 MAPKTWLITGCTSGFGADFVYEALKRGDRAIATGRGDISRLSAL KQAGAHVYTLDVTASSEAINETVAKMIEEVGDIDILVNNAGYLRMGLVADLQAWRDIF ETNFFGALKMTQALLPHFRARKTGDFVFIGSIYGLVGNMACTSYSATKFALESLHDGL IQEGAPFGIRSLMFEPGVCRTEVVKNSKEKVDTTHRKMVEELAPIRSFIDTVHEAMLG NEVGDPKKVVKVMVDIVKGEGVAEGKEIPNRLPLGSDALVAVQPKYETMLKQWGDWKD VILSTDCDDVIERGNPEYVTMMQAFT CC84DRAFT_762245 MILSWRMDFLASRPCAEIVRSSHHVAIPSLVRPFVPQVCASLHV GAAFDWSYEPKRASSVTLISRTCDRSLLLGQWTISTQTLCANSLHQNIQLFQLIARLI SYLILCDFLEPLTPYGKLFSANRLYLCVGRRKRRVHNARLSKDRCTRGWYVRSWRRTK RSNIGTRGSDTESTAAEWNGTLEASFAWKFSHLRSRWVSGLHGLFMDMYDLKCITLGA PLATDRSSSEHHSSRSCVYHPSVL CC84DRAFT_1217598 MVYPKRKAQYDFDRLDIKRSRQNPSIAYIQNYAGKHQKNRGGRV MTRKLHTHDQVSQQPSQPRQHLVAQTMQQVLGSPQQHGLPQVAQESQIEELEAEWQDD KLGLFAPYSEDKIPVLWSTNIDHSSSQVLQTEKFDSIALRHYYRAIAMTKTGLNREQL GIPPANAGYGSPVTIDYVDLYLDLSDGEVYVAASEQGLMTVPDYLKLSGIAVQRKLSF KGRSPGWATAVFDAAEKRYVTEFWEALHGTPLPVRSEVQILDTFEMPVEPVGMWANVY SAYVGKVIQFPLAYTTTDREFAMSSGRPCSLSAIELRHVLAVPEKEPSPHELAEALFA QAQECDRNTLDLQMAKTRDDYLKECGHLHGKYAPTNTQKKKGIFFESPPFTPCEDNGE FIVLVKGQGTKESFMVEWTKDWNASLQDAPKTHNVNGSKSGQARTVKAPSYTPYSRSS SAKRTEEMDNLSNVVNENEVDRARRLQELRQKLVAKSEKVIVKRSSASPSGQSSTASK EPPADTQAMQEVVSGSVSTESPDSSSRKRKQSGALKPPIKRVKMNDDEASGETEATHL IHLTPQK CC84DRAFT_1196419 MAARDTRWTQTFSNPTVHLHLVNAPKMATVIVFGPTGNIASVAA QTAHQNGAKVWLAMRDPSKAIPGLNPSAEKAGNYSRIYADLSKPETVATAVKTAGATR AFIYVAHGTPDHMKGTAEALKAAGVEFVVLLSSFTIGNTPPADVQPTETIPYIHARVE VTLDEVYGPNEYVAVRPGGFATNLLRQKEGIRAGKVNMYGPGFKIDCITPVDMGGVIG TILAEGPPRDGQRKIFLYGPQIMSQKQGLKIIGEVLGKEFEVSGIAAEEARAQYLARG VPKPMVNYMIRSLGDTNPELEDASWLAHYKEGVENVRKYTGKPATVFREWVEASSELF K CC84DRAFT_1217600 MAAGPYFPSIDQFPPGYAEENNSRPLLETCIAFLVLDTTFMILL YTSRFLCNDKKHSSEQRANWSMISLMTGTYIVCISKITLGFLAIHVGGAGRHTATLDY ATITTALQINTALQLICPLTTSLSKMSILCLLHTIFGRTSERTRLVIRITFVLCLITL LVQVIIPFANCRPFSASWTLGQQSQCAISGLALWKYLSIPNVIGTLVVVAIPLPALYK LRVSAATKLGLAVVLSVCVCGVVAAIMRFVSFVRVMSFDDFSYEQIDPLRWTIAESGI YMVAGVLPTLRPLMKKLFGNTTFERILTGRFRSSGSGKSGVSDVSWGHKRGSVMGRGD VDEVALVKKEKKLSALSTEETVVGFEDEEKIKL CC84DRAFT_1164651 MASQGPERDGNDAGHLLNKPSSSETQPLLDPRTSTASHHSGESA TKQLTARHAFAVLVTLQIGSGIFASPSQVDSNVPSPGAALLVWALGGLLSWAGAASFA ELGAAIPLNGGMQAYLAYVYGDTMAFLMAWIYIMAAKPAAMAIQSIVIAESIGSISSS PTAAWVLKLVAALTFVAMVLVNSINTRVTIRLSESFTGIKLMTVFLVVIAGLVAVIAH LVNPKSSLSGGEDWHSKNWFSTRPTFYEGHTIDWTSMSTWDRYGHYSAAIYGGLWAFD GWDNANVVASEIRNPGQALPKAIKAAMIVVLGSYELVNLAYYILLPWSTVSSSDAVAV VALGSAFGRWAGILMSILVAVSCAGSITSNVFVVGRLTVAASERQYLPAILGERGLPW RQSQATIQCDDPGIDSQANGIAVQNQDKLSRFDAPIWANVLALVLTLVYILTGSFRTL LTFASMAMWVFYISTVLGLLILRRREPALHRPYKPAVTLPAIFVVSGTFILLRSAIFA PVQAGVLACLLIIGTSISKLRTR CC84DRAFT_1196421 MDASQNDELYPIAVLIDELKHDDVLLRLNAIHRLNTIALALGPE RTRDELIPFLDESVEDEDEVLTALSEELGKFVEYVGGPEFGHVLLSPLENLAAIEEPL VREKAVESLNKICEELSQQQIEEYFIPLVLRLSKADWFTSKISATGLYCVPYTRAPPP SQEQLRQHYGQLVHDDTPMVRRQAANNLAKFIKCMPPAIVIEEMISLFQHLAADDQDS VRLLTVDILIAIAEAVPKEQQSSHGVLLTALRSLFEDKSWRVRYMVADRFEKIAKAVD EEVVNRDLVPAFVKLLKDTEAEVRSAIAGQIPGFCALLERETLLQEVMPSIEELVSDQ SQHVRAALGTQISGLAPILGKDETISHLLPMFLQMLKDEFPDVRLNIISKLELVNNVI GIELLSQSLLPAIVQLAEDKQWRVRLAIIEYVPLLASQLGVKFFDEKLSSLCMSWLGD TVFSIREASTQNLKKLTEVFGVEWANEAIVPKVMAMGQHPNYLYRMTTCFAVSTLAPA LSLDVIETSILPMMDKLVNDDIPNIRFNVAKSYAVLIDTLKQLPEQGTVLALQKAGTP GQGCPKGQELITKSILPNLEKLQQDDDVDVRYFATTAAQSYTDAMQT CC84DRAFT_1164653 MSATKTKVQSIIDENPVAVFSKSYCPYCRAAKQLLSDSGAKFYA IELDQVDDGSAIQSTLADITGQSTVPNIFIGKEHIGGNSELQGKKKELPNLLKSAGAF CC84DRAFT_1164655 MTASPAGSTAFFALALLTIAAAVLLLLRYYLPLRTTPAYVTVPV FLTIALPASIVLLVPIDLASSAGTKGIWLGENVVYKSWRVMYWLTFVLSWAILPLLGE YTDSGYREPKARALYSVKSNGRYWAIMLGTGILGLLYFIWYNGFHLDDLKGTVMALAY AWSLALAIYLMGHGLVAFPRSLYRYASITGRLKRLQAQAPKIHERLTESMDKLDQHEW QVVQLKQRKNAMTREFQEWIDELAEKSSLPESRASLVGRTSTATVPPVITERYLADLT RKLKRARHAKVRFESEWDRLLHKAIKTQAILDSKASQRLELKASQFQTRNGGFLHRTS LLTPYTRYLLHVHVIPTLFYLAWVLTSLASLAVVWSECIRSFVRDTKLSVIGLTVVHH PPSSRGQIGFGGQFIAALWLCYMLTCSFFSLTEVKIWGNRALVRRNTYQESATWYSLQ LAKLTVPLSYNFVTFMPRMIVHETSFYRLLGKYIELTPAGQKFSDYFPIIILVPVLAT AFGLYKKVKNISGFGDLLEDEEDEAIEAAGTGGWREGRALIEREIQASSNNGSLGLTP RRPSPPGERYSDNPPLAANAESSSRRAPLGRSQVIEEEADGGFFESFGNRVKNTFETR DWNLNFERPRWLGGENEVERGQRSRGAAGGGAGVGGERGGGLLALFGGRPEEGRVRL CC84DRAFT_1090465 MAFFLTLLRSQLFARLPYPATSFEGQTVVITGSNTGLGLEAARH IVRLGASRCILAVRTPAKGEAAAASILSSTRATTDAIDVWPLDLSSYDSIKAFGKKLQ TLDRLDAVIQNAGVLTSHWKVEPGLGLESHVGINAVAAVLVGLVALPKLKETARETGT TTRLAFVGSDLHFIAAFKEAENEGPLLDVLGDEKLANMGDRYSTSKLLLHYAVQELAA RSPLGKGADVLITDVTPGACESDLFRDEKGSLQAFIQSILIKLMARTTEVGSRALVHG VDPHLGPEAHGRFLMDCKVVE CC84DRAFT_1196425 MNTLSIRAFIPPLTRRAIPLRAIRCYAMQAPGAPTVEIFSQQHK WMQKERAARDVETSRSVDYLRDEVASRLCERLMDINRHFPNVLDLGANACNIARQLTL PSEDSPDKGPRGSRIGKLTAAESSETLLFRDADLPFNKEIDIERQVLPASELLPFEPN TFDAVLSSLSMHWINDLPSVLAQVNSTLKPDSPFIGVMMGGDSLYELRTSLQLAEQER RGGVATHTSPLADVRDVGGLLQKAGFNLLTVDVDDIIVDFPDIFALMKDLQAMGESNA VISREKGPIQRDALLAAEGIYRALHGNEDGSLPATFRLIYMIGWKPSPTQAKPLERGT GMFSIKDYLEKNGGDKK CC84DRAFT_1196426 MAQTFPYTYYACDCYDSNNTSSTKRASQLLQEAEEDERTFDPRS PRANYSLYPLEHLLYCEDCQQIRCPRCIIEETLNWYCPSCLFEVPSSVVKSDGNRCTR SCFSCPLCTSPMQTFSLDMTGESAPPFILECQYCRWSTLEIGIEFEKGQGIAAQLARI GNGGKHIPTNKERDKERERRKEIEGRKSRDLLSPSTESTNTDADRADGPPSHDDLFSN LTAFYKSQVDAQTPSNPFSSHDINFSSPSAYSRIMNLYSTTGSKKNKRNKPSAMREVA SSLEGLTIHDPANDAAAIERIKKEGWGVTLSPAQKHAQINPNVRFVDELRPLATLLCT KRSKRCRSCRQILTKPESKITSTRYKIKLLALNHIPRLSIRALNAMPPAAVASRTADA FDYNALKPGMPSHFLLHISNPLFDPIKVTLATPSTTPGRVQSRVTILCPQFDVGANTD IWDDALSSSLSPIKRGSITDQAPQIEAGKIWDRGRNWTSVAVEIVPGFTNAVSSDGRD ELEEDEDVLEIPIFVRIEYETDAGADERGLGDSRGSKGEKERREEAFWTVVGVGRIKG CC84DRAFT_1259583 MPVYRSISLKLHSQFDIETFPEYIPRPRSFYASRNIPVPVSAST PPFDDAATSTCSVYIRAFPASQFWLSYEVFPPVPADQHFLFKLFANGKHVVSWSTGRE EGWKGKTMFALFEVEDESGRNRVEKRILCFPKGGGDEGGVKECFDEGRCLEVRVHRAL GRKRVERELELYRETEHGRDEKGISLVNAGIAGSGHPKRFYKFALVDPVDKPYATFRY YYRTREQILGMGLSEDDVIKDSESDELSVIEPCSTNNKQSGEAGDENGMDIYARHDGA GDPPRSPHKTYISTESPRIRGGGSSKDHDERDAAPSRVGRGRTPSDYRLSVPPAYIFN PPQPSQRALQTLPQKRDPAADTSYQPHPVYPIDEWERKTPSPVQSLRKTISTPTFSRS TKSLLTPTGWFNAVAGAWRRRATPSSDDGSRTASRNDSRGVR CC84DRAFT_1259584 MHVAIPAALLASAATVATASSLTPPVLPLIVRNPYLSTWLGNAR EEPWKKWPMFWHGTELGFSVLAHIPDTHEVFPLLGRPQDSLNSNERDYVAREKGYSIS YPEFRGAQYDASTTNLTYALPALSGLSGAEDLNITLSFLSPVTPTSTLRQALPAAYIT VYVEGSFDVNIYIDVNGQWVSGFPDSQIEWTLSQQKATGALEGLKTWTVQRKEQVVFA EEKDQAEWGQLHLTAPENVRHESGPSEKLRQRFARTGTLQNEIDPDFPRAINQDEPVF AFSKSFELAKFHGAGSDSILFTISHVQDEVTQFASARGLTRMLPLWKSYFHSEQDLLS FHYLDFPKAQTLAANYSEQLRIDAYASGSDTYVDIVALSARQTLGATSFSGTPDDPLL FLKEISSNGNSQTVDVIFPAFPFFLYTNPRWAAYLLEPLLEHQLKNLYPNKYSMHDLG SHFPNLTGHADGRDEYMPVEECGDMLIMGLALVKSLVYKSDEVAQSIWSTVGSRDNVD DRNDHRFLLTNLGTQDGIDYIDSSWGGGDRGIKQAKKWLERSYTLWKQWTGYLVEFAK EPGNQLCTDDFAGWLPLQTNLALKGIIGIKAFSELATLLGRTGDADEHRKISDEYLDH WRTRGISVDGSHAKLAYDWYGSWTTLYSLYSDALLCFHPSITNNASSENPASFVAASH DSQQPLSPHPDLHSDKAKDFIPHEIYTNQSIWYSYSLQKYGLPLDSRHLYAKSDWEFY AAAVASSDVRKAILEKVAKWVNETVTDRPFSDLYNTEGEGGFPDPYFFARPVVGGHFA FLALERACKGSRKEFEVVDVDEL CC84DRAFT_1164658 MASAPTPLRTALIGLSSSATTSWASAAHLPGLLSPTGRSKLPIT ALLNSSVSAAQSAIQVYKLAPDTKAYGSPEDLANDPDVDLVICNTRVDTHFATILPSV RKGKDVFVEWPIAAGPKEVETLVREARESGSRVAVGLQRRWAPPAVKLREVIRDGLGG KTLGKILSVDVRAFGGTIDRDVVPEGLAYFLDRKVGGNVVVIGNGHLLDTVLSVVGDL ESSSVHSQLQLQRPNVRVRDPSTNKIIKTIRSDVPDLISLHGTLQSSSATFSYLFRRG QPFPGTPALTWTINLEYGEIRLVSPSGLSLELGEPATIHVHWFDSNEVEEVKWEWDAE QATLPPSARNVISTLVAFADRKAPGDGWVSLEDAANRARLIEGFLAEWEKSQK CC84DRAFT_1120272 MNDSSECLLSADAAFGPSVQAPCRDGFDFTFTFEQYFFTVAPCA LLLILSVPRLYSLSRSKPKVDGKALKVAKLTAILALSCLQLATLVLWATDTQLRARTP ALVGSAFAFIAFLLSCPLSYLEHTRAIRPSALLNIYLFVTLFFDAAVLRTLWLMPSLA SSICEIYTALFAIKVALMFLEAQEKAKYDDAKEINPEAFSGIYSQGLFWWLNKLIWAG AKHLLSPADLYSVSSDMTSEVLGSNLWDRWTDNEQDIKSGNPKLVCVVFSALKWQIMA PVLPRLAQVAFTLCQPVLLRRLLKYLADDEQNPNIGYGLIGAYGVVYIGMAITNALYW HHQLRFLVMLRGSMITTVFRKATDLSLGQFDPAESVTLMSTDVERVNRGLLDMHDFWA NIVQVAVATWLIKVELGVAAVAPIAVALATLGVTMWLSSFTTKFQMAWLGKIQGRIGL ASSVLSSMKIIKILGLSTKVGRKLEQARIDEIQTAGKFRLISVLSASMANVPMLISPV ITFVIFIATAKAHHTTLDPSKLFTSLSLLILLSEPLFGLFAGLIDLMSAIGCFARIEK YLLTPSRSEHRNFTTVGERSSDSSERALTPIASKASNGANEAMDNAMVRIRNGNFGWK VDGEPTLRDINMTIAKNQLIAIVGPVGSGKSTLLKAILGETPYYEGDMHVSNPNIAWC EQESWLINGTVQRNIIGFSSFDVTLYNEIIWCCDLHSDLVALPKGDQTKIGSKGLSLS GGQRQRIAIARALYSGRDFFIFDDIFSMLDMSTQNKIFSRVLGPNGLMRKRRATAVLA THAERFLRYADHIIVLSSKGTISEQGSFADLSKAGGYVAPSAKVNSSESSVNTVSGDA DPKVDFASSDATVADDTAPLDKARQIGDFKVYRYYFSFLSWKIGFMFLVLQVSYAFLN TFPTVWLKWWTDANDQSTHDRSALYVGVYAAFQISALVASASVTWWSFNVMAVQTGLK LHDIVVKTVMAAPLTFFSTTDSGDTLTRFSQDFQLLDMSLPLALMVVVTNALICMAQI ALIATASAWISLSFPFLFAVFYFVQKYYLRTSRQMRLLDIEQKAPLYTQFGETLEGLA TIRAYSWTQHTIEHSNKLVDNSQKPYYLMYAIQRWLSLVLDLIIAALATLVVGIAIAL RDAISPGFTGVSLTQLISFSSYLKLMIMFWTQMETSIGAVARIKRFGEQTASEHDSDV QDPPQDWPSRGEVSITDVTAKYAPDKDATALSNISLTIRPGEKIGIVGRTGSGKSSLI LTLFRMLDVSSGSITIDGLDISTLKPEGVRRQIVGVTEAPFIMPGTVKENLDPYAEAN DESLTSALKKVGLWDTVESIGGLEADTEALKLSVGQRQLFNIAGALVRKAGKILIMDE ATSSIDEATDKIVQRAIREEFKDRTVIYVAHRLDAILDFDRVAVMDKGSLAEIDEPKK LLARETMFRALYNASSKGNRVASEGDGIDIDQIKTH CC84DRAFT_1217612 MTSLKQFIRNVRAAKTIADERAVVQKESAAIRASFREESHDSGV RRNNVAKLLYLFTLGERTHFGQIECLKLLASPRFADKRLGYLGTMLLLDENQEVLTLV TNSLQNDLNHPNQYVVGLALCTLGNIASVEMARDLFPQVETILSSANPYIRRKAAICA MRICRKVPELQEHFLEKAKLLLQDRNHGVLICGLNFVISLCEADEAEDDENGIRDIFR PVVPSMVKILKGLSASGYAPEHDVQGITDPFLQCKILELLRVLGRGDNGVSEQINDIL AQVATNTESSKNVGNSILYEAVLTILDIEADSGLRVLGVNILGKFLSNRDNNIRYVAL NTLIKVVAVEPNAVQRHRNTILDCLRDPDISIRRRALDLSFTLINESNVRVLIRELLA FLEVADNEFKPVMTSQIGIAADRFAPNKRWHVDTMLRVLKLAGNYVKEQILSSFVRLI ATTPDLQTYSVQKLYAALKDDITQEGLTLAGAWVIGEYGDALLRGGQYEEEELVKEVK ESDIVDLFETILSSSYAGLISTQYIITASIKLTTRLNEPAQVERLRRLLQRFGTNLDI EIQQRAVEYLNLFGVDQIRRGVLEKMPPPEIREEQRVLGEATKKRQSKVLKKKPAQAA TEDLLLDLMGDSGVSGDVNGNGSQAQSADLLADILGGGGPSTSSPGPQTTSPPPSNVA NIMDLFNSGPSSSPAPAQSRPPPASSSLDILGGMSSPPPQSSTPAAAGPPAHTAYNKN DLHVTFQLKRDATAVQLLARFRNVGTFSHLSGVSLQAAVPKSQKLQLQPISSGELDAG QEATQQMRVTAVNGTAPARLRLRLKIGYSAGGAPVTEQVDWSEPT CC84DRAFT_1164666 MAESTSIDAATQNGHAHVHEPRARKPTRIDFLRPRLSDEAIGAN GQIEGNASGISSGRTTPIPENAPPSVKATSSARRQVRAEQKRRIFPTIEYVDRVSHFD PSSDYRDFRGFFVLFWIGLAIMVITTMLRHYKETGYPLSIRQWDLFREKVYELGVIDG LMVGSTALSLPMHKFFMNSNGIFRWSKLGMAIQSIYQVFWLAFWCTYPFIRDWSWTAQ VFFTLHLLAIFMKMHSYAFYNGHLSETRRRLYDLDNPQNVSKAAAYRYPAARTHLHEI PQSPTHQNKEDSEKERVVHLREDLALELTSPLGHVSYPENLTIANYTDFIFCPTLCYE LEYPRTASTRWLELFYKTLAVFGCIFLLTITTEEFILPVLDESAIALQTSTSASEFAL ILSETIGRLLFPFMVAFLLVFLVIFEYILGAFAEITRFADRQFFADWWNSCDWLEFSR EWNKPVHHFFRRHVYSASRGHMSRPLATTITFFISALAHELVMGCITRKFRGYGFIAM MLQMPIVLVQRSKWVKGRTLLNNVLFWCSMILGLAMMCALYVLV CC84DRAFT_762894 MSAEEWAIAQGNSMPARVYSGTSERGLHAMSTWLFPVHSKPETR REHGTSYQGNMPSFWGRKRRPSANAGPPTAPIVHATPRPCGWAVPSAPERPSFRNTLR TIGGCNLALGPGRRATAAAH CC84DRAFT_1146465 MAELHKALECLRPKDFADIPVNDLKTFLPEIFAKAELIANSVPP PPNGTPYESSQRSRSDANCARSAAELTISKARRPIRPEHEALHKAWGKPVKLGAKETA TGISVFKMAGHDRHGAWFARTSVHEGLGFAKWKKAMMREFPASLEVQGGPGEGNVRGI GGDQRLEDINVDGIGKLEVYQLSAQFPGPTAPREFLTLLLTSPNCLSNASKVGDVMPR HYMVVSIPVSHESAPPRDGMVRGQYESVEMIREIPLAHSRHTNPVEWIMITRSDPGGG IPRFMVERGTPGSIVNDAGKFLDWACSNDDFPSREEDEQHSTVAEPLEEHTRQSFDRQ PRLSIVENNGILAGVGTSIADRPVSIRHPSQRNLDAIAQAINSLHRTDSQSSTATSLS SIDSFASAEQYNTAPEGPPVSSPLSTTSNTSIPLASGDSSKSPTQLQDRHNRELQKIE EKRAKLKERLDAARSKQDQSTLSLTTKSEKELEKTAEKHAREKKKQEEKFAKEVRKLE ARRERETKKLLARQQKEADKTALQKAQRERDEYKSRAELAEQELRIVKEQIGELQREN TALVAGMGKSEKGMEVLRRVREELEGKKGRERAGSRGSNRSGGSGSGRKSGHQREGSG LAKGEGPE CC84DRAFT_763029 MHLPALTLLLTSFAALTTALPSEDALKADPLAPRANCDKKACKC TGVSNPGIYCGWCPQVTSGWNVDHAYECATNGKCEDYGCVISARKVKCKSSDGMIGVR RVIAGRGGGRVMGGISGREKIMETGVWGDGAGEFHIFYTLSRTLFWHGFKDSLVVGRR TRKCESMPRGWRDTDWELIFQSRFFRRCESSDARIPTFI CC84DRAFT_762891 MAKPTLLAFHGSGSNDTIHYVQLARISRFLKQHFEIEALCGPIE SAAGPGILPFFDGCGPFYRWAPPSDIVNASTSPTYGPMLPEVCSLIEAAVSRARSKGS KVVGVIGFSQGTRVVAGLLKASQIQRVLAKEGKGKALEWLADIRFGLSVCSSFPPALV PAAVLEAVKTSGQDEDQQKALLEEKIVLPALHVLGSQDEWAWAGKLLIGSAYETDVEP KVDVEKGKNGVLEFAMGHHYPVQPEDTEKVANWVLGTWEGVKGE CC84DRAFT_1187305 MRSLTWLSTFLLPTVLSQTVIPPSTWNYTYPWTVHHYPLTTQLL NLSMAYMDIQPSSAPISTIVLLHGKNFCGATWEDTARRLLAHNYRVVIPDQIGFCKSS KPPHYQFSLQQLASNTKSLLDALEIPQAKILGHSMGGMLATRFALMYPNTTSHLILTN PIGLEDWKALGVPWRAIDLLYADELKTNYTSVRKYQQATYYVNTWKDEYDVWVNMLVS LYRSGEEGMAFAYDMALTTDAVLTQPVVYEFGLVKAKTLLLIGTKDNTAIGKAWSPPE VQAKLGHYSVLGKETAGKIPGADLVEFEDLGHAPQIQESERYHAALFEWLDRVG CC84DRAFT_1187306 MSWFSRGTGGSGWGGRFSPFGRSSPNTGEVSDSDYSYITSADLK KEEGRNRSSSRPEIVEWDDKDPNRATDVLVFKKDGTKYPTHFAAQSIRDGDLRISTVR QAAAKKLGVDDPRRIRLFYKGKNLKHDERTAREEGLRGDGSGSEILCSVGETATGSLA PGAEPSSPRAWSDGEDEDDTSGIDSGVNTSGKKKNRKRGGRKSKKKGAPSSDPSTPGL AYSNAGPVAGAEYLPIPSNIPGPRPTSKSAPVSGAATPQTPMGKLDVLASKFHTEYVP LCVKYMQNPPEEPAKRQFEYKKLSETIMTQILLKLDGVEVEGDQDARMRRKELVREVQ GMLNKLDEINRV CC84DRAFT_1196440 MAPLVWLITGCSSGLGLHLATHVLARGDIAIATLRNPSKLPASL KDHPNAANLSVIHLDVTAPPSKISAAVAQATALHGRLDVLVNNAGYIQIGTVEELTHD DWVAQFETNVFGVVKMTSAVLKGMRERRSGTVVLVGSLSGWVGHDACGAYAGCKFALA GIAEALQRETSHLGIRTVLIEPGRFRTNLLSPGNMKAPVQSDSPAYSSMLDAKIEGLA EEDQAQPGDPVKFARVMVDLVKGEGVASNRSVPLRMPIGVDCYEDVKQRCEETLAQLK EWEEVMVSTNYY CC84DRAFT_1196441 MAVFKRAVAVAALLSGASALPRQSESFEKRQDLPPADERAQGVI DTFRTAWEGYYQYAFPNDELKPVTNGFSNSRNQWGASAVDALSTALVMGQKDIVNQII EYIPTINYYKTPTEVSLFETTIRYLAGMISGYDFLSGPLSDLADNKTNVDALLEQSKN LANALSYAFDTPSGVPYNNLDFSTRGNDGSNNGLATVGTLVLEWVRLADLTGNTTYGN LAMKAESYLLDPKPATSEPWPGLVGSSIDPKTGEFTDASGGWTGGTDSFYEYLIKMYV YDPSRYSTYLDRWVLAVDSSIEHLASHPTPRPDITWLAIYNKQNITKLSQHLACFDGG NFILGGQVLGKQEYIDFGLELVNGCHETYIQTATRIGPETFAWDDAGVPADQAAFFNK TGFYIRDGGYQLRPEVIESYYYAYRATGDVKYQEWAWDAFTAINATTRVGSGYSSISN VNAAGGGSFTDFQESFWFAEVLKYSYLIHAPEAEWQVGKDGKNAWVFNTEAHPFKVFG GGGNS CC84DRAFT_1090763 MSTAHTKRKRSDNADAGDHGRRPKQYKQSSNRPRPNKFQGSRHA HAHSGHPKSGDGEEEEAQRSINALKSRIRDLRRSLAHVDSDPKNRMPQGIRIEREREL ESARHELEEKETAKREAKFRNDIIGKYHMVRFFERQKATRILKRLHKQLAALEDEPEK AEKMHSIHNAEVDLNYTLYYPLLKAYVSLYPKQQKEDSKSGDAAPPTDGPKGDVNMWK TVEKAMGEQTLEELRESREGVIIPGAPENSKAKNLKKDAKKDTKEKKARSNDADIATE NGDEEGSDEDFFV CC84DRAFT_1092117 MEAAIRWSPHATQHLPRFLIIDVATNRLRLCQIEKYEKNKVKYK QLCLRDKLPNYTAFDWSKQDEAVVGIGSASGEATIVQIDPDRPQGEFIHSFPIRHQRK CNSIAFSSKNYVATGLDRVRNDVCLNIYDLNNPNVTSTSEPYKKLASSEAISSIKFFT NQPDTLVAGVSRQCIRLYDLRDSSTSGVAQYPTRQVHNIAIDPLDENYFISAGTTGDP TVTVWDQRYVKQRSNNDGAVLDFRPIVDNSHSATIWSLRYSGTKKGTFGVLANTGEFK IIELAQHSHHPEPDRSMKAGPHNQAWESQHYTKVSHNLRYPSYDARSGKQDLSRVVAY DFMSPGNPFAGPSALALHPNREIGILRIPPPAPRINVTALEEIYKDRTPIARPSPREG AVADDLIELQNQTLSDKLEVKPDPRDSLNGRLDRLNVETSHRSIPLESASHSSHQMHQ DLLTLAYPTVKLPVDDLLKVLQTQKRRCQEGYNLDCRKNKDIVSNDPWLVDAWNLVER MEAHASNDGMAAKEGLDLAYLGVTDIWANELTMYDQRQVDPDAKTSEQVFTDTVVEIC EKKEFPPFAGVATHHPDHRQLCLSLCGWNLSKRGLRERCGLLVDEGQYYKAIVLAVFQ GYKDVALDILKETVQAKQIENIGLGAVIACNSVNEDQRHLCSWMADMTDEPYLKGLLA YFISGDWTTVVEMQQLSLPDRVGVALKYLPDDKLTHFLKLCTQETVAYGNIEGLLLTG LTTRAMDLFEHYIAKFGDLQSAVLILSRVCPLYIQDHRWGLWKEIYLNQMQVWRTFLE RTRYIKEHNLKSVSRDGLPTSKPTSPSVSLRCHNCQQNLALRRDPRSSRSYLVPTTPS HHHQPSTSRPLRPPKPKGSTASPSLACPNCNAQMPRCGLCMMWLGSPDPSKVGSAQTL KEEDLEARLMVFCMSCTHGFHGHHARDWFARHAMCPVPDCQCMCGLLK CC84DRAFT_1092628 MESTVDPTIKNSRPATHNLTDIEKQASTHLSTPSSPSSPSPQPT SPPLLSHLRQWNAHIESLSGFEARGITRVLPSERQTPSRAAYLQMVILWFGVNITINN LVVGLYGPLLFQLGFLDASLCAVGGVALGAACTAYMSTWGAVSGCRTLVSRLFEANIG ILELTIGLKVVVRFFMGYWPSRICVLLNVILMEGYCTVTAIIGGQILAAVSGGTMTIA VGIVVVSIAVMIVAVVGLKVFHVYERYAWLPQILALSILIGSAGPSFSTSLASAGSPA QIAANRLSFFSLCFYVPTSWGAASSDYYVYYPEKTSKWKTFFLTWTGLTFSFCFVDML GIGIASGIATHPEWEDAYNISIGALLTVTYAPLGHFGNFCAVVLALGLIANSIPGTYS AALNCQMMGRAWKVVPRWIWTVALVVVQLACALAGRNKVFVVFQNLLALMGYWLTIMI CIVAEEHVLFQKARALAIDWAAWEEQRKLPVGVAALVAFLLGWMGAILGMYQVWYVGP LAKLVADTGADVGVWVGCGFALVAFPPLRLLELRLVGR CC84DRAFT_763662 MRFFTLAASLISLTLAQHYHHPSITCSPSPTTPSIEPPRYTIPC TMSDDSPCPSGWFCTPTTIPPTATTDPWGGVCKDNPTPPPVTPCVMGNDTPCLPSSRC TPTTIPPANPTDPWGGQCIAGPPTSTSEWDTEYTQTFTPITPSVYTPYTSPVKSYDSP VPTWTGRPCRFEWQCRRGRGEKCVRGRCVLW CC84DRAFT_763677 MAGEPLDSLDEFFDFTQLEEDSHVYDNSMHAEASALALPTLGPD SAMDWQPTTGPMTVDAGAFTIASDFPIIVPEEPLQNRYHAVPMPPLVQNVPMMPQHPI DDHSEVPSFQWQHHRMPREGEVITLGQELNQSVRPQRSSTVTRKPASAKRKGPSTRLP LEARQILEEEFAANPYPCSWEIDIIAHQANLDVKRVRNWFNNTRARKKPESPESYEAM MSQSNGSITSLSSKLSRDSLEALDKHADEAIQPPQPPLALYLAQSYQEEAVPYSAIQA AMENGSTSDETDFPYDGSSSHRVGRPSSVITSVTSSDGTVPTTYSSGSNMSSFGRTRR RGRRRMEWKQSPYTRTKYNGLNSAGEPQENLPFCCTFCPRAFKTKYEWIRHEDSVHAL RTTWICCENKNAPLLSCPFCGQMRPDESHMASHKYQQCRNKPESQRTFYRRDHFIQHL HHVHFANVKHPSARLGCQTRLLDSEGGNFGCKDLAIKWRRFGAPIKAEDPMLCCGFCG KRAKDWSERCEHVAEHMAAGEWDRSAWWPERLENHLENLYTPGAAGPSRCRYCRKVFA NVDAMNQHSHCRVWSCRFLRSFDDVAAENAGPPLCPQFPSPNAHHCHLCGAGYRSFHV EHAQHYHRYRQCDQMLYTSEDEFLQHMHDFHGASQPQLLQGNSVLEQNFSRNKGASFE PLQLDEIMQGCRVGDISGSTLDPIMRQEAIPSGSGSTMTSRERPSTPSSRKTQESGAT IGHKNSKTQKQRTNSSASKPAHQGPRFFRLSPLVPFLSTRIYYLRNATPTSLVSDGKA VVEEVPKSHLASLVMSSGLLGMAGVRFPVSMKRDGTKGPVEFTLEEEDDD CC84DRAFT_1146495 MAAEVQPLQPMKLPSGPSTITAEQKYWSSFGSELRLDPSQHSSP ITHISIPPRPASNLLGPAQDLFAVTTGSRVQIFSSKTRKLVKNISRFGVDDIAHSGNI RRDGRILVAGGDSGTIQAFDANSRAILKTWKEHKQPVWVTEWNPSELTSLMSCSDDRT VRLWDLPADKSITKFDGHQDYVRCGTFMPAQSGLLVSGSYDQTVRLWDSRIGGKAVMV FKHAAPVEAVLPMPSGTAVLASSENTISVLDVVAAKPMHLLRNHQKTVTALSLANNGE RLLSGALDGHVKVFETTGWNVVAGMKYPSPILSLSVIPSQGEDKHIAVGMQSGLLSIK TKLSGEQRALAREREKEMQALMAGKIEEYDRAKKRKRGKGWEKKMRGRDFTGEGADIV IDPNSRGKIHASFPWANCLRTGQYAKALDIVLAQKGPNAKEHSVTVFTALRHRSALNA ALVNRDELTLQPVLRWLIKYIPDYRITRLTTDIALVVLDLYADQLGRSEEIDTLFDQL NQKVKDLVEASQAAWGVKGMLDMLTATAGAQGLDALEN CC84DRAFT_1146498 MTSRIPARRLGQSCVATFRPRTTYWVSSSRAFTNSSARSAEQKD VDLSNPILEEYLAKRKPNEKPERPLPQPGSLSKTGLFKKEYEVPGYRMGMTPEELEAL KAQNAKRDLERNIEKEKQLHALQLDPLPSARRAYERQLVIKSLQKRREGKTLRLKRTE REMVYKSQDLPTSLKKMTRLMHQIAGKTVEEALIQLRFSKKRVARDIVKGLQLARDEA IAARGMGLGAAVDPETAKKEAALIRVGKMNKADRTTVYLADGTRRRETNEKGTVIELR DGSKKTVTDPTEMYIDQAWASKGPEFKSPEFRARGRINMLTHRSAKFNVLLKEEKTRM RVSDEIKKKRANKPLWLPLPDRPITAQRQYCLW CC84DRAFT_1146502 MDINSLLSPQDSPAQETPPPPPALGSPSIQSSGKRAIRQIPSRT PSGLSQQLTSSPQPHMSYHQHKQPSPGVAYTNGTRPIHSATSTPPERPVHSPRDARMT PPNPLLRSTPGMDTLADLATMQHQHQQAARQHTVAQRPTFSLQNIPRTISGGSTTDIS MGEPSPKIRSFTARALAPEHVDYLTQLDKSLSENPLDYYSHVNFVTTLHQGFQYHMSA IDPGNPQSYELLSVLQEAGASMAKMYPLGETLWTYRLEDEKALAANVEDRMAVLELYK IATQDEPSSAQIWVAYGEYLSHLLACSWEQHPPEQWSEEERAIGRELFTPVLLQDVWR QGAENVKYNLQDSSLVWDRYIQFLQEDLERSASNQEKQDKAMRITGIYRERLGQPHAT WGETLSKYASFISRIGGNAEEAMEQAIQQNTHIKQQYANREEFEFKLLQATQAGDRDA EYYALTRYLKWEKKTMGVYSFPLVNALFERATLRFPVDSNLWEDHIEFLIWQKDRSVD LLGVLERATRHCPWSGSLWSHRILTLEAEHREFNEIEGIKHTATRTGLLEHSDIEELI KVQIAWCGYLRRRAFDVSASEDDADIAEVGIRSALELVREVGMKKYGRDWPGDSKYRL ERIHIKFWLQRGNPEEARHIFDSLVNHHKDSYDFWYRYYIWEMVLWANHAMRDKSNEG QQLLAPTRATAVLELGMAQLHTIDQPEPLIEMYVNHCEQHETVLKVRSAQIERRRAER IVAIRKQKEEAAAAASRPEQQQQNHVTDKTGKRKREDVADADPASKKTKPLESNEAPI AANNDTRQTSEAPSDAGSVAQKRDRENTSVIVQRLPQSATQTKIRQFFSDAGTVRNVT MKLEKDSMTSIVEFESPEEADYALTKQAKGFEGHEITINRGQNTTLYVANYPAHADEP FIRKLFATFGEILNVRFPSLKYNTHRRFCYIQFVNGDDAIAATKLDGTDVEGLKIIAK ISNPNAKKKRDGATAEGREVYVWKLNFRIKKREIQEAFAQFGKIDRVNIPTLVNGNNK GFGYIVYERKEDADAAVAGMNSKDFWGMELKVEIAHDRGDTKPKVKSTIENAASPANQ DAATGKQDTTAGTKGDRTIALLNVPDTVNDVRIKTLAEPYGYKKITLMPQHGGATIEF ETVEQAGKAEIALQGVQFEGRNLRIGRYKDLIAQKGEWKAGNSFVQPTRVNRPTAARG GARGGLRGRGKPGLGSRPMVPRATGEANGGEAKSNDDFRAMLLGKEKASGENTQ CC84DRAFT_1164676 MAHARLAIRSSRALLSLSQPARFFSVYAARCNEFEALNKRANDT ADEYRRIQTSRPLNPHMTNTTSTIANEMPSVGKDAPPPELVTSVDPGFAPKDAVPENT ERMTGGTQGSKSEDVSGSNKDLKGTNELGVGEMEGATFKVEPLRRTGEDENTMRARLL YQCRKRGTLESELLMSTFADANLATMTPSQLEQFDLFLDENDWDIYYWATQEPTPTSM ETAEGQSGELATENAKGQEQDEQKRAPAKGEWAQTIGTFKPAYRPVPARWKDSEILTM LRNHVTSRSAGGAQEGGSKVGGKDLGQGTKGTGGLGMMPKIRHFDK CC84DRAFT_1187317 MHLSVLLAALFAAPSLAALPFKGVDWSSLLVEEKAGRTYKNPAG QVQPLETILKASGVNTVRQRIWVNPSDGNYNLDYNLKLAKRAQAAGLQIYLDFHYSDT WADPAHQTKPAAWASLSTDALVTQVWKYTNDVVNAFAAAGIKLALVSIGNEITPGLLW NTGRLSNSDGPKTVAKLLKSASNGVKESKMDPKPKILVHLDNGWKADTQTWWYDTVLN SGGGFWTDAFDVIAVSYYPFYNSAATLSSLSSSLNTISQKWSKDIMVVETNWPVSCPN PAYAFPSDAKSIPFSSAGQTTWMKEVAKRVAAVPGGRGTGLFYWEPAWIDNAGLGSSC GSNLMVDSSGKVLDSLAVFKQI CC84DRAFT_763731 MIDLVYKSISINDARRSMELNASLWRLSWVTFIFLPLTFLVGFF GMNVDVFGDNPPLKWQGISFYPSFCTTAKT CC84DRAFT_1120327 MSSYTSEYPSIPFEPAFKKFFEDFYATSDIPDAHDAYVQFFTKD AALIMASKKAQGSEEILALRKGLWDKVASRVHNPIKIFPYGPNSNEVMLHGTVKYGLK AGGESSLDWAAYARLVKEEEKVKMEFYQVYLDTGAQAK CC84DRAFT_763737 MKSKQAIPSAILAILSTIAAQFPTTCPAPIVENANSTIGVCPTD FTIIGPELEPVHESTRAPTGLAIDPNLVLYLTYPRNSGPTPENVVKCTSFDTEEPWPS AAIQNCTTGQNASTCFINVQNVVLDNVNQLWVVDSGIPPGEKSAIEYGAKIMSFSLAG ELLRTYIIPSELYYDNMNANDVRINNTLGDDGYAFITDESDAGSILSVNLASGAANRR LFNTTYTRADEGYVGVYNGQPIYNWNGTRKSSITTGSDGIALASGNVYWGVLASRRFY YISQAAVIDNSISDEDLLAYVQDPGQCGTEQAGLTADDKGRVYICASEHNAIFYVDTL QAAVNEIVNGVPPGSSNALEPVPARDYVVKTLVRNALIQHADSAAIWDGWLYFCTNQL ELSAGRQYNNVDARRGPFRSYRVWIGAGPAV CC84DRAFT_1217630 MADASSQPKPSSSVKLVLLGEAAVGKSSLVMRFVNNDFQENKEP TIGAAFLTQKCNLPTRTIKFEIWDTAGQERFASLAPMYYRNAQAALVVYDITKPSSLT KAQHWVAELHRQASPGIVISLVGNKSDLAAAAGEESPEDADAAPAAEGEEANEDDGTD ARRVPTKTAKTYADEEGLLFFETSAKTGQNVAEVFTAIANAIPETSLKGPRGVGGQTN LGGRQEEARVNLGATPQGAKEGCAC CC84DRAFT_763764 MFQRVCLLAAGQSACAPPQCAAAAAAAAARHRRALRSALRFLSS AGVPLESSFDRQADVIRGPGDAHLFSDTCRSRTAGSKRRCAVHHD CC84DRAFT_763774 MTVLKEPIAVRPTSQHRHSSSMAGSLPKSRPHSHSLSAASMNPA HRVTRRKSMTNNAAGSIAAIAAAAKGMSGAPLDAQQRRPSKPAVQFRGPNLSGISSMA SSVPSNGSAFAPGSYSAASKSEAITDGPPLAAMPEHEKANSKSRIRRASEGSRLKVEG KRTSGSELRCEKCGKGYKHSSCLTKHLWEHTPEWQYTSKLLISKHQQVQLLEAASVLI AMNAEAGDSDHSSPSPPASGTSDLHDDLSSTDTTPPPQLDDHAVGSFPRNHYASRSTK RYSANSSAYSQSYQSTVFSDNGQNGHYRHWSNVSDRPTTSGTSVAGSYRDDENDQADL AAAVGLLSCSYGTPKSGPVALPPDVPPVPPLPAKFLDYGNGMTMPGSHTVTAQQSSMR GNHYHHDKDVEMDEESEDDFRQSNSRSRGRADEDDDAFFGRMEE CC84DRAFT_763766 MTYDVRPPSVLDHPPSSNHAPVLRAACNPRFLAMTRYQPPSKPS TGMHTPKLGPRLSQDPINLIYAAAHNLHAEAPTSRASSHDDLSIPIPIPHRFRILSPN TTLRHCMLRTSKRVQRYKSRVNKEVLKMAQGYGRRWYLGGTTTAVHIRRSLSSYRRSP FRTSHPLQPAALASARSGCAAA CC84DRAFT_1146521 MPTVIPFNIAISDEKLRRLKQKLLLTEFPDEVTNTGDLWARGVP LSEIERLAAYWAETFDWRRAEAKLNELPQFITTIDVEDFGQYNVHYVHQQCDSKHGIP LLFLHGWPGGFFEVSKLLPYLKGGESEAAFHVVAPSLVDFGFSSGSKKDGFAIDQHAE VCHKLMISLGYNEYVVQGGDLGGLTARFISLKYGRRHCKAVHTNNALPAEPTEAKHPE LYAQLQSTPLSTLELNGLTHTANFFSKASGYYQLASTRPQTLGYALTDSPVALLAWIY EKLHDWVDKYEWTDDEICTWVSIYWFSTPGPAASSRAFYEFEHRQPEGAFPASQAYID VPLGVARFANDTVLLPRLWNKTLGPVIYESEYDVGGHFATWERPDAIAKDLITMFGKG GPAFACVSGKSGFD CC84DRAFT_763810 MISIKARRGARGIRRVKSGCSTCKTRHKKCDELKPVCTPCRESG WKCGFLDKTIPSSPTCTLRLADSDTMHFEYFRLICAPEFSLFFEMHTWSGIVLHAAYT DQSFRRIALAVGALSRSRYIKSGQHQPAESYALRQYNMAIRELSLLDHSPENVLRIVL ACITLIVLEFLLENYSRVEIHMRSAVSMLSALQRGFDIQTTFYLQAITYIQDTMSVYY CC84DRAFT_1120339 MPETVISKYPFILATVATRKEGTTREQFRHHNETVYAPLLKKIA GKAHPLTWTRRYHVDEGEGPVGIPRLLIGTDDGMDWDCFGEMTFADELHFQQFITLMH SDIAEPAFEEEGKFAIPEKTKLIVMRRDVSIGERAQVQKLD CC84DRAFT_1091198 MRHLQDLIVSAILRILPRDSLSEGLRAKKRTLAIDRALKKDTMY HFPPYNVLPLGDAHAIRDFIEDLKRNDNRANSTKTERLDYRDDIYRFVITCANQLFKA VDERADVRNQVERVDEHHKRLLHQLNHCHSGSDNLDDQIGGAIAALWNVERIKTAFKS HYAVEWVGSASYFFDNILRLTAPNYLPTETDILHARKGFYAGITELHFSLPNMSLNFL DVRLGGERRKWIHQFDDVPVILFIVNLCSYDQLLSGSNTIKSMITLFESVVNRERWRD ATFVVFLSGVTEFRRKLGASDFGEYFQDYNELNCEGDEIEKVKRYLVREFEKVNKEGA WVRIAFVDSGGQGNIGAVGDAVKDSMQGVRCK CC84DRAFT_1164683 MRFLISLLFAFIATAAAFPELHFLEARKHGNGTSNGGGKKNGTA NSVNKQCRQMSKMVQLTELAANQTKLDALVSKGKLNATEVQVIKDKAANATTTLKTLQ ANTTLVDECNVIAANQQVKSQCSAMKKLAKTVALASNTTAMDAFVAKKKLNDTQVTKF KEQISKAQTKLQELQSNTTLTDLCAQQKSQKGADNSGSSTTGAAADSSSSTPKQATGA ASALTLQTVPYIFVPALAGVFAFLL CC84DRAFT_1164684 MNFPQEEERILARWKEIDAFLRQVELSKGKQPYTFYDGPPFATG MPHYGHLLASTIKDIIPRYWSMKGRYVERRFGWDTHGVPIEYEIDKEMGMSGRDAVKE IGIAKYNEKCRAIVMRYATEWRHTIDRLGRWIDFDNDYKTMDTSFMESEWWVFKQLFD KGVVYRGFKVMPYSTALCTPLSNFEASQNYKDVQDPAVVVSFPLLDDSNTCLLAWTTT PWTLPSNTGLATHPDFEYIKIHDEASGKHYILLEALLRTLYKDPKKAKFKIVQKLKGK DMLGWKYEPLFDYFYEEFRNYGFKVVNATYVTADSGTGIVHQAPAYGEEDYKVAIENG IIDQNRPPVNPVDDAGCFTSAVPHFEGQHVKAADKNIIKHLKGTGRLVVDSQLTHSYP FCWRSDTPLIYRAVPSWFVRIQDSIPQMLKNIEGSHWVPSFVKEKRFANWIQNSPDWA ISRNRFWGTPLPLWVSDDLKEVVCVGSVEELKKLSGYEGELTDIHRDKIDDITIPSQQ GKGVLRRSPEVFDCWFESGSMPYASAHYPFENVEQFEKSFPGDFIAEGLDQTRGWFYT LTVLGTLLFGKLPFKNCVVNGIVLAEDGKKMSKRLKNYPDPMLIMNNYGSDALRLYLI NSPVVRAETLRFKEAGVKEIVSKVLLPLWNSYQFFEQQAALLKKVADLDFVFDPSAEK TNENVMDRWILASCQSLLRFVNEEMAAYRLYTVVPRLLKLIDDTTNWYIRINRRRLKG EYGIEDTKHALNSLFEVLYTLCRGLAPFTPFLTDNIYLRLLPHIPKELRAEDDRSIHF LPYPEVREELFDEVVERQVKRMQAVIDLGRICRDRANRGLKTPLKTLVIIHPDPEYLD DLRALEKNNYISEELNVRDIIFSSDEEKYHVQYSASADFSVLGKKLKKDAVKVKKALP NLTSQQIKDFIKDGEMLVDGIKVEKEDLMVKRGLAKDDSNKDQEFNTDDDVLIILDVA SYPELEQEGLAREIIRRVQDLRKKANLVPTDDVGMEYRVLSDPENVGIEACFEKQGAL FEKSLRRNVDKHVITELEGKIPEKDDETVIVQEEQELQKATFLLRLVKLKGQD CC84DRAFT_1146533 MPGRSRPIDKFAAAVGKCSKESAVYGKCILADYNNVHKDKCAAE FMKLKDCYLAAYKKR CC84DRAFT_763873 MPPSMNGDRNEALQLNRDEVSEGAIILLYEVVERARTASDLSAF SRTLHDVYEEVLRDHGIEIEDDSVPLQIIARLVREAREDEGLVQRFKRVMGDIGVDLE YDEEGEGFEFTSHLEGLSTAAQAPTPAPLRRGSLDSLLGGSADKVAGTTDVGRQLAVR SRKSSEATGHSDIGSWWQKRRSRSAEDLELQARPQPRVSQQNGHNSVAPVNEPLVSRR RGTGHGRGNSLGIQRDGITGMANFGDSDLDDGDHTGESADYDHSDIYIPGVNAPIPDE HQRLNHHYEREPFRPSDTRLMDDAEEFEQQRLHSLLRSCIRRWRERTQEQFERNEQMM AAAVRYDSRIQFRNVKEDLLREAHNRRALRETDHFFIRLEDRAARARNIFLLTKAFTH WAKSAEDEVQRTSVARRHILRTRFFNGWREITAVNELKVQQFVLATFLQKWRRRTAEV RQRQERAVQMHDENLQRKYYKDWFFKFCEIAAPAWHNARLDPRLKRATFQQWQEAAAM WTERDAWATDRRGQLVQRRSLEVWRQKTAVVRALEPQAEEFRRRTLLMNALATVQRHA RFAPLLSAFHIAGDAQRLQSTFQTWRRTAELSRRARNADRLRILRNGWTAWNDRLRIK ALEERINDRVLIENMYKWTLASRVSLFQRVHDRTLKENCLFTWVAKRNQRVEIRNNRQ NRLDAAEVRFAQFKRTQLLRVCLRKIEVLTAEKRAEEAAMTVQYEIKLKQRVLEQLLD KHAHFQQLNKWAGDAQFYVLTTHTLKKWKDATQHARRNRRREAYAQVRRTIKSNLVKR ILGLWRDKAQIIAGQHEQADAMLYTRATQVSQTLFAHWRDRTAFEQMQTAQAEQNYDI RIRARFFGFWRKRLEALGKMTAQAVDFRQIGVEVAAASYLKKLEWEGWEIRLRNRSAA ALHERHFRQHVSAMIKFWHQQTLSRVAARPVSPTPTSRSRHVRHNVEEPDYETEAVFE DAGRLFDDNTGDETRRLEGWTEFDQSALDLSFSISPQHHPLPPSLPTFGAPPSSARPT PARPKTYPIPNATLRSALRRPARPPIREDPDFDNDLPDATSTPMPPHLRAGYLKTPSK RSVIQHKRSEIQTSPQKRLGAMSAPPAAGMRDVPGAGLGGVRSFGGMLRDSGFGRGAK GKGRVGFGEVSNIE CC84DRAFT_1187331 MGAREQKRINVKPNSGCEKAGMKSYASLLEKFDEVTKNFKNFFK SKSKKSTKPVLRKVEDDGQKGEVKAEDQLNDSMYLCPVAIGTPPQVCNLHFDTGSSDL WLWSTELDSKTQSTGKSSGHNIYSPKSSSTWKKISGSSWKIRYGDGSNASGIVGTDNV TLGGLCVENQAIELASKLSPQFIKGAGDGLLGLAFGKINTVKPKAVKTPVESMIDQDD IEEGEELFTCYLGSWRDKDEEDQGESFFTFGYIDEDVVKRCGTEMHYVPIDSSKGFWQ FKSESAIVNGRIIDRTGNTAIADTGTTLALVFPVDTPAEALPKVTVAVGDKQFEIQKE DFGFAKCGNGMQYGGIQSRGDSTFDILGDTWLKAVYVVFDQGKKRLGVVQRVEEEQNV SAPK CC84DRAFT_1092252 MQSASEAEAVILPKKEKRQKKDKKEKKRKAEHVGEEEEQDVREE EDEEQTDKKPSKKRKREILPSEIEIDITAPEPASKKAAREAKRKAKKAKTAPTPSTAA DAPTTTETAESKEAKRSAFSVWIGNLPWSATKETLRTFLTENAEIKDDEITRIHMPAP KAPPRPNWTDAKPTNKGFAYVDFATELAMYSAIALTETRMDRRPLLIKNSKNFEGRPD KPKEEQHDGDTTLRGGKEAKAPSKKIFVGNLGFETSKEDLEAHFGQCGAVENIHMATF EDTGKCKGFAWVTFEELDAASAAVKGFVYKKDPDAKKSKANDEDGEGDDKSKKRKFRL NKLLGRDLRCEFAEDGTTRYQKRYGKKPEDGAGGGEGRGFGRPQRGGRPFNPRNNFGE RKVDPRTIAPGSAHMNAPRASAAIVKSEGKKTTFD CC84DRAFT_1164689 MATNVPVTLDTLIVVKIQVHGANRRFKVPLRDLGANVFPEKLRH LLQVPPGQEVIFERYSDSAGAYVTLDANKPQVYKTLFRAAKAKLKLRLRATIPGQENE PAPAPPSAPVHQPLSTPPSSLHRMSAETLSPPRAEATLPPPLIAHSSTLFSTASQSMA AQTSPVSPVVADAEVKGEAPVPRPFTATTATQLSARQSFFSSLAAGSRNADLAFRPKM ETVQTSWVVYCNNCNIAMEDEHYHCSICDHGDYDLCPSCVESGIHCPGSGHWMVKRFV KNGSVVNSNTERLTPRKPQAEHEMPGAFTEEKQSVPEVVQKQEAEEPTRTCNCCVQIL HEREFVTCTICDDYDLCMQCHIDNKHGHHPGHAFKAATEQTSMPALADFLCNAGRNVR HSAVCDGCEKFIYGVRHKCLNCPDWDFCSECIKSAKFIHPAHRFVPIYEPLAEPVSSG NRHYGIYCDGPLCKDKEGISHIEGIRYKCAICHDTDFCANCEAHPSNRHNQTHPLLKF KRPVRSVNVTTLNEDKDSKSITRVGDREDPRRSFSSEAVPASPSNAATQVQTIVDVKP TEEPQPKTTKDKIEIRDLLSEPIMEKVPVTVPVPVKETEKEATASAEFDAHFVRDSIA DGTKVCSGFQFVQVWTLRNPGPNSWPAGCSVRHVGGDNMLDLDNTRALSQSELAEASE SNVIGRSVAPGEEVAFRIVLKAPVREGTAISYWRLKTAEGMPFGHRLWCDIQVTSPPA PASPLAQAPVDAEASTLSVSDQTLQQQALRRLEQVHQKMSELSEREALSKIEAERLLR IQKVRKAAVERVMEARRREQDLALRIKAVRALENAPEMPIKAETAELPAEKEVPEKVV EKEAEIGSKPEVAPHSSGMIFPQLDKESPASSTHEAVAAPPDSPRPASVTTATVSDED FFEDAESVELISDEEDDGFMTDEEYDILESADESFP CC84DRAFT_1259614 MHNRILFSLLSTALAQYANNPYTQLDPLLAVNTTSGIYTGSVSP TQPNVHQWLGIPFGTPPVNSLRFLSAIPAPYYPGGPHAAVNYKPICYQNSDTSSGVFW TLVPEFQNTDEQSEDCLYLNVWAPKKPAVEKKKVPVLIWVCGGGFAEGGGHAPYQVPD RWIERTQGHIVVTFNYRLNVFGFPGAAGALGNPGLSDIRLVVEWVKDNIEGFGGDSDR IVMWGQSAGANAVVSYSYANPDDPIVTGLIADSGAAAADGSLTTNTTGFSTLAKAFGC GNLTAAEELACMQKVDALALQKVIGDTTGPVLGRERVWGIVADNVTAFANNTQRLIEG KIAKPLITGVNKNEGSAFADFALNQTVGPDTASVKIGASMFVCSVGREADKRVAHNMT TYRYLFKGNFSNITPRYWLGAMHSSELPIVFGTHDLFRGNSTELEFETGYSMEAFWFS FTNNSNVAPVDNTGLAWPEYTGETGSIVVFGDEETGKASQIEGAGVMNDVFPLGEC CC84DRAFT_1146548 MAAIPIDEKQVPAIQNTDSGSSQISKQERPTHGSYEDHPFNDRT TAQYWREIYEKAQYEGLHRFDPNYTWTAEEEKKLVRKLDFRITLWAWMMFVSLDLNRK NINRAISDNMLKDLGMNTNDFNYGQTIFLAMFLFAELPSGLISKKLGADRWIPTIICA WSIVSAAQCAISSKAQYFAVRALLGLLMGGFIPDIVLWLTYFFKSNELPTRLAWFWTA LSFCNIVGSLMAAGILQMRGLHGWGGWQYLFLIEGILTFVIGVFSYVLMPAGATQTAN WFRGKNGWFSEHEEHILVNRILRDDPSKGDMNNRTGVSPRLLWKTITDWEQWPLYLIG LMAYIPPSPPNTYLSYILRQLGFSTFQANMLLIPSQFLFAVQLLILTWVSKKLKERAI VSSLSNFWIFPWLVALVTLPAGANPWVRYALLSGLLSYPYCHAILVGWNATNSNSVRT RAVSAAFYNMFVQSGNIIASNIYRNDDQPLYRRGNKILLAICSVNIVLFFLVKAFYIW RNNVRDRQWNAMTKEEQDDYIVSTKDEGMKRLDFRFVH CC84DRAFT_1091795 MSPSSTQKKAPQSNTPTFAHYAAEDFVQGAGVAIFHIASARVVV CSAVDRRVGKYYFLPKGRRDAGEDTRAGAEREGFEESGYRNRVLPLPTAHHQPQAHPR LTAPPMTAEPIWVQLMPLARNTKQYLLFWYIAETLPPDVEPLLATAPNEPYKPPPAYP ASLTLKERVALEPEGYEPLHHEGTGVDEDEAAYESQLVGVEEAMRLLGGTDSVMADVV RRGWEGIQQRSSMEEQGEEVPEQFESPEQLAEAKRDTTLRSVGGS CC84DRAFT_764075 MASPVANSMMETVVRRSGGGQEEDPTAPLENLNVMHYLGWIWVA FVGTFFIYQVLFHFSKYLRTLACLNNDTQRYFATPNVVFATFRKHFLDAPLFRTRHHR EFKLSTAINVGTLPSRLQTFMLFGYFATNVGLSVWKIDWSQSYSAAAGEFRNRTGVMA VINMIPLFLLAGRNNPVIKLTAISFDTMNLIHRWFGRIVVLEAVAHTVAWMTNKVMTK GWGAVQASITGSTFIMTGFIGTVAFVFLLIQSPSPVRHSFYEVFLHGHIVAAAVAVGG VWVHLDGMVHQRMMYGVVALWVMERAWRFFRLVKGNVGNGGTQADVEVLPGDALRVTV RMARPWTFRPGQHAYLYIPSVGLWTNHPFSVAWSEEEEDLSITSMTADEKGLPMNRQD ILEARKTSMSYIIRRRTGFTEKLYKKADLSAAGKFSCSAYVEGPYGGEDLSSYGTVML WAAGIGITHQVPQVREIVAAYANGTTATRRLTLVWIIQSPEHLEWIRTWMTHILSMPR RREILKILLFVTRPRSTKEIHSPSSSVQMFPGRPNVQALIDQEMMEGIGSACITTCGT GALADELRRAVRERETQWNVDFREESFSW CC84DRAFT_764282 MGTLFQKQYCLMQTFGHRGPKDMHCLMRAPDSLRFHDPYQRTGA AASLLDPGERLGGDNDDGSLFHGQAWMDAAVRSERVVVRPARRVVANWDGLGPNCVLG LIPRCVGILCRVLIADRGLTEATFPTLGSGRWYSSGNSTVQVDARRSDNLIAGSRGRW G CC84DRAFT_1120372 MASNDDLAFNTTRCNRLLRPLQTKLAKLRKELERPRSSQEDRRS SATAFALKASSRKTNVTQPARKPRGLEKRKDPDWMPDTGLGASKKTYGGRGAKKPLSR TSSNSGVDARPGAIAFTPLVARTGGRFQDSPQLHDSPLRRRNNYKGPLLAKANVHDLK TQMPAEIGKLVKGLSESYANLLQATTTGDEKRWNGTRSLFGACLRKLPQYIALEEHFV ELDKEDESGDEDTDVSHEVYSYLEQMYETIPGQGWRGFKQVVRSHGTQLLCDALGDQI LGSETLHLLVVHCLNASAWDEAEKFLVSFLPCLKPLPMPNTLYANLFDEQRSLYMWMV KDFVARTGRYRFLYDHLEYMISQELLPLEWLATECMRSVWDRLVRSLSDGDQRSYASA FRFLETAIFAGIGLPDESLFEAGEIDTFVRQYKPSSRQEFRDALDTTYSSLLTVFCSI VLINQYREEPVGQATVKRVVWALDSIVIDLLKRKDIQDDLALLGPLEDHLQLFAQRAV WAVFASFLVHLEGCQQDSKLMSLDVCTAGSAIAWIISQYSSKHIEYSELLSTLPAFVS AAARGTGRIWKDDGFEQIQRLVQGMLSVSGLRLPHKLWTMKRLALESVMDFAQNTNEA QHMAYARDVEQSMRMKGHVVIAPTPHKNDSPSAVGGFRWEEGIGEWVTCTPFTKESIK RVSRKPVPALQLLPSPDPSDLDDTDDGTAAGPASTPSNKLSMWEALADDEDAEDHILQ SSPIKTTTRRSTFVGKRTRASLPKVVIPVKRTTMTPPDTPVLFFSEEDLEALNDGPRR SKRAKRQGYLSASTNRPQRLRSSLDEGLRNLKRRAYGESKNIDKDLVESSESEEESDP NRSVSNSRSSGVSRQPELRAVRSMPSLGKRKREFPGKDNDGVEKQPSKMPTLNRRRSR RRTVKGVKEWWKVDDGVVSTEGSDDELAH CC84DRAFT_764299 MPAGIIQSAFPQLHRRSSSSTDISKSPKSGSHTAGTTTPTKPAA KSPKPQRPQPVRAASHPGPAPVSRPAPKKQPARAFGTNYRVRRTPPGTPPNERVPSTT TPSPFGSPAHPSVRDFAKIAASASPAHSPPTRRKPVYSLHEADPAAKGRPEVVYTHEE LLKRLNTIGEDEETDVDRVKTVERAAGMDWEEQRRRKEERDMEEWNRKEAKKAQKGLV RGFSWKGVKKTMGGFR CC84DRAFT_1176426 MADQPSPSLPPRKTEFFVVCGATNPIADVWMFAGFFAILHELRD NFGFSGTAWNNFPYEEYFKNPKEGKEGKSKNEVWWGYKDANLRSEPILRYKKIDPAQW INMNAAKTPNMDKKILRHIQQRKLNQGDRFNLFVIGHSSKFGGIEVGNQILDRKKLAQ TLSKMKHGVQVNFAVASCWSGRALTEMRKIGRRHQFAISSADEEQMSYAISKRVTSDS YRGTPFVQAILSTIQDWHDDNTEKRQTIGEHIEYVRKYGYNKKNSHSNPQAYTNVDLH VHVLEMMYDRYVVRKQGRHIEFIAPTYPAPLSTFGPKFNVDEMPPSAGRALTVLQDEI SLVVNEMDQPHPRDMSIVGLMDVAKSSSTVPAQRMHCIKRVLEDAQLFTAGLFTEIVF MYEFAFHMSDGAALGPKAIFAPDSLGGRFEEAVTWLAIMILRTCTDTEYLARILMFIK EQCYLGTVDSNAKMTMPSSEKEFTADKYLLCAEDPSERNPRIGMFLPHGENLNKWTTN TVRRYKKLRDAYKEVFGENSWKLYAPFTLEMKERWENKVDPVFEEVLHDRETEEAKAE EDNMDTS CC84DRAFT_1176427 MCTSNFVIWGQRRIEPHQPLVAGRHHLDLRVQRLKLGKETKLVR AVFKALGYTVKVVAIEMTESHEEARENITAVLNKFLAKSPQSDNLLQVFHYHGHGLHG GWASSRSRKYKLTYVKATTLPAVVGKNKWSCSDKLLRLLNRMATKMLLMKPSRCIGIE RHCCEAGFAMLNNEGTRPTNTSRKEVIGACVWAINTQDQMSPALCKVLGGIRKKSQDM STDTIIQKMNKILSEKPHVEESEIQRSHSVTCSSGQKAGDRPSRPEASIQDWKMMANP DDN CC84DRAFT_764326 MIPEMQYRFVGRSGLQVSAISLGGWITYGGNINDEATFSCLKAA YDAGINFFDCAEGYQNGESEQVIGRAIRHFGWRRNDLVISTKINWGAANSAHVGSPRA KVNNLGLSRKHLIEGTEASLQRLQLSYVDILYAHRPDRQTPIEETVRAMNFLINSGKV LYWGTSEWLASEVEEAWAVADRLGLIGPIVEQPGYSLLRREKVDGEFKNAGLYRRRGL GLTIFSPLAGGLLTGKYIDGIPEDSRLKTSDEHAVQMILKKKETPLWDEEQSKIKKLM EVAERLGTNVATLSMAWVLANENVASAITGASRPEQIWQTVHAVEIYRRLTSDILQEI ETIFGNKPEEVTKRFG CC84DRAFT_1164699 MDAAMDWLGLLLTPAAVRAFYLAASVLVLVIQATPALRIRFLAY GSRATAAQPAQTCPPNGLERLLDYVASFQVPHNYFTHFYVVSVASSLLWGWKLRLWDA GGQLPVLWALMLLQGVRRLVESHAYTSSSNSTMWFAHWILGLLFYLATNVAVWVDLQA DIGPHRESYPAALSWKTATFAPAVLTAQALQHVYHAYLYRLRTQNNGYQLPSHPLFPD LLCPHYTCDIAVYVLLSFLGAPTGCPINWTLASAALFTAVNLGVTAAGTKDWYAQRFG KDKVSARRRMIPWLW CC84DRAFT_1164700 MNSNGDATVKSPTTDPGTRDAARSNEEGAAPAARPAAASPPREP NPSLGALQNGAHDNHDDAANESEAETVVLDLKPDADRAEPKLIKTERSDDDDAARLRS VKEAVNGRRHSVDRPNGAKHGSEADVKSPVPTSPSSRTTSRHTKETSPTDSARSPVHS AASPPQPTGRGRSASTVESRKRKLRDESHPKGLEPPRQKAKTEGVREMRQPTSPATPG LGRPHKRSQSTQSFVSGATGRKRKDMTALAVSTERKNWSDDSSENSSSPRPTQTPNLP TQSRSRGRPGRALTSPARTMAPRRVDKFGSTRLARESEKGNLDAVRTAYEAAPEEIDV PDFAGITPLQKASLHGWEEVVGFLISKGCRTDCESNDRDTPLIDAVENGHLDVVRILL NEGHVNPHHQNKKGQRAIDVLPSKNDVDRPEDVDGIEKELKSAMRREVDTSAATEEES NAAVTQTKSTSRLLYNEYNTETLIEKAGDGDILAVGELINSNVKINIACGVAASRGGH YDILSILLASGLKPDPDPSKHSETPMLVAIGRGHLKIVNLLLEQDNFNPTRRNREGKT YWEISEERRGPKWEQERDALKQAYDLYSTTRKSPKRVKKEPPNRPTQLKRKPSPLIRR DRSSSPRPNHKPASLTKSTTAPQAPQKSRRLMSGKEMANQNVKRHRRVVDEETSDEDS DEEVRAPVKKSAKPRSYSEGEEHHKSLKRVKGGDENNQSKKIPRAASHDHSDHDRPKS KMHTKVENDHIKSRLGTDSADEKRVKQKSKPRPMEKDVHNINIKKRRASNDSLDDTKV KRTPSASAKSTPAPPEKAATPDVVRERRLEEQKRAEKQAEAKKKAAEEAARKQEEDAA REREEEAARKKKAEEDARKAAEEEAQKKAKAEEEAKRAAEEAEAARKREEEEAQRRAA EEAQRHEQLAARQRRVDKLPRALRRACELGNNRPLHFSGEELGISAIFLPIFYATSAD LEVHSSEKVYIPSFQAVGILGLPELDLANLDEPYSSWPRIPFTSKHRDHLLRQYDVAL LAQDFRFPMEGAPDFDYARIQESVKEARQQFLDMEGLYWIEDSVLYPEIEKLESIQPL LEEIKNVTKRRRIHFAEAQTELVPEKKHKPRKSFMDMVLAQNGMNGVALKVNGEG CC84DRAFT_1164701 MPPKNKGKGKDSGGDSKGDSKSKLKPATSINVRHILCEKHSKKE EALEKLRNGAKFDEVAREMSEDKARQGGSLGWKVRGSLLADFEKVAYDLEPSTTGSPK LGEVKTSEGYHIIMVEGRK CC84DRAFT_1176431 MAIFDVWHDFWEVLEYVTDTMTAASEDEDTGVKAPFPKREHVEM GGYPQSTFALTVITKWPSNKFINGQQVGDGGQSSIEAPQEWFLNLQPRSTNFERMSLH PTNQLLHEHLVLFLSTAKALKSFEYHIGHDRSSRPVSTSDISRVLDQQKETLKSVVLY ISPIALNEGSTYLPMNFKEYSALTVLSISFGFLFRFSTLSIDTHQFDVSAAQNHIFDE LPVSLGILEILQCGPRAVEAATSAVGAVFRMKEKRWPRLDKVGIAVDGMAWQTLKKMR EELQAEGEAYGVTYTAMKWRTLLGDPRGDTSDDDDDDDQENENIHEYSEGATETQMST KKRIAKRIAKRIAKRIAKRIAKRIAKRIAKRIAKRTAKTNEGTATSSFQELEGSQNQT LLDTADYRSLHVHISTHKILCPQHYKASASTVPRSHDPLSRRVHFFAKNFLASH CC84DRAFT_1176432 MELLNVPKELFKHIVLELLVDVGSKGDEREEFVESLCQMVTRNN SYHKVASLLRRSLRQQLESTASPLSSGSVIDFYNQGDMGSCCIRVASHTSSWFDGRNS PELEIVFDRSLAVAMLYCRTTAMADLILRMSKLDSQLHVWKRIDRFNPWVLNAVKSGI VAHFRFILNHHCQELNRENPVPTWFWDALCLACRMNSAAIVAELLEHMKSNEMIASEP HQKEETALNTAIRYGGAKSVVAVLSAGAKVDGVRLKARMACPDRNSVMGTTMAKALRV NWSWRLSTLPFTDDALPVDAEGSSICFLARPKRAFSGGFAWQSSKRGCWEINRSNVFL MRLQALGLCYVLFITWMILDRGYGCSLAHSCRKIVGVIMSVLVSRTEDRTTRVTSASL AG CC84DRAFT_1164703 MLDFDFYITTPRLVITYFNPSKESHIAFTLELYKDYTVVDENGT TKPTIPDHAAAIEMLEGRATQIETTGYGRYCVSVLPPPTDSNEDIAKRVENATPVGLV GLNLRGPDTPPLPDIGFHLLPSVRGKGYAAEAVKGLSDYYDREKGVTELVGYCNDDNE ASMKVLRRAGFELLGERNIASLMSPGTISKGGQPNTLLAWARGLKKDPKEYGL CC84DRAFT_1217655 MSLCDLCRNIPWENLPEVPEHLPMNSTGHKYIQPVMHWPAKDRG HPHHQSLDALRNSARSCALCQLIVSSAENVEQQLIELKPKWEAKEMMEYGWPTYKLFI VKRREGGDGCWVMSFVDGDEEWTKRRKERGDEEAWIIAALGLCVRDGNPLQEVIQGRP VENDARSQVVFGRCRRWLQDCSNHPTCNPAETLLPSRVIDVGEFGSPRVHLWEPPEDT VGQYVSLSYCWGSPMSFTTTRATMESRKAGIEIADMPPTYQDVVRLTRELGLRYVWVD SLCILQDEHADWERESARMLSIYSNAYLTVAAHRAKDISEGFLHSRPKRSHVELGYTR SGIHGKVLAFNLPLREELIKTDYVNLPNEPLSERAWGLQERVLSHRVMIYGSDQIFFE CNEGFRGEDGLNLDFRHACAHKSLDGTDLEEVKPWYKDLSPNKRPKRDTLRGWYDLLW EYGPKKLTRASDKLPAISGIASLYAEKIEEEYLAGLWRDQLIEGLTWQSLRWRRASEY RAPSWSWASGDGIPGSGQIADYTELAEILDAKVVLKDTNPFGEVADGWIKLRAPCEQL YLIMDDWDPEVKGYKYNKNVKVRTGNGNPKGGFSRFDFDFTAEDAPQEAKKIVKSLEG VEIYALFILKSHGWNSNASEDAGYHALIVKRVEGTENYQRLGFLLADKDMIGKEPGKE DMGSFRTITLV CC84DRAFT_1092550 MVASFLVGIALAFGQHLLYSSLHHKVEDDEGKKVKYVLYGRALA YFSKVAFGMCCILVYRQRIWTSFRNKALTVLTIDQLFLGTEDPSLFLNWEAISLAPRP VLIALVIWLIPVATIIFSPGALTFGWYFEVDSTSLTVPTLNFSAESSSDWRVPLKMKD GTTRKSLMFYNTTDALGKQPGFFDYFDQPSTDITRVTLMNAFSLTDTSLNRADARQDS CGGSFNCTYTTSFVGPGYKCEQVATSSADNDKLKEFGAPFNTSKLIPDGKNVYFADVE EGDYAKPQDPRITAQGGVPPLEVNLDDIGIFKSEPVIWIGYAVNSTVPLDGNSTFRRN WTHKFDQHIFRCVHYETKYTVKWNYTEPFFKTEVSQEFLSPVVNTTFSRNGDGTPNWD TPVPVQNYVSPRDTERYKKVAAYHTMGQSLRGFLKGSIEMDPPIPGPSYPRVSSAIAQ TRLVSNSTSLPMKDLPEQLQDFYTKMVLSLFSAPQMLVVDHERVLVNRTRFQSTFIYN PEKLWACYAPVILVTLIILVIGAWNIVKDGTTFSVGFSRIMVTTRNTTLDDISRGACL GNDPFPLELMHTRLQFGVLNDHTEDYVGVEALPGIGHCAFGVPSELSPIRRGQPYAGL AKRDGAELVKEKVD CC84DRAFT_1164707 MASEAPEDVRKYLQQSHDRIFENNKKWAEEQKAKHPEFFEKLSA GQAPEYLWIGCSDSRIPAEAITGLEAGEMFIHRNIANLVCATDLNVMSVVNYAVRHLH VKHIIVCGHYGCGGVKAAMTPKDLGLLNPWLRNIRDVYRLHDDELSAIKDEAKRYDRL VELNVVEQAKNIIKTAAVQQLYKEKGYPIVHGWVFGFNDGRLKDLEIDFEGQLKDIQR IYDLTTDALEGS CC84DRAFT_1217659 MILDHDQEEILRKHLKALLGRRPYPKTICPSEVARALDQEELDK LECYDWRDCMENVRNLCWEMRAFGVVEVLQKGEVVKAKSIDEIIGPIRVRYHPDHRRQ EMM CC84DRAFT_1164711 MADQHIALVDATDNEHSPEPTQQSAEQSRKPPQTPDMHIDVLYE NQRGWFVFGIPLFSSKALWNLRIDQSPWVDAKFKPSAVNITNAQVPDPSWVWEWKSWY VDMSHDVDEEGWQYSLWFRGAAWHGNHPWFHSFVRRRRWLRKRVKQRLPPKSKVNERL FGDTFSIGTTLARAMTSTTAPMSPLESGESSLDEEVKDVPTLLRKLKNAAIDREKIVF INRFIEDGGEELHYLAEQIPTIMSLLIFQNSRRQLLSTLMETIDDARTHRGTHKESGK AENGAEERRINNLLKAVEAADAECKKLEYWSDIKILVEEGNAGNATDANSGWDEKWQG LDSSGAEHPRQPPKKE CC84DRAFT_1259632 MAGRQVGTLAAVAGLGGIGYYLYSAGGDPKLAEKKLEHDAAEAT RKLRGDYPGQDKEAKKAGEEAYETARAKAQSLANDAKAKADKADAELAKYSADAKKKF EELKNETGREVNAAANKFDKEVTDGINKSKGWFGGLFGGK CC84DRAFT_1164713 MAPIDPTAALPTELVNLLALHSSFLTALSLHFVHNGTGSAIDLR EFSETITSVWRHRKVHYDDIRLCVGVLGAGPAASNNPFRISDYGRGKICLEVSDEYKM QSGLSQTKEGTLQAMFMESLDHLWRQWSAAGQTSQKILSRPIATPKKRGRGRPKRNDP TQSSIQAFIDEPSLTKFIAQLPHAEITTCESLIAIAPLQEKGRKRLREFKDSVQQGRA VKKTRETTGKENAPATPAQTKMTDFTSVRKTNLLDRILAKEAAAASGPKPLTPAELQR KAALQRSEDVLGVIALLCANKGSGMRISFSINALLQSLQTSIRKPISKEEALKCIEVL ASEVTPGYVNIVRMGALSSVVVNQGMRPMDVKGRLVALGAY CC84DRAFT_764706 MARSISELTLGPAIAWSMTASTTIASNDVFSSTSNQPGGTTIHV STTVFVTLAPSPTPSSTKIIVAPTLPPQSVDQTSVFPESFNTTNTARTAPSTSPSSSS PSSPSSSFPPSLVSSPGFTPPTAYKPASEFIIGVSVGGTVFLCAIVLITIILIRKRRN RIVRKKEEAQQFEVQPQPQLPVVDLDTKPAVPELESPREVRSYELPSPVLEVGRSEGR KIGREDEGWGSRC CC84DRAFT_1164714 MAVDDHYLTLSCPDKSGIVYAVTGLLAKQNLTILDLQQFSDPDS KKFFMRVHFGHATDLSGLQEPLEKLAVELQMDYQVRAVQEKPRVLMMVSKIGHCLNDL LFRVKSGQLKIEVPLIVSNHPEFKELAESYGIPFHHLPVNKDTKVQQETQILDLIAQH KIDLVVLARYMQVLSPRLCSEMSGKIINIHHSFLPSFKGAKPYHQAYERGVKIIGATA HFVTADLDEGPIIEQRVARVDHAMSPKELVEEGSNVESQVLAAAVKWWSEQRVLLNGA KTVVFN CC84DRAFT_1196477 MVLNYFKFGSSAPANPEDPKQQPVRALPASWYNTKEMYEFERRA IFSKRWLFMTHKVRLANVGDWLRYTFAGFDIVINKDRQGNINAFHNVCRHRAYPVVEK EGSGNAKILACRYHGWSYGLNGKLAKAPGFEGLELNKEENGLFKCHVKIDRNGFIWIN LDANDAPEVSWEKHFDNVDVQARYEGIDFDDYVFDHSYEIDGAYNWKILSDNFNECYH CPTTHPDIPTFLNLETFDSDLKDGHIQHHCEPKPEQVEKGLWAHSTYYFPNSSMTVAK AFIMVQKFLPHGPNQSKMAYEIYRHKHSSEEAFKLISEPYARVMHEDKVLCMGQQRNL DRNVFTNGMLHPKWEKAPIFFQAAVREAVIDHYEQEKKEGREIWPAKQKPKGAGADIS AKDEEICDGLGCGTQREILAW CC84DRAFT_1164715 MSPTARFSVVASLLSYTIAFPLTPRQPSASSSSALPSNCTAPSI TFGSCPEEAPSQLECATYSVPINWDEPEGEKFDLGLVRLPANVNSTKKIGSLFINPGG PGGAASDLIASLILGALPIPEGLNDAFDLIGLDPRGVGLSNAVQCDQKIWAERVSWFP KTQEDYDTLVDKNKRYGESCRNKTGPLLEHLDTISVAKDHEAVRIALGNEPLNMVGLS YGSQLGAQYAQLFPDNIRTLVLDGMLQHSQAAASNVLIESLGYSIGLQHFFEWASEEV TSPLKGEDVAALCSELLKNASTTSIPAPACDGTSCRTDVNAEELLFNAQEALTFKDPR VTGGLSTWGDLASAIYNATHGDASALSTRFDEAAAGTAIYCLDLDHDPAVYDFNYMQT TQHMFSTFHPLSQGTGQSTSLLHSCVGWPYATRNPPKKLHVDTEATILMVNSDADPST AYPWAVGMLEEIKNKVFVTRHGDGHTSFFTGGETASTIVRYLLGEAPVNGLVLDS CC84DRAFT_1217667 MHYASFCSNSCTPTPGKEPVDPPPRFPKIARVAPIPLSYLGKQK VYAYDSIAKEGIDLKTPMFLQAPVLVRIHIRLPTMFLATAEEDLMYPDWKSISSSAPV NSLVLYSTQPVRLKPNYTLGSTFVRYWDKLPEELRVSIIAENMKAHPARQTPRTQALL TKLYPHCRMTPQIARLAITGFYKHNEFLVQLNSGMPNRYPRAPYNDLIRRLQLSMYIR RSQWEFVQKLSEGKLGFANLKYVSILISWHYPAEDIDETEWDDFVDETRNDCIEFSCD GIVDLVARSAAAITCRAPKYVRGDLAQLTVIQSRIRFGVKGAGSQA CC84DRAFT_765133 MLTEAQAARFNAARNECLSKKDEDDARVEVWRQKSGHYDCRVPN GKHAAMLMRKTSSKFHHSFTTSLLQLSVSFYTMHFISWKKSTNMSLVNRIRGPKFIGH QSRSSPYRKTSSNCPILPNITTPRDKMNKSRHSTWKIRRSQSHMMPSPDHINWEDVAV AGSYEGLY CC84DRAFT_1245765 MLVTSAFCFVTLVLPLHATTIDYRKAWTTKGDKIPNFSFAGYHE SESALPSLSSSAKKTLSPGSGDQHATIQAALDEVFQDGGGVVALKAGTFALSSGLLIQ NGTILRGAGIGKTILTVKDLSEDVVTLGKTSGKEKKGKSIKITDDYVPAGTGTVHVAD ARGLSLGMEVYVTPYTPPTRPSEMGIENLSMRVKPSCSGRVLGDKTCSSAAVRIPSWT TDSWVRNLDLTGFNNHIGLLESASRITITTVTMNRDGPTDNDKGYALDIAIDGTQVLV HNVKTLGKKDARSYSVATQSLTPGPNACIGYEAEQAVESIEPHQRWAHGFLNEGSKVA AVLFRNRGGAGSGHGWTINNGQSASVASDKFLSVKNTRCILELQRVLCRHAGSSWRAG TKLLLRLRWSKIQEGRRRD CC84DRAFT_1164720 MLPQPGSLPVGLSNSWVYYITAGLASLPEETLHHIITCKEWEAL ERGEIGETEAVQRIAASLTLPSEHEHKLKTLFYQTAVLNLGISLQATDIGSHVVQGKA WLQNNARRLLNQIEGSDASGIFEDTFSQFLIMEATNDISLLHLHPYGNSETNYDIRNT MDLAKQWNYFLGKPVGTTDTFPADVDSTAYSMLAFTPSVGVDDVLDSMLANRNRDGLV QTYWDPTRPRVDICVLTNVTRAFYKYNRSADIQESLAYVGEALNAGTYMSGTRHYCTA EVLFFFLSQLIADHPYAPEVQRLRVILAKALADRMGVYENEVTQAELHLAEASRAKGE KYEAYVPEVDSLAIAYRILACQALGLRPQGIEQDIERLLSLQCEDGSWPLGWVCRYGR SKLRIGSHGVVTAYAIKALGTEAKMSAQM CC84DRAFT_1176448 MVSRAGRSKGCSNCRQRRVKCDENRPICNRCKKRNLTCDGPKDS TWISLNHDRPPLSRSHNPIPAELSFIAFEDDICVSYTRKHLMRGGCVEVACDLVQRVG LSSDVLDPGLSLLRDAILSLSAAFYGNQHRQGAITNRGYKTYGKVLGQLNTHLAQPLL QTTNETIMTAITCMIVEIFVPTGPNNFFKHVQGIEAILAARGPPTSPLGADLSMLGGV RILCIVGAIVQRRPSIWAQEEWKSVPPLCTDEGSLIRHEILLILADCTVLRKGLNHAS LYEHTEEDYSQTIVRAREYLDRLNALYFRWEQHNASILGEMASPNFQDPTIANHASAT TYMLYNGAYICLLRIVSAYSPSTETLSLQSLQVAAALRIVKCLELKAYEKREGSGESN TIGFVATKVAWETLGGFSSPGGRRLSRAVKAAANGVFAVGAWDEPEELLEPRASLYAA GNIRTAAPLVHEQSVMASSPNYKVFELINIGEKNIVVDTVPFDLPRERDRSMGIPKNS SQISVA CC84DRAFT_765084 MLGCTLASFASISLGARSSWYRCRLYTRTHAFVPFIRRNSGGTK LGFISVASYFRRVNLAATGVCPREAILGTKARRVSPQSILKRFLAWHFIREIGFRGLR ARRVVKIGPRDTSLGSRS CC84DRAFT_764791 MGFTTLLVASLAAATSAVPLMNPIQAIQAAGPPAGQVITKCSSP GMIALAYDDGPYQYTQKLADILSNGGAKGTFFVTGTLYGCIYNQKTALQNAYKAGHQI ASHTWTHPHIGSLSASALTTEMTKLETALVNIIGVKPTYMRPPYLETSGSVLSTMKTL GYRVVTDDVDAEDWNGKTPAQSQAKFQAAGAGGNGHIPLMHETYQGTVETLTPWLINW AKQNNLKLVTVAECLGDAGGAYTNGTGNGQSSC CC84DRAFT_1187353 MASAPGTAKGKIENAAQQAGEAKDTNPKDPSVISSGGAVGKQFN PDGAIGQVGEKIGGPFSKDGAIGSQFDAAKDGLAGQVEKAVDGPSRPATEKK CC84DRAFT_1091201 MGETDEPPLSRSVKSTAKALGLGRTKDPSILPTTHTPNNGSPHS ASHTSLAEKQGPSGSHSSDASHTRNGVEKSSAATTPAAASTAEGETSAAIEPKPPMLT RMRAGTIRFGSHLKNAIFHSWINVLLIFVPIGIAVHVAGLGPEIVFAMNAVAIIPLAG LLAHATEAVAVRVGDTLGALLNVSFGNAVELILFIILLAGDQIEVVQAALLGSILANL LLILGMAFLLGGLRYQEQVYNSTVTQMSACMLSLAVMSLLLPTAFHAAFSSNAIADKE TLQVSRGTSVVLLLVYALYLLFQLKSHGYMYASTPQHIIDEESHPGVMAGVFDSSSSD SSSSSSSSDSDSSAGSHTTAKSKIKRAVRRMRRKSSASSKETPDLPSVMSSPSVERHN DYFNMQRNGTADENAVHSNNMSRRGSLTAIVSGDEADVDDFAPIARDFEAGSSASPTT KREKRSKKHSKKHRKHRQGDLEKTETIAIPAPQPDAAPKVAFAEDVKLGEAAAVGAKR PGFRPSLLSENVFRTPQTPAPVGAPVPNLRVAAARTLRRTKSEPEDIRRLDSQKSTNS AVSRPTPPRLVSGAPSVHHATDAEEDEIPEMSRTAAIMMLIISTALVAVCADFMSDAI EPMVEKTGVSQSFIGLIILPIVGNAAEHVTAVTVAMKNKMDLSIGVAVGSSIQIAIFI TPFIVILGWIMAKPMTLYFNIFETVALFVTTFVVNFLVLDGRSNYMEGSLLIAAYVII ALASFFYPDGCDASQIGGSADTCNGVTEATTHAAMMVKRMIGM CC84DRAFT_1217674 MREYENAKRAGKASYLRELRRMCHVEIQGAGLLIHQTALLEPSV TTDDLEAAEHLHLAKTQHEQHSPPQHHEGPRYAFPVGSCRGARWSSPSHTFAHQPLIK TVHAAVNPVDIFVSEFGLLVESWPPVPGCEASRIFVRVGAKARNPLGRYFKEGNVVAG CARVLAVGNVTFAEYFLLNAGGAFEAWGFDDDGNGAGAWSWAIVFGGAGVVGKYAVQA LKLAGCRVATTCSTTSFELLKSLGAHATIDYRTSPEEVVEEVKRVTQEKLELAYDAVS VNNDLISKIFTAVPTSSSSQRLYTTMNDWDPAPDASLGFITKSIALGPIGRPSTAELN SKVNS CC84DRAFT_1091190 MQTKIERLPQELIDLIVVHLSLQDRQTLRLVSKQLYALTFTTFS NTYFSRRVTTLGVPSLSRLVKTSAHPNLSSCVSLIDVKLLNYEDYGNLREIDRVGIYP PPKRLQRVPQVRTTTGDISQECKLLDYMHTHRDPKAVIHPLSRALKGFRNVKTIRFRV NGLTLYGNPYISAEEEVYQSFLSACFRAVLDAIIRSGVRLQEFTLVKGHTIRPLSKSA NLDYSAFNLPVPFLLSLKSAFASLKSLRLSIRTYHNGNSRIAGWEKGISHVIAAASSL EELTICLQATDSKPWFRAAVIQNICRSLELPMLKSLQLYGCVVDELDLIALIKTHASS LQRLLISDTELRSGTWLSILNIFKEDLDLDLLRLQYLQQNAAPRVIRWFPDGIRNSSK LNIDARKIRDQCWMKERLSQAISSLAATAEEHEISEI CC84DRAFT_1164726 MDSMRSLNTSLPRTRRRQTTPQPDTHKAFKETALAVTNLYRTAL ADIERSRSDGYQQALEDLLGFLDKENLGVGDGEGWRIRQWATERLDGALPLSSTSDTD EDITEEQRARSSSPIVEHVPEENHSVDEPASRCDSAPPAATESANPDADMAPLPPMFH FAAPQVYPANNNNMDSATYDFAAAARRAFPTPRRNSNRSSSRSLQRSAAQNLVSLGNG AGQKRKLMNDFFNIDFNHDRRDRDGPGGGPKRGRMT CC84DRAFT_1259648 MSLPKDPGVPTFKEALKNDREQFDDCTPCRIVGSGAFLGLGAYT YMSGHSQLKAQEAAIKRSKSMFGMASRRAAITGTATALVGAGVYRWFN CC84DRAFT_1120437 MSSIEALYIFDEHNTPLLEQTYTGRPPSGSTLLPLYLAHPTPRP SLIYLPNTNPPTLLYSIIQDQLLLLCPSSNDTEPLQILEFLHRVTDALEDFLGGPLLA SRIEAHYDVVAQLLGEMVDGGVVSCTEPNALKDVVDAPNFMKSLLGGVGLPSTTPSSL TPSNAPFSLGNRPSPRLGPSGGAPAPTASPVPWRRANVRHTSNEMYVDIVETLHVTLS PSGRPLAAIANGMIAFTAKISGVPDLLLQLGCPGGIANAVSLPTFHPCVRLNRWKDRP GELSFVPPDGRFTLAGYEVDLLGSAALDAFSTTTPANAAPKLNIPATVSVQTSLGPAG SDFEVRLTLNPRFKGKSSSPLPSTTSFSGRGGLGKGLGSGAFGGSSAAGTTAAPAMDG MVIHIPLPAGVKSVVELKASRGAGEAVFQPADSRVRWAINNREIAALMANDRGTGAGV LATLRGSVVGHDEHDGHDGPDASLGLSSNTYDYDDDQTAGYQAAAASSRKEDGNGGGN GNGELKKKNKVFMPSCATVSFTVKGWLPSGVKVESLNVDQKKSKGLGAGVQPYKGVKY LCVSRKGVETRC CC84DRAFT_1176456 MVGKARELYAYSPLLAHPNSIRLLRLLPASRAEDPIHCRIFNYS LTTPERTSHLYECLSYVWGSVEEKQTIRVNGAELAVTRNLCGALRRLRDPDLDRILWV DAVCINQDDLEERANQVQIMALIYAYASRVVVWLGEEADGSKEAMELFRADASRVYRK QRELQATQGDQPDQASGESNVSGDLLPDETTNSLQSKAMTLLKRPWFRRIWVLQEIAA ARVMVVLCGSDELTGACFFWGLSGLLEWCQDAELRGTVSSILYLLGDASVLRVNKPTD LEPFRLGICSLSQLVDMFRLREATDPRDKVYALLGIMSQDILKIEIVPDYGVHWDDLF RKLVRSILGLKVEAAWISHDREGHGQVSAKGCTVGYISSVNVSKSDRQVVSITRTYRC SPSGFRNSIGNTEIWVLHNSAAAVEQGDIVCWLEGSRGATIIRFQGDCFTIVVAWARF STAFEKDLFRRGQLSSHHLSHEQWMHEALELTQYNRKFVLLWPFHNEWKTQTTEAWAS EKQSPELVSSLACIVPILEDVDSTEALQSLFEQGSHQLRSEENAPAGVVDLFRDMGKT LPNWGLYLDLKDHLRSVLSLELESALPNEIELDLVEVRRRLHAGPELGTDIIKTWFDG LSFAHEPDFGQLRRLAFLLDVWGQSITVAKMIEMVELLEKTTPHRATMEMFSSRLHTR VIEVLVQLTSQHPDWGNTLVEELIRRAKGYVDRVYCLVRALIICGTASSEWKQTVVQA VLETHSEEPFRAKVETFWISPRIEQSYEAAVDFLWRQYILEAKDYVGISPSGTFQLRS ELMQEFVNEYRFWKACYDGNLADVWSGAAEGRRARPLLITCKDKMGSWCRVSATEAAV MNGHVECCKLLLALLDGADQQNHGCTAHSVIQVYLSRGGNSPMASEISELLGTNQEHF EGSKLLPAEQGQRINGVISRDGRRSETW CC84DRAFT_1259650 MASVLIPIIPLEPISRAPTFSSTFSYASTMMTATRAAAVIPTTS NFVLSPTAGGSARRPTPESSQTIALAIVVPLIALIAFISVIVFRMYRSRKEREEAAAA EAARAKTRAIEQQIYDMQSISEKYNLKNYQVTSDTKEVGNGKDISIDIPIELEADEPE KRRYHGL CC84DRAFT_1176458 MNLEKPLYTFNAICGAKGDKNALRIVGPCPDYALIRSWLGQCNK EHRECQILGSTENLKIITLIDVQNQRLVRYKPGLQYVALSYVWGGAPVPERIPGRPFE LPNNISKTINHAMVVAENIGIPFLWADAVCIDQDKVEEKNQQLPLMNYIYEHASATII ALGDHANFGLPGVQGGPERLMQLVAEFGPLQLMARNPLLSSQIQSSAWSKRGWTYQEG LFSRRCIYFSQHQKIRPLQSLSPGRTALIPMRLIFLETHCCNPTFTQEAMSWVTWTFS LHTSMNIALEKSRMERTP CC84DRAFT_1205952 MAGYGQHPHTGYGSPAPTQGQQPHGQYGAPPPQQHGYGQQPSYA QQPQQGHGQPQGGSQGVGIFSQFMKPYPTTIVLKEHVMSLTGDSFSVKQEDGTRVIQV EGKLMSISGRKKVTDNAGNHLFDISKEHLHIHTTFAIEDPNGQKLMEVKNSFKLFGSS ATATFTSTNGRQERLKMKGSFFDTSAEIIDEERGGQVVARIDRKLLSGKDIFFGQQTY AVQIMPGVDQALIAAMCICLDEKNNEA CC84DRAFT_1259652 MGLDGAVVVAVTVMVLLALVVVAVAVLDDPAPDVVEDDAKPGWE EVVEEVVEEVVEEVVEEVVEEVVEEVVEAVVEAVAEPLEDPTPDVLDDATALDDAKTG EEEAEVALKTGEEEAEVALDDVKTGEEEAEVALDDPAPQLDEAAVDDPTAGDEEDPAP EDEAAVDDPTTGVDEDEPEEVAELLEVPAPQEDTAAVDEPTAGEDELDDPKAGLDEVA DALDDPAPQADEGVAVAKADVEDNTALVGETEADDAPAGADQVEEATAGAEEDESPAG ADEVE CC84DRAFT_1217683 MSIGSNTRQELNTGTEFVLRNTFGSCQPRRPSAPPLQQQPPPQT KPFCRNRCEVFASRLAALSTSSFLERARAEIWLAEHYELPRWLTERLDALRGITSTHD DDPDPTDQWEAEQACAREAYASAARRQRLHRPPGEDPPIQPSSFRVEWNLILPADYPP PVHPRTQNATGPSSSLQGPVGRDQSISPVERLPSPVWRL CC84DRAFT_1196487 MSPMERLQKLASHFTSASPVPGPAAKIQPGDSHEYHHRNNYHTL SPTSLLWRAACIEPNATAIYHKTANNKILRRSYLETADRARGFAYYLRKHNYKRVGLL CTNTPAFLEALFGIAAAGSVSVAVNYRLKTDDISYIFQHSDVDLIIADYEFEELLGQY KNERSAVKIIIDTDTDVTEGELSGPFDDAVLEGLTYDHENGSKGWADLEAQAKDEESV IALAYTSGTTARPKGVEYTHRGCYLAAMGNVIDSGLNFHKGRAKYLWTLPMFHATGWT FPWAVTAVRGTHYCLRKIDYPEIWRLLKEEGITHFNAAPTVNTLLCAAKEAEKLPNPV HVTVAASPPSAWLFEQMTNLNLHPVHVYGLTETYGPITKGYHMPDWEELPEKEKYAKM ARQGHGMVMSLPARVIKTEQPEGVLIDVERNGKEIGEIVFEGNICAKGYYKDAEATRK LWAGGVSHSGDLAVWHPDGAIQILDRAKDIIISGGENISSVALESMLATHPSILEVGV VAVPDSHWGERPKAFVTVKEGGSLQPDEVITWAKENSNISKFMVPREVEVVQELPKTS TGKLKKNVLREWAKGKRSAS CC84DRAFT_1164734 MSTFEPVIVIDGKGHLLGRLASTVAKQLLNGQKVVVVRCEALNI SGEFFRAKLKYSAFLRKQTRYNPTRGGPFHFRAPSKMFWRTVRGMIPHKTPRGNAAME RLKTFEGVPPPYDKKKRVVVPQALRVLRLKPGRKYCTVGRLGHEFGWKYQDVVSRLEE RRKANGAAYHEKKKQARRQLAQAKKDAKVDTKVTEQLAQYGY CC84DRAFT_765432 MDASPSPAVDVQASDAARDAAAQFEGTPDADEQTSPINDDGEEQ PHKTATGPMQKRRRVTRACDECRRKKIKCDGKQPCTHCTVYSYECTYDQPSNRRRTAA PQYIEALETQLKRAKTILSLVFPTLDIGDASIDAHLQSGMLPQFPASQPRPQPIPQPR SAPRRDDPARAEDAADSHLESMVKATGNLDLDEDGNWDYHGHSSGLSFMRRIQQEYGD IIGGKAPSASLFKYRPPSQVLDSPSSAHPSPADSTVLPAGTDLPPKKIARTLCDSALV DASAMLRVVHLPSFYKSLDRIYETTPENYGNAENTFLPLLYAVLALGSLFPKANNQEL AGVEGAADEGYKYFRASRQLLDVTDCRDLTSVQAIVFMIQFLQSTAKLSTCYSYIGVA LRSAIRMGMHRSFNVNFTPIEAETRKRLFWAIWRMDTYVGAMLGLPHFIEDDDVDQDY PTEVDDEYITETEILAMPEGKISIMHASNAHVKIVRILSKICKYVYPTKGTQSGGKHS VTYSVSYAKIREIEQSMQQWLDELPMALKPGGEAPPIILRVQQLLRMAFAHAQLLLYR PFLHYVSSSERSKASVDQRAFACASACISVSRNIIHISAEMKKRGLLVGAYWFSMYTT FFAIISILYFVLENPTSQTSRELFRDALEGKELLDYFAKRSLAADRCSETLKSIFDRL PESVKRGGAVAPTDTKKRRQASSPQSMHARPHALKQDSDFVAMGVRRASTFPETMPPN GKTTLPLSQSHLSSLGIDRFNSATPGSDYFDQTPSLTPTSATPSSLGSYGLASGPPHT RPGQPFAGSPLTTMADASGLNVDMNTLMFPSADPLAYPNQPMTTFEDSHPQAYQFKHG SPIMAQLPPQYTGIDIKPSPASYAPSPMANIRTGTGRPDNEVQLLGPMPMYLMQGAQH RSFHPQPGVHGPPPPQIPGQNPANMSFDEIFGGEEWAQTFMDPGLGLSGGPGYGGPPH FGAGAPNMGGWQ CC84DRAFT_1196490 MARGGGRNPAFLPREQQVSRKVSWLLRHGAHSEGLQLGKGGYVG VDAALRTRCLTALKVTFPELRDVVRTNDKQRFSMVLRERLEGAGSEEEAEKVGGVDVG EGEGEEEDPGRWVIRANQGHSIKVDAEGLLTPVEVERGNVPDVVVHGTDEGAWNLILK SGGLRRMGRNHIHFASGLPAGFKALDVGEAMGEEKEVPPVISGMRRSSTVLVYVDILA AMEKGVKFFVSENGVILTEGDDKGLLPYEFFKRVENRKKGGGVLMSEGVLPEGVEVDV DAWEKEVGSRGRKGGRGRGRGGRGGRGGQGSGGGKARANDDSGDLLAGV CC84DRAFT_1146640 MANSPELSKELPPSERAISPVPSDIERQNEDDALLKAAHRKVDK RLLLWYAFVYLIMRIHVSNISNSAIINLESGDGIRRQLGNLSSQQWGWALSIFYYPYM FLEPLATLALKKFNPNVWMSRIMLTWGIISMCQGATQDYAGILACRFFLGAAEAGFYP GVLYHLSFWYRTERLPLRIGFFYACGMFSGTISGLLAYAISFMNGAGGLAGWRWLFIL EGMPAIFCSVVTYFFLPNYPETVVFLDETERQAVLDDLPKQAPSMQAKTFSRAQTVSL FKDPTFIPFLMIWITHGIGGWGISFVLPTVIYELGISNTAISQVMTMPPFTLVFVILC TLAYLIHTSRLSPWIAGLAVELTQIICYILLITVKNAVAKYIFVMIATAASQSFFALM WPERIRAAKGTTTAGLAIGITNASCQLMGIVGPQIYQPKFGPTYRVSYICSIGLLSAC LAAVGTSWFFVRRGDEGVGGEEETTASPSTGRAV CC84DRAFT_1146643 MPSVAPAPLPKWERPNKTSEKLDWADIKVIDLSRFDEPGERQRL AEDLRDAVHKTGFFSIIGTGLTQEEVERQYDIGQAYFNLSLEEKGDPKYRCDFAKGNY FGYRAANEKKIMGTEVLDNVESVNIPKFIPSNKDEPFQPFLQQYRSEIEAFSRRSLDI ATKVFTLFSIILELPEDYFSKQHLYSDPSEDHLRYMIYRPRSEADDAKVQNTWSRAHT DFGSLTLLWSQDVAGLQLKTPTGEWKYVPPVDNGIICNVGDTLDFWSAGYLKSTIHRV VRPPADQAHIHRLGLFYFVRPGNEVDIKPAPSPLLKRLGLVGEDAETATPVRGLEYVR ERVRNYHDHNDYADMKGKKFKVGNLEIEDEAA CC84DRAFT_1091117 MKFTLAIATLFPLLALAAPQPQNAGRPVPNGACCVANTSLKQDV CNVNGQTGRCVPDNINNCGAQLTCIEDSRLTCDPNTLERGRPLCRRTPGA CC84DRAFT_1176468 MSEKIVLPSEGAHGEKNGTATATCYCGAVQIEVPTEGEGLVDTF ICHCTDCRKITASMFASNFVVKDTHLKHVRGESKLTRFRQNNTIATGNYMENSFCSVC GTLMYRRSSGYPGASIPRIGTIDDYKLQETKFKPRIETFEKDRCAWLRPADVQEHVDG AYYTAGKSWKSLHDGVGGDGKFQYAIQQYDASHPSQ CC84DRAFT_1176469 MSQTTTSKQRKNVSVDFENLAVPKGRASSVSAQTIVDENPDFAV RRAPVQRRGTHVALVKVSWWDVFKATIFGTKNLKRHLAATVEWAEVEGSHAEFFDEGE NWYKLIVSAYKREGREFIPVRCVLDTGCSQGNIISTKLAQRLGFSDSDYLPLTPREEN GGMVATGHTHKVLGCIRVSWFSETSPKVFNNMRFLVSETADVDLVIGTRSIQKEKLLN PPNLMNEQKIHGLAKPSDKKRESLVHDIRTLEADKKKAEKGLEDTKEGTKEHAYAEKT LKKAKNALKIANWKLEIHDVGLDLKKNNSEKTQRALIDKKKGLEAKVGGKEKKEK CC84DRAFT_1164742 MVSEYVHRQMSLELQEMDSRPSTSDRAPSTPGTATAINTPDQDQ FPQHATQPDTVSLSSDLESISSDSENEGDEDGIRTVKGKLPDDAVEGWPQLAQLMADQ PEFAAFPRFRDLNVKSLLYYQVELNSLRKKLHRLEHADKAHPRNQDYSLYADTLVNES NASEQLKTMKTIRGVLKEYNEALLQYSKVCALPDPEPFNMRTLRGWLRHEHGGNLNVQ DLDDGGPVNTWGLLKNNPDDEEKSLGSQFRSLLCSLLWMRRPEKSMHEPDLALTAPQI QVDGLTHWYASELIPFRRSLSSWKERKKMNVTPDLENTASQDTGQDYRVQTTPPWPKK TKKEDTLVSWSENSALRLTSGISTVVACLLPVIAISVLSQLQGLKALLICLAGFSLVF AIGLIALTQGTSKRTEIFGATAAFSAVMVVFISIPPAPVIMMPPGSAIPSVSPSAVIS ALPTG CC84DRAFT_1176471 MSSPAPLLRPPIPGARSQGGARTPRLGLSIPPSPHARPVTNGAP EGLTLRPAPPKLHLSTPMGTSQTPHEGRAQPRGLPPLQIGTGLSPAGGSSDASAHSRS GSFGEVQANGSASSYNGALGFLHRGSDPISAISQGGSDSAPSMERENSSQPLPDLEKL AAEKGAPLDVDDLDDLGFKAASAKGLIEEKDNLGEGAGGAVTKCVLRGGTKVFALKII TTNPDPDVKKQIVRELNFNRECASDHICKYYGAFVDDSTGTISIAMEFCEGGSLDSVY REVKKLGGRTGEKVLGKVAEGVLNGLTYLHARRIIHRDIKPSNILLCRNGQVKLCDFG VSGEFGTKGDANTFIGTSYYMAPERITGQSYTITSDVWSLGVTLLEVAQHRFPFPADG TEMNPRAGLIDLLTYIVRQPIPKLKDEPENGIRWSENFKYFIECCLEKEPPRRASPWR MLDHPWMLEMKTKKVNMAHFLKQVWDWKD CC84DRAFT_1146656 MPTITVDKAALFKELEREYTTEEFDELCFEFGIELDEDTSQSTK PEDQAQPPQLKIEIPANRYDMLCFEGIALNLRVFLEKQQLPKWALSPAQSGELETLTV KEETTQIRPLVSGVVLRNIKFTQERYDSFIGLQDKLHQNLARQRTLVSIGTHDLDTIK GPFTYEALKPEEIKFVPLNQTKELNGKELMEFYDKDKHLGRYLHIIRDSPVYPVIYDS NRTVLSLPPIINGDHSKITLDTKNVLIEITALDKTKVEIVNHILVAMFAGYAESIEPL KIVSPHNNESRESPDLAPRMFPAEVDYLNQVTGLDLAPEEIAKLLAKMGYDVKRSSSD KNILDVAVPITRADVLHQADIMEDYAIAYGFNKLPRFYPNKSSAVAAPLPINKLADIV RLESASAGWTEVLPLILCSHEENFEWLNRKDDGTTAIKLANPKTAEYQLVRTSLLPGL LKTINSNRHHAVPIKVFEVSDVGFKDESQERKTRNERHFGAAIMGKTSGFEQVHGLLD RLMLMLRSVFITREDGLKNAQLGGYWIEEVDDPTFLKGHSAAIKLNIDGQNHTIGVFG ILHPSVLKAFDLPYPVSTIEFNLEVFM CC84DRAFT_765586 MHPGPVKYKLLHARVNRLIGDVLSTILHEQLHQLLGYYICGGDY KNATESQKQLCSYLYVRNVQLFEQVEYQGKRHTYSSYWGHGPAFQVLGRQVESNAFNT LEMRELYETFSLGWISACQCPQGEELCCLSTAAKTTSTRACRRLFSKNWIGKAT CC84DRAFT_1146658 MPDSKLSSSIAIVGAGDVGATIAFSLIMNPVAGDILMVDPKEEV RDAQIQDLSDATFHGDTSTQIRAGTHKEAGQCDIIVFTAGAKQKKGESRADLIGRNKS ILESAISDMQPFAKHTILLLVANPVDVITYFAQKYSNLPKSQVIGSGTFLDSARLRGV LAAKAEVSASSIDAYVLGEHGESQFVAWSAASIGGVPLEQTLLPNTKLDKAAIAEDTK NKATSIIENKGATNYGIGAVTASICKSILSDQRNIRPVSHYQEDLGVCLSIPAVIGRQ GLVRTIEVGLNEEEKTKLKKSVEALKEIINA CC84DRAFT_765651 MTCPMPLTRTYPLSKPPNHTLPVPRYTATLLSSTTHLHTLYIGL QTHSTSGAITAAAHQLQKHIQFWIDSTPELSHESESPLDLTYERFTLIDHAGGDPAGS KVWVCYQSFTTRGSSPPSPTFPDIDLPSLYKTIPEASRPAIGLWKESFTTPVGRLETN YSGTDYLPGLARIPGVRVAEHELATYWGAARDRIPEAGWDLFPRPDTGGMRTEVGDVD GRGHVFKGTNKANVVHIRSGQWWENCEDEERGAYEGKLEPTLRAGLSYLNSHREESGC MRIRYLRNGEGDEDVRETCGAGFFHNLEDLERWSKTHSSHLKIWRGALAHYKAFPENR RLRTWHEVSVIREGEARWEYMNCKGGTGVMGCVVLEGTPLA CC84DRAFT_770564 MRPSGSFGCHPKMFRVFYIILTESIPSSPTASSITTVYAPFHIP TAVPQFLSLRRCPRTPVPPVRILPPEAGPVLRYLMVDHTTYHLALGVPDSNARDLRIL HDLRAATCLRDVGDFLAWLVHHTPLGSMYSAWIDSPLNPVKPRVTSIRVLERRPANLG IPILMIFKCITSQLCSHTRTSIRNACEVDNPTALPRYCLQPLSGLLSTR CC84DRAFT_1176476 MNEGARKGRRVLSRASLIAACWLLSRAAIVKVWVPMALVDEMHR ARRIDDSPGALHICTEASLLIIAHVREMQLCQCPLPAASLHRRRESPNAPVLSALRPR GCRPEQFRRRFTIVAVCIFATARGGSALDAVVASAARDRHSPGRLQASARPPPSHTTR DTLGPPPDPLKSINTMTSIARTFGGD CC84DRAFT_770821 MVTALANAPPSPLAWPEIRFPLVPAVSLLSNGAPVSGRTAAPVI SLRYIRFIALALAFFPHFPQANLRAQVLPDLSVVQSFTNLYYH CC84DRAFT_765646 MRSFIAATLFTAVLAAPSYGSGYGQPNYGAGYGTGEETTPAVSY PAVTPTPEVPVYSASSTPVEASSTVPSYGEETPEVSSSVTKPSETPYVPGYGVPSSVE TPAPSSYVPEVPAESSSKPGYEVPSSVETPAPSSYVPEVPSPSSECTTQTTVTVTIPY PTPSAYVPGKPSGTGYAPAPPAGTAPSAPYPSAPAPSAPYPSAPAGTGYVPAPPAGTA PAGTGYPTKPTPTEYFTGAASLNNAAGFVAGVGAFAALFL CC84DRAFT_765835 MFFSIPRLLTAGLLASVIPAHTISRTERFRTVVTTDMEQDDLAS LVRYVLYTNELDTEGIIYTSSKYHWAGDGKNTKFFLPNREYNTSQTSWRWTGTRTIED ILLKAYAEIYRNLLVHDSAYPTPNDLLSKVKIGNIVFEGEMDQDTDGSNLIKSLLLDN DQRTLYLQAWGGTNTIARALKSISEQYAHTAQWLHLQASVSRKAVILASGFQDETYAA YIAPNWPALRVEDFSTAYNTWGFNCNKGQGNVRGLPDQHEFFTGAWIKANIQIGPLGR LYRSWLDGQHTPGDQLDVFGNYTLAAAPGTWCKPLGPYDFLSEGDNVAFNPLLTTGLQ DASNPALGGWGGRSKLNSSTPELWQLVVTEKAANGSEVKDWTTNRWVEAASNDFAARM QWGLTSKYQQGNHAPQVKIVGGAKVRAKAGETVKLAARVSDPDRDAVTVSWWQYLEEG TYPGEVAVTSDKRRDASAMVPADAKSGQTISIIVQATDDGDFPLTRYDRVIITVK CC84DRAFT_765693 MLDLRPTLRVALPVVKALPECADFSRTVSPFLPQLYDLPQRVFD NINNLEALQHVYLSTNPLITALGFSLFLTPIVFIVAEINKNYSQVDRLWSILPVIYNS HYALWAHLSGLPTQRLDHVMAVTILWGARLTFNYWRKGGYSVGSEDYRWNIVKDYAGP AAMFVLNIVFISLAQNILLFAITTPTYILLLCSRLTGDEFTFYDSIFSKLIFAIVLIE FFADQQQWNFHGAKNAYKATAKVPKEYSYTREQLDRGFNTSGLWAWSRHPNFAAEQGV WLALYQWSCSESETYVNWCFAAALSYLILFQASTWLTELISAKKYPDYKLYQERVGRF LPKIATGGMDAPTANVNAVSQKQQDTRKNVKATGSTRKR CC84DRAFT_1196500 MARILCVAEKPSIAKAVANHLGGQPRAENVRGIQWVKNYKFDYN FQRWGQSSVTFTCVAGHIQAQDFQERYRKWHSCPPSDLFDAPIVTQIAEDKKAVASNI EAQARYNDILFIWTDCDREGEHIGTEIRDIALKANPNMQVWRARFSNIERAHIIQAAR TPIQLDEAQANAVAARIELDLRLGAAFTRMQTLSLQQMLPQQGEQKKLISYGSCQFPT LGFVVDRYLRVRNFVPEPFWYIRVSHEKDSINVKFSWRRGHLFDRMAVIIIYERCLLS KHAKVTKTQKKPTKKWKPLPLTTVELQKMGSRFLRMTSQEVMKIAEDLYTKGWISYPR TETDQFDKDMDLRRIIQRQEQDNRWGAFATGLMNGGFNQPRNGRNNDKAHPPIHPVNY VAPTQLNDNEKRVYEFVVRRFLACCSEDAVGEATDIEIDYGGETFHVHGLTVIERNYL DVYPYDKWESSQNLPRYTVGETFEPKEATMHDGQTTAPGYLTEPELIALMDANGIGTD ATMAEHIAKIKEREYVIARPKGGGGGGAGHQGAGERGGGRGRGRGRGRGGRGGRGGAA AQNGAGGTGGVQEFIPTTLGVALIEGYDNVGFETSLSKPFLRKEMEVQMKAICEGRST RNDVVQQNLEQYRAVFLRTQQQINVLKDAIRKYVGGANHG CC84DRAFT_771002 MAAVATRQPISNLAVAFANRSATANIPIPQDGGLASAMPTPPSS ISPTLPAQKGRPGLPGAVRSPSPLSRDMDIDLDDALEHAAVQDQPAPALSKEALAGLD ATRQITAIMLAKHHLPGIILGHGAMPIREMMAHLTHSVPGFSGLPPSKARRLVVAALE HRAGGGLHGEVKFEKVGWGRWSVAGHSSQANRGVPIGAHGASHHGMTPPASLDSQAGL HIPKNLRHGRDDFVGSWAAGSPDSRDEDMADQMSLDGSETSESDTSGMDLGNDMDDET DEEDWSAVGPEALRQKRQPTRPVYRDYNYLSRTFRSASAQSVPQSLAARTPTPALNSA SYTASRGTTGTLPASIGVTGPRGQLAEREAIEALIAMGSM CC84DRAFT_765620 MVHLCNERFPRHVRMSTRHLAGFNAGIGQRHMEVLLCIRILLLL LHHHFCIYLFEQTLYSLATAGAASRRMRCALPGSSASAIEASNESNTRCSGCLNGRPQ ARGPLLEHTYVPLTCACFVMLTVGAAWYITRAFEHDSRLGSCLGLHDPSPFPFGSSSA YTVRHRKSGPVSTALPCLVASQLDQVAKPRSALVIAAPLISRRGHSCVRDTAAAAAVW G CC84DRAFT_770651 MFAKSILWFGRRKKGMRGRRGLYLRAHGARHAPLPLTATPSVTA LCSAIGEPHSKSGLRQGWPPAQFTQGSRVAAFGGGPHGTCSNRDGWRRRRLCGSCAVR TLGGKASKRRGIAARQLVASGELAGSDTLPSRMEESSEGCSSSGLPFRRGRLRYGKWI PPITYRRLNPPVRRSTRPRLLARATVPRYAVRALHGVHHTTVHGSKCVPAKSRDSTEH LFSRGPDLCLCCVQMSAVDCTADRSQPGPSAKQDSRVLTYRECGFTVTSRHLTSCHGL GCNAISWHDMSTCPARNSQGVAINLDRMEAVNMLPAQLLGAELHFQSTCPSSVPTHVH SIDRSISTRRIATPQQGIS CC84DRAFT_1187376 MRGIAEAEKHWRQLDDFHSLTDLKIAVSSHREPQITAGLRSVCW KIFLLFKTLDRSSWPARIVESRSTYASLRSHYLRGIEYPDELGSAVDPLSEHEESPWT ALRSDETLRAEIFQDIERCMPDNVYFRQPATQNMMLDTLFVWCKMHPNVGYRQGMHEI LAPILWVIERDAVDMEGTDKKEADQIMVDMLDSNYMEHDTFTLFSLIMQTAMSFYAPA DPDLSSKETPMLVRCAKIFERYLPKADPELASHLVKLEIVPQIFLLRWIRLLFGREFP LDDVLNVWDALFAIDSTLELVDMISVAMLLRVRWDLVAADTNEAFTLLLRYPEPSAPA YTFIKDALYLRDHLTPEGGAEIISRYGKKAPVMDGSPPPAIRLPSSPSLNSSHRSRSS IGSPRAFVTQQGAGIEALLQGAAKNVLERGSQWGVGKAIRDAVGEVRKHAEAIQSGSP STTISGNTTPRSGGREYRKPAQPAIPNARPVLARAQSGNALKKIEILERRSKNLAKML ESAVGELWDYHKERSEESNDSAQSKKNSIEALSLAIAKVQFVQVYLEDSSIPLPVDET TEEAATASAAATLLSPEPTAPAALPERTASAPPIPNASRPTSSGSTAQPPSPAPNAQP TSPQFHTSPQRSFASSKLSAQPPRARPTLASSNFSWMLGQDSTSASSTFASSAAHSAF ASDEKRRLKGKGFLFGDEDEAEAPVPEKKGSRGSRGSISSSKTGNKNGKIKGKGQAEV EVEEEVIDLEDVGKRDAVM CC84DRAFT_1164754 MASADGPDHVPRYGGFSRFELELEFVQCLANPAYLNYLAQQKIL DKPDFVAYLGYLQYFKDPKYSRFLHHPGPTLRALQLLQQERFRTDILNPGLFQYMTVE GLKNAVPPNNKD CC84DRAFT_1091903 MFAEPSMPERRPSPGLTLELNSKNPFRNRATSPAIPSPALQTPT SAGSRPMSRNPFLQTFEAEFNKQAQLIDMSATMKESPKKATFGDDAKDLFVRPCSFCL MDRLRCFASKFANFPSLQQDNLTLDDGEKKRGPAPRGPPPRNGAPPEPRHRPSRSDEE ERERRRDDKRDDRRDDRPRGPPRGPPRPSGDRRGPPPRGSPHRREHSRRPRRNSESSM IDKGSLDPNEERRRRERRRERDERARDGKSRSTKVRKPHGLDIIDKLDVSGIYGPSMI HHDGPYDAVQPHRNREKDKRAPMHAFPAGSANNALGGSGPVNDKIDLDKIHGRGAEGF TDFGGAANTWKRPELEGRSLTFGPKEREDIVHGDPSVGLGTSTFLEGAPASRTAIQQR EAENQKSFAEGGLGRKKSIAQRFRGLNQPRRDYGDRPRITSPEARYGGGSPNGPLTTG GSLTSSKNERNPFFDDYDQAYDKKGAAINVAQQEGVTSPTSPGGRNALTRAITADSIG SPVESKPQSGGGFLNRVKSLKGGRRPRPERPT CC84DRAFT_1187379 MISGNPVLAKSQGVLKTDSKSIDAAFGGGLEGGRVVGVWGDAGG GGAEISLALLSSSLLENPHSSAAVVDTTGNFDVFRLYAFIFARLQDDVGILRSLNEAM SMDGLGAEEVAAKALDRVNIMRAFDLVGVMEAVGEVRDELEGRNLQAIDEVEGGSVDP PEAEVVVTGLGEQRDAEKEKEKEMPKRTFVADSDEEEELLFDDEPAAPISTDVVMTET SPTEEQDEILFVDGSADQRDDTSHLPAVVTAPHVQPTGAEQSPSKRDPAPARTAFLLI DNLAHVVSPLLQKDYTQAQALISSFLLTLSHLTRNHTLHTLLSNPSVPPRPSSRKPNP NHENQQQPPPPSIFASNKNVPALVSLLIPYLDLSLLVEKMPYRKVDARAVYADGEAVK RARKGIEMVSVMEVMSDRWGGRGGGWGTFVCGERGLRDV CC84DRAFT_1146686 MTPDPDAIADCVLATFHQLPDKRKPRPQSDGAREWVPLAGIVLA DKHGKLSCVSLGTGMKCLPSNKLPLAHGNALHDWHAEVLAIRAFNRFLLDELILLLTP PHTPSAYLREITPEGRTPSQPQPFTLRDDIRIYMYCSEAPCGDASMELTMAAQEDATP WTSPVPTVSSNSTPTPNLTSATCLPNPEEMEVLTALRGRTHFSHLGAVRCKPSRPDAP ATLSKSCTDKLALAQATSLLSGLTSLLISPRNAYLHSLILPASQQVHTACTRAFSSDG RMAPVVEQAPTWGGGYRWSPFSVLSTSREFAWSRRMVPPSSKAVSSNLSAVWTPSWQE TVIGGVLQGRKQFDPRGASRICRRGLWEGAVRVATVGGISALTHILEMSAYKDVKEGE ALEAR CC84DRAFT_1164759 MSLKRSVAFATQTLTIPVPKNRRPKFELHLKIIDLNNVPLVSGS SFVKWHLPHSTAAEHRGRTEKRPIKDHKVSYDYEAKLAVKLVVDKSGMLQESSVDFEV VQEYSGGGKAERITLGNVKLNLAEYVEQSEMNRAEGDEPGVTRRYLMQNSKINSTLKI SVFMRQIEGDRNFVAPALKTAQVFSGIAGIVSGEQGDVEDAGSTPSLSVGSREAGELQ DMYRRTLAAYWSAQPGELKADECIEDIFSGGDGWGDREKPYDEPRRIRFVNGDSSGSM SEGESRKGSSTLRKSHETLRPGDTASSPASVRGRGSLEQQAHQMQAEAKRERRKPHHE VDEFDVREDLRSWRLPM CC84DRAFT_1164760 MLAPRASSAFVCLRCELTQARSRLAALPRRVPHASFSASSRRRH DAAGEDHDTESRPRFRINAQRTQLSEHPLGIRVRKRKGGGHLRETTARLEGAKTLGED ASIIVLEELGERKKQELIPAPPPQDDDQIPLKLAEALADERPATLDEVVEQLDKLRQK ATNDEAIVEEGHYVNQTVYFRLSSHLFKSFTGRQLSHYYSERKGVEKDRVPQEVRDRL KQDQLKGTAKRPSMRSEWTPGTTQIDRRLPSLDVHSQKKRKNIAKHLLVDQILRDVWK LIMLEELEATGEIELALKDWQLAMLTSGSESALDRIGQNRKARLEVNTADKVLRITAD KHTAEYAANDVEQLLQASETQRFHFSTWVPHLETIDGAEPTIERVFPDDVLATVTSLT GAQLHRVTDKIIVRALDKETIAEAVRCLIKVLPLKNPSSTTVDTTARNDLESTSHFRP IVVEKESIAYKARNIKFGRWSLPVKQNMGTHATSPNLSRRLKAAQEHVLKMFLKKTPP SQRPDVKYPKWNRHTEVRLKALYGHALLPLESPNSEAISFVPALPGLSSLFTDEGFKT SFTTRPLLCYEFIAQPGPSNYTSDLLPYKFPRLIAYFRFIDGQQGLSKLVLSFDAGNH QVLLPEEAVDIRFKTSQSIQMNNSRQAHDTRELREHVVANLQSGGRITAPDITLDIPK WTVEGMEFDGIDDLTKTKFQFVGVTFTQSVWGEHDGNPATYSTKQSGKLGAKYGQFAT VYGVNRLARPVAIDDPPNAIREFVANAFKTAGTITKAAATSQAGPKKLEIKPKKRQHS GERVQQNRHAVSRSARDAAKLDEPWTPSDTQIPQAAMDDPHLSNMLNDHASSKEHIDA NPSPSREVPTEEEMKRNFGSAA CC84DRAFT_784537 MSMDCSIIPEPVSTTSTPHSPTLQTQQTPAGRCISSIHITCINC ILTMSQLHPLPPIVPRHASRTHAFSAPHRQRSHNQHPQSERHIVTLHTIRRLRPALPQ CLIHPALRNLPTGHLERRRQERQHRQPNTLLHGVLQLGIEMHKPRLKGRQERLEARGL VACAAERVAIDAESEVLDVLSHILQPRFPVLARRVEVCKQGQVGRWKGRRPGNAGVLE EGGFSRMRASTRGRGGRV CC84DRAFT_1205979 MATNFTFVHVSDPATNPKPEESQQIRSRCMQGKNNREDSHRSIR ERKRREKQAMEDMQVVQTSMRPPTPMLSADTLISDLSLVRFAGPDIDAEAKGILAYNL VNHSVFALDRAVDFCMLEHASFTFLFHDPAFLDSILTASNAASDLRPTWDGKPSPKTL LHLRTTLHLLREKMSAKPSVHEDESILVVINLALLSAIIGDWPAAASHLYDTPRYEVA MSTTYTSHPAPPFRLE CC84DRAFT_1259679 MFTVLSDKDIQRILQNLSHGELDGLTQALEKALIQYSTQNDHQY QPHRATITRPSGQVSLFMPATTESLVGVKIVGVAPSPDPSTLPPGTKPQAGLKSALTL CDDVGQAIGILNAAELTAFRTALGSMLLYKTRKRTEKIVVFGAGKQALWHVRLAVLLR GKDIMRVTIVNRSGQRAQELVETLTSDSGWPEHVTIGAVEQPESEELEKLVSEADVIF CTTPSRVPLILASFLTSESAREKSRYIAAIGSYRLDMAEIDPELLKKVADPSGEFSSQ VWRGSITVDSREGCLQEAGELVSSGVAAENWLEVGAIKGDCSPEMDDWLKNGFVIYKS VGIGIMDLAVGSALLELARSKNIGLTVEDF CC84DRAFT_1217712 MSGIVPSSVFLSAIRIGFAVYHYMDTVQENLKKIDMNLRIVLSH AGALTGQKVDLLPLWDAFLDNKFVEAEKHGKDWLTGRFERALKDITKTRKKYRALLTR MQKQEKGKLAE CC84DRAFT_1164763 MNHWTQRVGQLSKIRNRKPRPPYKLGTQPPRDSLNSLVFEALGS TENPTNFVLCSKEINTFKEHLWANKNLMGLNV CC84DRAFT_785385 MPDIDYLRKLCSRHPQMRLGFVVYRLTYENDYKWARFMDHLNTR TRLNLEKNGAGDLFPRIDWSVQEDPALEDADYDEVRKRFNRWVRDQSEDKEREPFSTR HLACVAVPMFHIDCVLKGPKPTQNDSLGYGWVALIRAEIEEDGEGCTQVGVSFLVPRA FSVLEIGWHAVDVGTQDVYAG CC84DRAFT_1146697 MAPGLVETASQTSQKLPIEKWVRPEPTKENLEWAPLSTIDLSRF DEPGGKQELAKQLYDAVTRVGFWVVTNTGIDDERVLRQFSIGNTFFKGSLEEKKRFPC NFAEGEYFGYRENSRWIGDTGVKENIEMLNIHKDIPAWKDVGKHRVTEENWEEIRTFH RDLWEKVARKLFVLISIMLELPENYLADAHAYDETSDDHLRYMIYNVRSQEEWDKAQA YSKGGHTDFGSLTLLFSQHVAGLQIRTPEGEWKYVKPVSGGITCNAADTLTFLTNGFV KSTIHRVVTPPSDQLKIPRLGLLYFSRPGDNTPMRTVPSPLLDRLGLIPEEHKDTSKP VPTGTEYVRARVRDVHHKTVLDKREGTKFQFKGLSVQNYYE CC84DRAFT_1196511 MAERKDPIDNLSYVADEAKKVVEPDVESATNSSLENIQHDPALE KRLLRKLDIWLVGFYSLVYIFRVIDSANYSNAAIINLEQGTGIKKQLGFSPSQWAWTL SIFSYSYLIFEPSNTILLKFFKPSRWMFVLILAWGISACCSAAAQNFAGMMCVRFAIG MAEAGFFPSVLYHYAFWYKPSEMPQRIAFFYSVGQVSSALSGLLAFAISHMDQLGGLS GWRWLFLLEGVPAILLAFVAFWIPDYPESTRYLTDDERAFWKNRLTASAPKGGKKHEN WDWRSLKALSKDPTFYTFSLYWICHGIGGFGVGFALPTVIYQLGFTTTAKSQLMNIPP YIFAFLLLNTLGYMLQRKWIRQWTTAIGIESTIIICYIILLTVDNPVVRYLCLIVAVG CAGCAYPVIWSERIRALNGTVASGIGIGITNACAQFSGIVGPHVFSTVFGPRYRTSYA VNLSVLLVGISSISKTWFIIARRDKRKAAGLKA CC84DRAFT_1187385 MSKKLIVVVGATGNQGGSVARRFLAAGYRVRGLTRTTASSAATA LASAGVEMVQADLDDVQSLKEAFRGANAIFSVTNYWEPFFSGRAEAQEMDISCREYAY DIEFLQGKNIADAAAATVDTLDENGFFASTLSHADKCSDGKFEELYHFDAKADIFPGY VNKKYPSLAAKMSCIHTGYFFTSYNILPDSYFKKNPDGTFTMAFTTIPVKPVPHFDPV GDMGVFTYAVSQMPPGKAYMAAGTTCTWPEFLETWAKINGVKANYKQVSPEEMIESTE DRETGIEVAAMYSYASDPGYDGGMNLLTAIDLQKAGIDCPMTTWEDWAKKHDWSSVLN K CC84DRAFT_1090661 MRKPTPCHYPPLDNGLSKHDLQSQVKDLRRRVSTLEQFLGLIKT VPEEHAATMLEHVRAGTDVDSLLNNFTEADLLLQLSVVPETRRRYDFPYAKQMPAHLL FEGNDYLHSFVYEATFYQHSSSPTPRRMVPNDSYQQFPITFLQDTYDKPFRAATIADP MLEKIDISKWTIVTSDNRALRKYLGSYFRTPSVLSPVFHKDLFLEDMMTGETRFASKL LVNAVLAAGCQGCLDLEDRWMLWHPENIAYKFMSECKRLWDLEPPERSRLTTVQAALI LNITYNLNANDFIAIRYLDQACDMATALGLFEPARHDISSKSYRARAITAWAVWSRQA LTSLTYSRPFKIEKPPQMCLPDPSEHHGFYADVRVQYPLSEQLESLHVDYKIYFEAQL YLILGEKAGSPPVEQYSLADAFRLKKKLDFWFARVTTLFEPKILVFPIHFDLHMQYYY WIMRLFLPFSDQSSTPVTPLATAINETPQEIVRYSQAMFETLLRLYYLRHSYDTYDAW IIHFLLLLGTNALKSLYSATPMTQQSVDILRSSILLAAEGLRQQGKNVYIAQVCALGL QKSMRPDDLQWVQTHLSLRPVTHEEQSIIDESARCSYPVPIVCADGKLDTRKLGEIVT KFEEPSVEEKDPVD CC84DRAFT_1196515 MRLLQYSERGELSIHSFDDDAIPPYAILSHTWGSDKDEVTFADL KTGDGSTKPGYEKIVFCGKQAQHDGLQYFWIDTCCIDKANKAELASAIRSMFRWYRSA ARCYVYLSDVSNQPRVVDRGYSNSRWLLWIWMFSVLDYLLGRYSSTIQRLCRRCVSYP STGGNVMYSQQEPESAFLKSRWFTRGWTLQELLAPSTVEFFSREGIKLGDKFSLANEL QEVTGIPTSALQGKALSHFDVHKRISWTEHRTTKIPADRAYSLMGILGVSLSPIDGES PSEAMRRVTDEVDKQNKCIQDLRSSNPHDDKTRIEQTKGGLLADAYRWVLDNDTFQQW QQYSESQLLWVKGDPGKGKTMLLCGIINELHNTLPRNALLAYFFCQATDPRINSATAV LRGLLYMLVSQQPSLVSHIRNRYDHAGKALFEDANAWVALTEMFANVLQDPQLRTTYL VIDAIDECVTNRLKLLDFIVKQSSASHRTKWIVASRNWPDIEEQLELAEHKTRLSLEL NAKSVAAAVKVFIQQKVDQLAQEKRYTLEIKDAVLEHLTLNASDTFLWVALVCQNLQG TPKWNVLEQLAHFPPRLESLYQQMMDQISVSNSAKICLRVLAVTTALYRPVTIAELVA LVEQLKDVDDLESVQEIIGLCGSFLTLREDTVYFVHQSAKDFLFATASSYIFPDGAEQ IHWEIFLKSLAILSGTLHRDMYGLKALGSPIENTKPPSPDPLVESRYACVYWIDHLCD SKTKSLNSIANSLQTRNIITGFLKKKYIYWLEGLSLCRSVGNGIVSMEKLWSLDIQDH DELTQLVYDARRFVMYHQRAIESFPLQTYASALLFSPRASMVRQLFQYEEPKSITIKP EMGNGWSACMQTLEGHGDGVWSVAFSHDSARLASASGDKTTVKIWDASSGACLQTLEG HSHSISSVAFSHDSARLASASHDKTVKIWDASSGACLQTLEGYSSSVSSADLVSILAS LHFDNVTKPYQSVRQRIAVSSDATWISCDSQNVLWLPTEYRPYCSAMSNTCVGLGTRS GKFWICYFT CC84DRAFT_1092528 FPPRCCQGTINLELRRSLLPASKLYFSELKVNRLSDPNLTDCSQ CTSYVGLEDTIRDTAVYPCCDAKTCVACRASRTLR CC84DRAFT_1187388 MTLALSEFAGRVLKGSGHPESPPGKGPPLEARLSLERASGSVHV TPSGSEGPVSKDMVGGSSGESRGHSSNITSWARTRPLSDIRELTEPSLADSIPGRLLS DKHLPRSASRNELTRKPSVASRRPSLDTRLAENREPDGKPSIDSNGVRSAHRGRSGEA SRTPSPADLSISSIYSIPPGSIPPRSSSRIRARSASRNRIPLPSSSLIPLRVPVPSLN NVPTIPPPPPHGVGNTIPRHGHSQSPLRNVAAPVAHDTCRRPPSRTFIREPLSAELLE YPSHRHPRVHLGLDLSASLFVGGGSIDGTVQINVDDADRTRHKKTLAIARISIDLIGL EEMSGNRRSVFLNLATELIDEDYPPPQNMVDSQGQIGRDDPFWHLMPSITNLPFSMSL PLNVGPPPFQSKTARIRYILCVSLLIRDQGKQYIVRVSDDVPVLSVYDPEKALMSLPS PLTASDEWMKPRDTALEIVRLTAGLHRQVWVSGTSIYVDVHVANNSRKTIKKIELHLE RDILCYKHAAASTMEKSASQARIFDSNEKSILSKAITKQGAAGWHGVPAHTSHVRTCD IEVPRGHATVKCGKYFEVRYFLNVIVGTSHTKLVTVQIPIVLIHMNSLDVVPNSVAQV AAAIEEKRTARTYQRGHSPTRLGRRSSRSVQGRAFAAPRMQSLDRMRAQAEEIQDLGK ILDQSPRKYSLRRVNSNFDYHTPPSNRKGKILAEGDVADLQSRLRKVRSNETLGSRAN IILRGNSTSSRRGNRSALGFREAEVREDMELRGLGPSGETPFKQRLERSRERQYRFTK KRSVERWKGVANAGVGWFKGSAGGKDERERDGWI CC84DRAFT_1217720 MTAPVGKQEAERDGSTSSIASSPEPEPAAYTQDNPQQQQKRKGG RKPIYATSEERKQRNRQAQAAFRERRTEYIKQLESTIKHHEETLQNLQQSHRSAADEC LMLRYKNSLLERILLEKGIDVQAELQAKTGSPNLGPTRQNAPTTASPNQPPLQQRAML NRQQQNRRSMGGLPKAEGVPGLPMIQPDGAIPNRSPLSQPTPGSHLPSPVASATRSPN FVAHGTSVSPNFGSIPPQQQPQRHQQLQPQPPRSQFTPIMGPQRPSVITNQPSSNGLS SASTGSGASVMTQSSTAGGTQAYFPTPYSEHMTQLEQEYDEQNDMLDQEDPSDHSAGP GPYPNPFQSNAMQSQNMQPPMPPAQNPPYTNNGPVAANEANHAFNGMNHLFDPYDPML DADPFGLSASMHFPTMYESR CC84DRAFT_1164769 MSIASKNLYELLGNDPELDPERATPQPPVKTVDKPAARTGKRNA PAEAPARSGAATTSSRPPRDSGNANENYIRDRNVGADKNRSRGAGFDREGGDAPREGG RGRGRGGRGGRGGRGGARGDRQSRGVVGDHEKQVAHGWGATSGEGELADETAGEAIAQ KDEKEAVAEDAAPEDVAEPEPEDKTKSYEQYLAELAQKKLDLGEQKVRKANEGSSAKF PEGKAVTREENEEYFAGTGGKKQRTRERKEKAFVELDGDRLLQPPPRENTGGRGRGGR GRGEGRGGRGGDSRGRGRGEGRGEGRGGGGRGPRGGAQSGPNLNDNNAFPSLGA CC84DRAFT_1071227 PCSRCNRAFCVPCLKDMFLNACKDLSRMPPRCCNQIPLHHARPY LTAEEITLFKAKYEEWGTPSPFYCPVARCSTFIPNRMLPQANENGKGKQRVDSGIGTP TRPTVTCPKCEVDICTNCRSLAHANEICSPFNFGVDKQTADLLQKWGYRKCPKCGQGV KRMYGCNHMECRCGAHFCWGCMQGRDNCLGNCDDEDEDDYSDYEPDQEEYDELEDTVI ETSPTSARVRNLDGGSSRYWEDQNYDFGNEPGDNYADRSWDCYHDF CC84DRAFT_1091935 MAAQLRRILQLNSSGADVDAQVVKEKNAQIGDNFSVEGVTVYQD ANGAPVEQVSPLGYHVGWYGILFLNVSQMVGTGVFSTPGSILRALDSVGMSMMYWFFG AIIAAAALAVFFEYASLFPHRSGGQVVYLEQAFPKPAFLFATMYAFITVIFSFSSSNA VVLARYIYRAAGYQAGEWANKGLALASYTLLAFFCLISNKWSLRLMNLISAVKLIILV FIAITGFVVLGGGTHIKDPRANFRNSFDGITNNANDIVSALVNINFAYTGYSNAFNVV AEIKNPLHTLKRVAPLSLIIVSILYVLANVAYFAAVPAAEIRESGELAAAMFFEAVFG TKAAKGLPALIAVSAAGNIMAVIIGHSRMMRECARQGLVPWPHVWASTRPFGTPFAPT LLMWFLTVVVILALPFGDAFNFLVDLRSYPDSVFLFLMVVGIYFIRHRRKREGLPPAP FRAWHIANIISAAVCLFILVMPWYPPDENDYSFWYATYCAVGIGLLVGCAFYYFVWIK WLPKLKHYSIRTETLVSDQDGSVSHRLRKVPNAEVEEWDRTHDEAGNVLSEAGGARSV DGNQHLLRRVRVSDRETADGVVLETKV CC84DRAFT_1259692 MTDQNKNQNQKSYKEAAGEFYNRQYESWMPWIEDKYLSWFTKDN KASYATKQELDKTKITGNEQIDNLQDGVNNLVGGQLGKGGLAQPVGDAFSKEGVNRME RGGKDENGSYGGPLGGYGQAAADGAKGAGEGLAGGVTSGVKSVGGLLGGGKGEKK CC84DRAFT_1205993 MADRITLGRYMWERIHQIGCTSIFGVPGDFNLQFLDSIFLTPGL SWVGNQNELNAAYAADGYARVKGVPGCLVTTHGVGELSALNGIAGSMSEHIPIIHVVG QTTRAMQSNHMMIHHSIGQKPDHQLYNKASVPLRFAAAELWDLETAPGEIDRVIRECV VKSGPVYIFLPLDLSAEMVDAKLLDTPIDLKPTTDEKKQAEAVDAISKALAEAKHPIL LVDVLTRRFRAVEETRQLARRLGVPIFASNMGKGIVDETEEMYGGVWMGQVSSPGVLD EVKKADLVITLGYLPADTNSGGFSRKLDEATTIRIDPHEATVKGKNYSEIAMKTLLDS LLEALPEKPQHAISKPHLPAPRVPNDNDKTNLTQSYIWSNISTYLSAGDIILGETGTS NFGIYDILFPSHLQYEAQIYYGSIGWAAAATFGADVAHRELLTSSSHRKDKGRTVLFT GDGSLALTIQEIGSMVKHKSTCVVFVINNEGYTVERMIWGARQIYNDIVPTSYKHLLP LYNHPDPTNSYHRVTTKAEFDQVLKKPQIVNPENVQLVELVVEKLDTSWRLGAQLAVR GEEARKYLKEEGFHDAYGNWGLSEEAMGGTGVSWK CC84DRAFT_1164775 MSPFFQDATCDPFTPRNEPCLSGNYVEYAINVANVDDIKAGLLF AQEESIRI CC84DRAFT_1217726 MDTPTSNMIASPAIAKLPEEILLEILAQALHFPNGIHSDRWLHL KKARVDKLLSLPSFAHLVPEALFKYNTLIIKEGRFLSLKTGAMIPSSSCPFVLAYPDT MTAHWVKSLELQISLFIASSYTLETVAMVHYSWLKKLANLERGFDQLETLKIVIVQTG RTLFRNENASHYIHVLPELLRILETRFGAMEFPCKELQLEVLQHTCGDACLLTPGPQC HNYQKLKRLLSATGTTALTIA CC84DRAFT_1164776 MVSAKFSALLLGAGALVSAAPAPTPTQAAQLKDRAASCTFSGAN GAASASKSKASCATIVLSNVAVPSGTTLDLTKLNSGTTVIFEGTTSFGYKEWEGPLIS VSGTKITVKGASGHVIDGNGAKWWDGKGSNGGKTKPKFFYAHSMKQSTISNLNVKNTP VQGFSINSATDLTLDHITIDNSAGDTDTGGHNTDAFDVGSSSGITISNANVKNQDDCL AINSGTNIHFVGGTCSGGHGLSIGSVGGRSDNTVDGVVIENSSISNSQNGVRVKTVYG ATGKVNNVTYKDITLSNISKYGVVLEQDYENGSPTGTATTGVPITDLTLSGVKGSVAS SATPVYILCGKGSCSNWKWDVAVTGGKKSTKCSNVPSGASC CC84DRAFT_1164777 MAPRRFAPLDPARRKESDERPVLKGVVFDVDGTLCLPQNYMFAE MRSALGITKETDILDHVYSLAPAEQDEAQGKIRAIERNAMASQRPQAGLVQLMDYLDS RSIKKGICTRNFDAPVDHLLTTFLPKHLFHPIVTREFRPPKPDPAGILHIAKTWMHED GGESLIMVGDSVDDMVAGRRAGAATVLLESEANGHLKEHECTDMVVGQLDELIKALEE GFEGRNIGGEEA CC84DRAFT_1164778 MGSHVELPLTRESVIAAHDLIKPNIHLTPVQTNTTLSNLASTPQ TAEALKGTPWEGQEPARPKIKLFFKCENFQRIGAFKVRGAFHAVKRLIEREGLEEVRR KGVVTHSSGNHAQALALAAKTFDIPAHIVMPKISTPSKIAGTQAQGAIVHFSGSTSQE REAVVADVIKDTGATLVPPYDHPHIILGQGTMALELTEQASSLIASDPWLSVREGKTG LDAVIAPCGGGGMLAGNAVALHGTGVKVFAAEPEFEGGDDARRGVAAGERITTVKTLT IADGLRTPLGETNWKIVADPSYVHSLHSVTEDNIRDAMRLVLERMKCFVEPSAVVGLA TILYNEDFRRVVEKEAGEEGWNIGVIFSGGNTTIEAITKIFAPVGEAKAVREQGVVGQ DGKRVAENVAG CC84DRAFT_1217730 MQLLSLLALTGLASAINTQGSRGAALERKGIKLPQRSPTKHAAP HVKRDGTTIIPQNEKTAKYVVNGTAGAIPEVDFDIGESYAGLMPISDKANETDQLYFW FFPSANEDAGDEITIWLNGGPGCSSLEGFLQENGPISWQYGTPKPVYNPWNWANLTNM VWVEQPVGTGFSQGKPTASSEEEVAAQFAGFWKNFVDTFDLCGRKVYIAGESYAGKYI PYIANEFLDQEDKEYYDVKGLMIYDPSVAADTLLEDIPAVPFVDRWSGLFNLNQSFTA DIHERADKCGYTDYMEKYLTFPPVSKLPTPNMTNQDDGCAIWSDIMAAVMLTNPCFDV YQVATTCPLLWDQLGFPGSFDYLPEGQQIYFNRTDVQKAINAPVQPWAECQTALETDT SVDSSWVVLPRAIDALDRTVIVHGDLDFILLYNGTLMTIQNMTFGGQQGFQQAPSDAF YVPYHEDYSYESLSASGVMGITHTERKLTWVQQALSGHMVPQYQPSSGYRQLEFLLGR VDSLSSRDPFSTQKWVEQPQANATDLKVPGVKPRSNSMRMPRMY CC84DRAFT_1187397 MKYAVFTGLLALAGVHAKSTSHVEDVLVENLHRVPQGWKEVGVP AQTRKLQFRIAVHSPNHDLFEQTLLEISTPSHYRYGQHLKRDELKQLIKPRAESTNAI LSWLEDSGIPSEDIVDDGEWINFLATVATAEDMLDTKFKSYQNVNRPDVKKIRTLHYS VPKAVREHIDLIQPTTRFAQLRAQSNNIHDQEEVPFTTAAVNATCATSITPDCLKDLY NFADYTPGNASVLLGVTGYLEQYARYKDWAQFASTFAPKAAASNFTWSSVSGGLLDQR TTNSSVEANLDLQYTLGLVAPAADVNFYSTAGRGFLVPDLDQPTQDDNDNEPYLDLFT YLINLPDDKLPQVLSTSYGEDEQSVPPEYNKKVCDLIGQLGTRGVSVIFSSGDTGVGS ACQTNDGKNTTRFLPIFPAACPYVTSVGGTTGVNPEKAVSFSSGGFSDLYKAPAYQKS AVSAYLEKLGSQWEGLYNPQGRGFPDVAAAGTSYRVVDKGALISVGGTSASAPTFASV VALLNNARLSAGKPSLGFLNPWLYQSASGFTDITQGGSRGCTGRSIYSGLPAPYVPYA SWNATEGWDPVTGLGTPDFGKLLKLTQGGGYGTGRRGLDGRV CC84DRAFT_1196528 MATKEATVYILDLGASMGKKRHGRDVSDLDWAMEYVWDKITTTV ATGRKTALMSVIGVRTDDSDLAGIMPVDDGYENITVFSPLKQWLLPDIRGLQGKVKPS STNNGDILSAVAVAVQQIDAATTGTTGKPLKFDRRIIIVTDGRGTIDTSDVAQIISKL KDYDPPVEIVLLGVDFDDAESGYKEEDKDAQKEDNETTLKEFVDQCDGNFGTLAEAIE QLAIPRIKDTRPTPSYRGTLTLGDGQTYDATITIDVERYPCVTVAKPPSASSFVVRTD LGGVGASIQSSNTVIGDDDQPMDGQLSAVRNQRVYQVDNPDEPGEKMNVESDDLERGF EYGRTAVHISESDANVVKLENDPSLQIVGFIRAEEFERFMPMSRTNFVVSQKTNSQAQ LALSSFIHALYEAECYAIARIVVKQDKAPLLVVLAPHITPSYEALVEAELPFEEDVRR YKFPPLDKKLTISGKTITEHKDLPNAELTQAMSDYVDALDLSEYDKDDEGEPTEYAKI EDTYSPLLHRVNQIIRWRATHSDPTLPLPDPPQILTRFSAPPTDLLQQSESQLERLKK AADVKKVPPKTKGRGKRSRADRDKPLSGLDIDALLGNPKRVKIDANNLVPSFKQALAA TDDLDAIQEAADAMADQIRTLIRGSVGDSAYGRALEALRVMRDELTELEEPEIWNVFA RDLKGELIGGKLGGDRREMWWMIRGSKYGLIDRKRCFASDVTEEEAAQFYK CC84DRAFT_1259701 MGDKLPELHHADADGTGPVRRRRPALSCVECRKRKVKCDRARPC GPCTRIQSPTCTYRPHPWNNERESPTRRPRPVAATSSEASARNDPNSPVSGLSNDSQI DLEKKDETIQQLVDRVRQLESTLGSSSNPLPLEPDSRVDPNIHQSTAGHFVKSKFYGE SHWINVLEPYDALGNSNVTVNTSTNRTEVNKDSELYVTIAECKRIARAIKASRIMQPS ILADVQAYIPSRHICDQLVQGYFRTFEGVFRILHVPSFMKEYQTFWTDPAAAAPSVLL KILLVCAIGVVFYNGPEQPRLRADCTKWLQAAESWLSAPHAKSRFNMAGTQINILILL AREVCAVDGDLVWVPGGRLLRGAMHLGLHRDPSHIGKMSVFHAEMRRRLWATILEMTV QSSLDMGMPPMISPDDYDTLPPSNVNDEELTEVGTVPLQPKPLKEFTQCSMQIAFYET LPLRLEICRLINSLRFTLSYDEALKLGAEMLASCREKLIFLQSFVGSSSPHAPNIFQV KLFDTLTRRFFLCLHRPFYVRSAHDPKFYYSRKVCLDASLMIARPTANPAEGDEEDDW ARLTYRCVGFIKSCFLHSLSTIYFELTSRLEDDQDTLLTAPIAISPAASHPQVPLELQ PYYNALVFARFATEKRLRNGDANGKGYIWFCAALARVDALLARNDPALAVLAASKKGV HDTAVLMKEAYFAEFGENIDFSQPYPFAGKDHGRGEGADDVTGIPRSVEEHNEHGGSF ERDGADFTQDMDWERLMRDESLDIGWGDVGDPQGWFGWGWDAPM CC84DRAFT_785711 MATSIFRATRLSNASRSAAPISFRIYDNRTFVSTARTMAATVDS DFISRITISEKELTNHDEPVPGGPTAQAQKHANQPLTRDVVHDITMGERKITQREGPV SRGPTSIAQSILSATGGTRNNNASPSSNASNATGATTSNSASGSTRGNHGPGILDSET ISKITEKEKQITGQDDPVRRGPTAQAQKHFGEPITSEALHDITEGEKNITGGERVKGG PTSAAQSELAKSRQ CC84DRAFT_1120565 MAFPAPPKPTSVLGRHRILSPTAGARVSPLCLGAMNFGDAWKSA LGECTKDTAFAMLDYFYSQGGNFIDTAVNYQFGESEQWLGEWMEARGRRDEMVIATKF TGGQYPHLGDKVIQSNFSGNGSKNIHTSVKRSLKNLRTDYIDLYYVHLYDHTTSVPEL MHTLNTLVSQRLVLYLGISDTPAWLVVKCNDYARQHGLRPFSVYQGRWGAGCRDLERE VIPMCLSEGMAIAPWGVLGGGSFKKAEDKEKEGTRALPVGSKSRDEQVRSVLEKVAEK KGTGATSVALAYVLHKAPYVFPIIGGRKVDYLSQNIEALKLQLDAEDMATIDAAYGFE IGFPHDFLSGGNNMVLGPEHNAFNGRGGYFDYPEGVKPTRPHEGPLDRQVASLPFAQA KAKKEGEENF CC84DRAFT_785967 MSGFQGSPPPGGNYYPREWGSHAHVYVGSRGADSIPAPPEKGGY GAPPDQSQQYFAPPPGGQSYPPPPSSPPAQQQQQQQQHYPPPPAQQQHFSPPPDHHAQ QQQQQHYPPPGGAPQQTPYGAPSPSPHPGMPPQQMSQQYVQQQEKQPQVQVQQMPSQP QFTGAGSTQTDDVGTFNGGSYRVSHRDTNTILTVQLAIGAPFTAKPGAMIAMSPTITL KGNIKFSLKKALVGGDMSKSSYTGPGELLLAPPSIGDITIIKLAGHEQWSVGKDAFLA CTQGIVTEYKSQGLGKAIFSGEGLFVYKISGQGILWVTSFGAIIRKDLQKDEKYYIDN HHLVAWNCKYVLERVASGGIISNVTAGEGLVCKFTGPGTVFMQTRNPREFSAWLAGQS LQNP CC84DRAFT_1164785 MADLRTALPATCDVLVPTPDTKVDELLPNRWSRTLISAPHAIVI PTTIPDIVATIKYATSHDLKLIPSGGARGFFVPITHDVIYLDLRHFSSFQLNEEKREV TFGGGCISGPLLKGLAEKGWYTGTPSGNGVGMTGALLGGLNHPLVGLHGMGRDMVKSF TIVPFSMPYGGELGAVTVTRDSENEEKKLFDVLRGAGHGMGVVVSATMEAHPLAGLEL EGRDKVWQRTLAFPPNAIDAAGDAYLALHTHAPPNLSFFIGFMRAPPNAPRPGAPVIL LAVSFFGPVATAEIATSITFSDAVLSKTVNATTTLTPFGDIHNALDPLNAPGGYKELH GASVKSIRASSLTRAFASFLSYTDGRPELFGTAVIFPASNTAKSESLAAKEDFYNPRD RGIFVQVKTSCPAADDKDEADAFAKSVHEIAREEDRKAGRRDWGFANNMVEGMDLKDV YTPEQIGEIARVASFWNKGGVGWCPTAAAWRWYTWSRENGGKPGTILQALVDEVGP CC84DRAFT_1164787 MPMTESCDVVRRKIRTFLDSGEMKVGEFQDAINVTGNAYSRFMG QNGPYKGMGSSVYDAAWVFFKKREMKGIKMPKKTAASMGGASSSSVPSVESIVLDFER EEKVPIFDTCDDIRRKINAHLKLPGVTQASFLHNVGAQYHPARRVQSSQLSAFRSKKG PFAGNTSVMFYGAYVYFEKLRIKQNKPKGKKREEMERLHGPYGGIDHDRPRRSYLALA GSSVTEDQYGRVHISGPRESGVFPRR CC84DRAFT_1187405 MAAVAESAERKPRKSVAFENNTTIVDSDGQVTESAAMNGDKESA ASHTAGTSLKRDDSANNDADAHMAGEDKAVDEVTDMFAGLNKKKKKKPKTETEGDDAE DVLSGLKKKKKSKKPKADTDDFEAQLAAKVGDEKEEDKEEPEEEQIEEGDMMKGTGIW AHDQTKEIPYNMLLNRFFTLLHSQHPDLASSGSKSYKIPPPQCLREGNKKTIFANISE ICKRMKRTDEHVTQFLFAELGTSGSVDGSRRLVIKGRFQQKQIENVLRRYIVEYVSCK TCRSPDSDLTKGENRLYFVTCNSCGSRRSVSAIKTGFSAQVGKRRRQQG CC84DRAFT_786154 MALWDDSVEQKYVFRSLRKMVLSNAAQITNIICIGHGSLRRSPD SMMQHIAVGSIAKELSRLYEELGKPLQEQITILAQDPSYTENDKDLLARLPVPVYACL FAMVLMAT CC84DRAFT_1090519 MASYGQTQSPPLPTAVHLHEPEFPSPPVERNGRQHGTVPAATDF APLCPAPDKTGSIHAAHLPTPRSATPPPPRHSVEESSSRPSMQKSATERPQPVVKTHQ ELKRAGTAQDMTLHMHRPKTLTFDPYDSDSDSSSDSDQDDPAGRRLSGTRTNRRKTSS SRPFSKLKFSNDHFHTKGKVSRKDGRLKLSIGETLNSGYFAKTLGAGLKKHFAGAEEL QNGAHAPVSHAKIAPDDDPMEDPQRRVRLNIVIIVIGSRGDIQPFLRIGKILKEDYGH RVRIATHPAFKSFIENDTGLEFFSIGGDPSELMAFMVKNPGLIPNLETVREGEIGRRR AAMFEMFEGMWRACINATDDENDHANLKMMGGAHPFICDAIIANPPSFAPPHIAERLG VPLHMIFPYTPTVQFPHPLANIQNSNVDAKYTNFMSYPLVEMMTWQGLGDLINRFRSR TLHLDEVSTLWAPGQLFRMKVPYTYMWSPGLVPKPKDWGPEIDIAGFVFLDLASSFKP PEDLQRFLDAGEPPVYIGFGSIVVDDPDEFTKLIFKAVEIAGVRALVSKGWGGFGHNS SAPDNIFMLENTPHDWLFPKVSAVVHHGGAGTTAIGLKCAKPTMIVPFFGDQPFWGAM VSKAKAGAHDCIPYKQLTAERLAEGIKQCLTDEAKQNVQKIADSIDKEGDGALNAVRS FHRSLPLSEGGTMRCSLLPNNAAAWRLKNTSIRLCPVAAELLVEWKKIKWNELRLLRQ YEWNDFGGPGEPVTGIWGAIVDTVGEVAMGMGGVPYNMAKSVKTRERYYEKKYKVHKR HKQRQHQQATLDRANKEYDEAKNTNGALQTSRPKPSERQESYLSNITEPDDILAVEFA REAETGLRKTGGAILRFPMRIHLALAQGFHNAPRLYGDTTVRRIPRITGVHSGIRAGR DELVYGIRDGVSGLWMQPIRGAKEGGAMGFARGLGIGFGGFILKDVCALVGPGAYLLK GCDEEYLKKYQPTHFIRRARILQGRKEVAGLAHASDRASKAGATKQRAEERRAEKAKR WDVEKQVSTQWKMLQPQIREERKHTRSGIQAALLGQPKMKDGTPVPRKERKSMQAERE KAREEGLSKARTQPVKPADGDVEVEAGPDVPRAETAPVALERYKEKGAKKKRWRFDSP HEHEKREAKDAALNSDTVPNGGAGFVNGDVGSVRAL CC84DRAFT_785695 MATSSTGLTGLSAYIKQSPPLDFSIPFEDTWVKDRTIVVTGGAS GFGAGFVRRWATNGAVVVIGDVNVEKGDALAKAIRKETGRESAAHFVHCDVTDWQSQV NLFKEAVRLSPHGGIDTVVANAGIQAKDRLQEPGDLSAAEPQSPNFATMDVNVTGVLY TTHLAYYWLPKNPGSKPCNMNSVPDKQRRDRSLILLGSVASLAPIAAAPLYGTSKHAV LGLFRSLRATSHMEGIRVNIVLPYFIDTAIVPPVAKLLLAGGAMGKVEDVVEAATRLV ADTRIVGRGIVVGPKVTVKQDDTGEFKLVTKGTEGSTETALWEPYADDWEEVDAFGRR VVKILNAVEQARGWYGWITDVVKLAGHRLFGVGGGS CC84DRAFT_1187408 MAHSSPSHLIATLFYKSNQPHFFPHPDASPRSPTDALAPASLEA LRDGAAPTDNLDAYPLEPPTPGPNPLDHLYGSYISQSCLTDFFVLLRNIIPGIQESAI TSRQLSEEKLARVVEVRFPAAGADLETLRRNELVSRFEREWNLEIVWQADTVHRRYKR LAVFDMDSTLIQQEVIDLIAALIGVEDQVSAITAAAMNGELDFEASLRARCKLLKGVP SSVWETLKLCITLNEGVSELITALKRLGFKTAVLSGGFTPLTGWMGQKLGLDYAFANH LVVSDDGKELTGELTGEIVHAEKKRQHVLEIAEKEGILLDQVVCIGDGANDLPMMGVA GLGVAFHAKPKVQMQAPARLNSKSMLDVLYLFGISKEEQEILLDNTQLA CC84DRAFT_1187409 MLFQSAILFTLGAAAAAGGGGRSSTSSSSSSSSSGSSSSTDCST WSRVASDLGTTFQGCGPAARGAIRAPFHDCINNGCDGSLILGDECGRSENAGLTATCA LLAEKAKQYSVGTADMIQFAAAVAIAVCPLGPRVKALVGRTDSSTPAEEGQVPATKDP IDSILGKFAAVGMSANDVVALVGSHTAGTQSFDDPSQAGKALDSTPSKWDVKFYSETK AGTAPYSFSSDRRMTNDSSTEGSWNRFAHSQGAWASAFTSAWDRFVVVGNDVDSLNDC SSSLPGSSRRRAEGMSRPMGAGAFH CC84DRAFT_1259712 MKQAALEMWYGENTFRLGGIGFGCGENLAYPYMVPNHNAFAHLQ HVQVHVRGDHAGWADLAKYCETTKSMLRLIAFEVEFVRLAHPDAKSKSLQDAFAEVGP LEVHAEKFVLEYYGKSELLSPARALVVADRMDYREMVGVFEKISIASGGKVVKEAVQR FWYDCKTRVDVDEIWQLPPNSIYP CC84DRAFT_1164793 MKLALSISLVAAALSFEAVALPNKYSEPHPFFDKRGMPARFEVT SHETINGVKSTTVQNKNMSALYGISQAPLLMTAL CC84DRAFT_1259714 MHRFFTTLGIFFALAMFAKAFPVASPRLVEVSVPRECDEGDKVL KRSKYDVIIGSFDIFQIAAPCYGFKKN CC84DRAFT_1164794 MGYRFMPIVDPSADASTLESRSDPYARDWVIVETRLLESSFFNI LQPTLGLIKLAVVLFYRRLFVVQKRFGDARNLVISGMATLVVLWTLGYTFAKLFQCGS HSFERRPFYDFDYSTAVCVDTLMLGYSFAITDFLMDCLIALIPIPLIWKLHLPIGQRL AVMAIFAVGSISIAASGVRLAVSVWIQHVGVDPEFDEELNLTAELFWGLIELTTALLA CCLPTLRALVKVPFVSSTIRSLQSFLSIGSKSQTSSMDAPKSPSLLQFDSAVSEKGSG YIVKQSGVTVHSSRADSV CC84DRAFT_786472 MVFRHLKKVGAVLAPPPPTSDDGRDQWPSRAAFLLAAMGGCAGQ GNLLRYPSVVYNNYGLQWFIPYLLAVFLIAIPSLILEVSIGQAYRGGTVIAFNNINRH LKGVGLGPVLVSFVVVQYFTVNLAWIMSYFRHSFTSPLPWAGRLEEFYWTDVLSVGNI TEGSLSASGDLVVSYTSFPHVKIVGETLGWSVFVWFLIWLSIFRGVGMTGRVVYFTMG LPIVTTIIFVGRALSLENAGEGVALLWTNFRVDQLATGTVWQTAVGQVFFSTGIGFGY FTSYASYNQKHANAVMDAILICGSNVLFENFAAFSVFGVVGYLRRWPEDGVRLGAFVV GFLTLPEAVLQMPGANFWAVLLFFTLIVLGFSSAFVMLDVVVTLICDSGMTKLSRPWV VTILTVLSFLMCIPYCTEFGYYLLDGVDRWVNNVALIFVVWTEVSSSTTVYRWSDVVE QTGLPAFAIYNFGFFGGQVFGIILAHGVKSPGAGACAGVGLYVLCAIIAVTVARRPDV AAPRFTRWDIWNLNAAVRKFWYLAFYSGNQIRRDLNLIVGVGKNWKIPSFLPILLRYI SGPVLAIIFSFAYPEFHTLRYDPMMITGFIIAHLTMLAIILGYAIPRYYDALIPVERR GEGTESTMAMETKGELGGRPIANMAERGEALPEYESDEDRARAKVAK CC84DRAFT_1246155 MPHQVAPPPSGEFQVVLSKTFSGTTTHQIEVIGEHNRSAIIQRT EHSGSGSSSEKTGDVPADDVQELLSLVSQLRGLPSHASKDIFGLDTKVDFNTFELQWS NGDEDSAAGAVNELASEQKDDFKRIVESIDALARTFAEQDSAV CC84DRAFT_1146785 MAFLRLLERKPDGAIVFRETTSSDVPAYAILSHTWGKGEVNFQD MEDSVDMGKTVSKAGWNKIQFCAKQAAADGLQYFWIDTCCIDKKNAVELGAAINSMFR WYQNAARCYVYLSDVSKPDGVNGEGSWKEALRTSRWFTRGWTLQELVAPRLVDFFSSE GERLGSKLFLESEIQNITGIAKNALRGSALSSFNIKERRSWAECRNTTIEEDEAYCLI GVFEVSMLPNYGEGKAHAFRRLEEEIHKLYKGVDFDQYAVALNLASIPEAAQFVAREE ELSQMHEQLYGHGHTSRAAVVLHGLGGIGKTQLAIKYIRKHKEKYTAIFWLNANDEDS LRLSFCDIARQILTRHPLTEVLCNVDLEGDLNRVVSAVKAWLNLQDNTRWLMVYDNYD NPRTASYSDRSTVDVRHYLPESDQGSVIITTRLASVTQGRRIHVQKLTGLEDGLKILS NMSGRGDIEDDLDAKALVMKLDGLPLALSTAGAYLEHVTISFAEYLRLYEASWLKLQR TSPQLDSYQDRSLYTTWQVTFDRVQKQNAASAQLLKLWAYLDKQDVWFGLLRHAYSAD DEWIQKLTEDELSFTEAVRLLCEYGLVHPEPSLGQLSGSAGYGVHSCVHSWTISVLND GWDDDLARLALVCVASEVPSTDIDQWWLLQQRLLQHAAQHEHSITNGTIDIVGMEWAL YNLGLLYADQGKLAEAEAIRALQGYEEALGSTLLLSYLPALHSMFGFGDLLAAIGRED TAKIMYTRALGGYTTIQGPSSKWCKQLRDRLETLQATSLDPKDQEKAAEVEATKSRSL KRIIRKFKKLDTG CC84DRAFT_1092099 VAFRYAGLWPIISKKKSRPAALAAIDAWDADDTKALIMILSSVH NDLTQQVADCETSPTAWDYLTSRFDRDTGQSSIMLFHSLTNLWYRDGDNLRLHLDEFH QRWTWISKRSASLI CC84DRAFT_1217753 MRAMFNDDNVKGSFFLATLPDTMDNVIDNLATRQLTAFQDIEPK ILDISEKHSLDTTNSLSAYATRQTAARQQNAHVYTNCNELRKHKEQQKKMPSKGKDAA GKRNKRQKGNSAKVVNNEVDDDDDDDSSTTEVNGFAANVVNLTTPLLTPTASPPQINA NGK CC84DRAFT_1246172 MVQTRTKNYGHPAPDIEPSWLDVRTKEPGERIIPDQKSVLRRAS IYKNLSASAYVSGHGRSRSGNPNAPIIVEPVSSSPLQSQASDRSSPLQSVPENDPGND SPPSNGGGPWPGPAVIQCDDFGHCGTTHCTLPLRQCLWRIAPQAGVAPAPGNPAGQPH NALTTCGLCRAHRRLYHLSVIRKYAKRERSTGFRARLCRDCERDEAKLYWDRHLRPMP WTTSSLQDIVSWPSPQNQGNQDLCICVETVNSIHFTTLGGPSFLCCGCRDKYFLDEIV GPQRAVETMLINKKKALIKGDRRLANNASDKISQSRYNNRYARRIGRACPCGNESEPI HVGQPRPYIVYCQACMGVRIDGQKLPPDLLKDRLAPRRNVGTRNGTRWGKTKGPQKAP RHPDFRVNIERGWLKKQDINDDLIDGI CC84DRAFT_1090564 MWLRPRASDGADSITNYVNPEKELNAGLWSLFAGATVFLGLRLY CKITRRHGLWYDDYILILAWSMLLLTDAMISHQYATGYVPKNGSKWDDRMHILINISS CTNVLGQAWSKTAFGVTLLKLTNRYQQWIIYFCIITMNVWMAVKVIFQWAKLCDEKSY DVWYRLDFCIDKTFRDDFKEAGNIYNIIMDFVFAFFPWWITWKLDMRKSEKIGLCITM SLGVVVAIVAAVRVAWKDEGNKRDPYYYQRNGISNVWYSSEVAGTILVQCIPVLRPFL REVQRSFTSKRLPSESTTPNRSWAWTVSKKRDSTIYDTPQIHMDFKALEGTGGTNGLN FGFNETKISSNAGLELETIRELESEEKGGITRGRSFRTVTSKRSEHLF CC84DRAFT_786616 MFRNGRWWMLSFLSPRSWDANSRETHAAQCTDSGRHRLSTSWTA PCCAFVACSARAVERYEPRRDDSTTISCCPTLHHRSNCRQRVHDNDRWVVKTPFCFVK SWWYPTRVKLCNQTSERFAPSLHFPHSSGVEIQRGLRTSRLSIQGLHVCLRTHAAIPV PVNLGSRFHLDRHGWGAGESCRFLHVVRTTTSRTVLVSTPSQETKSLILYCFETFQTR QTRSDGYQCVQRGTGCAFLGSGLGWTCVVDGK CC84DRAFT_786601 MVSENTSVPPAPKLALPLQHYFQSKSQPKPQEAPVITQKDTKRD PEQELREILEWARTLDGREDIRTKPTSERMALLEGPVVDLICNDEVVGEMPLRLLVAT SAVARNAFIEKDGKKITSFGITQPGVAFALKHLAEYLVSVMKVKTRYYALAATTCAQD IDLLLVADTLGWSRYVHNLQNYWWAKLKNENVSTMGFDAVSAMDERVMTHTDHFKILS LFVDNFASDPKYKEWRDKLPNIEAAVQRREAERAERQKELKVQRRQEKKAWEEAATKQ CC84DRAFT_1176528 MISKSILTLMATAALLETGLAVPLVSENIGLDLVTRQTESPAVY FKTFSDSPHCAGNARMWSYDDGSYDDGGCHSLSAYSMEVTWFANTCRDNLAFIYRGST CEHEPTEIQVQTGTCYDTSLYHKFKVFCH CC84DRAFT_1217759 MALIRLLQRRPDGGIVFRETTNSDVPAYAILSHTWGEEEVIFQD MKGGVDMSKAASKAGWKKIHISLNGMCYKLP CC84DRAFT_1206013 MLPSLLADTYHRYKQDTDDIATWLATTARRFGFSSDILTGNSKI SAQQKSKRLKGKARKEGKQTSSTDTSLKPSTFAPKYTIAVKDFITLAEFLAPKLDVKV PTPVWETIERAISLRQGHNDYHAQQQTATNGIDDGHAYFLGVLEKVRDVLKSRRQTGP TPPQGQTTPSSDPTEDVEVRLDNMFAALTVEEPSEGFLNAPDIAPATKSDKATAMYIV EPSDDPLELYLATAAMLQDCSRIRNAIRHAWRSYSQRAVTLTAAAITTDVGIKIIQEL EEQFMTDHPSESSTVNARGKFFAVQCVLQGQDPSARLRHDDPINFTLYELAETSLVST HSLVDAFRRVLKVNDLPLYKPGFYGVFDPAKDRASMTGGEKSDEDKVLLLELLSDIVF FHQANKAGQLKATDEFTKAVALLKSQDRHTMALDFAAQIHLDIQQCLRGQSAMGAVDL KIYVMACKGSLNQNFEFHANLRVENWPRENDQPLRMISEVMERWLLKDTYGELKAKNL TALGIPLDQLPPSYAFFKAHPWLCGSLMFGIKLDMQEMGLALLNAWGSAKFTAQIYNA IRQEKFLTKSWQDMDLAIMIHGEPAMFVGDRPKNMDDYFQRFALSMGYSAQNFAQGGK RKHSRPIVSNKGPRGELKLKDAIPVAYELRFGYSNFESKTVSDPEKVLAKVLEIDYEL HPEVVDGHKRHGKRHTTPEALTMICQSLASEDLALTFDHFRLHRQAWRLLRAIKDNVA DDLRRIYGPGYLETENQLPFVVGYIFMTAVKTKKLGALLLPKKEDIVSSKLLMKASEA LEGMIDSGAGGLEIKILREKYGMEIEVEVEDANGVTITTAMAGMAST CC84DRAFT_1259721 MRKHWQKAHHWSPATRGGHPSQAEQKRIALRSKQRHRHVHYQRL FVQGIGSQYSEVHRPESTPEPMDSDTAWARVVRNMDQAWARVKKRAETTIQNGMERPE LLASVEAPEAEEEPVAAAMWEAMDGLVQVSQALEGRQPVPPILSAVIKVARFMIVQQA LELSELFKDDVDGNSTYKSDSKSTP CC84DRAFT_1054700 HFKHLPEYSLAICRECRHGVLPSQVPHHLQRHHRVHRKEADSIA EEVGRWAGLIQYASQLEVPCEAVDPTGQLPV CC84DRAFT_1217762 MERGARKHTTDEIAVQNSTSLAELNKENQAWYFEYQLTRVLQYA TIWKSVVLLDEADVFLKQRHHDVAGALEHNALVAVFLRHLKYFSGIIFLTMNRIEVFD EAMKSHIHLALGYKPPKVEMRRTLWTKFLEVATGHELKPDVEEAIDVFIQTELNGREI SNMINTAQTLARFKG CC84DRAFT_1164803 MGINNEAKPRRSTKSDVLAKGSGRVLSYEDVQELRAKRAEKDAA KEAGKGKRGRKRKNTALEAEANPQDTEAGPTVPKRKIAKVNEGKALEAREMPLKAPIA NMY CC84DRAFT_786713 MMYSPPYIFFHRQKGYYWKEGTDPTLQNLSTLNDAPDDLLQSVA INVSQPDALMTWLKTNNAAVISDLTVFVDATDAAPSPQRWCVLFDQLQREATNIQNLS VYWDSEGPIHTGLGKSVVFIRGLAQLKVKRSLEIGGFYAMHWPRYLEEKMALKPVDKN IFPGSPWVGMLKKYQRGTESRNPWVNTEDGWWDVPRRMDFTDLLKSLHS CC84DRAFT_1246202 MKTFAFSTLLAGGAFAATIPNTAAPICRFKRDTTWNPPSNLVVP LKQVWDHEISTYSNPLGFKNYGYDQVMAGKGKINYCVRWDSSKSVSAAQRTQIEAAVR KQFNKWISVLAGFEKFPYKTVDVNVVGWAVRDKNLLQGDTSSIQVYTDKDADGIPQCA ENCGRFFHQDADYSRCTAGADRHYDQSLWLTDGFEGGAGGDWGQRAGTDYFLGALNSE NIHIILHEMGHTFALDDFYDWTPTGVTNFIMLAGSATEITEFDAWMARDWWRNLKSRY GL CC84DRAFT_1120607 MSGSAPVPKLVLIDRVRAPVCFFHMSIMVAVQDPTCTGDKKDDL EAASDAPFEEHIAPTEEEAGTLRKVAGSIPSIAYLICFVEVAERASYYGAKGVFNNYM QFPLPAGGNGAGAVPRNKPNGHAGALNQGLQFASAMGLLFTFLSYTIPIFGAWLADAK IGRFKAIVWGVIIGGISHVIMIGGAAPSLLRAGKGLAPFMVSFILLAIGAGIFKPNVS PILLDQYQHQKPYIKTLTGGERVIVDPETTIQRICLYFYAFINVGAFFAIACVYTEKY VGFWAAFLLPGIVYFLLPILLVFMNKRLVKQPPSGSDLPQFFKIIGTAIKHNKYRLWG KNYWEVVKPSSLAAKNITVSWTDKDVTDVYRTLVACQIFLYMPVWYMNDGGIGAVGSN QGAAMTTNGAPNDLLAKLNPLTILIVTPILGHGLYPLLQRYNIKFGRISRITTGFLLA AVSGVIGAVLQYRVYETSPCGYAASTCDDVSPISIWWQLPNVSLGAISEVFVNVTGYE LAYARAPPRMKSIVVALFLFNTALSVALSEILIPAIVDPHLIWVWAGPAIALFIQTAI FWWRHHHLNDDEFLVHENEGEMVATNTARQISLVVDAERKA CC84DRAFT_1120612 MSSDLKPAALSRPPLNSIPPHLRARFDPEFVRYHEAYAVGRLHT HQVPIADFRANPRNYITSFGKAIADDTNVGRISDIDCPVDNGENHIKIRVYEPKDGAS RDAAKGGRPVYVNYHGGGWVFGDIDTDTEHCKRIVNGTGAVVFDVEYRCAPEHPFPTP VDDAWAGFLHVVKTQAGPFNLDTSRVAVGGPSAGGHLSAVVAQRARDAGIPIVLQQLH VPVCDMDHFDEQGRVKKEEAPYESYREMYDTVTLSGERMEYFARHYLGVPREKSTYNN PAVSPIRAASLANLAPALVVTAELDPLRDEGYAYYEKLKAAGNDAEYHMLPGLPHTAA ILDDICEGGKRWNEMVLSSLNKAYGIS CC84DRAFT_1176535 MPLSSKPEGCSGGGARWNRRGTAIPLGADALSASSQHLQTSSNV PSRLRCFSRHDVASHSSRHFDAKNSISFCRPLSSVGQIRLDQVKMVLDRQAWCTAVCA VLRHGAWSVTQIIALLLGAAPAIRHDGSAFSLPCRRPRARPRAAESRSQTRSSVTLFL LCHSSQDHAAQ CC84DRAFT_1217766 MVMVISFEPFLAPILQVKIPLLNAAIGGYTTVTRMLLESGANAN GGLEVRDTLTEDSTVERKCPLAYGMSKENVTLCNLLIPYGATLDMPGIPDESLQEAKG DGLDSMLVLLRKFGIETQ CC84DRAFT_1246206 MPAFKRPHLKVDQPESAFAEGNQRWTNKDLDPVPRHARKWGVTS FIAYWVSDAFNAATWQFAAGIISVGLSWREAIGIVALAFFIVSFVIALNGAIGVIHHA PFPVLARASWGFWGSYIAIISRAILAIFWFAIQTMNGGNTVRVMLGAIWPSFLTLENH IPESQGIDTKSMISFFLFWLIQVPFLVMHPNNLRWLFMVKSVVVPIAWIAILVWAFVA TDGGGHLFDEKSKIQGSAYSWAFLSSLTSVIGNYATLSVNQADFSRYSRVSVKWQIIY VPFLPIIFTFISFIGIASASAGAERYGILEWDPMALVSHWSSRSCRFFAAFSFALAAL GVNISANSLSAANDLTALFPQYVNIRRGQLLCAFLAWALVPWKILASAGSFLNFMSAY AVFLGPIAAIMVFDFYVIHRRRYDTLALYQPHGIYRYWHGVNWRAVVAFLVGVIPNMP GFINSINPNIDVGVGNRPYTFGWLLGFVGTSIVYVALSKVWTPKETLIERSVSPDEIY DAQSMDEGISVGSGSGEEGLQATEKGGWKGKLERML CC84DRAFT_1120614 MLFKSLVVLTAALTGVHAAPASHRVLHESRSTPVTSWQKLGTID ARTVLPMRVGLTQRNIEAGRRALIDISHPESPKYGQHWTSEEVVDFFAPSADAVAAVR AWLEESGIASDNIGLSANKQWLQFDATAAEAEKIFQTKYHAYEHTGTGKTNIACDEYH VPAAIQKHIDYITPGIKLTTDSGLPVPKAFVKKRAEGAMKFKRPVLTMPMPTNVDGNK LELHMLAAIAEANLTNCNNVVTPPCIAALYNIPKGTKATPGNELGIFEDLNDVFSQED LDAFFTLFAPYIKNGTQPKLDLIDGAVAPVPPAVAGLESALDFQISYPIIYPQNSVLF QTDDPVYERNYTFQGFLNNFFDAIDGSYCSFSAFGEKGNSPLDPVYPDPSNSPDAYKG KLQCGVYKPTPVISISYGGAEADLPISYQRRQCTEIMKLGLQGVSVINSSGDSGVEGR GGDPTPGNCLGKDNTVFAPQFPATCPYVTAVGSTVIQNTTSFEPGNEVPTTRFGSGGG FSNIYGRDLEAPWQILSVAGYFAQKPVNYPFYQTVNNASFNANGGIYNRAGRGYPDIS ALGDKIAIVGKSRPLLIGGTSASAPLFASILTRINEERLESGKRTVGFVNPVLYANPK AFNDVTTGSNPGCGTIGFPAKKGWDPVSGLGSADYGRLRKVLLALP CC84DRAFT_1246210 MGLISSPRLGHRTPGGNAYIYKIATDESLIDVAATLKTYSPFPQ ELEFEAIQKIPWAQVQGWHNFVSDGHGGAYEQAYQYSRNLASPCNLRNAAGGQPYGGA QFPLAGFPSNHPAWSQLPWARYAMCKPRAPSNRAEDIFANQQSPSGLAGNIDDGIDMV TAAPLEARGRSRGSRTSRTRPSTAGRRKKPVEKPAKKATKRPTTGTKKCTATMKRAGK CRQVLCQVNMYRRREEEEWWHMSGKEG CC84DRAFT_1217770 MGGYPSYSVNVSTVAQVQLAINFARNTGVRLLVHNTGHDYLGKS TGANALSIWTRHLNSIEFIANYAGASAHIGSAFKMGAGTQTWELLQAAHEEDVSVLTG ECPTVGVAGGYIAGGGMRPLSSKYGLAVDHVLSIDVVTPDGKFFTVDEKNNTDLFWAL RGGGGGAFGVVTSVTVSTLPKLKMAGLTLSITIGPNGDVSDDIFWQAIDGQMSWALTP WIVPDMSLDEFKAMTADLRVQWEKLKFNVPLNFFETDNFYEAWTTHFPDDTVGVPNLR TASRLIDDQNWVNPSLLNDTIAFVQRVINNGSSLIGYNIRAATVADAPASATVPIWRD ATMFAIVGTMWDAVPEIGQIITNTVV CC84DRAFT_1246214 MVPAALCSLLFTPFFYMYIKLGYFGWRHEDVPKDYEPSQGLYWF YIAQLFYNPILALVKCSILVFILHIGRTRKGLPWMVYTGLAITVLHAISVFFAVLFSC VPIAAIWTRA CC84DRAFT_1246221 MRRRTQIAVLCCFAFGAVVTAVSIARIVSLVELFYLPSGGDPFY DIKITYSIVEPNVAISTACAPALWPLFKHYFSGPVSAKGTYGKSYGTASTGTHHHRKP SLQGGQLAITLKEYGQKGRRRDGHEELGSIASSQDRMV CC84DRAFT_1164807 MAVDVVQRNIVGALSCQRDSYLRTLETEVISCEEYTQPKDDSKS NGKKSTDASNVPRDKGIAETRTWMIEFADSVLFPEGGGQFADHGLITPLGTEKSGEIP IHNIQRHGLRCVHFSPAPLEVGSRVRQTVDYSRRWDLMQQHTGQHLLSAIMDSMDLPT LGWSMGQPGEMNYVELPRKPTQEEISNIQLRCNAKVRENLPVTVETPKGKQSSSLPKD YDGEEGVIRFIKIGELDYNACCGTHLKQTSHIGLVLLHHTQSIRGTNCRLFFTAGDRA VKLATSSINGLRDIGISLSTSAAPEDVAAKIQNLNDQVSEGRKKEKKMITELAKYQAQ QIKESLQNSVGAFLHRPDDGMDFVSRVLIELDDTLKQDRVIMLCTGDVKTTGTIVIQG REDLVSSLSAKVKEIVKAAKGGGKGRKWQGKVTEWGRGEVEGLESAVDKL CC84DRAFT_786794 MSKTLINAGEDGGLEALLIATLPRLEGVKFVTLQHEHGSTLYRL DRIISGGLEIKGVWVEGFQTLQSMAVGVPLATWLNAFQDTSLPFHIVMSLPRIPHLES MYLNHLECQVGDDATVDLHSLQIDASSEVKRLFLDNCNMRSRDCQTAIYGAPQKLKDI AFRFNTASSRRPNSLDQYSYQ CC84DRAFT_1164809 MATTKQSKVVKVEPLDHKDAKWATLVKITYTDPEGVERTWESGE RLTRPEGADIDGVGILAVLQDPSKPDDSPRILLQKQWRPPVDAIVIEVPAGLIDPNET AEVCAVRELKEETGYVGKVMGGDFGVSPIMCNDPGFCNTNLRMIHVTVDLSLPENQNP QPSLEDNEFIETFSVPLRDLWDECKKFEKEGYAIDARVGTLAEGVECAKRWKL CC84DRAFT_1217773 MGNWFGNLDLAYKYTVVFISLLLLTILAGLVKVFFDRRKLKKLA AKQNEDGEVREERMELTGREKDEGDYFGVRALEAGFYAGVAQSAPTSRANSVVGSPFM STSTLVGGGGVGNLKDSKNNSVTTLPLAHTRERNNSAIRDSDTLPSPQSEDPPRRRSP PAIRLAPSTAELTGRHRFSAAVNMNLNVPPSPSASRGPSSPTFGGSDNGESDGALSPR SQMSPTSPVTHYAPNPPQLPMPQPDGFRASFVSVYDHYQSQTASMLMASPTGTDAPAD ASMAMPGITLNDSDALPKSPVQLLPKTYQPSHNRDNSDSSSIYSEARHSKVERDASRQ STIGPLPVNGENRKTLLPPAAGDNRYSDIYDAYYRHSMIQGSSSVTDSSRSDDEHPAG SKAGAGMAM CC84DRAFT_1164813 MSTTTTTTTTTTTAAATTLRGRAMERKGALGAVETRDQREVRRR EAHEADIVIVGAGVLGCAAAAAFGKQGRSVLLLERSLKEPDRIVGELLQPGGVRALEE LGLRDCLDGIDAIPCHGYQIDFHREAVHIPYPDDLVPNAPARPEGRSFHHGRFIRKLR EAARAAPNVTVVETTVTDVVKDSYTGQILGVEAQTAGRPDYYFGALTLVADGYASKFR KGYINATPEVRSKFWALELIDADLPRPNHGHVVLSDAPPILIYQIGTHETRILIDVPE GCPTAAVKAGGIKNHMLNVALPALPPSVQPSFRRALAEGKLRSMPNSFLPPATQKTPG MLILGDAMNMRHPLTGGGMTVALNDVLHLSRLLSPSAVPNLEDTSAVLAAARQFHWQR KDSSSVINILAMALYSLFAADEPALQALQNGCFRYFQRGGKCVSEPCGLLSGLIRKPA VLVYHFFAVAFYAIWCKAATGPVWRIPYVLFVESVLIIWKACVVIGPYLLAEMKA CC84DRAFT_1146813 MSAADPNFPSGPYPAGKHIIPPPRPVSPSTAGYSLNHLMLRIKD PEKSIRFYNDCFGLHTVFIFNAGPWTIYYLGPRDTNISTLGTSKGLLELYHIPADSSV EYQNGNEYQNGGVGFGHVGFTVPDVGEALERVKAFGFEVIKPLEADKEDGMGLPERVV MGDHGKVSEGYKHVFRQLAFVKDPDGYWVEIVPQVVKSA CC84DRAFT_1094051 MIDLSRKKSRYYVELWEQSRMPACTIRLPGARLYVINETSLIPS VQRQYKTLAFPPLQAKLAMNVCGSSQTANDILNTNVNGDEGFWGYSITHYKAIHPPLF PGPGLDAMNRVMAQKITTSLERIQSSEVFRLFDFVKREVTRATTDSVYGDQNPFRDPA IVEAFWEFQEGVMGLLMGLPPSFVRDSFNAREFMAKRFVQYFNEGGHEQGSALIKARY AHSMEHKIPVEDIARFETAGAIAILTNTSPACFWLLYHLYSSPSALEDCRRELGTILS ENTNKTQGGGSGITITLDLTQAKTSCPTLLSSLQEVLRLHSVGISTRQVMEDHILDGK YLLKKGGTVMIPAPVQHQDAATWGANVHSFDHRRFFPSAKRPNPIAFRGFGGGTTLCP GRHFASTEIFAFTALMILRFDMHPVDGKWKELTTNKASLWEVTPRPDEDLVVKLIPRD GFDLNVKWEVLVTDSDKSMPLAAEDMDALRHSE CC84DRAFT_1206025 MSSSSSNQSAHGQAEASSSRSQANRQPTVDDEPSDEEDGLLDED PLASTLPEQVSFKRKQKAAASSAFGLPRFLSSPLGTSKDRASPLPRSQNTRAHHLRTT SGGSDTELILNYLDTPADAGDQLQDTKDANGLDWYVEGPGRRVGYDDLTAIDWIFEYA KERQRLRYLYSSASGMLGHVKQIADASQIWIILIAAGVLSGGIAAFIDVASDWLGDLK AGYCSNIDGDGRFYLNKGFCCWGYSEYAKCNDWHPWSTAMGIRSVGGGWIVEYIFFVL FSVLFAACASLLVREFSTHAKHSGIAEIKTVLGGFVIRRFLGVWTLVVKTLGLCLAVA SGLWLGKEGPLVHVACCSANLFMKLFPSVNHNEARKREVLSAAAAAGISVAFGSPIGG VLFSLEQLSYYFPDKTMWQSFVCAMVAAVTLQAFNPFRTGKLVLYQVTYHSGWHDFEL VPFAFLGILGGLYGGLFTKLNMRVAEWRQHRSYLKGPVTEVVIVAFVTAMINYPIKFM RAQASELVYFLFAECVDLTDDTLGLCKAGKANTGVVALLLISAGLGIILASFTFGLQI PAGIILPSMAIGGLYGRAVGLTVKQLQQAWPGLFIFNSCEPDVPCVTPGTYAIIGAAS ALGGVTRMTVSLVVIMFELTGALTYVLPIMIAVMISKWVGDAISPRGIYESWIHFNGY PFLDNRDDNSSSIPDVPAAQVMTRIEDLTAITATGHTVASLRQLLSRHRFRGFPVIDN VQDALLLGYISRTELAFALKSAVSSPRNLSPDTEAHFSHQPLSDPTTSLDLRPWMDQT PITLNAKASFQLTVAMFMKLGLRYVIFTERGALKGLLTKKDVWFVLDGVEEREGYVQD EVGGGRGVGRAQPEDEEDEGRGLLAANEDDDAGGGFGGREQVRSGSV CC84DRAFT_1120632 MADSKEQEQVFEIPKTCKAGVVVNEGPDFRVEVRDVPVPEIKPN EVLVKLNATGICHSDLHFMLNDWALPKMSDLGTQCAGHEGAGVIVKVGSQVKTLKPGM RAGLKPIQDTCGACELCRTGDECYCAGAVLTGLTINGSYQQYIVSPERYTTIIPDGVS DFIAGPIMCSASTVYTSIKESGLQPGDWAVFPGAGGGVGMQGLQLAKAMGLRPVAIDT GADKKKLCIENGAEHFIDFKETSNVAEEVVKICDGVGAHAVYVTAVQSYPSSISFLGG RVGGKVMCIGLPPAGAFHIDVDPGQMCFRKQSVQGTLVSSMGDVDKTLQFAQRGLLKP ICTVYPLSQFNEVVQKLRRGEIAGRAVIDFNTE CC84DRAFT_1164815 MTFGFSSRERKHKKLPKPAAQPYAHATLGSQSTVHTQQSHEIPY PPHQPSGYFTNPPPHFNYAVSPPPSARHISPPQQHHPVYGARPQMPQQFYQSYPASPP CQPAQPHCVEPTASSATHSPPRNDRGLKSHWDRAGRLASRSYQDLTAQVSSGAQKSVD LANKSVAVTMTTFVERPTTLVTKKSVQMINQSAALCDRLNSKLDAVITSIDEGMFSGK EQDLMVEDDEMPYASTSTLQPGTALDRSAASAVYPSQKNAKSSNMFSKVWLYSNSRLP PHLPPFKVYMPTYPLLCLAAAYSERVYTPSTLPNTESETHIPADWRSGTKAMILKSLP RDDMNTVVFAIRGSQTFMDWAVNYKSAPSSPDGFLDDPGNLCHAGFLYVARKMVAPVA ERLRVLLQDNPARSNCSLLITGHSAGGAVAALLFAHMMSTTVTSELSYLTGFFKRVHC VTFGAPPISLLPLKPSTDKRHRKSLFYSFINEGDPVPRADKAVVKSLVKLWSSPPPCT KNTSTLASMSKLNISSSSNNLAKPSPGRKVAKTKPSKASLPATSLAPTTATSPPWPVV PCTLSNAGRLIVLREKVEGSVGQEEDIEAVTVNDEMLRAVVYGDPVKHQMSLYKRRVE CIATRAVTGGGY CC84DRAFT_1196552 MTACGGSAQAMVGAEAESASLITITTSSPQYQHPKGIRLVLLTL GLMLSILLAALDFSIIATAIPAITTEFGSIANIAWYGSAYSVTNGAFKLVWGKAYQYF PLKRVFMLSVAIFEAGNVICGASRSSEILILGRVVAGLGGGGVMTGSFIIIAISVRDE YRAAYMGVVSATFGISSVAGPLLGGGLTDSVGWRWCFWISLPIGGLAVIIMTLTFRSP IVIRDTALRDRIIGLDLGGGILVTSFLSCFVLGMHYSGSHAWSSPKVWGSLVGSALSF LAFIYNEYKMRDKAMIHAHLIKKPDVCMNLIYAFFLAGMFFPLQYMLPVQFQSVDATS ASESGIRLIPLILAVSVFTAFSNGALTWWRHHKPFLLVGAFLATAGTATIYSVNKPGT TRTWIGFELLTGIGVGLCLQIPMIYNQSLVSRNDIPSVTSLTLFTENLGASLFVASCE ASFQQGLVAHLKESLPSLDPHDVINAGATQIRNLFHGGELDVVLGSYLHGCRISHLIT LSCGVMACMVSVCAAGPTVVRMVMGKLGKSHAI CC84DRAFT_787277 MDASTQAGKMVDFEWLTRKSLIPGRTDDLFVEYDFLFVEVCTRW MSAEATPELELAAFGRILPLAPHLAEHAERLARSPQVPFLGSQQAGIKRRDVGDVSEE DLCEALLGWFRLMSFDCRNFAKHMRPATMEQLFQHPNRCVRYLAVRNFGLYVHSADHA TQEMIKRVVGEDEVEGQWEGRTIDYRFLLLWEEKRWKELQTRLRQNRSTEGRQVPPAQ ARQLSPYTVNISGVLLPRLDGAPSKERPMELISTPTTESNLQGIAQALLGSSPLLLTG LAGSGKTLLTRHLAWQLNKLDSMVTLHLNEQSDAKLLVGMYATGAKPGTFSWRPGVLT TAVREGRWIFIEDLDRAPNEVVSTLLPLIERGELLIPSRGETVRAARGFRIIATMRST LNPRGQQIIPRQNMIGHRFWKSITVCMPGLDEFEKIVSDKYSGLGKHVSGIMRVYARL LELYSDAKFSSENGTSLRALTPRDLLKWCDRIAVLLAQSSSFSIAQLDDMFMEAFDCF AGSLRSEQARARVMACVAEELHIDPQRRDHLLSNREVKLEAPAKNTTSGVLRIGRTRL SRHKISKRNITSRPFSTNGYTLRLLEKIAVAVDRQEPLLLVGETGTGKTTCIQYLAEQ LGRNLVAFNLSQQSESGDLLGGYKPVNVRSLVIPMKDEFDVLFDTTFSRKKNQRFLEM LGKRVAKGNWKGVCTLWREALKMVDAARKAHESQTSSPDPDGGQPKKKRKVDSLPATF LGSRWDKFATDLHDLEAQLANGSEAFAFSFLEGNIVKAVRNGDWVLLDEINLASSDTL EALADLLGGGPDGEPSILLTETGNVERVVAHPNFRVFAAMNPATDVGKKDLPPGIRSR FTELYVESPDGDKKSLQNIVEKYLGGDQVDPAIVRVSSDVTKLYLKIQELARANMLVD GADQKAHFSLRTLTRTLSYAREIAPLCTLRRALFEGFHMSFLTFLGKASEDLVAPLIK EHLFPQKSIMKSELGKPMLQPSDGRGYVREGHYWLRQGLQPVEEQTHYIITPFVQRNM NNLIRASSTRRYPVLIQGPTSSGKTSMIEYLAKRSGNKFVRINNHEHTDLQEYLGSYI SGADGKLTFQEGILVRALREGHWIVLDELNLAPTDVLEALNRLLDDNRELMIPETQEV VRPHEDFMLFATQNPAGLYGGRKALSRAFRNRFLELHFDDIPVEELTEILHRRTMIPE SWCKRIVSVYQELSILRQENRIFEQKSFATLRDLFRWAQRKADTIQDLANNGYMLLAE RVRKEEERVAVKKIIETVMSKKGPKVEIQVETMFSEDYSPEIKLCKEVTGADASVVWT KAMRRLFVLVAHAIRNNEPVLLVGETGCGKTTVCQMLADAFGRQLHILNAHQNTETGD LIGAQRPIRNRAAVEDLLYRQLQEALGPHLQLREVAPGLRELLEEYDRFLAERPDAPE LIPATHREEIQANRIKAAALFEWADGSLVHAMKNAQYFLLDEISLADDSVLERLNSVL ESSRTLLLAEKGPVDSLVTATEGFQFLATMNPGGDYGKKELSPALRNRFTEIWVPALS DDEDITQIVQSKLKPSAVHYARDLVLFSKWFNNKYNTSAASSISIRDTLAWVTFINNS PSDAPIFAIMHGAAMVFIDTLGANPAGLLAISAASIDEERKACLDHLSELVGEDVSPM YFGSIAISSTPELLQMGPFSIPKFSATTAEDVSFSLEAPTTRSNATRVVRALQLSKPI LLEGNPGVGKTTLVTALARAIGKPLTRLNLSEQTDLMDLFGSDVPVEGGQAGTFAWRD APFLKAMKNGDWVLLDEMNLASQSVLEGLNAVLDHRGEVYISELDQKFHKHPDFRVFA AQNPHHQGGGRKGLPASFVNRFTVVYADVFRPEDLSLICRKVFPGIADEEVQKLITFV AELDQQVVTHRAFGSLGAPWEFNLRDTLRWLQLLTSSQFSGTARDFLDTIFVQRFRSE TDRVRLLKLFESIYGQHEPRVTLYHNLSLSTLQVGLGLLSRDHAITRADPTFTLRIAQ LAPMEALVVSIRQNWPVILVGPPGSGKTSMINQVASFAGASMVTFSMNADIDAMDLVG GYEQVDPSRELHRFMLTLEDFTRRALSSATNPTFAATRLLELLSNYALSDTQEILVLL QTLAQENPASTELAKYCQELEVLVNTPQQIDGARFQWVDGILIQALQQGKWLVLDNAN LCSSAVLDRLNSLLEPNGYLSINEHSTADGEARMMKPHPDFRIFMTVDPRFGELSRAM RNRAVEICLLGEEEVAVSSEGEPAIEHPLESRMYRFRELCNTTATEVTQTQLEDLSIV DTDLLQSFEKQVSKGLLTNRGNQSTFVNGTPVINTTSSSLHEQLQFLTSIADPQARSL QKKLAGDEKPSLALALMSYHPLNNELILRHNSSSEALSRWYGSIYEVLLDCFKMQKAL AALPSTKFERRKELQKLPAFLYAFWQGMASCIQGITQGGDAMDLLDIEGLTPKLLRQL FWSFHSLMTGSNFDRATFQTYLKMLSDVTTGFNKVPAAVAVDLGSKLSKEVAKFGSEV QLSSGLGMEHVWRYFKPDTPKQHVQLAAVLALEKLADRLDAIMWKSHLKLDEMIQIRE RFASSSELVRTQDVDANELVTALTNAVRELEESIGEDDTTITPYFEAVFEGLCQHLDI AQSLIPKNDRRYISPNAAVPIVRAKSSLLARRPTKLAKLADEPMEQTSAWGNMDRLSR YIGLHKQSSQPVAIEGCVHTALLSQLHASDEVQLAQLERFEAEIQVLAQELVLDSERF TSHQQGALDWLYRNTLNGLYASHCIDVISTVDQTSLEFHPTASISEAYLGALAPLQQC YRHASAVNKIDSQAWVLLGLTGLNLYVPNYPHDPALRPMIERNLFNEERISLLGALQM LREFQVGFTGQDTSFRIRQTENAIRDMGEEPPVPAIVRPQVSELDALQGEFSNLLSVI RPLATGGMSVKEAAQDNTLKQNISHIIRRLAEGYRSYDDITSPAIGFLVCLSIGLSLG ATEQEEENDASRSLAYITKYTPFFGFHVYHTRNINSEILIQDCEAELAQRQNAVDLRW HALHSLAVIKAVDAPYLSVDKPRQLIHNLFSSFYSEWKIQLQKDQHDEAKNSSLYTYR GEDEDGDEEDRSLFPDYDDEESLQTGHSSTSRDHAIRLANMHALILLGSSSTTDGIER LLDWCAREMSRVAEGKAYGSRHEHALPAIYLALEKKADALASSGQGKAYNFYFDANLP EAKRMMALLHRIQIRYRQIRNIWPEHATLSEVLRTCDEALEFRHVDPVAKLITKLEKL YGYMYEWQRVASKEFSTAPLFDDLTSLLVSWRQLELTTWARLFDMETAKCRDNANSWF FIAYETIIAAADSIDNEPTMKVFAKDLLKTLEGFFYATTLGQYEQRIKLLRQLQAHVA MRAQDNSLFEPLSTALENFISYFSRLQKPVLEALVKGRQKLEKQVVDVIKLASWKDTN IEALKASAKNSHRKLSRSVRKFRALLNQPVSGIVSAGFPEEIISPEAIEMGGVGTAVS PTALALSDTSVQSWSARPTRFKNLAVTVPLMRKLTTPNTEAMDGAIYIESFIVDLESS MVELQKATPTTLTEETKVAVQHLKTRKRKVYADTMKELRQMGVKANLSTDQLARQEDL STVLAALPVVGAGQTEFFLHKALSIMNEVRGIAKEHHGDLTGNDVTRSIGYLEGLLHT SVRQRGFLSKASNMLAQVEGPISKAAEFSSMHEQDILRVSKAKTDATATVRPRLAWLC AMLDTGAQVVAAQAKLGKTNEVDALVLEMREWSGQLRRSVDDFDKLPALPSNIWSEAH THLEQSVKTQLGHFREIFNTWTERFPISRTVLQHVESFLFNYPDATAQVVSREKRVTI ESHAKDILDTLDSILGSMQDVESALKDLPTSIDDAGWLLREEKALTAAIDALYALHIS SSIIKLLDIAHLVSEDADLRVIATLFATIQPLLNQYLASYKSLVARFSALHTSTAKLL HRLAKSFIQVGTQGFCQPPEKSHDQDDKTKDEKLEDGTGLGAGEGAEDISKDIEDDED LEELAQEKGEREGSIEDQEDAVDMGDKEMEGEDGETAEKEEKGDEEGEEGEDDVQSEV GSVDDLGPSAVDEKMWDEGGKEDDLKEKEGKEDVGTENQDEQVAAGQEQKDGKDDKDE KNEEKEEKEGGEEDEMEMEGEDQEENVGVGETEQMDPHAKEEETLELPDELNMDGNED DDEKGDNNEDMDMDDDFPEEDMEAEAGVQPDTVDEEIGQEQEGEEEKDTTGHVEDEEM KEGEEEQESEEGPEDDPVPLPDETAPEDMSRDTKDEHQPDQNAEAGAGADANEEAHKN QQEQTSASAANRDEGTEGESAEQQETTADDGTLGRTAQPDAGGHGEQPEESPETQSFK KLGDVLERWYNQQKQISEARQKEETQVQQIDKEVDMANADFEHLQDEEEQADTQALGT ATEEQAKALDHDMAMAVDEEEKTAARPEDTEELPDAQQDVDMQDNQPPPPEEQEPQQQ STTDGRPQAFVGEQKPFLNEEDADMDDAMPLEDDVSDGSSVDEVETKLDLVHLDDVSA LTPESARALWLSHEASTHSLSQQLTESLRLILAPTLATKLRGDFRTGKRLNLKRIIPY IASSYKRDKIWLRRSLPSKRSYQVMIALDDSKSMAESGASNLALKTLTLVARSMAMLE VGEVAVTGFGDTINVAHDFDKPFTSEAGVRVFEQFGFAQQKTDVRGLVHKSLDLFAEA RRKGSSSAGEDLWQLMLIVSDGICDSHADIQRLVRRAQEERVMIVFVIIDSSAGAPAA AAANAPDGQPEAEKENKGIMDLQSVEISPEGKVIRWKYMERFPFRYFLVVRDVRELPG VLSGALRQWFGEVVGSV CC84DRAFT_1146830 MSAPASRALRRCVCTAKPNASYLRPTAAFAQQRIARRWQSSDAA APANPKIATIVDQISQLTLLETADLVSTLKTRLNIPDMPMGGFVGGPGGAAPAAAPVE EEEAAPAAAEKTMFTLKLEKFDAGAKPKVIKEIKAMLGLSLVDSKKFVESVPKTMKEG VPKEDAEKIVETLKALGATVIME CC84DRAFT_1120650 MAAAQDSKGLTLTVLGSGTMGIAIMGGVMASLAAAKTQAALSDK PAAKDTPNLANFVACDAWAPAEEKVKAALGHYNFPLTTLTNNNLEGIQKGDVILLSCK PHIFKDILGEDGVRDALRGKVLVSILAGVTQEQIEGFLYPDGPPQDACRVVRVMPNTA SFVRESMSVIQTSTPPLRPEQHKLVEFIFSSIGRVVTLPPALMDISTALCGSGPAFFA LVLEAAADAGVAMGLPRAEAQIMAAQTMRGTTGLVLEGGEHPAILRDKVSTPGGCTIG GLLALEEGGVRGAFSRAVREATCVASQLGQGVKNVNGTRR CC84DRAFT_1164820 MDPFEVRIRFTSHLTHLTASHTTHQRAAIFALKNRSMDEDLHSC ILEQLETSTLNTRANIMYFIETLTSLAKQEGALEFVRMMQRDILRVVDAVVPGDGSGA ANVRVVRKVLGGLGDKGVLMRDTVAELEDILREREREGGEGNLFVAPAGDQGTPRRGT GVRLDKRQIEQRIEEDRERHKRARESIWAVPGENEAEMERLWEEASELGEDDWIAAQE DMLERRQAVALG CC84DRAFT_1206033 MATPAADPKLAPLADELKEFALTLERGVFPKGFFCALCDQLAFD SYKLLCCNKVICTTCHSKLEFPTTCPSCDHSPVEADSCPPNKALRNTMRVWLQKQKKK EETKAAEAKAASEAAATPAVEPTPAPTEAQASAQAAENPVESIEEATRTEDGTTDASA AEQAAQGDAGSAAPQHDEGSATAPDEPQQEGGQDAKDAEDENKSADSGQDQDNAQNAN GPNGQMFPTGGMMNANGMPNQMGFGFNGQGNFGMGMNMPNMMNPGWNNMGMTPNPPRN RAHFNRRSGYGMNNMNGMNGMFGFGGNMGMGMNDMSMNYGGNFGNGWNGMGGGGGYGY NGYNHAAGYNQSGAYSEMMNQYPKNNMNRFQGNGMGNFPQQQNRSGSFGGGHAGAGMQ HNSRPGSQAGPNKVRRFPHPRLPKTPKASHSPLIESQRSNAADNPVLRQSEGGSRAGT ADPTEVKDVQASASSEPGQEGKAETAAAEGDSTAYQATAEGTSGEAQDVKDTNSAGPE AEQSSGLNQIQTVESVEMDDQGFDPSMMGGNMQYPPQMMNSFNSNQMNYNHHMGNMGY NNNFGPRGGFNNAYGAATVLTGEPRGVGVAGAPTGPRAMREGRPNTGFSSRANNVRFN PAPSATPAAEAPAGSRSPPRRARSDESLRVKDRSPSRSRGGSQARAAAQDDVRDRSHS PRDDRREDRIGSRTPQAGHDDDEVDLRKEKRKHRSSRYDDYDERDDRDDYDSRGSRGD RTRSASADSKYRSRRDKDKSRSSRSHRDRSREHKRRPRSRSRSLIVGDDEEYVKDESS SRRKDRSDRDKYRERSRDRDRKDRKERDYERDHDDEKYRSRDKDKEKRRRRDREVDED ERDYVEEKYQSSRRSRKDRDRDRDRDYEKEPPTRAVSPPVNAPTGPSADNFSIRGASR PKTAKPMAPPQPPTGPRAFMPPKGPAADRDRDRRHSRKGSISSSVPTTPTEAAPQDHY AAEREKNARARDMLERNAPPDRSERPEARSLHSRISSHSHHSSSRPSLSSKRSRDDYD DEERDRDARSGVPTGPASHSSKRRKSGDTGGTDLASVLAKGLRKKAGAPRRGGVKTEG EAERDAERVERERDGRRW CC84DRAFT_1259740 MILSQISYLDCIVFLVFLVPQLLIQVGLIRTATWVLGALPSLAL QVFVLPVQFIRERYFTPYEHRSAFVQRATPFQDFVIRCVRYAFAFMPAFLGRVFFSKG VSLPFLRFRMLRHGIVTSPLRWNEINRPGLKGIWITHNKQEQPDIIVYYCHGGGFAMG SSFFYMEFLLAWVALLKEAGYRNPALFALEYTLVPDAVYPTQLQETLAGYEYVLSIAR DHTRICVSGDSAGATLMLSFLLYMTDHPELRHQRPGLAVMISPWVTIISKNNRNTQSD YLNATSLELYGRQYIGPKVPSDDPLVSPGYCKDMKKWAAASPEKGWSFLYGSEEVLGS ETRALISLLKKAGTTVDSNEQPGGIHAWPVASLYLGETRDARLHGLRDIVQTIRSRIW CC84DRAFT_787319 MLVLKNSISLHPTRNFHWGSSFNKKSAESCYWPARLSKNPHSPR PPHQHRRGNSNPSSLPAFTSLATLSRSCSSKYATSAWSSAFLASSADTVRRISDLSDR FDAGVLPTQLGQSTNAADPNMAKVTLNPMRTDVHYLADWLHIETHSPT CC84DRAFT_1246247 MAPRKRKSVPASDSSEADRTCEYCGHKYNNKSAYTAHCISSGCA VLNRGASMWKCFRCNMRSAHRKTIVEKCWETCDECAEAGLEKCDAVKQVVACTSCRRS GMACTKLPKGQVADGGPVEIAPAGSGQDDESENTQPDASYAPNASQDISRQDPPQLPA VEVSAVRDQDEDTYMEGQEDEVIGSNQEKTIHEDGNEKEGSQNESGRSISVQNDVPNR KDEEQRDVMADRPKSTEPDREARSPTSPSHTLRSHKTNTDGAQDQHPQIHIASPNPPQ SPFSTMTVVIAQPQTQTPAQIDTLPRDVSTALSPVDRAFLSGTSPEQNHHGEDIQTQP SPAKEHPARGTTPPIPLIAPVEVSKPSPSPGATFPVVRDPSPLPAV CC84DRAFT_1217788 MHFSATLLPALVALTSAAAVPRSTYGQWTVSATVSPDHSVYVTA KYTSDAYPDDKYMNRSCVENPFATPPVEKRCDRTDFTYEYDARSKFYQYLHLPQERGR ESRR CC84DRAFT_1164823 MATQTPVWFITAATSGFGHYLALEALARGHHVIASGRSLSRLSP LADAGAHTVVLDVTSPLPAIQAVAKDAVAKYGYISHLVNAAGYVLIGAVEETSPQEDY DTFNTNVFGVLNVSKAFLPYLRASAGHRTICNFGSIASWTGGAGAALYYSTKWAVSGL SEAMREELAPFGIAVTVAEPGYFRTGFLNKGAAVNSEKTIEAYEESAAGQTRRGLEGH DGKQVGDVKKGCRVLVDILTMSGVAEEQEVPIRVALGSDSGPTIREKCERTMRLLDEW EGVTGKTDHED CC84DRAFT_1092771 MSQDHYSRRPLIDQVHNNFDLDVSDEEEGFYAVDDGDYLLHPKW RAMITRTSTRIPRRLQRYFVIYAFAAIVLLISWRLYIGPQYAAYQAEQAVFDAAAQKE VPSSATPDFKHMLQVKDLEAKHLPKGDGRLVVVGDVHGCKNELEALLKKVGFQEDKDH LILTGDIISKGPDSPGVVTLAGKLGASCVRGNHEDKVLLSIQEAAEYHDTTIPRPDVD SVDPVPYDVEDKDVSYKNPKLLKLAKSFTQPQLEYLQSCPVILRVGNIGSLHNIAVVH AGLVPDVSLEQQDPFQVMNMRTIDLETLLPSETRAHTPWEKYWNHQQKHKPEAERSTV IYGHDRKRGKNIQKYSMGLDSGCVSGGQLTAMVIKEGGKHSFVHVKCKGYVD CC84DRAFT_1164825 MARLGAPSHTVAMSREPLQFIVLGESGVGKTSFADKVALDTQYH RYDPFAMPHGSQLPDPNHESQANSTRMKLQVDGELLDIGIMDVSLTPIRVREPGFVSS LFDLFLRDTDGVLLLYDITSEESYKHVTEFGWDYIWACRSSLPSKRFGCTLVGNKVDL VRGGDSTKRQVPKDRAEEWASMVGVNAFEVDRFDKSVLEDVLRDLVKHTDWAQRRTRE DMEVIAESKKGAMEAQEPTAQPTQKTKHKSKVFSTVSRFKNLLSSRGFGASSKA CC84DRAFT_1217792 MASIRELAQKISVLAGQVETFGNSALSNAANSQTQLQELDLINS IEEMGREILGPRYTLMEILHSPADVAPLLIVHELNLPQRIPPSGSISKAELAMWCNSE MGTRIEGAPLRRFICYMVMTGLFKEP CC84DRAFT_787433 MHCQDPVRLLTGRPKLHHHLALFHSQNLFPMVAPSWCSICTHKV VRIGPCSSKCPVGQSTNVQRGGFGEATSVRFHSPSKMPVQAASSSSPSETISKFSGVE SVDSFPQNCTNECRSGQHVYLVSRHRKTYSSCDVRWVVPANTSVSPI CC84DRAFT_787436 MVVPRCRRTLSRLLLYAVGFCQENYRLSLQQHSAHIAWSRSRGS SVQCPSWDAVSHIIGRDNYQKASSFAQGSKRMLFVWNIGTASRRVASCIRRGCIMHEP FSDKVSRRTNRASLIGSKTLDAESPAGVSQSVAFPSRRKWSSGRLNISTSFIIVTRFP QIDFSGRVDSIRSGPVAWLTKQRMVSQPTESWPGPGHHTG CC84DRAFT_1217793 MTDKLPDQAVQAADKAVEQVKHAADTVKRETQAHGGVGGNAAAA VKQADRFILRLNKLLATPGGLSAFLSTSNYILYVLAYLQPRASPLYTRLLAALKLSSS PVPLKADPNIPHPVAALAQLLSKCRTTLRLLGTLPLYAWLRSLMAGPKPGSDAVLHKI AVAQASSYFAYQILENICVLADNGIVPASFVARLNRSEPTTARLYTTAYRFWLVGVSC DFLRLAREAQNISAKRAARSQGEKGEVATRDEDAQVDKRWWMDAAIATAWFPMALHFS NVTGGVPGWHAGWMGVCGLVAGGERMRGLWAATA CC84DRAFT_1164827 MAGRFVRASKYRHVFGQGAKKEQSYDNLRISKNAWDTNLIKANP EYLSVNWEASGGGAFAVIPLNEKGRVPEQIPLFRGHTAAVLDTDWSPFNDSLISSASD DGKVFIWKVPEGFSLYSDAEEPNDVTPVAKLSGHLRKVGHVLFNPAAENVLASSSGDY TVKLWDVEAGAAKLTLKHKDIVQSLSWSADGSQLVTTSRDKKLRVWDVRQEAPAIEVQ GHPGAKSSRAVWLGEHDRIATTGFSRMSDRQLALWDARKPGEPIGGFTILDSISGVCM PFWDEGTQCLYLAGKGDGNIRYYEYENDKFEYLSEYKSGEPQRGIAFMPKRGINLHEN EVLRGFKTVNDSYIQPISFIVPRRAEMFQSDIYPPTTGLNAGATAQEWFGGKTALPPK ISLESVYEGDGPKEVPAEYKPPQAAEPIRSPSPTKTEAPKPVEAPVPSPVTRGPPPSM SDNKASLAAGASKFADKDEESEDETSSFEEVPKPVERPSAMAARQEETTRGPTLKQEP EPSKPTPAPTPAPAASAPAPAASTPTSSSTPTPAPTASGAAAGLKDFLADIKNTVQQQ SETLQRQNQIMSDQSDQIALLLKKVGTLETKISSQGGDREKDERIRELELELEEARS CC84DRAFT_1164829 MKTTLFTVAAFAATALAKTNLEGCTSSQTVAFGGASMIYWDPTN GEICSFLDCGGGRAPPKTTVPGCAQYEGTATYSPDFMPGWGEATATASAAESEKTNFE QGTTTESASAAGESVPAYETKTGASTLVTSAAVLPSGVASGTGITSGITGYVSELTTK GGNATASTGTPTQSAPAENTGAAAALSVRGGLVGVVAGVFGLALL CC84DRAFT_1217796 MKATVRDLPSKTHATVPYRRKTLPAPPLVIYFFVILFSVICTPL SIAYGWQIYTAPTAAYINNTWAATGSGLLYYLPAPFTLGLAIFSTCLYRKRSVPILYS LATACTLLAGWLVVLVWWTQCHNDLYGDFMEDRWCYQRGLRSGRGRQTYRGVGDSLAY ASMAFGCLLIIIFLLEIIGSGMELHKGRKVGVWRFAIPPKGRGKKGGRGTGSGGGDAV GAAGYGGFWAVDAGGGGGDDGGGGGGGGDGGGGGGGDGGGGC CC84DRAFT_787563 MAGSVLITGANGSLGLALVSYILSTYPDHTLLLTVRSPSSSDPN TTKLHEIIAKHPKADVRIELIDLASLKDVASYADEVAACIRQGQYPKLSAIICNAMTW SLNAGVQFSKDGLELTMAVNTLSHFNLVLRLLGEMEERGRIVFLSSDSHWPGKAGLEV YPPVIPEDLESLVKYKKDAPGEEAGRGFLRYGLSKLVGVMLMYELNRRLQKQKTLSSI CVLAVDPGGLLDSRVFAQADVPLLWCVLINIARFLQPLWRRFNPRMNTSAGAARDVAD LAVADELAGKEGHFLFRDEDESSPASYDEEMQGRLFVKSVEWCMIRQEDTVLPL CC84DRAFT_787471 MTFSQLPSLHHTKVHPFASRVQNPRDTKYVELLKEIAVDHLPLT HPLLHRSHERVGAQKNFTYDAIAELVLPDEASFQTFFGIISEPDAATRIAAREEACIV RGKVRAVVLCETTSTTLENGDS CC84DRAFT_1164831 MRSVGVDDMRLSGGSWKIGGGLVVMREEVCLLEAGTCQLYTSLL DAGLQQGLPLPVVPSALPSCAIGLRARRTPCGTTATPLPSYLSKCPSNLPLSRLSFAN GPSPASIGTQTINPSSAEPRQPRPHSTSTAAIGCMHVAFVTCSRPWAPACGIVACADG RRQR CC84DRAFT_1164833 MIQNGATLDSSALFASIHFKLPKIVETLLATGMDPNLRRPHKSK DGGSKASGVSALEEYSLWVAATQHGIAADTATDSKRKAGDTSEKKITMIPLSFRQTNW TRSLNSKRVQSYTTFWRMGS CC84DRAFT_1206043 MTTEIVSQVEAALPASIATEKPTEHIALRADSPIEQDKPVDRDT TVEQAKSVQPKQLVEETEPGVKEKPKVRRVIDEEGGTTTATYPHYLPVWDHGERYPPW EPFNHTEHGKDADPSFKDLLVEGSKLQHLTPSTGSEVTGVQLSKLSNAGKDQLALLVA QRKVVAFRDQDLADLPIQQALDFGAYFGRHHIHPTSGAPEGYPEIHLVYRNGGNGEFE SFLANRNSSVAWHSDVTYEEQPPGTTFLIILDTPEVGGDTAFVNHVEAYNRLSPALKE RLHGLTALHSGFEQAEFSRSRGGVVRREPVKHSHPLVRTHPATGEKALFVNGGFTRSI DGLKKEESEYLLKFLVDHIGRGIDYQARVRWAPKTVVVWDNRVTSHSAIVDWVTGERR HLARITPQAERPYETPYVAEAK CC84DRAFT_1246272 MTSVSVEDALPTAAQADTVSIQSIEKTNDKPPQGISKDAELVTA RGNIISKDGVVYSSNESDDSLSGNIFADLEVAAYYKDLYEKSKYECRHVFDPKATWTD EEEKKVIRKLDLRVCFWACTMFFSLQVDRGNLVQAVSGTFLKDLKLTTNDYNWGNTVF LFSFLLAELPSQLVSKKIGPDRWIPTQMVLWSIVAMSQAALTGRASFLATRALLAILE GGFIPDLVLWLSYFYTGRELPIRLSFFWTALSITTIVTSLLAFAIFHLEGVHGLAGWR WLFLLEGLITLLIGIASFFLMPASAVQTKTWYRPNGWFTDRELTIVVNRVLRDDPRKG DMHNRQAITPKRLWNSMKDYDLWPLYIVGLICFIPQSPVAYYQTLVLRSLGFSTLNVQ LLVIPSAVLHIITLLSITWISEKLNQRALVAVWQNLWTLPCVIALYAWPDLIKNSWGT YALITVLLSYPYCHAINVAWVSTNSNNVGSRSVSAALYNMMVQCGGIIGSNIYREDDK PVYRRGNRNLVIINIVSILWFLFTKAYYVWKNKTRDRKWKAMSHEERINYIQTTTDTA SRRLDFRFVH CC84DRAFT_1217800 MGFGHEHQRDDRDKHILFNCDKVSGYQQSLDKLMSADRPPPQQL AHNLLCNDWRTALSVGSTIYDFVYGPTQKMFGSFDKDSIMHYGSEGFSDKTLCTPSTP CGCPITTIDGEYIWPKSRVSAGDAEAAREIYPWPGPALPQPKRGINYRA CC84DRAFT_1164834 MSKVTFAIVAAAGAATGAAATAALWSTRKEAPATVVTTTTTTTG AGMQRAPPPPPLPVAQHPHAPVQKALVDPSGIFQYGFPGPVNDLRPAASLTSSFDRRT RNPHWVAEHITPESLANNHADRKHSVFVEDVAIPDMFRAKLKDYFRSGYDRGHQVPAA DAKWSQTAMDDTFALSNMCPQVGDGFNRDYWAHFEDFCRRLTKSYPSVRIVTGPLYLP KKEADGKWRVSYEVIGSPPNVAVPTHFYKVIFAEDGRLGGNVALGAFVLPNAVIPNTK PLTDFEVPVEVVERASGLEFAKKLEPARRKRLCQEVNCSVIIKEYAQRQKSFGKGQ CC84DRAFT_1094315 MSSWISRATESHKAQLVTTAVVSGVVVGSAILGLQKARRMYKVE TLKASIPDIDGEHHAARKGDYDDGWSAPSSTRPLLTSPDLILEQLARNRVFLTDAGLA KLRSAFIIVVGCGGVGSHATAALARSGCSNLRLIDFDQVTLSSLNRHAVATLADVGTP KVHCLRRRLEQITPWTHFDCRNELFGEASAATQLAPDAEGQAPTFVIDAIDNIESKVA LLAYCHKNGLPVISSMGAGCKSDPTRLFIGDISASTDDPLSKSTRRRLRALGVKDGIP VVFSTERPGPGKAQLLPLSDDEFKKGDVGELGVLPDFRVRILPVLGTMPAIFGLAVAN HVICAISGYPQEYLPSKNRDSMYDGILGALQGSEGRIAKDLGFNQEGLRIAITKDDVG YLIEEVYNGRSVVSGLSTRLALVRWKKPDKESFIDRRTPGQNNTYLGMNEVVCMTKEE AAKHEKEVLRGGKEPGEVWDGKVVERVRGKMEEEGRYERFR CC84DRAFT_787873 MTFDYEYSPRPPEITAKDPWISRHEFEEIVKSCITPCWLGSFPT LLGIHDCACPEDNATRLVDALPKRDSEFMIHSASDDGNYVWGIHARYVVSSFYVFSIH FAILGITVGLWVWWQRNHPGDLQGASVPVTVAGICISTFWASTGILKGLR CC84DRAFT_1196565 MRLLQYRENGELTIASFDDNELPPYAILSHTWGSDKEEVTFADI VQGSSKAKLGYKKIRFCGEQARQDGLEYFWIDTCCIDKTDKAELSHAIQSIFRWYQNT VRCYVYLSDVSKRKGRFGDMRTEFSWEPSFRASRWFTRSWTLQELLAPSTVVFFSQEW EKLGDKTSLMSLIHKITSIPYEVLNGVPLSQFSVDERLRWNEGRQTKREEDGAYSLQG ILDVKLAPVYGEGAAGAFRRLINEIDSSKRCIRDLRSTDPRDDKKRIEQIKGGLVADS YRWILDNTTFQQWQQDPHSRLLWVKGDPGKGKTILLCGIINELQEAANNSVTVSYFFC QATDSRINTATAVLRGLLYMLVDQQPSLISHVRKKHDLAGESLFNDANAWAALTEIFV DVLRDSDLRPTYLIIDALDECVTNLPMLLDFITKQSSVSSRVKWIVSSRNWPDIEAQL ERAGHKVRLSLELNAESVAAAVAGFIQQRVDQLAQEKRYTAEVRDAVLQHLTINANDT FLWVALVCQELQRTANWHVLKKLAVFPPGLDDMYMWMMQQVSESDDADICWKVLASVA ILYQPVSVPELVALVEQLEDLVDDLESVRNIIGFCGSFLTLREDTVYFVHQSAKDFLF AKAYNEAFSDGIEDVHWTIFSRSLAILSKTLYRDMYSLEAPGIAVENVKPPHPDPLAE SRYPCVYWIDHLCDSKTKFLENSGGDLQVTEVLDKFLRTKYLYWLEGLSLCKRIAKGV ISITKLWSLVQVNRLSQLVYDAWRFIMYHKGVIECYPLQTYVSALLFSPTGSPIRNLF QHEEPDGIRVTPPLSNGWSACLQTFEGHSHGVTSVAFSHDSTRLASASGDITVKIWDA SSGACLLTLEGHSRSVRSVAFSHNSTRLVSASSDKTVKIWDASSGVCLQTLEGHSSAV RSVAFSHESTRLVSASDDSTVKIWDASSGVCLQTLEGHSSAIRSTLTVAKSLDHISFD TSGLYLHTDIGPIRIIGPSDFPPFLSLVEPQDLGLALSANDVWIRRGSQNLVWLPSEF RPLSSAVSGKIVGVGVGNGRGGGRRSKMRTYRF CC84DRAFT_1187448 MATDPISLWTLSTTGLAFITKGDFFPLFWNASKASFKESTILSS FKVSGISPPDPQPVLDRFTRSQESRESSLSSLSDHNWRKVDRLIRFKLRQSLHHLSVQ NELLHHDIDGLRQALATKKKPLKLSQKGKRKASKLSLQIRKRQKRVADAQDVGEASEG ASAAPARSTRRGRNVKLQIDLSKAN CC84DRAFT_1120685 MSSNNPRGRQAIDVSAAFTGIAAVLVILRLYTRFFLIRCPGLED YMITIAMASSIGLTICIALQVHWGMGQHVTEIDPDNTVKTLKAFWASLITYNLVLTTT KISILLQYRRVFTTKWVQIACWANLAFVIGFSLWAMLGSIFACVPVQAFWTEQPGARC INKFAMFFTNAGVNIFQDFLIFLLPIPVVQGLNLNKRQKIALIGIFAVGGFVCIVSIL RLHSLVAISGSRDQTYDNAPAATWSSVEANVGIICSCLPLMRPLATRWLPGVFSSNKR SIPTGARPYATIGTSRTKRNTTRNEYALDSRPHSRQSDADIREIVVTTDVRVQVEDDE GNVSGWRTDVSGKEWTEIASSKDTERANSSTDTLVKEP CC84DRAFT_1217805 MRRPTFAVLSLLLLGPSLIAAAPRESTPHRRDPSPAPRPWRTLS DALIRRVWGLPHTQESLRADAGAARGTPGRDLVARYGEDIVLRFTIATPEEASALAEA ADILFLDVWEFTSDWADIRIAKDVLPSLLGLLPASLQTAHVPLMQDLDLAQAIFSSYP SPSGTQPHHDDHSFSPNLKPAPRLGGKGHPFFQDYQPLSVINPWMSLMASMFTTHVRR INVGVSYEGRDIPALRVGVHPTNAQQRILPRRTVLIAGGSHAREWISTSTVNYVAWTL INAYGKDREITRLLEQFDFVFVPTLNPDGYVYSWETDRLWRKNRQPTSLRFCKGVDLD RAYAFQWDGDAKPTNPCSESFPGDAAFAGIEAQRFADWARNETDNNNVEFVGFLDLHS YSQQILYPYSYTCDEEPPAIEDLEELAMGLGKAIRTSRRGHAYKVTSACEGNVALSSS SPDGAKEKRKLFPRIESAGGSALDWFYHELKVKYSFQIKLRDTGSYGFLLPSDNIVPT GNEVLEAVLYLGRFMLGQVGVLEGAPSKDTVVDERQSEEAHEEQIEL CC84DRAFT_1164838 MPLRPLRPGRSDKDLKRRSTLDPAGLFTRAGKLNKSAEHLGDAR NAASEDGGARPQSSGRVEEPRGSWSAARDENRPPTPPVQEHTPLTRRFSLMRFRHASD SQLSARAKEHADDDDAPPPVPAVPANATTTPAIITTAPTMVITEEQPPRKRGRLQQLS LRRRSFDPTSVDRPGILRKSSDKKSSMDVKRSAFGFGKSRDNLIQEPGRSSTSQRPAT LAESAHEEAEATTMSAPSLPVPRQSDSSRSDGSSTGHVSFENTPRPQKTPKPSSGRNF FGRKKQRASLFPLPMKITPPEFPDTAPATPRASTSGISTGSAHHSPGAESPPLTAIHH PRDLENGLRPSPMPSPSQLALTAANMNLSTSPAGALLRNDSQRSIHSARSSPHSPRPK ILGLRGRSSTMGSLGGLSDDDPPPTPPYATSGRNSTSTAGRSSFSNLFGLSSRFRQNS EPHSPRHGSPAHGGLGTPGMMSHQNSLNISREALVMPQREEGETPGHYLERMEENSIG KSAIASYLTKTEDPFLLAVLRSYMRKFAFFGDPIDMAIRKLLMQVELPKETQQIDRVL QGFSDRYHECNPGIYVNPDKAYFISFSIVILHTDFFNKNNKRKMQRGDYIKNSSCEGV SEDVLGCIYDNVVYTPFIHIEDDVDLKTISSKRSRRTAALKGPMNDPAKKAAREPIDP YTLIFEGKLDVLRPNIKDVMNLDDPYNYLGTAPTLDTKTLWRSFSRFGIIQIVSSRSR PEAFMSQDSQDNPQESSVGIVEMPVTKVGVLWRKDNKRKKTRSPWQEWGAILTRSGLS LFRNSSWAKNLMNQHEQHEKHGDTSPVHFQPPLQEFKQDHMIPMDGAVALVDNTYKKH KNSFVMFSRVGEETFLADSEKDLNDWLAMLNYTAAHETLGVRPRGLQGDLYEGQRNRA IRRGESATSTKTLTSPTGDVILRSGGIDKELQQQMRQARKDLMQTRISESEERLTESI KQLEGRLRDARHLQILAPIQPKSRELVIHAAGRLSAKLKWSRIEIWRMKCHRDILAQV LEDEKHPQLSVPVPTERAQSTASDQKSSRASRIGSLARLSSKTSSLTNTAKPPLSPTS IRPETKSSRDSDFGGDDMFRTPPETTHSSPNMTPATFTLPPISLSPRLSAHRPSLASS MAQSPKLSPNDHRPSISTTDEVAISSDGASDAGSQYTTPPHSIDRRRSGPRTPPDLQV DGLRLDDDSDSEHDQPPAALTGSPESRSKVRRSLHRTLRESHGSSSHRRGHKARDSAS TIVSNESESEGLARTKGSFTVHGKKASVIQFGPDWHNTPAEERLKTRKQAQEAMEEAG SADERGSTVVPDSAVAAREGAVAALAATAVEASVRVPASSEVRKQRHVSAQTITPASY KQSLEGQGSDSDAASQMSEIQEESRRESPKQSEEDLLQAATEPQAATEEEISRALERP TITVG CC84DRAFT_787990 MADDLTTSTLFNFTSHIALITGGASGLGEMAAQAFVQNGARVII ASRKESELRKTSDRLNALGPGKCEYVVADLKDKAGCLALCEQVKRRTDRLTVLLNNSG ATWGADYYDFPESGWDKLMALNVKSIFYVTVGLEPLLLKGTSADMPSRVINIASIAGI QTVDVTTGESGGLAQPGTGTFSYGPSKAACIHLTKIQASKLAPKNIMVNVICPGVFPS RMTAFGLGKFRSTLEAGQPTGRIGRPSDFGGLVLFLSSLASAHMTGNVLEIDGGQNLT GWRGKAKSESKI CC84DRAFT_1176579 MPNIGRPSKACVTCKRRKVKCSETPPKCRACARLGLTCEWKDIS VFRQQDDWAGKLVERRVKQAKLRRGDSPASGATSPTSPPEARSSPTRSTVQNAVELLA PGRPLSKQLTSDMENAALHRFYMEYAYTSGTCPFLYLVAPLYEEASTPSCLHSAVHAV SMATMARQFKRHEIMTKAERWYGKALKNLAAALNQTEVAKHDGTLLAVALLGLYETVI FSGTPGKKELNQIHSQGRLAVLRLRGPEQLGEKVGRNLFTLVYHQQLIGSFFDGGSTM DEYPSWMSQWYPETPVARLETLMHEVSVIVSGIRRALAREDAPSLRGLLERGKDMEDT LATIISDLLGSWPHPEVVLARLIGSECAADIPKQLEFDFEDLSDNDMSRYLIITRALV ANIFRAIRIQLLQALNSAVPYLLDAEVGLDDRFAALVQRSSRVMMVLAENICNDLPLA IADYEDDTQPREPRIHGRAIRAWAQLFPLESAMSVKWLSDQQKERAAQLMEYTMGILG MHMHDKATGDVDYTALVAIRQLRDDSP CC84DRAFT_1259757 MSLKALSSSCLSLMSILTKHASSQDVPFSPCPLLGPRFPIPTNL SESPIFQSGLEHLTQVFDDYVTNLNGTFGPISTTTSFSITLFSTEEENSTQPSLYEYH HSAPSVENGTLSTTEANASSVYQIGDLTTLFTTWLFLIEGGEQHWIDPVSKWVPELLS AAQNRGSSFAVDWDAVTLGDLAAHLGGIGWYAPSEKSSQVASMLGDLVLKNSTRVTLC DSSEGTCDRAEFLTHFGGRDAVFAPGSTPIFSNAAFIILAHALETITGLSYAALLDRS ILRPLNLKQTTYLPSTVEGPFNGLASTPHDLTIALKNLLRSTLLPQSTTRRWLKPASH SSNLVNTVGRPWEIYSLAATPISPVIPVYQVRGSKSVYASHIGLVPDYGAGFVILASD TSAGSPDLNAYADILASEIVPVLEQIAIMQASEAFAGTYTSISPTNMTLVVAQAKDGS PGLSVPRFQSGEMDVRAVYAALNGVAPESLSFRLYPSDVGSRAQGQVFRGVFQDISAL TDTGTPTCETWRDVNRLQVGGFGLDEFVFEMEGGEAVGLVIPAFGAGRLKKEEKDT CC84DRAFT_1246304 MLSLLIGFLLAAVGAANLPGSIWEPTECKTCPYSLCTNKKVYDR GNAIFTCWTSGTDIYTDTTWLKTTDNCYVARYDVEEFADPSELPYCGRVQQPEWPKRN GRTRYYSECYRMPYLQDRARKYYKNNVDLNLLCVARNVTGYAHEVMGKTYVGSYFLGR KLTLHSTWYKTNSDCFVHETGIWPVEDDLEDCGPLDTELPERILPETETTIPTSAPTL FPTPTTVLPNTTPSRSASVASTDIHPLSRRFLYNTTIGEEYVNCTETSNSKDRVHGVR HVYEFDQPVFPQCGTVDQFGPHPDNYTIMLFTTDFCWIRDDECWEQLLQSSLRSDHFP HCESFTDTVS CC84DRAFT_1206053 MHTRLYLFFAGIVAGNSATAASYSTPNCSALAQTTSLSAYNTSL LNTTYYPTGALNVSGTLNKVSLCEVYASVSYGTNDSLIFALWLPDTQYLSRFIAIGNG GEAGVINYDDMMEELNNNLGFAVAGGNAGHLASDNDAGVGPGAGSPGVYQPFFYDEDQ VKAWLHNAISLLTPAAKATIKDFYGNEVEHSYYRGCSSGGAQGFSLAEFHPDLFDGII AGCPANWFTHLMLSFLWNAQHTNTNETSLPATVLDFIQSRVLEACDDLDGVSDNLIEN PLACSFNVSSLACVKDDLVKNSTCLTTSQLSAAKAIYNGPIRLDSPNTSLFPGLSLGS EAGWFLPQCSAALSNAFSVPLLQNLVYQNLSYDPVTFNWGSDVDYLDSRAGPLIDAIN TNLSSFRNNGGKMIVSAGWADPNIAPMWSMQHVEAVTKNTIGDGITIEENDFLKLVMV PGGGHCGSGNAMYPYVPARYGLSAALVAWVETGHEPLRGIKSWGPPNGDDRTRRLCTW PQVARWDAEGDVDDWESYTCR CC84DRAFT_1206054 MRPLTEPETKTLFEKLAGYCGKGIQDLITAGGADDRHVFRIQGS RVYYVRESLANLATSVARDNLLSLGTCLGKFTKTGKFRLHITALAVIAPHARYKVWVK PNGEMPFLYGGNVLKAHVGRWSEDCPEHQGVIVLSMSDTPLGFGVSARSTAEARKLDP TGVVTFRQADIGEYLREEDTLFQT CC84DRAFT_1164843 MSRTIPAAKRRRLSPPEDDGGAVQPAQNKKASYLANAAKWDLEQ DYEQRPRKQKKQKEAAKLPVRTTEGWQTQPAADGAQEEEDSDSFLGSGSEAEEEEEPV VEEPKVSPKQQILEAKEEVARIATLVNEDPEEHIGALKTLAALAASKNTTVRKLTLVA QTAIFKDLIPGYRIRPLSEENMTDKVSKEVRKLRAFEQKLVAGYQAYVQDLAKAAKRS GGDAAAASVATVAITCACSMLLAVPHFNFRGDLLGIIIGKLSTRNPDADFVKCRTTLE KLFEEDEEGNVSLDAVTMLTKMMKSRNYHFDESVLNTFLHLRLLSEFSQKASYNRVDK EEPERINGKKIKQQREFRTKKLRKQLKENKKIEKEFKQADAAVGHEERDRMQAETLKM VFVAYFRILKARSGKLMGAVLEGLAKYAHLINQDFFGDILEALRDIISTAEVSAAAQI DDDEEEEEEEDDENEAPDRNLTRESLLCVITAFALLQGQDVTKSASGLKLDLSFFITH LYRTLHAVSVNPDIELSAKSLHLADPHSAEAQPQAEATNKINVQTTIVLLIRSLSSIL LPATAIRAVPPNRVAAFCKQLMTISLQLPEKSCTAMLGLLTQVTKAHGRKVNALWITE ERRGDGVFDALKPEIEGSNPFAGTVWEGEILRMHFSPTIREAVVGIEKNVMESR CC84DRAFT_1164844 MSFVPRAAFPSLSHLPRSYFLGHHKSGLQKMKTLLSSIDLVIEC RDYRVPLTSRNPLFEESLEGKERLIVYTKRDLGGPARDSRNEEVITKWHAPTPTMFIR NSSDEEGKASRRRSVEKLLEFLREHAQQRWKLVGHRLLVVGMPNVGKSTLLNALRAHG IGKGKVAKTGAQPGVTRKIGSGVKIIPSEDDAESMDETSRKRYKGVGGGVYLVDTPGV FIPYVPDAEAMMKLALCGSVKDSIIPPVMLADYLLYHMNLIDTTLYAEYVHGPTNDIV ELLEGIAKRTGRLGKGGKADTEATALWMIQKWRTGNMGKFLLDGVTEQGLEVSKLAEQ ERVPSMNQARKAQREVRRARNKARGEA CC84DRAFT_1217815 MGISFRGLTSAALVSKTDRDANMTEKDVADAPASYAGHSTSMDA GHRPVDEKLGAPRSEEETDDDSLNKVDATVEAGVRKAQAMTLVWTKKEMLLAYVNVWL LAWILAFTSSSIYLLTPYVTSSFEAHSLTALTSVISSLVAGIWKLPYAKIMNIWGRPM ALTIGIISCVMGLVMMAGCKNVQTYCAAMTFWSAGFNMVDFSITIFVADTSKLRNRAF FLAFVASPWLITTWVYPPAMARVLADGGIGFKWGFGVFAIVIPVVAAPLIWLFVKNNR KARAQGLVPEEPSRGSFLQALKYYVVEFDVVGLLLLATGLALFLLAFNIYSFQKDTWK SPMIICFIIFGFLLMVAFGLWEKYGAPVTFVPWHLLKNRTVIFTYTMAFSLYVGWYVW DSYLYSMLVVVFNQPVLYAGYINNTYTMGSCTCSLIYGVVLRYYGKLKVYSFFLGVPL TILGVGLMIHFRQPDVNIGYIVMCLIFIAFGGGILVISEQTTLMTVSKQQDYPALLAT ESMIISVGSAIGSTIAGAIWTGVFPVRLLANLPAESQKDFAAIYGDLDVQSSYPLGSP TRDAINLSYGQAQRYMLISGTCIYLITWVSVALWQNVDVKKTKQRTVGLL CC84DRAFT_1217816 MPRYASLKPRQQVNLKSFIALLHRRTDSDYTLRPRHNQSYTYRR ALMAPRDAARVRKVRKVMGRYGPCVTDEQFREAVRERRVRGILIGGKKEDSGMWVFPA YVWVSASHRLGESVDEMMGVDEGEEAEWETEEFAAFLETSEGVMGEDQWEVQRGLDGE DAGDDCGGFGKRILR CC84DRAFT_1120712 MRAAQLALFASTTLAQSQYGENHVNVNLDSQLVEQKAFPAPNTT LLSPAFLKSGNASFDPGWFTGSEGATSQDELTSFLSDLAANNPSWMSFHEAAFLSEEG NTFPYVYLSTSQNNSATGYDPGKLRVWIQGSVHGNEPAGDEATLALLGAMDANSTWAA TFLEAMDVIVLPRYNPDGNAYFQRTLATNFDPNRDHIKLARQQTRDIKEWFSAFSPHI AIDMHEYGAATRYSVNYSNAADGMYSAAKNLNIHPSIRELSENVFAPAINVSLLSKGL RGEPYMTAARTNPPRLEEAGTDGKIGRNAMGLTQCVTFLFETRGIGIASQSFARRTLA GLQMILGVLETARDQKQEVHDTISGAISDFEKSDEDIVITDYTSFANRTYTMVDRRTG DVVQLPVEFASTTPVTANLTRARPEGYVIPRAWADLAERLRVSGLEIETIKDVFKEEV EVYNITSSQLAKSYYEGAVLNTVTTDTTTREVNLPAGSFFVSSAQKNAGLAFVALEPE NIDSYVSFGIVPMEVGDLYPVFR CC84DRAFT_1259764 MATLSSVLTVALLALGGVDAKRKDKVSYSRYLARDCNGHPLSGD IDDLQLKGGASGCKQIEGQGVRFHMHKKDKYNKWIDDVNRGLWECGATVYRGVDCVLE DIIDQVSLPQAFNECNPLHADAGSISFWCRPNYGYQGIAQPREMELPVTSYSIDKYGK AHPSMYTTVINATQHIYNPLPEFTGITPLVTVTATKILEISTKVNTVPQVETTTTVAV PKVNARAEPAPKVALEPRGKQYNVKGVWMRHPWGQSAICFKCWTRKEMDFGKFKCRSG NYDKYDVPCPPVPNTIAPTTTVDITHTRTVEFWRTEADHFSFATQPSVAAEKRSPHKA VVFHNPYFPDLKVCADAEWENSGKPKAEVRLQKIKSFDKCAKKDPMYIDIGIPEQTRI GHTYVTSSITHTTRPVYAGTNTVTTTKTIIQTHHPSITFTATITQPVSPSTKVVLAPG STTTATIVQPLFSFPYHPSQ CC84DRAFT_1120713 MSKMWEVDPETRSKLLEIQKTNENNRCVDCNAPSPQWASPKLGI FMCLSCSGVHRGLGVHISFIRSITMDAFKGSELARMAAGGNKPFQEFFDSHSSNTKDG RKFDTSSIQERYDSEAGDEWKERLSCKVENREFDKSNLPKRLPKKETTALGSGAPLSG RASGPGSRSGTPLGKTRSNDSAGALRSGSPALGTNAMGNSQKARNEEYFARMGSQNAN RPEGVAPNQGGKYAGFGSEPDHWKKEDDFDKPPALDDFQKDPVAALTKGFGWLGASVS KAGKTGYEGWVKPGMAKLAEADLASQARQTVGVVGQGLQTGVATAGTTFNRFVEGSDG PASSSRSRAEPEKKDFWDSFGAPPAGPAADKKDFWDEFSNVGGQAAAGAKKPSGIGTS AMKKPATKKEEEWGDW CC84DRAFT_790269 MYTASRAALPGLATLLALYLLIATAMADWDLKYCSSQNTASDDA FNWTWQSNGKCHDHCVEQGDFAFFVLQDKNCWCTNYIPAEQTDLSDCSETCPGYDSEN CGKAGQYYIYVNLNGNPAGTAGASQPASTSVSESPSSSEAPSSTRPPEATTSSSRTSQ KPTSTANNSPDPTQGVQTQISTVVDPNNSGNIITQTILVTPTATPQTELTEKSKSNTG AIVGGVVGGIGALAVIVAGVFFLLWRRRKQQRETDNGESGDASGVHRYASTMSKSGLL RGEKQPEYPAPIATTFNRRHSRTLDQESISPVSASDRRNSSFRIADQRLNPSTIFVFD NTSRASVGSLDDSRDYHRTLNVRNPDAQ CC84DRAFT_1164849 MYDHHGRPDGLLMKRCWQLARPQEAPSAKRCRLLTATIPHEPRA RRCHSRAQLKPCKPMSTPAALVYRDPSPQSQTRLQPTMLHAPRAPEIPSPARRQRIPL EISLKASPSRLSSISTFLTGVTPHRVRGLRHAASPFGCLGHGVTPFLASVAILKARDG ERTHTTRSPGDEMRMFARPQPVSSLVFWEAWCRPMMRAALRCLSDTVREILRRQS CC84DRAFT_1120717 MPMEKYEYLSDVWRDGIFDNKVVFCTGGAGSICSAQVRAMVHLG ANACIIGRNVEKTENMAKDIATARKGAKVLGLGAVDVRKPEALVAAAERCAKELGSID FVIAGAAGNFLATIDQISSNALKSVMDIDVLGSYNTLKATLPYLVESAEKYKVDGKTR PKAGTGGRIIFVSATLHYSGTPLQSHVSIAKAGVDAMSVSVAIEQGPKGITSNVIAPG PIADTEGMARLSTDDSRKETPRLIPSQRMGYVKEIADATVYLFSDAGNFVNGETIVVD GGQWHTQSIDKGAFKYPDFLLSGETVTGVAGMKKSKSKL CC84DRAFT_1259768 MTSPMVSICKFVGTISLGLLTGISASLSTTALPALLTLPTAVTA RSTHTYLSSKTRLLSSYLRHITTFTLFSAYLLSPRRFRHPYLLYTSIFAFVSGPGVDY AVAFREGKNEQRALLDLEAQGDDVNGEQVRHAVERMKFTEAVRAGISGVAFAMGVVGI WGDGA CC84DRAFT_790449 MDACTMDDTTQRPVHRTTILDLPKETLLEIFGHLVEKFPVHDPS QYYKPRASEANDRLTLLGNNRLVCRAFNRIISPLLCPVVTVSLCSGSIDRLEGLLRNP LIAQGVRGIAISLLFRPRGIATDFKRYHAHANTILNDLERECDWNTEFQHYDQDDMSD DAITWRGYHEAWSKIAQMQSAWGKLFEGSTQDAGPEDVEIIEDEDQEDQEVNEDEADQ DDEGGKHIEEAQATLRICFEKYAAAHVEQARIISDGSFVRSVTRALSCCGSLPFIWFN EDQLVKDGPDNHAVALLTSNEALLHALMQGHEWLTIESTLCKDDDDTELFFPASVLTG LPIACHDAGVPLRGISVDCFPLLRGYRCLIPSATQADDSIDPDPWTRFAAACHDLEIF KFGIRGMNCSPIRPERQSTSDSAIINGFIGAAISGPRLQKLCLSMTPFRVRSGSAGQR GEEHSYLASPILAAITSTQLRTITLNTVEICERDLLALVKSASPVHLTFLYFAAVTLS RGLYAEAMGLLHDIVSLRRSNNRSIPKILFSTLQGAEFGGPSTFDDGGNSWMFGSKEE RELFWDRLKQHQHPQLLKQVETWVTNGKADEVNPLLQLKDVQDWVS CC84DRAFT_790466 MHLITIKPCLSQDWTHCKWNEPRPCDGSASLLRHHVLGLKFLVV RTEGCVQNLASASHGFSVWKSSQDVPVNWWYGSWGRGGAQHHHYATLSST CC84DRAFT_1094282 MNPHANDTEAQWVESAVEEGESPLEEGRKRRSASNSTSSGSSWN TTDYLGAAKDDHDGHRPSRLELAQSISRSRSRRESMHRARSEAQGAGLTTGMSNPVTR TMSRRDTVLSRIRTRPAVAPFDHPLAHQPTTADVLVDFDGKDDPYRPMNWPTKKKIIT TGLYGLCTMSASWASSSYSAGTSQVARQFHIDEEVATLGTSLFLFGFGLGPLLWAPLS EVYGRRMAVLAPMFVGACFSFGSAVAKDVQTLMITRFFGAFFSSAPVTNTGGVLGDLY DPSWRGIAMAGYAIAVVGGPCLGPIVSTAFVIDPSLGWRWTEYFTGILQMSVLFLGTI FIDESYPPVLLVAKARQLRHESGNWALHAKFEEWDVSIVELARKFLFRPVQLLTSPIC FLVALYASFCYGILYMQLGGIPIIFAENRGWTPLTASLPFLCVLIGALLGCAANVYNQ TLYNKAYHANLNRAVPEKRLPPMMLGSLLFSGGQFLMGWTADPSIHWICPCIGLILLG TGFFTIFQAALNYLVDTFTLYAASAVAANTFLRSCFAGGFPLAVGPLYHNIGVGPGSS ITGGFAALLVPVPFVFYFYGREIRRGNKWSRGSVYD CC84DRAFT_1259771 MWRIYAIVTACTTAVGAQKTAFEYVDPLIGTVNGGHVFPGATLP FGMAKACPDTNADENQGGFSSDNSSIIGFSHMHDSGTGGARSLGNFPIFAQAGCPNGD INACNYTSWERATPRINGTVHAKPGYFDITIASGVRAEMTTTNRTALYRFTFPENPLT PNTTLSPHISLELQDLPQTRTNASININGQSGRITAGGSFSPSFGIGRYSSYVCVDFK GAQIKDSGVFSNSRATTKAKSLRSITETERYAERPAGGFVQFQKPTSNNQMLVRVGLS FISEAQACQNAEKEQADFDFDGTVAAAEKVWKEKFDVIKIVPGGASEVIQTAFWSGVY RSMISPQDYTGENPLWKSDEPYYDSYYCIWDSFRSIHPLITLLDPHSQTLMVRSLLDI YKHEGFLPDCRMSFCKGFTQGGSNADIVIVDAYLKNITNGIDWKLAYEALVTDAEVEP PNWDIEGRGGLAAWKNLGYIPTENLDLDGVGTETRSISRTVEYAYNDFVIATLALALG HTADYNKYLKRSGNFYNMFKADQNSTINGTDTGFTGFLQPRYTNGTWGFQDPIFCSPL QEFTGCYLNPSGRETYEGPVWLYTFFAPGDMKTLVQTLGGSDTFVKRLDFFHDSGMAY IGDEQAFLKVFLYHYAGRPAKSAERAHFYIPSQFNDTVNGIPGNDDSGAMGSFEALTM MGIFPNAGQDVYFITPPFFESVSIRNGQTGKTATIKNVNFDPQGKNIYIQSATLNGKA YTRNWLQHSFFLEGGTLELTLGAAESAWGTRAEDVPPSLSAGVNATGAAGERRWEMPA APQMEMGMW CC84DRAFT_790620 MKAVTNRRTSRPNTTKRARNDSRSSAYRPLRGTSSRRSPHPQIH GTVSPAPKSRIKPSYCSSLDGASTIADSAHCVCELRGRTQHNHAILIGVKMPHRGCVD AVEGNVLARQML CC84DRAFT_790609 MKILNASTIVCEATVARTQLCARLLPGSRHRTWPYLTTDTGCPK CRKANLDTTPGQKCDTTSFASVQASEDSLPGLSKLAQASMLLISRAIIAHALPLSLST AYIFGVIASLLAVSEGWKSRVGTVLVHRRSRKQRLGDHLVQPCWIFQVLAMAKQRR CC84DRAFT_790608 MTPHRVGGVGLVPHYGMEMARCPIGNHKRHDGLGKPLQRIPCWV AVTRAVRRSAVDQRGFACCGAVASLVSAITPHRAWAHGAPPHTPHAVTMPADSAGGGH APLCLFAYGPENRSRLFGPSFSMKEKVSDVSGFSTRIGSVGCVPSRSWATWLATGLAS CDALQHCK CC84DRAFT_790744 MPSLPFNSASSSPSSSPTLSPSPPAIVLHSASGQASKRELYKSN EDKSAAYRQRYAQYLASTFNMSIEAARAEADIQLAPRRSSGVSESEMLRDGW CC84DRAFT_790702 MLDPVACFTQWQSMAPLRHGLCLQALLCASTDSEEKIATPRCCS LHRMLARCGGVGSACLIAISPEEPRPVGSPSASRRMVALHPPASASSTWIVHDFEAQA IRQLFDSVHHKIPQ CC84DRAFT_790809 MALSFGIDDYIEGGVITAVILLNIVVGFVQDYKAEKTIESLKSL TAPESIVIRGGDTIRIQAVSLVPGDVVQLETGNIVPADIRLVDGFNVSTDEAFLTGEA EPIEKDPSKVYDDPDVPLGDRLNLAFSGSEMKRGRCRAIVISTGMKTEVGKIANMLRQ KDETLNDLGLLAKSWKKFVKTVKSILGLDGTPLQISLSKFALLLFGLAILLAIIVFSA NKWQVGGEVLIYGICVAVAVIPESLIAVLTITIAVGAKAMAKSNVIIRVSSAIEAVGG VTSICSDKTGTLTTGKMIARRAIIPGFGTLEVDGTSHSYDPSSGFVTHDSTRCDLNNF SPNGACTRFLKTIALCNQSKVEYTATTDDVTVGRKDANERMMSDDDDGTDASTIQSAT ITRPTTVSGQSTLNPGEWKAFGEPTEVALQVLAMRFGMGKEELLSGLNIRLAAEFPFD SSVKKMTVVYEDKQAKHLDVYTKGATEFMLPVLDIPESEKTEINEMAENMAKDGLRVL CIAHKLLPMDTDLIQREAVEQGLNFIGMVAIYDPPRPESKKAVRDCKVAGITVHMLTG DHPATAEAIARAVDILDASLPRGQIGKAVMIARDFDALNQDQVDAMEQLPLVVARCSP STKVKMVEALHRRKQFCVMTGDGVNDSPALKKADVGIAMGSGSDVAKDAAKMVLTDDN FASIVEAVKEGRRLFDNIQKFLMHLLISNIAQVILLLIGLAFKDRDAHSVFPLSPIEI LWVNLITSSFLAIGLGLEESQPDSMVRAPHNLSVGVFTKELITDKFIYGFFMGSLCLL SFAIVAYAGPGGGDLGSGCNEGWNETCGVAFRARATTYATITLLLLVTAWEVKHFARS LFNLYPDSTATRGLSVFPAIWRNRFLFWAVTAGFTIMFPIVYLPVINRQVFKHGAMTW EWGVSFGCVAIYIAAIEVWKAIKRRIGLWSGGHKVLTGEERVESVQPVVLEK CC84DRAFT_1164857 MDTAIAEWQARAEALKPLNLKQIEGPLGELSDHLTLRSFVVGYE YSAADETLFKTIRGNRVAHAYVKQNLMPNLCRWFRFIEEVYAPQVVTVQSKGKDGKAK DDGANYDIGLQDVGDGTGVVTRFPPEPSGYLHIGHAKAALLNDYFAHEKYKGKLILRF DDTNPTKEKQEFQDAIVEDLALMGIKPDQVSYTSDYFDHLYEVCVQLIKDGGAYADDT AQDRMREERMDGIASARREASVEDNLAHFEEMKKGSEEGLKWCIRAKMSVDDPNKALR DPVIYRCNPEAHHRTGSTWKIYPTYDFCCPIVDSLEGVTHALRTTEYNDRDAQYQWFI KTLKLRGVYNWGFARLNFIRTLLSKRKLTKIVDEGVVTGWDDARMPTIRGVRRRGVQV PALREFILKQGPSKNIVNMDWNSFWATNKKHIDPVAARYTAIADANKVPVTVVGHTGG TTIEEKAKHAKYDLGKKKVVFSKDILMEQEDAQSFAQGEEITLMNWGNAIVKNISHSI NPLSAHGFKTVTGLELELHLQGDVKTTSKKVTWLSKDQNLVPVELVDFDYLITKDKLE EEDKLEDFLNKKTETRVKAVADLNVADLKVDDVFQFDRKGYFRVDKAFQHGEPLVAYK IPTGGK CC84DRAFT_1164859 MAPAAPGLPTRFPCWCKAVYSWGGEVSHDNRLLHHDALTMLQTK RDLGFIEGDLIECLNAGDGSWWMGRLKRDRRMVGLFPSNFVELLPEDFQPWSRNTSPA TGSISRQNSAQPVKQAPQKAKTFRKPFTAYAAAAAPNPAAAARQIEKAGGFASPNGSL RVQHKPYSSMKRSSTESRDRSSTESRASPLPSKRPSNLRAVSPRPSTAPAPKYTRAPS PAPPNNYQEYSRSPSPAPPVQYRPHSRAVSPAPPAQYQAYSRSPSPAPPTQYRPVSRA VSPAPPAQYRPPSRAVSPAPSFQYRAYDRGPSPSPYQDEQFRRQSVASFQDMGSPPPP PPPPHRVAYNPSRAPSPAPPQHGYHTPEPPSPDPRTSSKGNFTPSPLTNAMGDVMSAL QDMSVASPGERSTPPSVWSPEAFDEVYQASARTARAQTSIGISNDSGFYEGDADEDGP AQVQSYVQRMESRLRRMQQQEGRKNDELFIPGDGSDRPPSVPPKNQYIRPGSVMAETV DLERRGSKTLRHRKSAYDIGKSVLGRTFTTKTNSTTTTHTSSSTNRSLMSGASATAMS STSAGSYYRKKFAKDRPKSVMETSRGAGKGFGFDDGRPESPFTGITYHSSHASGERPG SNEGQPAMQDGSDPLGGLMQPTKRKSGFFRKMIDTAKTQAANARSTIASGSISRPGSR AASRAASRAGSRAASRMDPRGPTGMGGGTPVQSNAARDMGLNGSIDWVQVRRDVNRSN SLSKNERTERADRCQMMDIPVINPVDILYDSAEGDEGLDGLPISEPTDFSASNLTLVD KSARFINSIPLGTTPASLAQGYVCRPYRSDVQRLRAIFTWVSERIGWEDDFEGEIDTR HVLQSKRGCSHEIAIIVAEMCASVGLHAEVVRGYLKTPGESLDLDSVAHPNHFWNAVI VEGEWRIMDCSLAGPTNPKRAQYSNAGSSVAEFWYFLARPMEVCYSHVPLLPEQQHIC PPQPHDVLMALPCATPVYFKHKLRVANFDTSLLNLDNLEMAHIHIDVPEDVECVAEVE ARAITQDVDGDYFESGDVVRKPALAQAEWIGGQKRYTVKAVLPGDEGRGVLKVYAGPR GLMHSNKLNPHSLALGLPITHTGTNPPYSFLTLHPTPHAQRHDLYVAQPQCANIALNN TFVFTVRQHPSSLTRLPDVTSPGLTGRASPNPFARPASAMSMQSVSASGSNYTNPSQA SSSSSGSTSMKPAKLAVQTPSGKIIRLTRKGEHMSGTNDGDGSSWETVIKIGEKGTWR GLVLADRSARWCVFAQWECA CC84DRAFT_1259777 MSLNSESIIGLVALLVTSPPTVYLLYKLATGSRTRGETSDLPLH RNEDHDEPQHRMQPPTLYLQRHETWSCYTNIIVKPTDARQRSMTAGDETTASREI CC84DRAFT_1164860 MAACVGNLDISRVLLEAGACADYATLPYGYHELDNIWIGGWNAN WSQTKYHSVSPEQSAIQIALERGDKAMFELLIQYGACLPNNRPCVCLRREDISLSRHI LPAISGRADSKPLKPIPCPCRVDYEEACENDDNWMYKDCWAQKANESVWNPLFNAAKG KNRELFTRVLTAATTDQMPWMTTRCVVECIKAFDASFLDLLFSSKTCSRSPRVFRQLM LLAVKNNAQSALQDILQNHEFSAQDLGVGLAHAAVYLKERVIQAFLDAGSWPDDSVGA HIWPNGYPPLDKEEDTAYQISVLRHTLTIYNHPFAPIIYNHYQKFASRAQEPQLRTHF IQAYAIAIRCGDIHLGQMLAKAVGINAVLYRALPTLVPNEDRCYVSAVRLAVRFKRYD FVDWLLENGAEVEIATNSSHGPLAHSSLQIASKDGKISLVGTLLNKSADVNARPAKYH GATALQFAAMNGHFEIANLLINAGADLTAPPGVFEGRSAIEGAAEAGRMDMARPTIGG PYLGRGSTVITLSLR CC84DRAFT_790975 MRLSILLSAIALLPSTFAQLSGSVGPTTKTAAKRAIKTCDVTKY GAKADKSTDIGPPLASAWAACQSGGIVIIPSGDYVMKTWVTLKNGKGVGIQLDGIIYR TGTDGGNMIMIRDTSDFEFFSSTGKGAIQGNGYEIHASQGQCKGARLLRTYKVTNFSV HDIALVDSPMYHYSLDTCTNGEVYNMAIRGGEWGCLDGIDVWSNNIWIHDVMVTNKDE CVTVKSPAKNILVENIYCNWSGGSAFGSLGADTAILNVVYRNIYTWNSNQMLMVKSYG GSGYVEDVVLENFIGHGNAYSLDIDQYWSSMSKVDGNGVQLSNFTIKNWKGTEANGAS RGPIKIACADGAPCTDIKISDFAMWTESGNKQTLTCRSAYGSGGCLKAGTGASYAMVT STQTAAPAGYTAASMAGDLKSAFGTTKSIPIPALPTSYFPGQKPISAVAGA CC84DRAFT_1164861 MRVQALLWMGISAVSLAYAQNNTTWPYHTFRSLPGFEPPQLEIA TINESTAPGLIFFPISGNGAHNYSLNIYQQDGELVWQSGYGDYAAFKPTTLFGEPVLA AWSGISFPEPWGFGYGIIKILNQNYENIYNVTLWNTSYPTLESIYPLYDPIQYKPFSW IDMHENNITPRGTMFVGAMNVTSWDLRSVGGSEDGWIVDSIILELNVTNSEILWQWSH LAHVNEIPLVDAAPTYPRGELGQNQSYPWGPFHINSVDEFEDGSLLVSSRHYCSIFKI GRDGEVQWTLNGHSGGDFARKNNLSFCYQHDARVQAENGSTILISIFNNDNSAVVSFV NQTTGLFLSVDTDTKEATLVKEIFDPAEPIYAVSQGNHQLLSNGHSLMGYGSVPFLKE FDGERNVVQTIKWGEAQAVQSYRTYKSEWVGTPSTRPDVFACLKDDATINVYMSWNGA TEHQSWHVLAGSSRQDLRMKITVDKTGFETSANFAGSAQYVQVEAYGTGIETGISNVV VVEGKC CC84DRAFT_1093551 MQPVETRNASTMELSITTIGAMGAGVGVLVIGLTVFIVILLVRA HREHKRHMADLEERGYAIVQTRKVAKRNSVTKPRAVLRRDTILPFNSKSGWGNLTSVE TISPPEPRSVPPHYAPPKPAGFVPKPKRLSWPFLGRRVSGRAIPMRKIRVPVLSTVLE SPKPSPLVPVLSGPLGESSPRKSHSRPSSDQSLLQHHPALRRQEQASAPQLDQPQPEP LRRSLTAKPVPKTELYTRPVRSQSLADISNASRPGSMTRFARPQLHERSVSTSSQASG NPPDGGLPILPLEIARIKSEARRRSLLSRSPSRQSNSSYESAGSSILAMQPSPIIRSP NTRVHKVAKRDLRNCTIVSPRPFRDTLTLHGKNISSQGSIKSTAARFSSVTSTTHTER LGPLLPSSSSLQSVTTVKTVDSANLSKLPSPAPSLSVRNASTPKRRSGSYVTPYGSPE NRRSRSSVLQNVSGNQSAPKRQLSQTSTRASSTRSSNDNPFQWDPAPVSAGKPSALKG SPSARKPGHKRQNCVRISLIPTMLGPQSRSPSPAIHDIAEESPQASSEKVQNVGLGFS SMRSLPSPPSTSIFAPELKFNATSIRASLAASSPTLSMANFDHGPIGTPVKTQNKSSL YGTLQQDGETMSTGSVFSLGTFPSPCHDLPQSRVTISPPPMFALSRPSNEYEDDQRPY DEMPMMSSPFEVFLDINSSPGRPLIVDNYDLERPYYVQQTPTTKLVRNFSSPFSTIPE ESSPRPEGYEQLKSEDSPPCSPKSMPSDSCSNLRDRAAYNLPIRDTTIPEEPIDTIDP AILSKDAFTSLNSPFDNQSGSITKDTNGDRNMPTRPTAAVYSMQPLLDAAFPSSPPVQ HIDLTSPHVPHDSMVFDPPGLYIESSPISSPSTPSPSSPLPIAPGSPRPAHAQLPTLT PTLNFAAVPTLAPSGPREPPARSLRSSIQTLRRMNSDTKKGNKAERRYANLGREDSIA LPGEESWLEDLDKYEDEEDETWDEEKGRALVGDLGFDWDEEGNAPVLDLYTDPVLTSI PEISTSASAETAQPPSGLRSDHNSSIWDDGEKFWASTPPPPPPPPQPSLTSPNKPKDR YLPLSSSPLSTRAGRKRAFEVAKDETPAQEDAVKAKGSVERDAKRDSANGKYRKRSVL GVGTPNVKINVVPPSSGGLEGTPGSLYDGDGFLRG CC84DRAFT_791127 MEGSAMADRHALKEIDSVTIQVLVDNELDPITKSQNPAVVDATS FRLRELPAGERGPAAMEMRMEDICCGAHGLSLLITASAGDASHTLLFDAGPEEDVFEK NVARSRADLSTVEHVHLSHWHRDHSGGLPRALRMINAARKADSALGKPVMDVHPDRPI YRGFNAGPFVASLEADPTFEELEGAGARVVREGGTHGVLEDTFLVSGEVPRNTAYEVG FPRGMRFTAEGVWVPDELIRDERFVVCKLRGKGLVVFAGCSHPGIVNICQHALAIGGG APLYAVVGGFHLADGDAAKLEASIQGLQALKPKILMPGHCTGWRFKFKIEQEMPGALV PCFSGTRYTLSGEGVGEGVA CC84DRAFT_1164863 MRRSAAHDAGDHVSTVRHYAALVCLVWFAIVWMVCAIGVTQLFR YYSRRPRPATCLAAANEPDVPHVTIIRPVKGLEPRLYECLAATFRQTYPATKIHNVLC VSERSDPAMPILERLLQDFPNVDARITVEDEDPVLKENKHALGPNPKIRNMSRAYREA REDSIVWILDCNVWVGKGVCGRMVDLLCGYGGTIKNKFVHQTPLTVDLDSHSLSTEER ELLLGGGEHAIDAVDIAASTSSDPRNYSRSRFRRLLQRGGGRLDEAFLSSAHAKFYNA INTVLVAPCVMGKSTMFRRAQLNYVTSTPPAASIRRDPGIDFFSDNICEDHLIGDALW RKPQAFEERGYKPAPGEPVEKWGKHAMLFGDFCFQPISHTSVAACIDRRLRWLRVRKF TVTAATFVEPGTESILCSVYGAYALTTLPFFAALIPPTWASFALVWLASMSLWCLVDY VQYLLLHSAKTVELDEHTPDFILPQRSTRAYHNAPSLEPLLGAKTPRTPSLLDGARRT FREWFAAWLGREVSALPIWIVAFYGGVTVEWRGRKFWVGLDMRVHEIVEDKKA CC84DRAFT_1164864 MADIATGPSLYDLLAEALPNDAAFTFYHYSTPPTKSPPLFAAPP HAKPERTYCESHTLAASIHPIASPGAAASDEILVLAIEVLVYTTKHLTTIFVSKADST GYLSLLGTGRAQGGSPLKAICGTFVSWLAKERQREGRRTVVSLFARAQDQYLFPASIE NKEKHVLDDKELVRWWCKVLDPLVKQYKEEEAKSLSERLSAADGPLASSADFTAKGYL VIPGFEPNDTLRYYTPPPAPNAPRRWAASHPLLQIAPYPAAPPRCLVPHFPDDPKARF LDELDDELPDRGTDAMTKEGGTPSRSNGQWKSVKTLDQFWEFMAFRQECSAGRIVGFI WVVITPPKPSIPEEDENEPSQSQLSSFSQETLLPSPPSKPKKKTPKKSRRRKVNTEHG PIPLVLPKIKSSSSNVSTVSTGSTGAAATTAPEMTAYYWWPANSRGTVVFSLKNYNRA HEVLLQQNFSTRAAATRSTRRWKEEVAVLGRIDEWSKTVVGRRKPASATAVPANGAPV TLVATRKRKPTDASVPGQSSQGAAMLGEGLVRKKPKVEAGVTDGANTLGAGLVRKKPK K CC84DRAFT_1094804 MASPRPLRSLLSTATSAVGAAQPARRALVRTSACAFSTTAPSRG PEYDTEAAARPRWQQTPPRMVAPYRVRPKPQGPEFKVNEDPRRLDEVYSRMLGPGGDK VLSEEVKWLAVTHKSFDHGKRGFNDRLAYLGRRIVSLQTSQALINAPQVEAWPRKADG TPLTDGYGRVPFVHPALHGLQGLTGEAKGRVLDKSRLAGLAERYGLDKVTRWQPKRAD NLQSSGLESVLNTSLYAIIGALALERGGEVANKVTQDKILAPLGFTFTVD CC84DRAFT_1217841 MVAAEDSGIGSNVQLSLTKSIVIASFTTIAWYNVLELTILIHSF FKRRAGFYYYSLLVATYGIFLHCLGQFLKFYHIKDDGSQARDIGYTVLAYSGWIAMVT GQSIVLYSRLHLVVQSPWVKWILVYIIADGVILHGVTGVLTFLSNCAPDPTPYIPIYS VVEKIQITMFFIQECVLSGIYIWKTSVMLRTEGPIFNAKENARGSRGRKVILHTLLMS IIIIVLDVTTLGLEFAGLYDIQTSYKGAVYSVKLKIEFTILNQLMNLVKGALRDTTAS ISHTQTAKSRPRRSIRAADLGHSAGAYSRMDEEGQGTGIKLQPLRAGEISKTTTTEVR IDELEIEKDEVHAQIPVDIKVGSVAAQDSLPFDPAALQARYLAERDTRLARHPEGVAQ YTLIEGPLLKYLDDPWVEPGFAREKVEEEVEVVIVGGGYGAQVCAVRLMEAGVESFRI VEKAGGFGGTWYWNRYPGAQCDIESYIYMPLLEEVGYMPTEKYARAKELLRHSHLIGE KYDLYRRALFQTEVTDMKWDEAEGKWTTYTSRGDEIKSRFIIPAAGPLHRPKFPGLEG IQDFKGHAFHSSRWDYGYTGGDSDGHLEKLKDKRVGIIGTGATAVQIVPHLGTWAKQL YVFQRTPSSIDVRGNAPTDPQWAASLTPGWQKKRMDNFDNLVNGGVEEEDMVGDRWTS IIRDLITAGIDMSNPIEAAAKRQIADYKKMNEIRARTDEVVGDKETADCLKPWYNQFC KRPCFHDEYLQTFNRPNVKLVDTKGQGVDRISEKGVVANGQEYELDCLIYATGFELAN DWSHKTGIEIHGRDGLTITEKWRDGAQTLHGWGTRAFPNCCFVQVVQAALTPNFMHVT NEQAIHYAYIISECLRKGIRTIEPTREAEEGWTDIIVKGTAIRGDFFKECTPGYYNNE GKPSESGARNATYGFGSPAFIKLLTDWRKAGDLAGLDVQYFSKEGEEPATNGTVAGDL VTQSEVVPEPDTGEVREDAQQASHGTVAVETQEQHPVMSETETIEAKDAERPRKDSAA AIETDAPGQATPQAETETIAPAGEQRTLAQRYSFLQAKHQAELNELVTRQRVEIERLL EGVV CC84DRAFT_1196589 MPMLRKSSEKYESFSPPTLEDRTWPSKKITKAPRWLATDLRDGN QSLAHPMTVEQKWTYFNLLVKMGFKEIDVAFPGASDTEFNFTRRLVETPNAVPDDVWL QVLSPCRREFIKRTVESVTGAKQATISLYIASSDSFLDTIFGLTQEDILNMAVDCVTY TREITKDDPTRQDTTWNLMFSPEAFSDTDPSYAIKLCDAVRQAWKPTPEVPLILNLPA TVEMSTPNTFADQVEIFCRAFPQDSVIVSLHPHNDRGCAVAAAELGQLAGARRVEGCL FGNGERTGNVDLVTLALNLYTQGVDPNLDFSDLPGIRKTVEELTRIPVHTRAPYAGDS VFLAYSGSHQDAINKGFKQWERPTSTPGKKNIWKVPYLPLDPQDIGATYESVIRVNSQ SGKGGVAWTLERSANLKLPRTLQQEFSSVVKAVSDRVQRVLSPEELQELFLKNYRVLE REGKVLECSAVESSTGRFEVRATILINGLAREVRGKGPSVSAALSAALAVGTGLQISF DVYQRKWMEGGKEQDMVLAMCDLKRGKYTSWGVKVGSALEVELLACLSAVLGLENLLQ SLRLLPLFHGAHSESTDTGAFFRKAVSVGPCYKFG CC84DRAFT_1120764 MPKTIPVLDAACLSNGTAQQRAEFSAKFLEALTEFGFCKLVNHS VPLPVVEDTFNKIKSFFKLPFSEKKDIINDPKKGQQRGWSPPGEEKTWWLESNTGDVE SPKFSDNKESFDCGHPNDKHFPNRWPTQEALPGYQQTMDRFFFDCGDLCSNLLEVLAS AMGHESKLFSSKCTHEATTIRINHFPPVKRETLETGQVSRIWPHNDFGIISLVFPDRT GGLEYEDRENPGNFIPMPYNGDDEVVVIASETIQRWTNGSVRAGLHRVTKPHEMDPNA DMVPERWSLVYFVKADRHVNVGPLKEFITGEEKPMYEDLTALEYQAMRNAVHYPEPEQ MEAVAAY CC84DRAFT_1120767 MADATPASSEFELEDRGEELAQQLPPVDGGKDAWLFLAASFVIE ALVWGFPFSFGVFQDYYSTHEPFAGSGNIAVIGTCAMGIMYLDLVMVFSLLKMWPSYQ RWATSCGLFVMCLALALSSLSNNTGELIVSQGVIYAIGGSFTYSPCILYMDEWFHKRK GLAYGIMWAGTGLAGVILPLVMEWMLRDYGFRTTLRVWAICLFVLTAPLLYFVKPRIP IAPKQRARKIDFSFLKTSTFTIHQACNTIEALGFFLPSIYLPTYARSIGASGTLSALT VILFNVASVFGCVAMGSIVDKWHVTTCILISTIGTTISVFIVWGFSMSLAPLYVFCLI YGFFAGSFTSAWPGIMRDVTKKRQTADPSIVFACLAAGRGIGNVASGPLSEVLIRGLP WKDSVGFAYGSGYGTLIVFTGVTALLGGSSILGKRVGWV CC84DRAFT_1164870 MAPGIVSPQRSFEQDSASSGPARLDASKLRVTKTLHPSKLPPAE DLTFGSHHTDHILQVRWTSEHGWQTPQIAPYQHLALDPAAAVLHYGFECFEGMKAYRD AWGSVRLFRPEVNLDRLNRSAARIALPTFDADELLKLIARFVKLEERFIYGKPGYSLY IRPVIIATNSNLGVAAPTSALLYCIACPVGPYFSAGFKAITLEAASSVVATRAWPGGA GNHKIGGNYAPCIAPEKAAKARGFQQLLWLFGDDDRITEAGTMNLFIVLRTEQEGCFE LITPPLDGMILPGVTRDCVLSLARERLAPSGWTISEREICMSEVVHAAGVGKLVEVFG TGTAAVVSPIRAIKYRDTLVQCGLSRHQSAGAITALFKEWIEARQYAVEEHPWSVPVS EIS CC84DRAFT_791212 MILFVHRGHDSALTRSLLSQAISMISDLSLTTPTASGTATTNPE EIERMFWLLYSIEKPHALRFGSHSVVDDDLLVYHAPSKKRSGLFTQGVTDYSGWEIVN YRYARLCSVIVKTLYSHLSLRMTAIEVSAAIERLSGMLESWRLSIPAAYRPDRDLDGP ECHEHAGDAIIRSEISLRYAEVSLAIHRWVLATSDCPPELVKAHVSSKSQCAMIAKRV LGAAHSCRTDSGTDWPVVMLPALSAMVLYILMRRGDEGGDCLPYLGIASGFFGKLCVK AETNADLFAEVSELFLAAHPKTLGQYQTPPESMRGSIEGDPLRLQMDHRGICETTGDF GCFDFPQDIAESIFDITSLDDMMIPT CC84DRAFT_1176611 MDSVTRPRTLYEKIFDAHVVAEREDGTVLLYIDRHLIHEVTSPQ AFEGLDKHGRSVRRPELTLATSDHNVPTSERPQGVKASTYLTREDSRIQVQKLERNVK RHHIPYFGLRSKRQGIVHVIGPELGFTLPGNTIVCGDSHTSTHGAFGALAHGIGTSEV EHVLATQTLVSTKSRNMNVVVRGRLADGVTSKDLMLYIIGSIGTAGGTGSVIEFSGPV IEDLSMESRMSLCNMSIEAGARAGLIAPDEKTISYVKRREMAPRETWDMAEAYWKTLR TDAGAVFDKVVEIDANDVTPTVTWGTSPEQVIPITGVVPAPSDFSDPVKKEGCLQALA YMGLTPGTNVADIAVDKVFIGSCTNARIEDFRAAAQILRGHTIAPNIKLALAVPGSGL VKRAAEDEGIDIIFKRAGFQWREAGCSMCVGLNEDSLAPTERCASTSNRNFESRQGTA GRTHLVSPVVAAATAIKGTLAAPSQVAKSVGPAARLQHVNVDFDVGFSSDSETLSEED SSSQSSLISTSSEYSGSPSKAKRVWTAVKKHVKEHHDSMNQAYSVYYGQGAGRRSFSG SGSEHSKHAAEQVAQQATNNSRFEDNIKGSVAVIERANIDTDAIFPKQFCTTTQRTGL GHALFSNLRYNPDGSPKPNFVLNRPESRSASILLATGPNFGCGSSREHAVWALQDFGF RCVIAPSFADIFYNNAFKNGLLLAQVNADVVNRIVTESNTGQCIKINLEQQTLRNDQN VVIGRFEIPERRKQELLSGIGEIEASLAFHSQIKLYEELRRQLSPWYEEGVRSQANVR RRQLATGQSDPFADDLKNEALAW CC84DRAFT_1094594 YSASGIPYKRGILLQGPPGTGKSSISLALAGHIRASLYNITIGE VRNGGHLVELFLSARHGSVVLLEDIDSAGIGREKVSISEDSPNASKNGEEKPNSDTEL AEDGVVLIMTTNEPENLDKALIRPGRIDKQIHVGHASRAVAGNIFTRFYSDINNERDV SDYISEMAIEFVG CC84DRAFT_1259792 MAYVSVSTNDEPVSLAEPSQQLTESKLSNENVFLQDIGYSKVPT KSENFVISSTAARHPCRPPWIPYTLRVPFLTFMTVVSLSLCAITAVLTGYSKHHDGLG NDDGSSGLLFGWRFTPTIVAVIYARLIAMVFNDFRRTEPFARMARSPTSASNSVFHVP GAWWKMLAEAFSRKKNGGAINWPLANATTVFVIASLIISSMSASFLTTGEITLLQTLP LQRRTLPTNASLKLQASPDVFLGTTGSIIYNLSVDPWTLGEYTVLPFWHEGADAADML RGIGGNKTESLKTETSVFRTEYNCKTMNATISLNNTRGFSATGHRNPVLPGHDDTILI NGTSKMDTTTLLSDSGCRFQIDMAPMMMANRVNSAVWGRALDPLDDIGQGWTAYMDSE NTTQAYVYVPGPYTYSFYQYSGPGSPFLRYNGSTACADKDIIHLSTQLVDTSNDVVKT LQGNYSQKAWECQLEITTAEIPVKFSQSQIGSVVTFDREEFERNYVQVGDEVLNGTEA RRLLHQSNWLSYLNPAHYKTDHSALLLSAEYQYDFNSMISDDEIPRKAQAAMETFLAS LLQSSLAGPNASETRTTSGTSARIVTRVEVFQGTGIALSVLYGICALFLAALAWQCGT TRRSLNLKSNPSTTEGIADLIASGQWNKAAWKPLFIASSDETRRCFQSTTYSTDPKLQ EHDQTSSLKSNDTSRELKINTSVHEWKPTTLRITSLIGLAIYLILIAIGISILYDYST GNRLYQSLFVNQVELGEISGHVARFTPFSIVPTFLAVILGMWWDGIHQKFCQLQPFNA MTQPGGAPWKDGPGMHYSATNWIKSSSRAARNRHWLLFFVIIGNIMCQIFVITASALF ARENGYKSTETRLNITTDLRRLPMIASTRNSFSVSSDQFQNNDTSVLSSADLERIVTF NSDYGIYWTKDSTWLYSATNQIVHGADEPAWSKDGWAFTPVELSEVTNNQSMTVMTQA IRARATCSSTSQSYQVSHEDSWLLTYDLTDKNIWNTSANPQGIERGYFIGNYTLYGTP FYEGNGLQFCASGSNALMTGAMWNDYSVGMPGRFPYRFSQWPINFTAAWMQGAAQSGF FLRDNFTLSSRAYDERIYPATYGCADTQTRLNLSMFTEVPKFQSVDCQPVIEIASARV TVGQDGGVSNFELMEDPKPYNDPWKDVFVSYTHNSSDAGQSPNDDYQQNGNVTSSYGI YFLSSLLKAPQIGYVTSDDETNVWTFDSIYPNYTRTGAPFFIREDQSGMDLMSYCMHY LADKNTTALLTNTTLYSELVDKTIGTFFAHFATGDTDAMPRRVYQQPGDRMPDLGKKV VFDEKTKTLKQEDPETYPPAKPDATVDARLDHRVQLLRMNHVATWLSFGILVSLLLIT IAISFLHRRFLSPLHRNIESMADVMLLVAGSDHLLTLVKDHGIEALRQDENLLVRLGW FRSETGKLRWGIEVVDSGDADAGIGQGLGVVWEDESGSRTQSKWERVSTGLSNLSLGK RRVMV CC84DRAFT_1146959 MANEDGDSSSKSQNAVLEWYARIYSRRVDIVGDYSGNELFLVDG DSLLLHCFSDEHLDFESGFQLLHATWTVENFLRNLISRRANFHVVFFDQHRELCVPSF APTASHAKYLLAREAIIRHLAVNLKATHPEVLITVFPSITSDDFVEYLRTTEFYFILC HDGASSKALRKRNLLDKTLDALEDEDHDEDEELRKKVIFRQLIHWSMAQGSSIVLING LEFQDARIIATVLENLRGTGSGLSLEIDLDEDDVIDEPRQRYLERSTWESVASKVKVQ LTERHYLTAIVLSALLAGNKVTKEFVSAFLRHTALISGLSLQERLVTAAGLDETVKES LEVFCEEASTILASEDWADNMEAWHCACDVADLVDGRLISACLQDTAIGLNDVSRTLA QACEALGSGFSSDDIAASNGTATATSHISQTTSRESYAVLPFSNEIFDPHLAPVKLEI DRVGDLEDATTANIFREISHWHNHKRPLDPKLREEQLAKSEKQKFWTRKRNQWFMDEM QKYAQSLTNTVGKSLDPETITTGGRSVKPIAEIEENAKPKQKPAAKGNKKAAPSRKEA MLAKIAADRSAKEETTAEKHIQGWRTTVSTIEKEPTATGRYQRAKTYLATLNTGTSAD LKRDTLEAEVRLYMLNALLDPWLVACKNDEKPEAMKIAALIFAELGAFSKFNRPVTPT IIKCLQTVFKELALPQLPLPQPEGDRPLAFKFALESTTRSLALPIPQKEFQLLHCGPY FDRSIDSAPDPRVPFEPDAWQRKVLNEIDAKRSLLVVAPTSAGKTFISFYAMKQVLEA NDEDVLVYVAPTKALVNQIAAEIQGRFSKRYGYAGNSVWAIHTRDMRINNPTGCQILV TVPHILQIMLLSPPNANSWSKRIKWIIFDEVHCIGQAEDGLIWEQLLLMAPCPILALS ATIGNPDEFSAWLDSTQRSAGNKLTMVQHPHRYSDLRKFIYKPSDTDTHKFTGLEENR AFAQLGLDDSKDFHFVHPVSTLVNRARGIPADLALEARDCYLLWQTLSKRQTARHPLD KSLDPAVALPSVIRKIDTIKWEAALKSVLRDWMQDPESPFDAVVKDLGGDQEETTSES LLNKDSEATPDEDADDSEENEVEIQHDIKSILPMLSKLHEQDALPAILFNYDRGLCER ICRKLMEQLVDAETAWKESSAQWKGTLAKWEEWKKIMLKAGKRGPPKLSKKKGGAEEG LTKEDIQRDAANNEASPWASFNPEKPIDKFHFADNRKMSQDEMDKTERELLRRDVPEW LITALKRGIAVHHAGLNRKYRQVCEILFRRGFLRVVIATGTLALGINMPCKTVVFTGD SVFLSALNFRQAAGRAGRRGFDMLGNVVFHSISTSRVHKLISSRLPDINGHFPITTTL VLRLFTLLNDSKQSQFATRSVNALLSQPRLYLGGEESKMTVLHHLRFSIEYLRRQFLL DARGAPLNFAGCVSHLYFTENSSFAFHALLKDGYFHKVCANLNANDTAKENALRELML TMAHLFGRQYCRQADQEFVEKVVKRSPSVVFLPDMPTDAAELLRKHNQSTLDIYKAYV GTFVDQHLKEQDDALPLTQFKAGSVGIASADGLQLRAPTKVRSPFVALSGHEDVFHSV HDLCTTTRSGVFLEEAVIPYVGLYPEESELPLNAYLYDFFNHGDVNAIVIANRIRRGD VWFVLNDFSMVLATIVTSLSNFMQLTAASDLDLSDVRGEGEDAEAMQDDKFLPDDSGY ETASTISTATRTGPAQTELPVQVKKKKKVVDSWDDDADQEDLEEEVAAQRAKKEAEVA AKNAQDRPAWEEGAGLLNVLKAFQALKEDFDTKFRAMWA CC84DRAFT_1217850 MSLLAAVALAQQLSSNLTQVVDFGPNPRNVSFYIYVPENLQSKP PILVAPHWCHGTAQQVFEYRSWAAAGDKYGFITIYPNTSNVVDQCWDVSSNATLTHNG GGDSLGIVSMVKWALKKYGGDKDRVFVSGTSSGAMMTNVLVGAYPDVFAAGSAWAGVP FGCFAGDGFDVWSDACATGKIIKTGAEWATLVKRAYPGYTGFRPKLQVLHGTVDTVLY PQNFEEEIKQWTSVFGYSPTRNETTPNTPLEGWTRYRYGPKFEAYSAAGIDHNIPNQD DLVLEYFDLKCNGTKREKCYSRASGHRA CC84DRAFT_1217851 MPETSPENILFRRARRLRKFTGNSRLQYQSEIAQHHMLASQILT SALICPLEIMFKDPSVFVNVYTGYLYGVFYTFFEIFSLVFPRSYGFNPGQTGLTFLSY FVGITIALLGYFAYLHWYMIPDNMKAFREQEHCPFPALIGSVLLPAGLFIFAWTADPD IHWVVPLTDVANFCVGHFWLMQSLVIYFPISYPKYAASLFAGNRLWRRSITVGASVVF ATLLFTNLGIRA CC84DRAFT_1217852 MRPVHCIKVISWFSQNVQGKSLKDVADELGDAVDKLSCRRELEQ VDVGADLEAERQSLHGTANGVGAVDNTEFPLQRQVNHLESALHQRTSDPEETDHGVIL VDWYTQDDPKNLKNWPGLKKGFVGFILSFYTAAVYAAGLFYATSVGGGLLEHFNVSPV TAFLGLSLFILTYGIGDIVLALCLRS CC84DRAFT_1093748 MRSIAAISVLASVVTAQIETFSFSTSATADSNQATSTASASGPI ETGKACGQIAELITETTVIDAELAYACLKSVPINKDAATDTVKSVKNMVEWQSTLSYL KNPPEGYGDEGVDLQQGLDDIGKKVSNGDYDNEYDFEIDIARLFVKAHDGHLTFSGMA HSGVFTWRRDREIALISGSEDGKSNPKIWGIGDFNKTLDANVKRSAISQIDGKDAVQF VKDESMDTTYHDPDSRYNSMFYMQPAENFGYFANPRFYPGVSVNITYENGTSREFVNS AVVNNPTEWALIKDGQDFYETFIVPRSTVLETTETKRSLNHHNVPRNVEYPREAELNR RWVPWAYPKAVVEHGSDNVKLAGYFVDTGAGKVGVLMVQTFNVEAGEGDNSEAREFQG VVQQYISQAKDQNVDKHIIDVRTNGGGKILLGYDMFLQFFPDEKPQLQSRWRGHKGSE LFGDKLSSIKTMNDSNGNLYTSPWNFHSYISADDEEFSDFKDMYPPQKFNDDSFSDLL KYNLSDPIETSDDTYAIGISMTGYLDRANFTKQPFKAEDIIILSDGICASTCSLFTEL MVQQAGVKTLAVGGVPGTGPMQVVGGTKGSMLLPSEYLQQVSTSVIKGFASSIDEAND WYDFLPMPFSIAVNTAGVNFQDNIRKGLEKDGIPTQFLNDTASCRIYYEPNMYLNVSA LWSKAAEVAFGKDGGMDEEACVAGSVTSKEDQTGQGQGSPSSSGNGGTSTNGGSGSGT SGDGSSPSPLESKGAAAGAIKPAQGGWAAIFACSAVVLGSMAFGASLV CC84DRAFT_1206085 MFEHDKDTAGADVGSQWTHPNSFYIYRKLETPLSFRLLKVERPS HRQQDGRGVFSLGSQRISPSHTCSARGTLDVEGSPELGDVPCYYSLIESTLDQAPHYE ALSYVWGTSDRNETITLNDGKLLYITKPLKEALAFVERQCSTGYLWIDQICIDQDDMS ERGHQVKLMGQIYTSCHRVLVWLGRVSKPDAELPPTEDLGGSQLPKDLLIPKVSSMGH MLRGLRKAHGPRRSSTESFWLELLQSTWYQRAWVFQEVVLPPSAMFILATTSTLPDQA RMISLADLHAKVEGINEPNTAVDNICIMYARYIEQRRHTSHNYSPIEQTLSHLAPRAK TSEQLDRLYAFFGLNHDSFINLTPSYDSTLEVAMIDTATAIIEGTGSLDLFEVIPRAV ENTKHKTQIPTWTPDFREDNLVAPFVRSKINFRQDLSARSELYPVFLPTHTTYYRTTW RGSIYCAGEEKRTILAHGFVLDHIETEIGTLSSLTATEIHLNALLDRCIKAWNRIKRH AEHKASSASRQKRESTGLAESTVDLGFAPEPTMTRLRRALVADHCCAASYDRLPSGPP DVADSKLAPEQAMVEVMRGRTLWITRSGRFALGSYLCWGDHICLAYGCSNPIALRFET TTHVLGTCYLEDWMDPWTNGSIERLEEKSAPIRFHIV CC84DRAFT_1164876 MPTAAALQALIMDFPRLNSLKFDLGSANATLPSLPSTLLDALIP GYGIFSQVIFRLLGFDIGLLVSGCLMVIGLAQGGQYLYHRVYAIFAGYFMSSVQIEDE DQLFRQVVEWIAEQNMTRVSRDLMAVTKWVSAYEDSDEEQNAKDEDVLDESGIFNYEK WASNIPPRYEPNFGSDKFWYAGRMFTFSRSKKEKPRSIWNNSDTQFLVIQCVGRSTEP IKELLNHIKRWTLTKEHKMTSVYRPAPKEERRDCAWDRQSCRPSRPMSTVSLDQEQKA KIVMDINEYLHPASARWYAARGIPYRRGYLFHGPPGTGKTSLSFALAGIFGLDIYCIS LMEVGLTESDLNKLFTTLPRRCVVLLEDIDSAGLRRTDDSPTIDTSDASSDGTPSEDG VKVEPLPKLPGAALHGTGIKSLISLSGLLNTIDGAASHEGRVLIMTTNHPEKLDPALI RPGRVDLQVQFTLATRAQTRDIFKRMYSSTSSSPSTASPPPSKPARPSTPTAQPALSS TREDEEFLELLTREPELDVVELAQLGEMAEEFASKIPEGRFSPAEIQGFLLTRKKEPR RALAEVEGWRDGELRRRREKGRV CC84DRAFT_791395 MKSITTLSAAAAILSGTADAFWRMECHSRSGLARIDPIVTPGKI SSHAHAIHGGGNFGLSATYDDLRASECTSCRATDDKSAYWTPALMFQHENGTTQVVRQ VGGMLAYYLLFSNEGETIETFPKDFRMLAGDMNLRNFTGPVPDPEKSLWTESDKSQLL LGQKGIGMNCLNYQKDPEPSMYRHFLPDKQYLDDNCVDGIRAEIFFPSCWNGENDSAN HKSHVAYPDLVNGGKCPEGFPKRIPSLFFETIWQTYDFKGMPGTFMFANGDPTGYGYH GDFQNGWSTDILGNAIQQCTNPSGLLSDCPVFELQTEEEGSKCQFKMPEELDADNCEG PAPGLCGNVPVQFGPEYATGLLKPGETGSATAAPTTIASEDLPAVPTLSYTTAKSAVT DEFGGGISVAMDGQESIGADAPEPAPATPTPEAPAPAASSAAQAPAPETPVSAEAPAP TSPPADAPAPPAGSIISTSVYTSAGTVYEIAIQQVEITVTVDGPAPAENTLAVEQPSP AILPRAQMHRKHSHHMHRRHF CC84DRAFT_1246363 MRKDGSSTSASASKGCNSRPRARAPPQQARLRAAVRLRPLTNGK AEAVSVTASDPRNGPATCRRHAASSAAPFLGHLASPQPSVCGAPAASLPRPDSRHELG SIYEMPPGTEPVDEGVPPPIYRDDENEQPFSDDSTAAHATLVDASEASDEEQLTQKPS SASKYIPPPLQRAWKATKAWVKGPQPPRPWSIRPLFPRVQRAPINLLDRYFPKRKHKI ALLVVFYIAWFLSFSLVLHRSAFAADIPGYGSPVNIRCTDRLWSDANSCGLNGDQCRP FANQTIAFRCPANCKRTQVLNPHAVGAKEINYRPLVIGGPTDEEETLENTYYRSDSFI CGAAIHAGFISDRSGGCGVLSFVGERSYYPSVNRKHIKSIGFNSYFPRSFGFLEGTHS KCQDLRWPLLGVSLTFTILLSLFTSSPAVFFPSMFLGIFFHVALASDPPNLTDYYSIV SIALGRLLPASFCMFAVYKFFVRRTLLGLDAQVEKTILWLGGCWVGALNNYTFDKIPI ERLTPHDIKNQPGAVPALIIIVLTLLCIAIGQAWCLRVEGRLPRYLALYGIYVAVILI LVAIPRMNVRIHHYILGLLLVGGTSMQTRPSLLFQGILIGLFINGIARWGFDSILQTP AELRGDGQLGTTLPTITAPILYNNIGTGLRPNITFEWALPHPKHYDGISILVNDVERF RGYEDASESSFTWVRHMDGVPEYFRFALLDGSARGDYTKAGTWHSDGSWKQMAAGPS CC84DRAFT_1164878 MSYTSDPDSFPEPVDLSHHLSRSTKAREASAIKRFYKYFAIPGI SQLAGGLPNDKYFPYDTLEAKVAHPNRWTPTPNRPIDPPPNDPPTAELASTSIATRKD KDEPPSARLVVPHSSGVQNPTRIIDLKSALQYGTAQGYPSLYTFIRQFTRENLHPFVP YKGGPDIILTCGSTDGFSKTIQAFSNEWAEGHDPVEERPGLLVEEYCYMNAIQTARPK GFNIAPVAIDDEGMVAKGPGGLQDVLENWDFTKGRRPHLMYTVTIGQNPTSGTLSVAR RTEIYALCVEYDILIVEDDPYWYLQFPSSSPAATATPKPKKSSGFEFLDSLIPSYLSL DYQGRVIRLDTFSKTVAPGCRLGWITAQPALIERILRVTETSTQQPSGFVQSMIAELL MGPQSSTDPGRGGAKDGSGWKVDGWVRWLEGLRGNYERRMNAMCDVLDDNKHAVKAGR RKSMTDVADDEDEWAVVEKTKIYDFVRPLGGMFVWVRFDFTSHPLAKQVPAQRLSQAL WVFWTTKPYLVLVAPGAMFAPTADIRDGDAFNCARLCFAACPVDEVKSITKRFSDGVQ AFWRIKSVEKINKLLEEDEAEAGQVDAGLALLNGMC CC84DRAFT_1206090 MPSSQKRKQKRADQQKKARDERRLALIHYPDAFSKKITLDAHTN LLNNLRDDEADYHDLSYVEQSIQVYDKAIGLFFDHLLMAAERARHLQHVVVTGDKEAA LEHITTQLKASIDHGQKIAGLAYIEAATCCLHQEYSKHANPLQMEKVPAGVTVLGYFE ETQTAYEDLNTALLTHMELCKGMGDAYESQILDETLDDAGAEKLNEVAAALEGAWRCY AVQQVHATVKAQFEEFSKRFFEDGRAHVEAVRVLDELEEGFEEPWGWVDRSELWLREW IEEGEGESTDKGENNRDGEVEDWMTDEEGSAEEENTGGEEGLTEGA CC84DRAFT_791579 MRISSRFFRIHCGIRDWNIRGASRLVHSLLRSLLGPAWVAVGTV TSSMPNQRIFIIARFGHRPWNPAPPSSPASASASSASSPSARPNSAPNTAIRVAVTRR PKSSIPSIQKCIDSAQDNSHGARRVVPSVVLIETHLDFHCHHFKRVGWVA CC84DRAFT_1057460 MVRGKLIVFEGLDRAGKSTQCQMLVEALQKDGVRVKHMRFPDRT TPIGQMINSYLSGQSEQEDHAIHLLFSANRWEAAPSIEADLAAGTTVIIDRYYYSGCV YSAAKRNPTLSLAWSRHPEVGLPRPDLALFLDISAEDAAKRGGYGTEK CC84DRAFT_1196602 MEKPIPAFYCCYLLRSKNRKSYYIGSTPNPARRLGQHNGSSKGG AKRTSLQTKRPWEMTCIVTGFPSKYAALQFEWAWQNTHATRHIDRDVRDARVEALQKK TKATPSRRRRPPMSLEARLKNLHFLLGVRSFSRWPLHVRFFAPDIFTTWERHTAKMAS KLRASITIQLTPADSPAPIADPSTGDMTVFKIPDVIQAIPVAYEDCKPHVEKVNSLVE EQRPRSCGVCSTSLSLSSGLALVCPVATCRSTCHLHCLSIRFLAEEGSQDALIPIEGT CPSCHTPLKWSTLMKELSLRTRGQKETEAMFKIKRRRKPDAISESDAMEPQELGEEDE DLDETWMEDVDDGEAVATT CC84DRAFT_791598 MVDLLPPSGPPMGGTVATFRVASANGAPAAPKSRMGGTPLTPAS GTPSVGINGIPLSARKAQALDLATVERRGQGMPNNPPPKPNRMFGLQEAPTFRPTTEE FKDPLAYIASIREEAQQFGIAKIVPPASWNPPFAIDTERFHFRTRRQELNSVEGGTRA NLNYLDQLAKFHKQHGNSLTRFPSVDKRPLDLYKLKKAVETRGGFERVCKQKKWAEIG RDLGYSGKIMSSLSTSLKNSYQKWLHPYEEYLRVVKPGVQQMIEHENGGPYTPSPAPS PMKKSAHGTPNGNALDSPAMRATVALNASMHTDTAPTPPPADLPRPVVSSGFTAVNAG GFTAVNAQSPQPTPPAASTPAPAPNGLSHINAMNGYAHSNGDSRTSTPLRNGSPMLSA HNTPDLRPSAVGLTPLSNPQAFPHLKRTLSQETEGGMTDDADAANGRRSKRLKKDAAP TVAGSHMTQPRQSTPSGGRTLHPRVRASDERPGDRCETCGTESDPSNILLCDSCDAGY HGYCLDPPIRGIPEYDWHCPKCLVGTGEFGFEEGGTYSLRQFQERAHLFKQAHFANKM PFDPVTNTPKPVSEDDIEQEFWRLVESITETVEVEYGADVHSTTHGSGFPTIERNPRE PYATDPWNLNIMPYNSESLFRHIKSDISGMTVPWLYVGMVFSTFCWHNEDHFTYSANY QHFGATKTWYGIPAEDTEKFEQAMRDAVPELFESQPDLLFQLVTLLTPDQLKKAGVRV FALDQRAGEFVITFPQAYHAGFNHGFNFNEAVNFAPSDWEPFGELGVQRLQDYRRQPC FSHDELLLAAASRKDTTIKTAKWLAPALQRMRAHETKIRTEFAEKHKVFHGQKCKIDG TGDGDGTCDIEIEFNDTDMHEDELICSFCKAYGYLSRFYCANSKKVLCLRHAGWFECC PGSLEGERYLGARGEHFLTYRMTDDVFESTIQKIVDKAGMPEAWDAKLEALLAEGPKP QLKSLRALLNEGERIDWDLSGLADLKEFVEKCSEIAEEALGYTTRKQQARRKNEGRGR GRGKAAAAEVDEKEREYRNIESIQKLLATANNLAFDSPEITALRERFDSITEFQDKAR AALRDRPAQQSIARLDELLEEGKGFNVDLPELDSLEKVVAQLKWLKTVDDCRQKAPTL KDISVLIEQGIELGIPENHPEVHFLQDKKVQGELWESKAKELMSVENVHYQQLDALSK QANSIPVVPETLAAVDAILKKQRDAQELILSLYERSKNPDFRQRPKYLEVKNAVEALS ALNSKPTGTIDLEREQKRHEDWMRRGKKLFGKANAPLHILHAHMKQVDERNISCFDLS DQPRMPVEPSSRANTPEEDEENVDGSGSSRDVFCICRKPEAGIMIECDLCHEWYHSKC LKLARGKLKEEDKYTCPICDYRVKIPRDATRPKLEDLQTWQDEILSLPFQPEEEETLE SLINHGIQFREYVAQYINPVMSSPEELTTQRFYLRKLEGAEILLSDEINFFRQELHKW APVAPQPPPILSVSLSTRKPRPTKQQKLMSQLGITNPEDLPPHMRPKTQQTKRKSLDP TRPSLQPAPEQSHTPPGEPPAPRRSFGENDYFNSNPVTSGFNNSPTFATNAPMNFGSS IAPIDPGLFESAGGEPTSPMQDVFKSSGNGQEMFGEMMETGGGQAEEALAVTEGNSYM D CC84DRAFT_791611 MLPSRGLPPRQLASLASRQSSALRSATSRKFSSVPRRTALPASC RTSSLRSSNWRIGASPSSDVVLSPSAVRYASWYAPWTWGRSGTPSNAASELASQPTPV PEVVPEPVVTTATPELQPAGVETTAVSANPTSTLEGKSVEDLLEITTKASDSSFIDPT VPLSYWGNLKDLGLDYGWGPSAFFESLLEVVYINAELGWAGTIVASALILRTGLFFTF QRWGSDAMAKSAAMKPVLQPLQDEMEEAKRRGDDERVQMLKMKQQTIMKDVGVDIFKS MGTAIAQGVFGYGAWRSLRGISSLPAPGITTDGWLWFTDLSVADPYYMLPVITGGIMY QVIRRGGETGMQDQTAQTSLQKQVQVVLPVLMTAVTAFQPAAIQLYFFATSITGAITG YSLRQPAVRRVLGIRQLPTPQSNELWGKVARGEIDMNSIKTPDGKIQYQAPSPPASRS HNAPSGLRLKSSASLPAHMRGAPTQADVAEEPKTAWEQLKSTPSTIKGKISKWQDPRD PDVKKKQDAAAKQARDLKKYMKEKKNHR CC84DRAFT_1164881 MSSTTSDPTLSSSFATLPSDSENYSTSPDAAASPDAPPSDTSTS SPLILYKPPTLWGLLRGAAINLLLPFVNGLMLGFGELVANEAAYRLGWSGTKIFPTHR AGGGHRVGPGVEMRADPVERRRRDGEELDMYTSLE CC84DRAFT_1092725 MSSGAPANQDELIASLASLTGLAPAEAKQYLAAANWNLEHAGAL YFDGAPDDEHNATSANDPTSQDIMAGDNDNDNQTPAQQPPGGGRTLGGAYVPPTASSA SSAQQPAVRRNPPSRGVAGARTLGDLQLSGGGGHGHAHDDDDSDDDDEQHDFFTGGEK SGLAVQNPNQSNPRDHINNILRRARQNAPRPGGDDEEPATRFRGTGMTLGGDDAPSRA IPDPTASAPSLPPRAHRELHLWRDGFSVDDGDLFRYDDPANARTLEMINTGHAPLHIL NVEQGQEVDVEVHAHKDEDYVKPKKKWVPFSGSGQRLGSPTFGASSSAPPPAPAASSS GPTAAAGTADAAKPTVNVDSSAPVVTLQIRLADGTRLPSRFNTTHTIGDVYDFVNSAS AASTQRPYALMTTFPSRELSDKAQALGDLPEFKRGGVVVQKWT CC84DRAFT_791722 MRSALLLAAAAAAPLASGIRIVQSNDDGWAEINARTLFNSLAAA GNQVVLSGPAENQSGTGSSDATPTTVDADGCEYSSCPANAPATGANTTDPRLNYVNSY PVTSIKRGISVTGPALWAGAAPQLAVTGPNVGSNVDVQAFFSGTIGAAVYAAHTAGIP ALAFSGKSGDPTAWNVSPVPIHSRVYADLALNLTTTIVNAGAPYLPADVFLNVNFGEV TESTCNSASQFKFIATRVTTGLLSARDAEVCGSTRLPWEVDVSQLRSGCFVSVSVGDA ADKTTADAARQKVVFDKLKPILSCL CC84DRAFT_791728 MLARLRTVAPITRQVRTFSAHNPAMAPLRIGFVPEHFSTPLEFA KKHYGLNARLLPFPSGTGHMVTALQADEIDVGVGLTEGWIAALGKAQEAKQDAGFKLV GTYVETPLCWAISTGAKRDELRSVDDLKGKKVGVSRIGSGSYVMSFVLADQQGWLDPQ ASGPPFPVEPLNTFVNLREGVNNGTADFFMWEHFTSKRYYDNGEIKRIGEIYTPWSSW KIVAKNDLVNPKNWSQGVQASHPALKGELDDALQKINKGVKHFEDNQEEAVEYISTKL DYSQEDAREWLKTVRFPQEVRGVDDAVVQKTVHILQKAGVLGDAVDEQVMIGLQR CC84DRAFT_1146999 MGSIASELLSMRARTIAIIGAGPSGLAAAKYLRAERAFDKIVLF EQRSRSGGIWNYTGHQRDEDLFTVPQTNPLGKNQDPTWSQRPRPADGRSDGAEKDPSF LSPIYDRLETNIPRGLMGFQDLDWPQDSQLFPKHETVLKYIQDYGRDVRDLIRYETQV TNVEPVDEQSMRWKVQTRNIRSNIQQDEEYDAVIVANGHFIVPYLPEIPGIKAWDAKY PGAISHAKYYRSPADFKDKKVLVVGNSASGTDISTQIAQYSQLPLLWSSKSPSMFNVR TSPTKHERPPISKFLLSSRGVEFEDGSSENNIDAIVFATGYFYSLPFLSNVEPKLITD GSHVNHTYHHLFYAPRPTLSFLALPQRVIPFPIAEAQSAVLARVYSGRLALPSLPSMQ AWESATAQEMGNGRNFHLLPFPKDGNYINMLADWALSAEARDGLENEGIGKKPPVWGQ WEFWCREQFPKIRAAFGALGEKRFGVKTLEEVGFNYEEDMKRKNEEEKII CC84DRAFT_1259807 MATNGVSSLSEAFGGKWSDSPLPIWTRLANAARDYPDKLAIACL HQPTRLYGIQSSQYAVHGFDKHLQWSYSQLSSTAERLAAGLQAQGLSSGCSIVTILRN GVEFPMAFWAAHKLACPFVPLSSRTLTNAVQTRHMLTVADVSAVIVEDLESAAAFDQV WHAGARKVVKIVAGEKSFDGWTSISELLEIGSRALSVQNGENGTLHALEPEHSSESAN TVTILFTSGTTSLPKGCPHTNLTLNAFSKLLSIGGASPQDIFCSVLPNSHAMGYFFVL HFFMNAGTVVYPSATYEASTMAQALAAHRCTHATLVPTALHSLLEWIEPSGLLFPDLK DLCLAGSSITPQNIRSVVRDLGAQGVSTGYGTTEGTPVWNAPVSDPELLIRGDDVICG SAVPGQHVRICAPNSTQVMPRGQPGEVHESGPGMIAGYLGENVGKDSFYVDDDKTWYK TGDSGVMWDDGRVSVVGRFKDMIIRGGENIAPAAIEVVLNQFPGVEAQVVGASDTFAG EVPVALVRALPPGDNPAGQLQEAVRNHMGVLHVPDEVITLASLGLDDYPRTISGKIQK AALRVIVAAYRKNRETKHDSAAVSIDGDAIPHTNGHMNGNTNGTVRRDSGTIEDRDVE QTVLHVWWRATGIEPSKLDKQTPTFNYADSITLMRVRALYRKELGVTLTAVEMSQNAD IQSQINALERKVSQSQKHNIAQLPHFENARTLDELQVVLGPENDARTFKETASRALEK QGFNFDQDVESVIQMNDFIDVLEREKLINTWNFGISIVADGSTVQELRTALTAALTNN SLWTSFYVHGDSGAPIYVTMKPQKKLYDHVLIENGSVKSVAELQQIAVEYPHREHSVF PGPLFHALLYDIEDIKSAGFVMYVHHIVHDASSMRLFFEDINKALLEPARPLAPHVPY QLWSDVYHSLRNSPRATMEVNWHVKRLSNIHLHRKALYPPAHVPRQEIQTSPDGIDYG FDAPALLNLKRHHSHITASVVLKAAMALVNVTRTKHTHALISNYEAARSSFPFWPDTL RHLPGPNGSTLADLDASDVAGPTMNAVTNVIPVDPAETTLAFLNRLQDEQLALTAHGH APWRRIISALNALHPGENAGELVYETHRTQFLTWVPGALGEYERMRIAAIAIRAALGL VFVAGLGGPRATSYGISLRWDVANYSAKETMRFVQDAERAVLWMLEEGNWGRGVGEFL ATVEEPAPTAVSSEKTAKGDCEGVGQATNETFELIKESEPVHSAPVEGKVEERKFRGS KVEELEVEGSKGDEYKVEAGNAVAHKVEDPKVETKADESLPGEKVSLESTPVSSSLVD SVHLDDKVGGKPVEV CC84DRAFT_1246376 MSLVKKASKPFILLFVLAWDLGLFILNVVIPKRKVGHVVPVGAP GHARNWPAYEAPKPGDSRSSCPMLNALANHNILPHSGRDIPFRTLNTAIRECFNFAPS FCFFVPHFAADFLNRSYWSGTLDLEELSLHNAIEHDASLTRRDVALEPDQAKPDILLV EQLLAGATGGTPEARLLTKQDLSAALTRRRVESKRENASYTESLFHKGFGSANSSTML TIFGGRINDLRPMLLEERFPDDWEPRIRSHFGLTMAAFNGTVLPVERGVDTRAYVKKL EGEDAEPTEASK CC84DRAFT_1176632 MGSRPGGPHDTTSHDRHSPSITQRPHLPPSMSAPAGRLPRLLPA DLSSYTDAYLPTAAIPTSATSLAELAHLIRLQGYQEQRKAQSRIRLHRWLVSSALSAR LVHCGELAYRTLVDSFRSDDKKGFATLYNAVNDVRSSCDAVRQHALLEADLEFGKSKS MKSDKAPSFPTFLNQVPSKILDDLLDFVSEIRTNPDFLATRILSLSQQELASLTSFRQ ALDPIDSVMAMQARGKSLGTQKPTVQGPSPVERLLSFQRHDPFAALIYTIFANSSGPD SAEDLRRTDAWATTCARLIMESKQGTEKFIKAVLDVYAGMREWPGKANLELYLMQVLQ DGQFLLEKAEEQSTRTGSQPIAPTTKDTIAADEFFDSAVKRLFEVVDDDPSAGGIPEG VLEIGNAILRKLDETKNLRKGAQNFFVSRWLFSTFLLNAIIHPEACTHSIMIGHHITE HARQKILKEIAIRAQKHVLDMTYNWRQQVPILPEIRGHIESILARFKHTRSPSKPVLL PAKAITSPRETVEVQPFIVMSPADIVTLVNTLFPERRPASSHYDKTQQRTGLASSASS ISAISMPFRSTSTPGGDASSILSASASSMTSDHTSREPLLDLSNQPGMPHEELNEAKI APTELYGRRLRMACSEMTRILGPDATSGSCHPCAEKWAVLYISPDGKQLKSRMRKDFD DEEEHDEDSPESDSSDDEGPPDRIDLENDYHQLKEAITKLVEEYEIPKELTPDSESKT FSNRTSTHRRGARGRGPVRHHSETLGSRNPYNQTQAQSQSQLTNLIASQRNLPARHGS PQNRRSNSNPQLPEKTENNAVLVTMLETAMHQCQARGAFLDSQLYYKTLLALRRLNSP TLTKNGYAALLNYFSRGPRDSLGKSANAIEEFEAWFVWLKQSQERHDVAIEDMILGLK NLRDKMWYITDVRNSGTYEEARNVALALKIMGQPTKTLDGKPIQSHRPRNYSKSTNNN FILRAEAQVVDMMSAPTEFGGPNKLSDEQSEITQKWIAQYGVEIFCKGEERIHRFCLE IDKCVNKLVGDSMMDGPVLWASELYRRDKEVLDSGRQKGDLFLTGVGTLSIAGDEEYE THGRPTRNLDFAQRPSQGSLRSLSGRPSQQSFDVSPWGRSPNDNHDYFGGSSPVLAID TSTTFWSPFQTHAQSPTSATSIRPRTASSSKGTVMLKQSIAVNEDKRRFLLDLKQILT GLLLSDLGTVVFSSGSETDAWFSSDLLEDCIQHKYTEEEERKRRKQLARKKSIKGLRK QANSNNPLEALGRNERGVAAPPIATLQHAAGSDAHHSAGEHSSSSDATSRSSGTSAAK KAGLLEFPYNVAFRRLLKRFATHPNPFSKLHALYELELLIVASLSSRTGRAYNNRREA TLPAVPQSPTLGAMPELSSREPTTNTERATNVKGTIANCEERRSHSMNQERACNASPL PRNGARSPVGPPSTDMIVDVIQGLFRDANIRPKTLFRDLQYIASFVPAQMLDKTPRGK AFWDVALAALGLKQDVCRIMVEVADDIVSRESAKRSNVIHQNTDPAPSDGPTNPLVSR YTMDDVARMLLITAKEGDPTAERELATMYLTSPELLERTVLPLKKTGEIFNKQLLSQH RDTTRSDPLIMCIATHWMKESRDGGDELAAQYLRQRTDLENIQQR CC84DRAFT_1206099 MLLPVGRAALGVVGCVGSSCSRPGVYALAGVVAGARARRRRGLR LEQGAKQHTSSARNKRMRFAADAHGGNGGLCTVHGGQRVRRAVGRKGPAARPITRPHS VVTSTLQLPCGCRDTAPSGRWPCFTPSFQMAATGLPQWLVAGWPLWRPSHTALPIPPP VHQALHHDQLVVESAISSPPCAQELTARRRRNTADVTPGQWIRRLLSRIAPVCTDALS LQDRRACRPTCQKKPAPKYRVPLPFHWPREPLLSLFISACSSRLRLNSTAPNTAQ CC84DRAFT_1120821 MTVPRNSLRGFLTQARGALRGAIETSQNVTIVIGNESADLDSMS CSILYAYIRSMAPPRNAFSAIYIPVTNIPKSDIQLRPEYLELFKHANITSHHILTLDD LPDLSTIKSQLPPQNTKWVLVDHNALQGQLGSIYADRVGGVIDHHDEEGKVPSETGDE PRMVEKSGSCTSLVTKYLRPSWDSLSGLGISSAAAQAQGDSLSDDAAVVKVWDAQAAQ LGLASILLDTANLQDKSKTTGHDREAVEYLEARILACPKLAASFDRNKFYEEIDSAKK DIGGMQLYDILRKDYKLWNDKGQKVGISSVVKNIEFLQKKAADEANTGTADAAFLEAL QRFATEHELDLYSLMTTSTSAEGHFQRELLVWAFSETGITTAKKFAAESGNELGLEEW QSGEGNSYDHDGDGYWRNVWWQRHVQHSRKRVAPLLREAMI CC84DRAFT_1246384 MFLHLALLGLLAWPCATVQSFQNASSTQPILSPTLGGTESCNSA WQSYRSRMTRPYETDTATITRTLTVSTSLMGKQTCSSYCGSICYADTLSVKTVLIPGK YTTTEYSFSSRLTYSGPAPNCTVPAAECKQLWTSYTSADKAWSKTGGIGSPLTPGCTA GCQRTTCRFGGGDKGAIPTPGVQERYWNSLKLFYFPETRNVSRDMCATSPTQTPEFPI SYSSYIPTTTEGFVVQDGKTLYKGNVYISVDQLEVGDNCGYTTVMHDIAFPVASSDVQ SQRAMEVNPVSGKYWPVNWADFDEPVPYSAYVGGQGMSFSAIPPEQLLSSSVYCKTAI CDRSAVLPGQYNPWMILPLAIKGLDPEFADCEIPDGYTWFDPPIALHSAPNFLTTKSP DPASTPATPASSVIALPAKTSSPAAAEPPSSAPPPYDPPTNNDPPTDNPSPYDPNNPP TANPPTNKPPTYNPPSNNPAPDGNNPNGGQSPNNPAYTRPAQADPASRPQITVGPTVI PIAPDGQGLVIHAPTTIAPDGSAVIGSTTYHLSSGVLTMVSSGGTSSITLGDAAQNAV GTVVGLGNGGSFTIATAGSSLVFDVKTTIEDGDPAQTIGDMVVSVGSDGVHISNMKNG EQTTIAFTDVEGVVTGREGSFNAAAFTGATFGDEGFGAASAYNTGDSTGDAQGGSAVT SKTGAASTGSIGEGSDPASTRRSSASGTGSAGANGGESETGVPSSPTNKGAAASVSAP WYFGFLSICILVAHL CC84DRAFT_1206102 MKYFSLATTLSITTLFRSSVQDCTQCSSNQPTISAPHKNVWRPL SAEEQIAVSNVVTQKLGVDPNPAPNSTATNALGVGGITLLQPNKSIALSFIDGNDEEP SRFARATVLFTSQEGPFRQEYLVGPLPATNATPIVPLTYPFNNEQPGKSRSPYLYAVN TTSDWIASLSNEIANITNQIWNSTILEGGIGPRLGNVIWEDDGTQSLWIALYGPSPTG FDSTTLLPLGVYFKVAIRSLRWQEWKIDGWYYRGVYYKSTEDFQDAIYASTFDKPVPN VDGTWTSTDKQGELHLDDLPPPITVAEGGNRFSLDKKENFVSWMDFGFYLVTSPELGL ALFDIRFKNQRIIYELALQEALAHYAGSDPVLSETLYFDSFGGMGNSMVSLVKGHDCP SHATYLDAAVPDAICMFEADTNYPIRRHFAFAQNYTSVARNVAFTVRWIATVGNYDYL FDYTFFYDGAIEVSVRASGYISAAYFAENDDYGFKIHDSLSGALHDHVMTFKVDLDVL GRQNSVQKVKVVPATVEYPWSAGKARKTMKLEKSFITHEDKSGIPWAPNDAAIYAIVN KDSPNKYGEYPGYRVKRAAGATHLTMSNSSDAGRAAHFATADLFVTRQKDTEPRAADW VNTYDVDNPLVDFAKFLDGESLEQEDIVLWFNLGMHHVPNTGDLPNTVMTSAHSAMRL EPLNYLLNDPSIQTSQQVRVNHTSGEVETFDAQTANCSVNFSTLNQLFV CC84DRAFT_1147006 MAEFKELTVACMCGAATHSFTVPNFSLPIPTHLCSCNISRRISG SLLTSYFNITFSSNPSKPDLRSLTPYRSSNILTRHFCTTCGTQMYLEYNHDDHFEAAT GTLQVDHTDGLLDYKAHIWIEDTPDGGASQFLVNMNGEPLDRYLQEPRQSDLVPLDWC TKSQASAPGSTPIYAHCHCKGVEFWIMPPDAASKKAESPWPDLLVPSETGSSANPGNS PWWLPTPHHYLAGTCACRSCTRASGFDITFWAFIPTANITLDVDGKVPFARNPYWGTI KTYRSRDDVTRSFCGRCGANVFYDGHNRPSIVDVAVGLLDAGSGARAEEVLAWWAGRV SFREYALNMKLIEGLEKGLQEWGERYRGLKSIAPSSVLNAFEGSR CC84DRAFT_1147008 MVSLRNLIACLAGAAVAAALHIPADSAYAPKHATCPATPLVRGA TGISAAESDYITRRHRKASAALKTWLRSVDEGFDSVSKEWPAHSSGKGAKAPVVALTS SGGGYRAMLSGAGVVKAFDGREKVKTGVSGLYQALTYEAGLSGGSWLLSSLSANDYPT ISSLQKSLWEEALESSLLVTSILVSTPKDTIYSTVEANVKAKRDAGFEPTIIDPWGRL LAYGLLYGVDGGVRATLSGLTKTSSFKSYMAPYPIITALGVETGSCIPEVNATQYEFH PYEFGSWDAGVAAFAVSKYVGTSFSNGRPIKSCITNYDQLSYVLGTSSNVFAAACTPV PANNSADASLVQNFAAFVSKPGSDVSVRETFGLFPNPFQGRHDSPEVSGLSTLELVDG GVGVGYQGNPIWPFLYRSDVDVIIVNENSADTRDNYPDGTQIVNTYKAAVAVGLKRMP TIPSVETFVTKKLNQKPTFFGCHSTEAATIIFIPNFNYTFTSGQPTSKIQYHRNETVG MISNGVEVGNYGGKDNWPLCLACGITKKNGKKLPDGCAACFEEYCFN CC84DRAFT_1246391 MPSRTSFTELRHLTAGQDGGFNQGILIVRHRRTGTCYIEKRVSP RAIRTGHAAREARALRSCHHPHIISFVFADIDAAGYGYGSIYMSYCELGSLDGLLSRL ARRSNFPPEGFLWKILFDMATALCYLQTGLKSSSLAQSGQPVSGCALGWVQILHRDIK PANIFVTFAHSRTESVYLALVLGDRSRSLSLRLRNPVIATPATCTPSR CC84DRAFT_1164889 MPGRTAARSTRSARSSAATATATTTRRSTRGRSSAANVEIPDEG LDSSLRTEINHIFDDAQNTTATQRKLQTMLRKIQERCCYEQDESRNKKKAQEEEEQFD EQDFNTAVVRCLLRVLNVKKGVQEGDRVIRFLGLFVKNAFEKDRQIYQGDAEDGTELQ SPETPTSRLVELIIRTLLGILFPLEGNPVSNKVVHFRATQALAHIVGQLSTLDDDLFA LLRVCLKKLSRHKESSVRVQAVLGLVPLMNNDEEEEDEDDEDITSNILERLLDMMQND PSAEVRRAILHNIDRSKDAIRYIFERARDVDPMVRRIVYRTVLPSLGDFRYMRLVERE KLLRWGLRDRDDIVRKSAARVFCDKWLEDCAAGSDPRPKEEQTRPAPPNLEAVRELLE RINVVDYAAEDGIAHDAMQHFWEIRTDYRDFITFDHEFWRDKLDPYNAFMARSLMDYC NSLDDKTDIALKQDLEDKFPTTAQFDYIVNKHLNELLDTISQYYGSGLPEDDSEMLQL HDDMNDKEFTVEQLLHIALSLDYSDGVGRNQMMNMVRDVLSRAELPEGCTKLAIQVLR VCCDTESEFCQLIVEAIAEVKDTLMADDATVTGDDDDESFHSAQSDVESDNGRAGLKK AKATKELDPEEQARRHELEVNVYLKCLDIAQNMLQTVVTTDFSNTYLTNVLNTLVVPA VRQQDAMIRERGINCLALGAILSEDLARNNIELFIHCFTKGHDALKVIVIQALADIVY QHRSLLKEEPAEDGSEPVPNEYVKKVAKSVLLKGIRSDNNEISLLACKAASKLLLFDL LPREETALILRELAIAYFDPDTAQQPGIRQVLSYFLPTFCHSKITNALLMAQISVQLF SKLWQKKEDLDDDDEEMVGWPVITAHIADWTDGRQVVNQVRVDFDGKSSTTLEAEEPH ICLASEILEHALTRNCSRDERKPLLALLTKAYIAPTGPAPDEEQLSTLLRLVNEAVES NLGVDATQRNFLTKLETNLTKRVGEVETATQAAESDAETAMPEATEVPETAPAEETAE ETAEETAEEEEEDITMAGVQGESTRMPLDLEDDDDVEMEDEPNNDKPITEDDIVNSLL ASELDEDEEDDDTVVAPARTSRR CC84DRAFT_1176641 MLRTAPRRFAASLWRGAESIQSEAGYQKAINISKAQGIGQRGFL DAIGKTPLIRLKRLSEETGCNVLGKAEFQNPGGSVKDRAALYVVENAEKLGLLKPGGT VIEGTAGNTGIGLAHVCRSKGYKLVIYMPNTQSQGKIDLLRLLGAEVYPVPAVAFDNP QNYNHQAKRHAESLDNAVWTNQFDNVANRQAHIETTGPEIWYQTDGKIDAFTCATGTG GSLAGVTRYLKEKSDGRVKCFLADPPGSVLHSYIQSGGKLAERQGGSITEGIGQGRVT DNLKPDIDLLDGSVHISDEKTIEMVYRCLDEEGLYLGASSCLNVVAAKEVAEKLGKGS TVVTLLCDGAYRYADRLFSKKWLEEKKLLGAIPQHLTKYIVLP CC84DRAFT_1147014 MPLPQPDLPEPAHPEVDSMLSRKFGKEIANYFSGSPLNRVSFLR PDHTFLSQAIRHPSTKILLFNKLEPLVHPPTSIATQSFADVTSIVGSDPFSKSEADTI ADYNSSTYVPQIIFLGLDERHEGLVYKEHYKGVPWFAVDVTPKGALAENFEKLIQKVT AEGKEFSKGRMHLSLPPEEAAIYAEARHLLDWNARNPFCAACGYPTLSTHAGFKRTCP PEDIAPSVLNPVRPPCATRTGISNLCFPRTDPTVIMAVVSADGQRMLLGRQKRWPPHW YSTLAGFLEPAESVEEAVRREVWEESGVHLGRVVIHSTQPWPYPANLMIGAIGQAIPG GEDIHLGHDAELEDAKWFTADELREALRVGTSGLGEEPGPEYKEGGLRLPPATAIAHQ LVTAVANGFASGAPMI CC84DRAFT_1056751 SASDSDSENDATLPYPAPLSRSSFLSPTFTPQSYLSTLSNRHQT LEDLRSDLRARSALLSRELLDLVNTHYTDFLTLGASLRGGDEKVEEVRVGLLGFGKEV GALAERVGEREQEVKALVEERERIRRGVVVGRRLVGFEEGLKGVEEGLGIVEVAASDS EDEDDEDEDEDDEEGMVGGVSIAKLARHVLQWRVVREAEKGLTGHPFVVAQAPRMAKV RSTLLLDLSAALRQAKAAGAKGSVVRIMKVYADMGEEGEAVKVLKGLRS CC84DRAFT_1120836 MAHPRSFLAPLSRITAPSIASARPTLTQLAALPLHQTSIRCKTT KAPDAKKRKARKHYLQSDLKKADQFSLIDAMHYIRAFEVGRTPTSSKYEVHVRFRTLK NGPSVRNRLRLPHPVKTDLRICVIAPPGSKAAADARAAGASLVGEDEVFAQIKEGNID FDRCICHMDSLQKMNKAALGRILGPKGLMPSTKTGTVVTNVGATVKSMVGASEYRERD AVVRLAVGQLGFTPEEMQTNIKAFMETLKKDIARLNGTPKEIHEVVLSSTNSPGFTLN GDFKGPDSVSARALSGPL CC84DRAFT_791898 MYLPTFLILGLSATVSALPPTSRRACTSYRTVSDPGFYASASLP SADFPDDPPYFENQILEFQPSFTSTSTCTLFGNFERGFPVRVDGNAAPKLNVYQRHSS TDKKLVGTFAALELDEQGETTDIVSSPIATFKCQEGTVFEFEVALSGAGSWASVAFEE DAESGFSVLAC CC84DRAFT_1187505 MPLENIRHIVLVLSGKGGVGKSSITTQLALALSLQGKSVGVLDI DLTGPSIPRFFGIEESRVKQAPGGWIPVEVHAEQTLPLTQHAIANANGSTHEKEQEQG QGTHVGALSCMSLGFILPNRGDAVIWRGPKKTAMVRQFLTDVLWPELDFLLVDTPPGT SDEHISLLETLLKTTAGTSQLAGAVVVTTPQAIAISDVKKELNFCAKTGVRVLGVVEN MAGFVCPNCSECCNVFSKGGGEVMATEFHVPFLGSVPIDPAFVMLIEEGVRPRYPKGT VAGGKALVEREEGVESKEGLLVDKYRECSLAPVFEGFVGRLVADVEGRTRV CC84DRAFT_791940 MSMEQEMVGTIDIPKHYTASPSSHIGTPHLTINKEATVDLDSTN AFEGPEKLLEVWFSGSATDLPGQAGPLGLKAVSADVWKEMLDLVNCKVLSVIESEHVD AYLLSESSFFVFPHKVVLKTCGTTTLLLGLPRLLEIAALEAGFPHVAPQPSKGIATAA TPYRVFYSRKNFLYPDQQRGPHRSWRDEVAYLDQRFQGGSAYMIGKMNGEHWYLYITG PDTTLTPPPSPGREIVETETKVMSYPQKLLPETMTDEEEDETLEILMTDLDEKNARQF YLEDASAVAEGRFLQKAREARKNAISSLGSIPEEHPESLGGSTVLNTNHTDSSFDVFE QTSSDHSGFNSDEDDPLTFPEELTTEGHTLGTVVSETCGLADVYPTSKYPDARIDAYL FTPCGFSANGVIPAPGGAPVGTKKGSDATHYFTVHVTPEPQCSYASFETNVPAHQCGR ETSDIVDHVVGIFKPGRFSVTLFEAKPSEDVASGAKTAKKSKNMDAIKGYKRVDRIVH DLDGYDLVFRYYERDDWTGGKPRLGEVL CC84DRAFT_791921 MGEGNVNIAIEAGADAEVTPYSMHVSSKYLDLTKKKLELTRLPR ELELPEERRWDLGTPKAVLEPLLDYWLEHYDWRAQETHLNTSLPQYRTTINLPSTDDE KTVQPLRIHFVHKPSSHRHAIPLLFCHNWPSSFIEVQKIIDALTDPQSLRSFGDGAQQ AFHVVAPSIPGFGFSDASSSETFGLKETAEAFSKLMNRLGYDRYVAHGTGWGFNICRT LALNHPQSCISVHTANPTFDQPKFQQSPVPFLKYQVAKVTKASVTSLSFGYVPSEVQE GSGRDTANRSLSKGLEKLRGPLGPTLSHLYSYRPQTLAFSLCDSPIGLLAGLLDVIHT TQMPPSLEPVTSRSRSPFLSPIELEQQDSQHDRASVETTFRDAVPAQGVEQPSEPRES EANSGVYSWSATEVLNWTMMQWLPGPEASLRWLRRTQLDTTPTSPYSTTHCPVPLGIS AFRAQNTNGHDKATPLMWGSATWNIAWVKRHRRPAALPAWEAPDLLVLDMREYFQSHG GAAFRTPSNLPA CC84DRAFT_1196621 MGASDSKLSFKQGIFRLAEPKQISADDTYWTSFWELPESAEDVF SLFSPADIRRTRDSNLANLETLVLAVTSRLCVLRNHPSFPDPELAPERDALNCIRVLT RILPFIYEADHLDAWEDNFFWGARRKRSRRGQPRTEVLFDEADPEQTPTANEQEPEFE QAKPLAEDIIDTLIDLLFFSDFTLPKVPAAKNKVSYAIWQSGVGCNTPTATTKEFENN RTEILRLLLTLASKSMYMSANVLPVKGVKAITYMATSFDKQVVLSVLCSLLNTTLKYN PASWRVPYDHVVFRDQKQILVTYCLQLLLVLILYPIPEPGTGTPPRNTFRYFLGRLHR PQDFQFLVDGMTRILNQPLQATSTYLPGSHKSLTWAPEMIMLFWETLQCNKRFRSFII DTDRAHDFVVLVLYYAMDQRNDPTKQGLVRMCIFVLQTLSVESHFGKSLNKTFEGQES LPASIRITNFHGTYADYLITSIYTLLTTSKGKLDAIYPALLAVIQNIAPHVQNLGRAP SSKLLQLFASMSSPSFLFANETNHTLLQSLLEALNAIVEHQYQHNPNLVYAIIRSRKR FQALRNFTLESGQEEIERQNQLRKENSGEHPRADSIDSMRSPTMPRTPTLSNVPEESS AFAIGDDEDSDADEPHPATTPQSPVHAPSGASRSGSIASSIDESVPLQLRGMSEKARG KMPVGQPAFSRQNSTTSLSSIAIPILGTADFFTPSAAWIESWLPELPLHTILMLISEL GPKIPNTGSSTADTAAALKIIRESEVRGIEPSPIKNYSFEWSPLSMGWYESLLWSFIF AGEMVVSKGTAGVWNNTSIRLFKVQEAAAQAPSLLAPRGAVDAVGSTIVQRIGSLNLR DRATQMAQGGAPNSGNSGERPSTVREI CC84DRAFT_792126 MPPKKRKADAADAEVDGQEWSGGELSDAETFKRLENKFSLVGHQ KPRHQTDGASGLFQNDQASQPLKPDHASRPMWVVEDGKIILESFSPLFPEAQDFLINI AEPISRVSRMHEYALTAHSLFAAVSVGHTPDEIINRLEKYSKAVLNSSVVSFIKKSSA AYGKAKIVLKKTLSYIESDDPALLRQLLQDPVISQSLADSSATLITEAAPKFGQMMIP GTKMAAGANQIAQPEIAAEEPEEPNKIIAALREDDEEEEEAKVHSFQIRSETREAVIK QCWEIGYPLTQEYDFNNDQVNANLDIDLKPHAQIRYYQEKALSKMFSNSRARSGVIVL PCGAGKTLVGITAACGVKKSCIVLCNSTMSAIQWRTEFINWSNINPDDIAVLTSMEKN VFPRSAGVLVTTYHMLAASGKRAHDTAAALKFIQEREWGLLIADEVHIIPAAMFKKVT YSVASHCKLGLTATLLREDDKIGTLNFLVGPKLYEANWQELSQQGHIAKVQCAEVWCR MAPEFYREWLNTTGSNSPKKQLLAVLNPYKFQACQFLIDYHHKRGDKTIVFSDNVYAL TKYSNKLECAQIHGGTPQHERQLVLDNFRNNPAIHTIFLSKIGDTSLDLPEATCLIQI SSHYGSRRQEAQRLGRILRAKRRNDEGFNAFFYSLVSKDTDEMTYAARRQSFLVDQGY AFKIITRLEGIEDRTDLYFRTLTDRKELLTEVCLAKESDAAEEQIAGDTFSMNLNKRK KGGARRTAGTLADLSGGAGMSYIEYNKTKNKELSKSKGVKNNFIRKLNSSHTNAKKRK AAGQ CC84DRAFT_792152 MANFRPLQPAPMEEQPPNIQARPLPAMKPKRTVTLGACVACRKR KSKCDGNRPVCSCCAQKDTHCVYELGPNEKPSQAMKRKNEEMQGELSNLRQLYDLLRL RPEHEALAILQKIREGSPEASSAQQIQLINLLHQGQLTSAPSLAQHCEPAHPLTLPPI RLALDSPSSDPNTLPFTSIFPLGYDEPTSQRRRHASDTDVSARSDASSSVPPPTSIES LLHPGTEGSSDSRLANLPSWTPIIKDARFLALLMTLWHKWEYSYYHYLDWDIFLDDIS SGRTDFCSELLVNAVLASASFHSSLVKNRSKPFGDSLITRFYREARRLWEAGEGEDSL TRVQAALALFMVFGKHGRDRVGYMFLQEACRLTRTLGLFRLPSSATQRPLHITEEKWE RARSVTAWALFNFQLTMSFTYSLPPLINSPPPVAIPYEGSDNEALFQDECARSVVLLE CLNTLIDPDDPTSDLPPKPEQIEILYLRLKLWFDHRRSSLCPTKHPSPENLLTAMQYY VSVIRLFQPFTHYESSNERISSYRDQALRRTSAATKELRHLISINDSQHGWAATITII LHPLTISVFGSLDEIASQKNPVFTPEANEAYKGLLTCLHGLSVITTYNFYSQSLFRLA TQSCKALDIPLPPEITTALDRFQSDEWTKTAASMVSSQYIADMRRTTSGMQNARMDSI ISKWDEMTIKDESALKKDEV CC84DRAFT_1164901 MVDALIRRAPKNPILFIGINLTIAFAWAGCIGAWTRAKHFKAEE GRYRVHWGKWIQDGDDIWRKEH CC84DRAFT_1164902 MVLEAYTAYEVGDLEATTFASSSSNNKKNDTEALDATKDEIFFA VMDRWRVGLAEETLKPSYKLIRGVQEFCDIALDVIYYLEEYGFVDGPQMMRKERGDKG VKKSKDKNGGKKAGAGSSSEEDDVSEGSTPKKRSRSSKAHNDSPLKGKGKTKGEVNAL QARKKPKTTPKPKASTKKQPKVKKEPT CC84DRAFT_1206115 MSRLVRLDCTLPRDNTKKEKVVEHHLPPELVKNIVEKHVYLPDP KPAVKKKKEIIRHLEPERIKKTVNEHVYLSEPVIEVEHIVHYHHGSGSRQPYSTWHGS HHEYGEISKSAEKRSKKYVKAKMEESDSDDARKHISPIRRKERRREDDHSEGERNYSR NGKGKQKNDRRDDRDVPRRRNHHRKRLSGRSGRHDHDDRNNNSKKEHRARSRSRDCSH SRRRSSHKGSLSTETLKRSSTKKREKSRAREPEPEASDQEDDEGDVYYENDTINSESK VSSSFTKRIEAPRKTPPVSSFDPYIALGLQGQRPTVDQVDIDASYKFLLRKWHPDRHM SRTKEEQDNATERTAELNRAYDILGNAGRRKVFDRTGKTEFWELNQLVEKEAKKEMAI ARPGQGVLKNLQFL CC84DRAFT_1147034 MHLHVSIWSLVLPLSAASHSQRHISHDVAIPTVKIAINASTRFQ VIDGFGVSEAFQRSAQVHGKAGLSESNQRKVLDYLFSNEVGAGLTSLRNGIGSSTSYI RDFMKSIEPTDPGGPNATAKYEWDGDDGSQVWLTKEALRYGVKWVYADAWSAPAYMKT NGNDSNGGSICGVQETFCASGDWRQAYANYLVQYIRFYKEKEGIAITHLGFLNEPDLN QTYASMQSSGFQAGDFLKVLAPTLKASGFEDVQIVCCEATGWNDGEDILAELQSIPGA EDSLAVYSAHGYSSNPALPFKTTISKTWQTEWADLDGSWRTSWDYLGKEGEGMAWANK IQQALTLSNVSGFLYWIGAETTTTNSALIRLANDTVSVSSRLWAFAQFSRFVKPGAVR VGVESGIGYVRVSAFENVNGGVVVNIINNGHVDVRTDVVISGWDGTVGNVTSWLTNNA NNLTVGVEFRAIGGSREPGFNGLVPARSMVSYIV CC84DRAFT_1093474 MAPSFLIVGATGNTGRSVVETLSKLLKTDHIFSGYQIIALTRSA SSPAAQQLARLPGVEVAELSWVDITPDWLREREVARAFIAPHNLPNQFAEESTFHLAA LRAGVKYVVRISTTAANVRPDCPAYYPRSHWAIEALLGSPEFSALQWTSLQPNVFFNF YLSTAAELIKKFRKEGKQDVLRLIASEDAPVGVIDADEVGTIAAHLLLQEDTAVHNKA KYVLNGPEDITGRGIVKMVEERIGTRVENVSFKDLSFVEQMAAASQESKSVIMSIQHA AETSWEGKASASTTSKEILALAAPTRTPADALKTMLGE CC84DRAFT_792264 MNGHPRQGQGQAPTARDDPRSAEGFDAISILSDPTTVYYYLQQP PMPAMSNDGVSPGATPGNSYNSNPTPSPTSHPPVTHAQPRPSSSRAIVPTPTSTSFTP VTTTTAASPTGASPSGAGPTSGSYVVPPRPKPGRKPATDEPASKRKAQNRESQRAFRA RKAAKLTEMQEQADVMSRKHREELNEMVAEQHRLNSEYNNCLTQLEEAKENLQRVTKE RDYWKDRSSQIEAQHNTLQQRLREQNYPLNPYSDQATVFFPQQSSPTRSSIGSFSGYS SPKSMTQLGCGNCKPGDCACIAEFSQAPNPFAGNVPVIQPPSQTSFVDPFADREIDFT AQFSKRGRADQQLSGTLLAQSNEPDSKCGFCTDESNCLCRDQTLQFQDVPPTDDSLSV KLGPGSCDACQTNPKQRAWCQRVAQLKKEEFRPPATSRNSSVSSTLETMEPHIPDAST PYGAKQTLGCSEAFKLFDGRISMDQDKLDWISNLRRVPSQGRRDTMMHASRQYSAIEL DTAGIIATLGNTMQPLQPRQEDGENQDIVRAAQEFQRNTQSPHRSPSYRT CC84DRAFT_792688 MGTLRQSYNSPPRNPLIRKAIRHVHFEDEARSRPRQHKPTEKTY HHVDVEKDDARGRTRYRYRSRSRSRSRNRSRGQRTPTLHRYYYDNEQRRSSDEWKCRP LSYRYPNMNPYENETQWGEDRRHKHMRYLRDINEARTNGYCYHPVHEYGSSRSSYHNK SWTKENAYDHLPRRTTYTQYDQHYGSYDRHYSPKDGFCKYGPFLSSRRSSPWPSPMRH ESYSCKRDLYNPKYEYHRYQSERSRSRYETTPNRCESSRRCYFRRSSKSQVPEICSTR RSWRSVHDRSIDRMTEGDDSGGFHIHIRHRRWM CC84DRAFT_1147041 MKYTVAALLGLSGLVTALPAIQDPPQGVRILPPGWDFTITSLNG PGCPDFGADPDKQRTTRTTFGENTMDGSEIYYWFIAYPHLRVELGGKEHSWCETEVKY TEYKDRNAGTKGADYQFRLHKNGTSVIATYDLEKGVKATFKFAYDVGEGVTDTIVWKG PLASGKYELQDTSPVALPPSLRPLPKCGSGTIKFRTDLYISGDEGKKGFVASEHSTDA EGKEQYYGIQQGFSYDWQKCSK CC84DRAFT_1176657 MSPTNKAQDLFNVNGLVAVITGGGSGLGLYAARALDANGAKAIY IIGRREETLKEAAKTAVNGTLRPIVGDVTDKQSLQKIAEQVRKEEGYIDLLFANAGIS GPNHVKNVPDKPSVKDFQKAAFASDPEEFTQALHVNNTAVFYTTIAFLDLLDEGNKKG NVPQDSQVIVTSSVAGFSRFLASSFAYSTSKAAVNHLVKMLSTYFNQNGFRIRANLIA PGLYPSEMTQGKTKDMEPFGGVKGHDAFSGAYKADASLAPAERTGSEEDFAANPTREL ERDLVPEWRVKYLDYKLGKKKLKAIQRALRTVHQTPRTRFRGPNGFTPSPYDTAPKYS FLNRNHGQATATVERQPGDLRTLAIGNSLSRRSSPEDISKASPAVGVAKDEEAPLNAH DDPKFPGMTRYGSIIGSPPKARGKARAPPSLKLPGAALDADEVSPRSVPPPEPSTPKN RTTDMDFGPIEGIGYDNQGQGPPNAFEVGKTRSPTHKHTKSLPARYRSIFTPKRMNSN PSSPVTQAPRPLLSRVFSLNQKDPQPSSPDDVPLEAYRELDLRQTEFFLFLDMELDKV ETFYKQKEDEATARLAVLREQLHMMRDRRLDDLINRQAAKIKAKAQKQAKHGDVGRPL MNGQQSGSEDDTDVKDKTQTVQAGRYGKSTKAMNNLATPTMGPVRLDEYRDYVRRPET DVSYDTARRKLKVAMQEYYRGLELLKSYALLNRTAFRKINKKYDKAVNARPSLRYMTE KVNKAWFVNSDVIEGHIQQTEDLYARYFEKGKRKVAVGKLRIKIARAGDYTEQSFRNG LLLGLGAVFGIQGIVKAAQLLYSHDATLAINTSYLLQWRLICAGYYPVEWRDFYMGDM FCSLTYSMGNIELFFCLYANYWNNPAQCNSSNSRLLGFFATLPGIWRAVQCFRRYHDT GNAFPHLLNMGKYMATIIFYMTLSIYRIDKTTANRAVFITFAIVNSIYTSFWDVYYDW SLGDPSAKHPFLRQNLGYKKVWMYYLAMVIDPILRFNWIFYAVMPLQLQHSAITSFFV SFSEVFRRGMWSLFRVENEHCSNVGHFRASRDVPLPYELASSDTDGATSQDHGQRVDE EQPLTPHLSRTSTAPMPPYASGADVGSISARRRRASDTGLQQQPSPMARGLQRMGTAL RTAHNQDFEKKKKPELGEAAAKDDDSDDEDDDDDGEGHSGETSDRESAREIERVRSEV EVGRAGPAS CC84DRAFT_1164913 MPGRLPTQADFPSSVTLSITPPPPSHPATNILILLHGLGDTHSA FTKLGTQLNLPETACISLRAPAPLPFDLPGFHWGDDMVFDQHSGEMDVDTGFAASTKV VLDTVIKEGLIAKCGYAAREIVLFGFAQGGMLALQVASSCGEELGGVVSVGGAPSLSA PLPTVGKKNRTPVLVCKASRGSSVTDSAEKRLKDIFEFTEVKEWKRVGDGMMRNREEM LPIMQFLARRLRSRRGVPEGSVELS CC84DRAFT_1176659 MPFGWGDSERAYDQVYQNDNFEENKSSFGHELVAGGAAFAGFKA FEDHQRKEGKPVSHQFAKELLAGFAGAEVDKLAETKGEDWFDREKAKRQAKQNAERMY DEHYIDNQGADQYDPNQYGAPQHFERRGW CC84DRAFT_792734 MHIPNALISLLPLGLLAVAVRLPAPSGPYNVGFTQHIFNHSTPN DPTPGPGTSYLTSIFYPTRSSLAPNTSVPYFDPTSAAIWGEVFNILPADLESLETTLQ WQAPLLSAKDDVERVKDRPTLVFSPGGGMNAAYFTSLLSDLASQGYTVLALDHPGEAP YLPLPYGNPGVVGWDIYMPYSDALIVEIYQFRRADMLHLLGPSGFPALVETYGAYFNT EAYGAFGHSIGGATATGAMDRNRNIIAGFNIDGGLFGDSVNASMHGRPYFMTMNPNHL AQDADSWPAFATRYYNESKTAPGWLDWTTVEGSAHLAFSDVALWVELLPQIPNTTAQV DLGNVTGTRMDSLLKSYAGAFWEFVRGGEYDGKLLDGEVEEWPEVVFNARVRSNGTQG Y CC84DRAFT_793057 MAESIGGGAPGQLPAKVEASAIPNLSCARVTRRQWTLIAITGLL NVLFVCSVLCIIVQIYEIVSDPDDSTNVASEILTFISALATLAYVVLHSIFSLKQRIW RHQGQLPAKDKKTSYIAVRCGGTLCMLWLLTSGWNMIIVARRPRCLPGALGLTSWEIG TTCRVGRVGVAFAMIALLASCALFGMLATVRRPFEAHLLNYKHTPHAPTPTITPTISP RQSRSRSTSVASTRFSRRGVSFSTHRSTHSNVSNADVDTIDLNSTAASDGIAAPAPIR SLGTGIFTSHVHPPPVPAAYATPSHNPLVGLPPPIFQPSLSTPNLPLPPRMSALVSSS GFVPLSIPAQYAPSNWRAVHPAAPSPLAVAASRSQSNLPSTDPSQRFSYRARHARSSI SLTRPHRLSTSTPAHSVGWSSRSGSTSPRDSHDGNERRAAAGQIAFAILNGTRIPGTD FARAAGRHARHKSAPDASASAGAQVLRKKVQVIPGQGKTLVKSSSATLLGVHESGPPA PVQKDTAVKPSTGIAPPQRKLHSERPSAVLPKSKQPPPPPPPLRSPPPANVPAVPMPL MVTAPRQVQRPPPAALTKRLSADPSIAALLGPASWEKENVEVGLGLDFDFQMVKNKPL PKIMGVGEDVKAKQSGQGVYYR CC84DRAFT_1217899 MMTTSNDEDPFLQVQADVLSALDTSRPLFASYRRIRSSASSANS PELREARNELEQTLQELSQDLEDLMASVKAVEHDPYKFGLEIDEVERRRTLVKDVGDE IANMRDELQRTVQDAQNKGKAAANGDMLPDPDSFEDEDGFAQFEQERQMELMHEQDEQ LDGVFRTVGNLRQQADDMGRELEEQGDLLNDVDNVADRVQGKLQTGIKKVGWVIKKNE DRWSSCCIAVLIFVLILLLVLLLVL CC84DRAFT_1217900 MGPEAPLPLPAEFSDVDEYVESLLKFATTSWMLQTLCGGVHILD FYTRSPDLYATILPESWRKWFRGRDIMDILDLLMREDLGQFNDSSEEQTWRDGPVPPE ELLLYIRDVRKHLLARDFPPPGAPAAPKNASIARHIAMGMKVKKVHEVDNFARFIDRL TAEIKESGRKEITHLVDFGSGQNYLGRALAAPPYSKHLVAVESRQHNIEGAKKMDIKA KLVEKPVTYVNKKKWRAENEQDKRRKKNMLKGLNVHADELVSASDAKNGSGRVTTTAG EASTVTVPDAGSQTAVTNAFKCDDDGCNVASGTQYNGTSDNAYTITTNLQVYTEGQGS VQYVEHIIKDGDLNPVIDQVRDSTSIRPETSSSTPTVVEETTSLSNIPKSTEVNAMVM SLHSCGNLVHHGLRSLLLSPAVSAVAMVGCCYNLVTERLGPPTYKLPTLRPNHPRLEK TANAFDPHGFPMSERLASYPLPHAPLPTSNGEPESVEQPKGIRLNITSRMMAVQAPFN WGRVDSELFFSRHYYRALLQRIFLERGVVSAPVDGDGTVGGSASGHTSRVEWTPPNGR GPGLSSDGTSTPLTIGTLRKFAYSDFKSYVRAAITKLISPNSFCEVDPDFIKGKMDGL TDEDIEAYEERFREKKHELSVMWSLMAFSAGVVEAVIVTDRWLWLKEQPEVERAWVEP VFDYALSPRNLVVVGIKKQA CC84DRAFT_1094518 MKSFAVLSILGAAIAPVFAGVAPRQSKGKLTPVTVKGNAFFAGN ERFYMRGVAYQPGGASDAKDPLLDLESLRRDVKNFKDLGINTIRIYTIDNSKNHDEGM KILDDAGIYLALDANTPQYSLNRESNATLHRSYNDVYLQSVFATIDAFADYNNLLVFF SGNEVINAVNNTNAAPYIKAVTRDMKQYISNRHSRKIPVGYSAADVAEVIEQQALYFD CGTDDARADFFAFNDYSWCDKEDGLQTFTGAGWNKKVETYKNFPRPIFLSEHGCIEPN RQWGEIAALFSTKMTGVYSGGLAYEYTVEPNGYGIVDINGSGDIEPNEDFESLKAAYA NVTNPSGNGGAKTTEGKASECPPESDDWPISSEALLAMPSGAQKYMDEGAGTGPGLDG DESSHWAGEASEGTATAGSGAPTRTPNGSAAPSGGSEGAAFATEVPMKFVGMVVASVV LGAAMVL CC84DRAFT_1164917 MLHILVLAAAFALAAAQGIAEWQKPLPQPPGAANCGRGRKAIHI GSSFTFQMNGDWRYYFTDYFPSDWHCDRGEVTQLHLNVFDDITNRIAPINKDPYSKGP PKAPKNQQSSLMMTLPLDTEASGPLVLEPEPGWKLFHHMDTSIDIVWTPTKQPGRRLN VTSINVSKGVSVSASTGPISLALHTPYIRLPHDVYDFMAKFTQPEAVDMGRGMDSVDI VSCNATSTFPTLNLDFEGGAQQILVKPAQYVLRVSQSLGGPFKGKCVLLAKRGGELEI GYAALRGRSVWFDWANARTGFQA CC84DRAFT_1259839 MDQAARGAAALSASKYAEAIEHYTNAIAQNPGAVDYYIKRSTAY QRSSKYTEALADAEKAVVLAHKRARRELIKDSQLRRGIALYFLGQYANAKFVFDIVKG MDDKEKTLAIWESKIATKLNAIPEGDEQRHVTVKSVPDVDVDTAPPPTKENKPADTPS ASRPQLPPTPTEKPKHDWYQNNEQVTFTLLAKNVPKDKAKVEIEADSLDISYPLANGN DFNYNLLPFYGKVDPATSSYRITPTKIEVVLKKAEPGVKWKTLEGELEVVSEKTETSG GPAVIPERARVTAEAAPSYPTSSKKGAKNWDKLAAEEGDEDEYDGDETSRFFKTLYKG AGEDAQRAMMKSYQESGGTVLSTDWSSVGNKYVAPEPPEGMEAKKY CC84DRAFT_1164918 MFARRPAYLKTAAVVASAVGLTAVGINKAFINSPRGLQQLVWKV DQRILFRGMATASTVKLDAGVQPEFFVKGITDESARITSDLLQENHDKHHIFFNRGGF HNHIVHHLLTLFALRATPEEIRRGYNENKYYQRPPGDLKKAIVDDMHDPAKFKTYLGK EQYYQDFLQYFRDEISKKGWETVLNDELFKGDERADDMLARLYGGFLHPIIHLGFGVE FRQPAIIAEALAQTAVHDNWMLPFFLDAEKAAQTSDAKPQDTKTIVQLLNDIRADKKL SSAAHWSDGNKIRDGILKRAPDEMLKYASQYVISESQLEEKTAEMINAAVFYTAAAQH PPNVPKFDFYYIHVTNASIFFSTFLALSSLTSATKRRLLEWKVRSDLAMYASRASPAL LMDDLITYKTTDKDDSWERVIERVNALSDDGHASKLVRALAHGEQVCKKFEGKEGFVV QGDMWKRLGNMAVDSVEAGGPTWVRSAGFEEAWKDVPVRQGLRARV CC84DRAFT_1187521 MAEIKAVFGGAAFPNGAYADAKVQAEALDVLQKAGVNVIDTARL YAGSEEAIGKLEGRTNFTIDTKLKGGFDKGNLGKDQVIKDIDDSLQKLRIPQVDILYI HAPDDSIPVADTLAGIDAAFKKGQFRRFGLSNFTPQQVQEVYDIASEKGYVKPTVYQG NYSPVARHLETILFPTLRKLGIAFYAYSPLAGGFLTKTPADLDAGAGRFNEQAIGGMY LRLYNKPSLRAALGEWNKIAEAEGVSKAELAYRWVGYNSALKAELGDAVIFGASKISQ IEQTAGGLKKGALSKSAAEKIDEIWESVKKEAPTDNFGANVA CC84DRAFT_793199 MELHRPSNAVLCVTNAGDVSAYNTCDEPSSFPATYVERTIQIPH SFSTPPPSNNVDAILAYQPPCHLLGLEIARLQISRHARQSHHQPIQLPAHLHLTPQSE NGGVGGRTLRRRALVFVPRSRATSIASSGHGPRESQRRPGTSPTHPCRRNRAWGCRTA RTRPLLPDTPQRHAMATSRDAPNPLRPYYIPPSIGLPPDLPQSNSSSHAHSPAAPAYS SRTPKPSFGSQARDILSDLDYDSYFADASPSVADHAKKLLDQALWNYTSVLLAQPFEV AKTLLQVHQAQGQAPPGLRLNGDEIKSRPNSFASGKFEDYPSDESDDDSPGYFTSTAP SMHGRTYSRGASRSRSPQKRRQLAGSRSRDTTPTRAPPPPPRKLELRKADSLLEVIAQ LWQKESAWGVWKGTNVTFVYNFLLKTTESWTRSMLSALLNVPDPGLMGTSASGIGGLD IIDSPSPLMSLAVAVAATALAATLLAPLDLIRTRLIVTPTSSSPRTIYPSLSLLPSFS VSPSLLPATLLHATVPTFISSSTPLFLRTFLAVDPIVTPTAYSFATFCSATAELFVRL PLETVLRRGQVAVLQDHENDRLAAEYRHVPEARALKSPVYGLDEDASVRCFHTVVEPG PYRGVLGTMWFIVRDEGVQVVGPGAAKGKAPAASFSARTRIRKGQGVQGLWRGWRVGF WGLVGVWGAAAMGGGGGEF CC84DRAFT_1164920 MVNTSLKRRHTEDRLRGKLPKKDKPKIKRQKYYHSSSEDESDDE GAARDAPREVKRPKEPSPAFEPEEGTANALPLKERTASAPAAVAAPVSAPAPKKSALK KTEPPKPVEAGDDFDDIASGEEEEEEEGSEPEDDEPAIGSDADDSDASDATSISETST NNPARKVRKRNDPEAFATSISKILNTKLTSTKRSEPILSRSKSAQEANKTLSDQKLTH KANKQLLAEKRAVQEKGRVKDVLGLNDPSISTAATTAKEKELRRTAQKGVIKLFNAVR AAQVKGEQAEREAREKGVVGLGKREEQVKELSKQGFLDMITGGAKQKEGSVEV CC84DRAFT_1093450 MVLQDLGRRINAAVSDLTRSPNLDEKAFDAMVKEISNALVEADV NVKLVMNLRNSIKRSVDFKHLAPGVNKKRLIQKAVYDELVKLVDPHAEPFKPKKGKPN VIMFVGLQGSGKTTSCTKLARWYSARGFKACLVCADTFRAGAFDQLKQNATRAKIPYF GSHTQTDPVAVAREGVDKFKKERFEIIIVDTSGRHRQEKDLFDEMMQIQEAVQPDQTI MVLDSTIGQAAEAQSRAFKEAADFGAIILTKTDGAAAGGGAISAVAATHTPIVFLGTG EHMLDLERFSPEPFVSKLLGMGDIGGLVEHVQSLKLDQKDTMKHITQGIFTIKDLRDQ LSNIMKMGPLSKMAGMIPGLSGMMNGMDDEEGSLKLKRMIYICDSMNEKELESDGKMF IDQPERMTRIARGSGTSVREIEELLTQHKMMAGMAKKMGGGLKNMQKAQGAMGGGNKA QQLAAMQKRMASMGQGGMGGGGMPDMASMMKMLGGGGRGGGGMPDMSALANMMGGGGR GGGGMPDMSALANMMGGMGGMGGMGGMGGGGSGRGRR CC84DRAFT_1217910 MKGYVAGALLLQTARAQLPPFQFPQAGAGALPPLSLPAVPSPSL PPLPPLSLPALPPLSLSALPPLSLSALPPLSLPALPPLSLPALPPLSLPARPPPSLPV LPSLSFPTLPPLSLPALSPLLLPTVGGVIPPGTDDVVLPEATLPSLSSVLPAVSLPVL SAAGTGVQSSSSILTSALPAVSLPVLPAAGTGVQFGPTILPNIRSLAGALAGVASSAV RGLVVPTLPAVGPPQPAITPGGFGAFAFGDEGTPTPPEEPLSDALNGITESTPLPNVP INDVGVTDAVSPAAGPTGGDTPLVGPLGPADNAAILPAPVDPALIDTAPINDVATPID AVFPPVLTSISEVAAPADDVTPPPVVNTVTAPVGNDPFAGVAPVAGSTNGPLESLIPP VAAVGDGTAAPAAGFPIVDDLGTVGAETPLPDVPVAEGTDPSLSTDLPVSPPIFDPGN NFPTVTPVILPIFATAEDFLPIATPQGLPTFNGGSSSGPLAAAPPDQSDLTPPTPEEV SLPAVDNESAPPPPEGTTFEPPQVISAPVENQIGDGSAPFETPIEIQPAPVDTPIEAS AISVPVDTPLDVIGGPADSTPIEAPNAGDPSEEPSTYDPNDPNTIPIEAPTGSDPTEG QNSYDPNTPSDPFQLPNPYDPIQQQSPPPTDSPPYNPFTGANDGPYVLQKLPIGPSGY DGDDNSVYDGEGFSSGSGGHGGSHGSGGPHRGQESDPSASYGTEDTTEYDGQDYGSDS HSSSKGSGKKPKKGNGPPASGSESDSEEECPEWCLEDDSSSSPEASSGASAGNWASST VSAEYSKETGGKKKHKKKKSKKAKKPKKSHRIAVSVDDNVVYTNEYKREVADDAPSSG GGFSGFVWPSKKTDATAASADNSDSSASKSSDAPAASASSSENFDKEISDQLQKGSNG QRVQPDLQGADSSSKVGSDSGDGVSGSTLPDWLSDLQKPKESGASKSSGKKESSGKPT GSSGGSENDAPRETSKSSKKKKAKGKCPKSCKNKKPSASGGFGGKPSETGFGGKPSET GSGGKPSETGFGGKPSESGFGGKPSDTEAGGKPTEAPSSEGEKPTNGAPEETLVATTN PDFAKPTTLLTLTSPKPDEPTEAPVLPPADAAPTEKAGFPAGDVSSGDTFTGSTLAGV CPKQCNPFNPTENLCDHDSTGCTTAGGSKYYCACRAGFKLSDGANKDFSKQFKVPGQP YVYVWPGAKCDKPCESGLCDEVLVRDQCV CC84DRAFT_1246435 MGRRACFLAFASLIFQSLAQNANITLTPAAVGFEADNTAFYYSS SPLLLANDGSAAGGGFRVFDGKQNPQWAEVAHLRTGRSKVAIPVYNIGGRDIVVTIAA TDSNMRAFEANGLKEIKNARKPILGDWSTLCGWQSASSGNSYLLLFGKRIVVQLLVRN QSKRVEILAVQTFPTPIEGESCAVFRDGTVFFSAEDQPLYSFTASESFQAPEIKILTK EVPVLGLAAYHADSEDFLLVVDSESLHIYDRNLNQKNSALLTGIPDLSVEGGLSILQS TSKRHPSGLFAFAFEGEEDTGVAIGSLQAILGASEIRPNTKFDPNERTCRKCTQPISK RCSNNGYNQHGICQCFPGFGGGDCSKITCQNSCSGHGSCIGPNICKCAPGRSGPDCSF VAVKAKYETDANGGDGDDPAIWIHPTRPDQSRIVTTTKSDEGAGFAVFNLNGKLLQHV PGEEPNNVDVIYNFTVGAQVTDLTFAACRGDNTLCLLKINSTGFLESVDGGIQPTPED YEVYGSCTYRSPTSGRQYLFVNNKDAEYLQYELRSTANGTLETNLVRKFVGGSGGQVE GCVCDEEQGYLFLGEEPEGLWRYDAEPNGSSTGIQIARVGDGTLYADVEGVTLVPAKN SSDGYLFVSSQGRSAYLVYERAPPHAYVMTFTIVDNKEAGIDHVSNTDGIAAVGNRLN SDFPKGLFVTHDDANELAGRETADQASFKLVSLEDILGKQRAAQLGY CC84DRAFT_1120894 MLWTSLLAFAALADAAPSWLSKRQGGTTMLRFGCAQVTIDRLDP LVNPGQIPSTHQHQIVGGNGFNASMTTGDVSKTASCTTCAFSKDLSNYWTANMYFKAK NGTYKRVRQLGAARQFNDDFSTKIDGGVLVYYVSAQPGKITAFKPGFRMLVGDPLNRK KDTKMKMQNCFRCYTGPNFGGDNAAPCADGKLDTQELPTAPCKGGIRSNILFPTCWDG KNLDSPDHKAHVAYPTTGPANFLSLGGSCPSTHPVRIPQLMYEVVWDTTPFNDKSLWP TDGSQPFYLSYGDNTGLGQHGDYVFGWQDDELQKGMDATNCMGAKCKDLKNQAIDSAK QCKVSSKVNEDHDAWLTELPGMEGMPM CC84DRAFT_1051385 THLPLSCALIVNMGTYRFGLIMPTTWNGSLLTVGSYSYGGGINW KDMWTGPKYYNLATLSTDTGHNSTQADMSWAKNNEVAQNDWGYISIDGSTRAAKTLLG VYYGEGSLKHSYFSGCSTGGRQGLKQLELDQSLFDGLLIGAPAWNQTHMLPWITKLAT INPPGAASSVFNNGTDVVLISRQVREVCDILDGVRDSIVSRPDLCNITRVAQNNLTCG AVGAPSDGCLRPAQIDTLIQLYQNYTISNSTGRFLGFPAPELGSDADYQTYLGPFVTV APDGFDWQWEVDFLGYPRGFTFSDHIIEDAEKADPGRATAKPKSTDWNAFNQRGGKVI MYHGLADGLIPTKSTTQYWEDVNAASSGATANFARYYQIPGMHHCGRSDYDDIGYFAP WYIGGIGQQSLATIPQTESVLRNSEFDILTSLMNWVEENSAPTSIVATTWQGTTTTVR RQRPVCPYPQQAVNTNSNNIDKPSSWEC CC84DRAFT_1164922 MSKTNLPSTLAILSTLLPLTSTALPTPTIAIPSTLTSFPTTITK TKWTTYSTKTEYPNPGLPLFSQEEWVDTTIAAVYEPWLSAPTSFPYTLVRISETTAMN ELRVTTEWHRPATTLSLLTNSWTVKETLVIGG CC84DRAFT_1164923 MTSQASKRLFKEYRDLSSNPPDGITAGPVTEDDMFVWEALIQGP EDTPFEGGVFPAELKFPKDYPLAPPKMKFLVEMWHPNVYPNGEVCISILHPPGDDPHH YEQASERWSPIQSVEKILISVMSMLAEPNDESPANVDAARMWREKRVEYERIVRDNVR KSLGL CC84DRAFT_1164924 MSVGTRLSLALPRHVRSLATRRIPPGPRGSIRRANSSKLPNSSR VNRSAASQQPGQDADRLPPPPNGPSPSKVEAVSNALATTSDANNPLLTPVHIPEDPNG VIKENHPAMRLLENSSIVVQRQLEMMNVLMGFEQANRYVIMDPHGNHIGYLAELDHGL GRAVARQAFRTHRSFTTHVFDKNEVEVLRFHRPFAWINSRIRVYDALASGVSVSVEPG ASNLPLSNMRIIGAAEQEWGLLRRKYNLFLARNIASATPGTPQLTSGDLPISDLKALT ISDDNNNREVGMVQFARVDEPFLSWDFHLMSEDQRLIGSVNRNFGGFAREIFTDTGAY ALRMDSAGLQTEVQQASSVEQVKSLSHDSPGMTLDQRAVMLATAVSVDFDYFSRHSGS GGMGGMWPMWMPWFGGGGEAAGGAAAGGAAAGAGEAGAAGAGAGAIGAAEETGIASEV GAAARGVGSAEGWAAGAGTMAGYEAMQRAAGRGEPSEGGNEAAASDPYGHAPQQQQLG QEGGDIWGESKDPWANNDKDPWGGGDGGEGGSGDGGGFDVDDWF CC84DRAFT_793384 MAATQLEQAQPAPAIGNGSTGNGAAGKTIEQCSNAGSKRPRHKR PNYNEIHARPLPLEVFPLPAFIPHNPLSIVRIAIALVSQSIWPPNHRPAVYTAYFSSE TQSIHVTDPKAIRALWEQGFWGSGSLSRSEPQWSAQEKRKRGLDAITTSEEVTRKRRE ERRQFKLERAKAQREAIERQLREEGKLDADTNLEELVENGSVSESPLGTLYPPDAGEP LGVSAAASGASTIEAVKQTLAEEEPSFDTGLPDQEHLQLTFEEAFFLSYVLGVLDIYH RETILSSPDFLRLICAHSDFMSSGEPVSTAALLNNPASYPIEPDNSFMLKYVVFHHFR SLGWVVRPGIKFACDYLLYLRGPAFTHAEFAIMIIPAYSDPYWSATSERVVACKKKAQ RDWWWLHRLNRVQTQVHKTLLLVYVEVPPPWDVSNTKSGDVNVGGALNKYRVREFIWR RWSANRNRD CC84DRAFT_1206141 MAFQPRYYTQPSFFEHLNYDVRQIIYQFMEHHLPPISQSLKYAG FPLSCKQAYIESRLPAIKGVRRFLEDFQRNFDRYTSEPTRIIPDIPLDSTFASLRHIT LRIPASLVTSAFDNQLDKAVVALRPVLSAHFQKVTLLFVDPQNEYPANFKTAMRVSSG YALFRLSQIIMDERKVQSSISALSKYVSDLSGYETVHPTSHAQPYPSDFTGTLLYKGN DLPHPISTTDIQIAWDWRKESLIGTPSTLRGYHHEYSAQAERHDGSTWPQLYVVENDE KSVGMQGIVCRQRWNVSESVRVYWLLQEHRYKSLRGFCWSEGVGEDVQQGLGGMSDEE FQERNPPLVLAALF CC84DRAFT_793412 MHVRPSHVLYTFLNSALGVLLRPCPTVSPRRPNRTFVPLYCTPP SQRPGRSYPRLTANAQLHHITPSPRRPRLSYANNSHHAPCINRASPRLSPAACTYIIT GAPSCSPPISRLRTTSLHSTHVDRTFPIPDIRC CC84DRAFT_793388 MARADSTKYEYSVEEPLNREPELEELVSSFLTKEGKGYDRNHGP IPHIDKEEHKVKVNGLVKKELNLSIGELEKLPQKSIICALQCAGNRRHTMRTKLKEVS GIDWFDGAVMNCSWTGPLLRDVLTSASLNVPESSYATTHVAFACFQTPTQEDEWYGAS VPLSRVMDPESGIILALQMNGKPLSPNHGAPVRVVTPGIAGARSVKWLDRVTVQTCES DNFYQRHDYKILPPEATCKEEAEKWWDRVSALQDMPINSVIAIPASSSTIHLSPDGTT EVKGYALPSGSDGPITKVEISTDDGETWQLAELLEDQETDGAELKWAWSLWRARVKFE KGHGRKVLSRATDTSGNTQVKCPEWNLRGVAYNGYGEASDLYVV CC84DRAFT_1164928 MDAIPIAELTPELPGLATQHIRGVVTLIWPYSSSARSFALLLGD PDFRRRRKNGQVRVRFSGSGARAIASTGVGIGDEIVLGLRGVGFVRDSHVSTPGKSIE WELEYGQTLGATVVRGGEELAVLELDDVTPTPAPASPVRATPRMERGAAGQWNSPAYL KRLRLSNGPVLAPFDPFADDIEDAHAKKRRRRSYRDWNAWTYLARTPSPEKEDTDVDM DREGHRDGSPIRPVLPATPVSPSKAPADAHMGEELDRGHVSPQGGAHNLIGIAHSEVE DQSSESNQLANEEANEDLVIDAEYYDSYAAPDEQHAGQAQVVELSDTVSDTEEDEEGV DAEVQEILSSDGELAERDDFNVHEAIEEEDATASAPTDMFRFVESHITTEMQPKEQDI PTEETSDPRVQDDPQTCGDLTPLDSTSKNAPQLVMPPPPTLSLLQTDFHTPYVPGMLT PIGKEPSSPNLQPLDSSTLPMPSPFPGGREGNISSYLDYVSTPRQSRPQSIAGDVRQE VPDDEADYILETSFYSSVSSARAPAFQPTHESAFTDVRFTFGMDGAAFSRPQTASGAN KAEDQPAGAVEGFVGARENSPIRANDLADPNGDTGEDHGPFDDFLASSPVESHAAHRV EPTETSVLPSSPKAGNVAPAEQPDEQLKEQSGERLDEKPDVQPDGQPEEQLDEQPDVE MAEEAPEAHDESSLDEVTGDDLPIDGERDNQLDVSMAEFPHKSDNGDHVEIHGSATLN TQVARAELDDQTGTTEAGTENAAKNADSLSSYTDTGRDSELQQSAPISRVEVVDLGPS SDVSGSDENEISDQEWKFPISVDVAQDENDEEHAPGDQSERDRSENDAQEALEYPPSS QSAKNDITIDKEDTGSEHVKAEPTEEIDISNAVSPGAGIEDPSTSYLHLGHEDTKIES VEEVFDDTIQQRDIQDENESTIGDDAQLLIAVPAEGHKVGELEFVAVPDAAPARSTRS KTKLSTSPEKEAHVPARSTRFRKRGSLAPLSQLSQRTISPPATRSTGTVTPTPTQESF SASPYSLRSQSKHLSPTKSSLASTKKIRSRKRSTQTGSSAEPSPQQASFTQQSSTTDF DFPWTNFGPSQELGNSQGKFSNVPCVKDSEEGSVHSEQSLSTVQYSDDWNMDIKFSDP PVPDTQVKELSSTPRKTRTTSRQPTSPVTPVQKAGTQVARTTRSASKQSVSSVATQLP LSSPIRSARSVVSLGIVTSSPRRSRRVNKDSADLLVESDTDTDMERSPPAPSQLEESD LTGEAGTYAPSSPPADNARTATANQHSLLMTPEDTQHALVEVQPSLLRYQEQSLPMTP QLTQSVAATRHSLEASSFEAEESTLIQPPSIFPTTPRRNATATDVASPSASPRPSVRS ASEEEADVAEVEKPSIGLSTPVAYYTPLKDLPYFINRSSSFHSAGSPDVLALVTTAST PPTRAVKGPKHHTTTLHITDLSLYPSQTTVQIFRVYADALPVAEAGDVVLLRAFSVKS LNRHPCLVSGDESAWCVWRYGKPLWGKKRGAFSEVRSREEVKGPAVERGEGEWGEVEK VRAWWVNVVKQEVEEKARVTRSRDKGGEGLQSQSQSHSQSQGLE CC84DRAFT_1206144 MMASHTFQVKHMNRNHYDSDKSDEEQAQNYSWASEISLNLALSN VSSRNLGRFLDHSLGEFGVDQLLEADVASLGPGNFGPVAQTTRSLTRSFFRLQKYFCT FYILSVNIPSHFQPLLELDDQLPNHGGFVYSLIPKLLMPYLIEDLHRRSPAATATFSI ADRVAGHPETPIRTTSRDRSFVWNIASTLDIEERSTAHTEVCKNAGVDSTRDRVGHDC VRGWKKNE CC84DRAFT_1176683 MASDQATSPRHSEVPIVVENFLRYYSFEHYECLAQRVENKLKKR FGELKNEERLQPWATAYRAKTRESLREKVMVRHSEVNFSSTEQIKKDMWDLAGVRVIL YFPSDDEHSKVRDIIRKIWREENIETRNHKNRVQHSNGDRGAEKRTRRVKHSSIHQPI MVPENGGEYKKTPKQYEPRNVGYTGIHYICQMEATQEDRPRFKWKYEVGDQVEIQVVS ALTHAWATAGHDLLYKSSLFGEPTPEEHRVYDALNGLIQSGDLLLEQFQSMVHGRTYR PFESPHELGVHLGNADVLQDLKEKPMPPSEGLEILLRFLRHPSVGKDCPFKLRGALKR LGYPTGIVPKKSIDQFQPKFECVHEMELVVCLFADNLPTPDPNTSSEDLASDKLCRIM MSALKLLQSFFPLPEEANAYLRSLQLDSEEKISMDFVLSSSNRQIILAGDGNEDTDTK DLRPAWKWFKKQTNDASSICGLVFRVAEMEILGEVKFQTLLDDLKIGSLSRSSTTETE EFFEDTHVSPDLGY CC84DRAFT_1217922 MAYHRAITTGTTSVDGGRLLRDTVIDRKLIFLPSTTIGLVQHCM SVIRIDPHSMRQFWLALILVDPPIGHIYHADGQKWKKQRAMHVLSQPFLGTYEDFVPP GPLSAGFTGYTGVQSGSLLEDLQYYWTLDLPNCFDATNPSLQALSYYPLNIVAAEWVK YVAVMNHCIKQFEYQHNHLPELDQFNRDLTELQAWSRRTVLSLGKVEWLIRLLQSPNF NSHKDDRQLDCLSEDLELILHKMQDAGRRLENTMQMVMTSVQIADARRTYAEQANIKR LTVLALVFVPLTFIASLFSMNTEYMPGSKNFWVYFVVALPVTLLVIAIARPPLNVVRG VVVWVDFQTRRRLMHRRLTHAPRSNAEDFF CC84DRAFT_1164930 MAKFEGALKDLWNPHAVIFAPENDEMASSFLGVTTETKSLLSAA RNSSIDAPQYTVQSQVISVDQFVVSNDSDTPKIPCRITTAGMLRVDPRLYGNVSCDDS EFGVTARPQGICFRPMEDITDADWFSRNEFDQPTTDTHGKCFSITTIHFAQEHADGDL KGDCAIHHGLEMLHWCKEDHNPQIVIIIAAWKQEMSFKHFEAILSEKLSPSNDLSLTT RDPEFISFPAQEPPDWDDNTVVELITFDFPKPLSHVEKAAFEWYLNKFLATMQPSGPD DSSIVQPFGPLWSAGSSHQCFVAINWTEIPAREAWLRNFLAHPYDVAGYLVHGISLAG AVVKSRTGTLHAIHHSGSKYAEDDAANAGDEDEIDLKF CC84DRAFT_793476 MRNDYHDVSSRSLIPGLVDSCDYGAPLFGTNSSMWPRQESWRVN DQSAPNHSWGPANCCLLRVVRSWQHTPLFDFASTSRQPRRQLFTSITLQCASIALIWH YSTHFNLLLYMTAPKEFKIASRTEFATPPFNMLLAVKEFVHVTCQNKCQTKSTGCSLV REDLICPCRRLRLSSPQGRPEP CC84DRAFT_1164931 MSNEQTFIAIKPDGVQRGLIGPIISRFENRGFKLAAIKLTTPSK EHLEKHYADLAEKPFFPGLIAYMGSGPVCAMVWEGRDAVKTGRKILGATNPLASEPGT IRGDFAIDVGRNVCHGSDSVENAKKEIALWFKEGEVQTWKQSQHDWIYEK CC84DRAFT_1120912 MLQLRGDSGPRWIAVLLLWAALCCLFVPAVTVKHENFKTCDQSG FCKRNRQFADAAAATSSWASPYTVDPATITFKDGELHATVLKTLQIGQEKVELPLTVT FLDSGVARVTLDEARRLKGDITLRHDSKARKERYNEAGKWALVGGLKVADGAALSETA DEGYTKVFYGEGSKHQAVIRHAPFGIEFQRDGETQVKFNERGLLNLEHWRPKTEKPAE EKREGEEETKEEEKKEEIAGEDESTWWDESFGGATDSKPRGPEAIALDITFPGYDHVF GIPEHATGLSLKTTRGGDGAYSEPYRLYNADVFEYEMDSPMTLYGAIPFMQAHRKGST VGVFWLNAAETWIDVVKAKSSANPLALGVKSHTDTQTHWISESGQLDVFVFLGPTPQD LTRQYGELTGYTAMPQSFAIAYHQCRWNYVTDEDVKDVDRKFDKHKIPYDVIWLDIEY TQEKKYFTWDPLTFVNPDKMGKQLDKSDRKLVVIIDPHIKNTDNYPVIDELKKKDLAV KNKEGNQYEGWCWPGSSMWIDCFNPAAISWWKGLFKYDSFKGTLPNTFIWNDMNEPSV FNGPETTMPKDNIHFGGWEHRDVHNLNGMTFHNATYQAIAERKKGELRRPFVLTRSFY SGSQRSAAMWTGDNLAEWSHLEASLPMILNQGISGFPFAGADVGGFFGNPSKELLTRW YQAGIYYPFFRGHAHIDTRRREPYIPGAPYTEIITQALRLRYQLLPVWYTAFREAHTT GAPIVRPNFYVHPEDERGFAVDDQLYIGSTGLLAKPVTKEGATSVDVYLADDQPYYDY FDYTRYQGAGTHTVSAPLEKIPLLMQGGHIIPRRDRPRRSSGLMKFDPFTLVVVLDKE NAAKGELYLDDGETFDYEAGAYIHRRFAFDGKRSILTSTNAVPLGAKFTAKLEAYLKS MSKVRVEKVIIVGAPKAWKDKTEVVVMEEGAKESARRKTVAMEFHAGSGKQADWAIVR DPKVGIGRGWKIDFS CC84DRAFT_1147100 MLQKSPTGTWLPCWVPKSTTTVAVLLMLCSIVQSTTGGYDGSML NGLNILPSYTDYFNLNAATTGLNTGSVFIGGFFGPIVSGVMADRLGRRPTIFWGCLLT IVGIILQTAAQNIAMFVVARIILGFGSIVAGIASGVYLSETYPSRWRAWGVGLLNDFY YVGALIAAGITLGTGQWQSTWAWRAPSLFQAIFSVLCIIVLPFIPESPRWLVHEGFYE EARTVVAQTNADGDLSNPIVLTVYKEILDTLEWEKKEGRTMSPKEIFKTPVARKRLLI GMSAGPFSCVAGNIIASYYLGSELNTAGITNANDQLKANVVLNVWCLGCALAGTHLAA KWGRKSTALLSQSLLVVCLFVIGGLSKLYADNPDGASKSLIYGDVAVMFLFQGFYSIA WTPLLYLYPPEVMNYSIRANGLAFSQFALNAFACVLVFVMPIGIENIGWKMYMVNGSW DIIIVALIAVYWVETKGKTLEEIDAIFEGEKHSAVPDVEAVRKGKATVDTKELEHEVM METTLTGEKDR CC84DRAFT_1094694 MASEKQRLPVVAVAGLSCENSTFTPSRTRAAAFHPKRGQEIIEH YQFLCPKTSLGDEADWKGALIGHALPGGIVEKRSFEELVAEIIQRLSDICQALQNPLD GLWLDIHGAMYVEGHEDVEAELLRRIRAVIGPTTIVSASMDLHGNVSRELAHQADIIT CYRTAPHVDVWETKMRACRNLLRTLKVRNIGGSLPLKAWIPIPILLPGEQTSTRDEPA LRIYAAVPEVEKRDGVLDAAIWVGYAWADEPRNRAAVVVTGWDKNAVADGAQELAATF WDARHEFKFVAPTGSLAECLDTALGAKARPYFISDSGDNPTAGGSGDVTWGVTQLLAR PEFREATGPTVIYASVPGPEAVAIAEHAGVGAIITVTAGAKIDNLHAGPITITGQVHS IKHGDPHAQTEVVLKTGSVFVILTKLRKPYHKERDFTELDLRPRKADIVVVKIGYLEP ELYDMASDWMLALTPGGVDQDLGRLGHHRIQRPMWPFDTHLEAQPSLKANFIPFSNEH LSAEEVA CC84DRAFT_1196647 MAPTEKPILFHYPQSIYSHRVLWYLWLRGIAYDECIQPPVMPRP DLASIDVGYRKIPLMAIGKDVYCDSRLIISKLESLYPGNTLAPSTPAEAGTRKLFENW TIDGGIFANVVKLMPYWLENGLLSNKVFLDDRQKLMGGRRMTAEAMEAGRPDGLQNIQ QALALLETTFLADGREWVLGTNEPTVADIDAVWPFEWMIVDRGMRGSLPDEHFGEKRY PRVYAWVRRFMAEVERKRQSTEKPVGLDGSSMRDRVLNGQSASEATSFESNDALKVQH GEEVEVYPSDYGQMGKSTGILVGLGLTEVVIKNRLGIHVHFPRWNFSIVKSGGIQQSP KPVTARSKIPQMKLIYHPFSPFSRVVFVLAHELGLAEHIALQKVVVCPVPIEGWSDNN SDVALYNPMAKIPCLVPENVPDGIYDSRVICEYFSDLASVTPKKDARYWQLRTLNAAA NGIMDAAVLITYEVRIRKERKIYFDEWVEGQKQKILRALDRFENVAGKGILPDPGNEP ATQNEVAVAVATATTAQMGFLGIDWAKGRPNLVQWMKKWEQRSSFVKTPPTADWKTQS SAKI CC84DRAFT_1246483 MTTKFFDYVIVGAGTSGLVLASRLSEDPSITVAVIEAGVNAEND PRVAMLGLFTSAVGSQLDWDFEGTPQSALNGRRISQAQGKLLGGSGSINAQALISFSK FDIDSWEELGNIGWNWDSLSPYLKKAFTIDLPDEQTVEHLGIEWAEQLADTYHGPVNA SFVDVKENPVGEAWLDAFEHLGFSLSGNPFGGRSTGAYNGASTINAATKSRSSANSAY YNHLPERDNRTPHPAVKGINVLRSGTSSTLHARKEVILSSGVVNTPKLLELSGIGDAE LLEKLGIDVLVDNPNVGRNLQDHILCSINFEAQDGIPTGDDLTRGDPAALQSAMEMYQ KYQAGPFATPGITSFGYLPPVEFKKEPQAFAAALETLNESVAGESTMDAARRTHVRSI NKTETEGTSQYFLFMAQDGPAGKDTVGSFSIEPQPGNYISLVSALSHPLSAGTTHIAS ADVNEKPIIDHRYLQHPLDLEFHARHVQYLETVAATEPFASLLKSNGRRNDPQAFVGG DLEKTKTYVRNMSTTNWHSCGTCAMAPRDKGGVVDAELRVFGGDGLRIVDASVFPFIP QSNLQSLVYAVAERAGDIIKADN CC84DRAFT_1246491 MSTCQSRKADVDYDLPLHIGALFIILGVSAGACAVPIIALRVPR LRIPANVHFGFRHFGTGVLIATAFVHLLPTAFVSLVDPCLPPFFNQDYPALAGAIALA AIFMITIAEMIFSPGRSLCSGPTSDNAHDLGAFSHSRRSSSTRTRLENDDGEAPASIT LQFGRARSGRSHSIMRQVERRIDASCADGDQPAPRVIEGLNDSVIPECRSINLQTGLD KKESKQHRKKLLMQCALLECGILFHSVFIGLALAVSTGSEQVILLIAIAFHQTFEGLA LGSRIAAVGWRPNAVRPWIMALAYGCTTPLGQAIGIATRDLYDPNSATGLVIVGSFNA FSAGLLAYTSLVDLLSEDFLSEHSWRTLRGNRRIIAMSLVFFGAFCMALIGAWA CC84DRAFT_1164934 MARPSNLEIVQNLYLANDNADSEAFYRDLSPTITWKESDGFPTP GVFRSRADIDRHVFNVLRQEWDGFRFSLEYLVDGNERIVAVGTYRGTHRKTGRSFEAR ASHVWHLLDGKIESFEQFADTYTMQIAALSPVDSVSVA CC84DRAFT_1164936 MPFDTPLKTAFQKQDTAYGFWLTIPSAGVAKTILRPSRGQFKWV LIDAEHGLINDTHYYELVNAVASEGASPIIRVPWGEEWMLKRALDAGAQGIVTPMCHS EEDAKKIVKYSKYPPTGTRGYGPMFAHSVPGVFGAEYDDNANDSLLVIVQIESRQGVE SVEKIAAVDGIDVLFIGPFDLAKQMGVQRGGEEHEAAIQRTLKAAHSSGKKAAIFCTN GEDANNRREQGFDMISVITDVGVLAEGMLRELGVAKGQTQENKPREGY CC84DRAFT_1147112 MIALRTASHQVRRSLGAIKPVIHSRSQTTRAFSRTLRRSDTRAG QSSRFRSASYFNSRSGVIFASFAIASAYLFHEQPAVQCEAPEVPSLQQQRLISYDEVQ KHVTKDDCWVIINGLVYDMTEFLEAHPGGPNAIIQQAGKDASSKFQLLHPPDAIGTLP PEYCLGAIDPETIPAPEAIELTEDEVRQAEAREEMPQAADMLLVQDFENWAERVLSTT AWAYYRSASDEEATFHDNRDAWRRYFFRPRILRGDMSQGSTTTTFLGMPTSMPIFIAP AAMAKLGHPLGEVNLTKAAGTSGIVQAISANASCGLEDMFNARVEGQPLIFQIYLNKD RSASSALIQRVTRLGASAIILTVDVCWQSKRTLDVRAKLGEKNDMPAPPSPTATTAGE KGKSVSEAISGYQDTNLTWSDIAFVKKHTHLPIIIKGVQSVEDVQLCVEYGAQGVILS NHGGRQADYAPAPIDVLYELRELRPDLFSKIDVMVDGGVRSGADVVKALALGAKAVGV GRPILYANGTHGEEGVERVLQIFQEEIRNTMRNIGAKNIEDLKPELVGPMGPWVGRNR PSYAPASSPI CC84DRAFT_1092871 MFVASVGEWVGTTLFLFFAFAGTQVANAHSRTSDESTTTNATAG FSPIVMLYISVSFGFSLMVNVWIFFRISGGLFNPAVTLALWATGAVGATRAVCLFISQ IIGSITASALVLAMFPTPLNVRTTLSEGTSLAQGVFIEALMTAELVFTILMLAKEKHK STFIAPVGIGLALFVAELVGVYYTGGSLNPARSLGPCVVTNKYDTEHWIYWVGPGIGS LLAIGFYKFIKMLEYEMANPGQDGDDNNDPTKNPNHEVREKQRLTTAKVLQSLGYPHL ADGQGQASLDTMKQAEEGGYYGCRTPSVLDMADEPERRMNPGLVLSTVASRSDMHSPV RSDMHSPGRSDMHGPVRNDLHNPGYAPSERTSSDRRTNAGVYN CC84DRAFT_1093196 MFSRQAVANGSWPYGPFSTKDRDIVDSRGEAVTWAGVNWPGSGE TMVPEGVEWSSVEDILDLVKSVGFNFIRLTYAIEMVDQIYERNGSDVPLEVAMITGLG YENGTKVTNEIVAKNKGWTKDTGRFEVWDRIAEAALERGMYIHPDVHVGKAQWCCNNT DGNAWFDDYNFPVKNWHRGLQYVANWAKKHPNVVSMSLRNELRRAINTTAPTSTTGYN WLTFVGNYTAATDAIYSVNPDILITWSGLQYDQDLSALTSGLNLNTAPLYKGDAIRDG YRRKPVVFDLASHPWADKVVYELHLYSMSENLDTGNCPIIEAELYQSGFNALGIDAPA ACNITQDCPKAVRQTPVILSEFGSAQDSSIFNDTLQGCLKQFTTKNNVSWAMWSLAGS YRIRSGGQGVPDTWALTNYEWDGWQFDEGIEKWWKPWAKASLS CC84DRAFT_1206155 MALAQLSAELLLLVASHLSQPDLLNVSLTSKHLRVATEPALYRE FHPPCLRRRPLSKFIKALLKDPKRPNYVRSLRLPEWETIEPGNMENDSWHDHIIIQAA ITGGKRIYYSMTTGWDPYKVPGECLSQGDYDLFTSAAITSGYLRNVTPYAKGAVELKS WEKNYDAYRATLFYWYYFSTANLESLPLDEQFCHLLKAGVDEAYMMLLLSLLTNLRCL SFPCVPARRTLPWARALQRFSSLREFTAIPESYYSNEYHPLSFWVNVLTLPTLELFTA HGCAGGWVQPDEVVMRSPCTRLSDSSSITRLVLESCGLEYGDLETVLGRCRNLKTFRY SIQRYRRATVTSKRIIELLAPFQMTLEELFLDNDSYAYWRRFHHEDVFVDEPFTSLAQ FCHLERLWLPTHMFGNLPVAREEYRDPAVISTFAGSLPPSLKEFKLYDSHTYPLLTHP VLDCLVETASVKLPDLTTLEVISSHDHSKEDLNIIEEEREIRRDSGTTFTYKFRWSHF NGFQLPQTAEEKKWYSRAATEYTCWDEDRYAIKSWDALQMPNILSREEVDEKEHEEIL TILAELEDAPTCNDEIRELLETEFDEVAAMGLQFV CC84DRAFT_1120935 MGFFLRRFAIACTFTGLLFLAYHQLALRNEPLPTNGTSSSLITS PVVWKDIPQRYPVTSMIPLPKGTPLPIPRIQHHFGVETENNKQERLQRQAAVKAAFTH SWEGYKKHAWLQDEVTPLSASYKNTFGNRAATLVDSLDTLFIMGLDEDFDIALRAIQK IDFSTTAEPVLNVFETNIRYLGGLLSAYDLSEGKHHVLLQKAVQLGDMLYGAFDTPNR LPITRWDWVNGALNLDQEAPMTALSAELGSLSLEFTRLTQLTGDAKYYDAVQRISDLV ELQQNATAIPGLIPITVSPIDNDLTAYKTFSFGAMSDSLYEYFPKEYMLLSGRHDQYR VLYENAIEAAKQHLFFRPMTPQNQDILISGNVRMSSLGMVKLDPEAQHLACFAGGMVG IAAKIFNRTEELEVARKLVDGCIWAYNSTPTNIMPETFHVVPCADPNDCEWNEERWHR GIMDQHVLDSTKDPTQIIKDDGLQPGMTKIGDRRFLLRPEAIESIFILYRITGDPTLE DAAWNMFTAISNATETAIAHSAIEDVTVEQAQGTTKIDECESFWMAETLKYFYLIFSE PGLVSLDEYVFNTEAHPLRRPHAK CC84DRAFT_1217934 MKYHGVYYIPNQGAQLSASLDYVKAIVAGIESSFPRADKAGTWA LTHRMLRDNPPYSETTQPDYPHAYQHLLHVSTVTPDRAYNLIQHPPKAGQDGSVSTTP QIAIASFPMAQGDAHATFLANQMPLLWTPPRMLDVVNGKTFQAGDFLIYVGELRSRRQ AQTSNHTSPAVVVCVSTHAGGPDNDDGTSSPPTDDGAIDFEYAQASIRELWNTIKKDI TFGRAEVREHMQPTEDFGRGEEQNREAVVRMWCEALSPRA CC84DRAFT_1196654 MAQDLAPCCAQLSAIIGLDVALPNSSLYAATETAYWSIQEGSLA PSCIAKPSSSEHVASIIASIANQHDCPFAIKSQGHAPAAGFANINNGITIDLTALNAI TTNKDASVAHIGTGASWLDVYAHLDTLNKTVAGGRNGGVGVGGLTLGGGISYFSPQAG FTCDTAINFEIVFAAFAKIAAAKPYDVHASITTSAIFNAVTKAWTLLSAPIYTKPQTD PEVYSELFAIPSISNTVQITQLHTLANETAMAQTNQLFSTGTYGVSAELLDSIFDICN ETLYDFNVTGSLQWIVTFEPFPAVFAAQGAHDNILGTIPEVGDGMILLFSASWSDAAA SSLVHAKNKEVLRKINAAAKHMGLLRSFVYANYAGTFQKPISSYGTQNSAFLCRVALK YDPLAVFQRQLPGGFKLAD CC84DRAFT_1187545 MLPKTPVSHGLTALFLAATTSAKILYAGVNSAGGEFAQQNLPGA FGTDYRFINQSAIDFFIDAGVNTIRLPFLLERMCPLDTGLGSTFNESYFSEFQTAVNY VTMRGGYAVIDPHNYYRYNDPSSQPSSGSIIGNTTDANASTTADFAAFWGELAKRFAT NPNVILGLMNEPHDMPTELILSNNQASIDSIRQAGAKQLILVPGNGFTGAQRWLNSTC SGCKPNADVLTAITDPASNFAFDMHLYFDNDTSGTHNECTLAAPGNLAATTTWLQENN YTAFLSEFGAGANSVCFKTLNNTLMWLEDHPQFIGWTYWAAGPLWGSGTAC CC84DRAFT_793682 MQHHDGAPNAPSGPRLQQSFQKQMNGNTGFSHGAFHTNQPGGYA DRHPERSNIPSINTGRLAPGNHNTELQTPGTGFDMNFTPLLPSQLLLGSPFQPGSPNA FTSPQFQSFAQFAGQNQMQQQQQNAMGSPQMQQGPLSPGLYQGLVSPTSGFFNGPQSP TGGFPGYGQQGLGGLGSSLPIAPGLVSGTSRTVYLGNIPPETSAEEILGHVRSGQIES VRLLPDKNCAFISFLDSSSATHFHSDAILKKLSIRGQDIKIGWGKPSQVPTSVALAVQ QSGASRNVYLGNLSEDVTEEELREDLSKFGPIDTVKIVREKAIGFVHFLSIGNAIKAV AQLPQEPKWQAPRRVYYGKDRCAYVSKTQQQNAAQYLGIAPGYAHVLNGADRDMISNA LAQQSVAAAAVATSAGGVNNLGNRTVYLGNIHPETTIEEICNVVRGGLLHHIRYIPDK HICFVTFIDPTSAASFYALSNLQGLMIHNRRLKIGWGKHSGALPPAIALAVSGGASRN VYIGNLDESWTEERLRQDFSEYGEIELVNTLREKSCAFVNFTNIANAIKAIEAVRGRE EYKRFKVNFGKDRCGNPPRQMNNNQGQPQHPGSDGIQSPSPTSGLHPNRNNTGPSVSP TGSAAPVSGGSTNGQFPNVQAPTPSTILNTGVNNPLMMYLQAQQNQQQQQAEVSLQQQ QQAQQQQQQQQQQGYPSPQSAFYGADLNQSSQPHASHGAHQSTSSFSLINGTSSQSGA TTVGGLLAPSNLNARAQHSRAVSLPVFTQGPFNQPVQSSQGPQQGSFGSLSSGIGGFG SGNGGYGLAIQGDGGLPGWAEEEIGAQ CC84DRAFT_1217937 MSDLYPSIAQCAVVATALKVLLFPAYKSTDFEVHRNWLALTHSL PIKEWYYEKTSEWTLDYPPFFAYFEWLMSQAAVYFEPALLNVKALGYDSWQTVYFQRA TVILTELVLVYALHLYVKGSKNKATAHAAALSVLLSPGLLIIDHIHFQYNGFMYGILV LSLVLARKQSTLLLSGLTFAVLLCFKHIYMYIAPAYFVFLLRAYCLGDRTAFPYFRIR FFNCIKLAVGIISILAAAFGPFALWGQLEQVSRRLFPFSRGLCHAYWAPNMWAIYSFT DRVLIYLAPYLGLDVDQEAIKSVTRGLVGDTSFAVLPDVVPLTCFLLTVGFQLPFLIR LLFRPTWETFIGAVTLCGYASFLFGWHVHEKAILLVIIPFSLVALRDRRYFSAFRPLA VAGHVSLFPLLFTPAEFPVKTVYSILWLVAFLLAFDRLAPASSQLRVFLFDRFSFYYI ALSIPLIAYCSLIHTIVFGERYEFLPLMFISSYSAIGVVQYKRKPVKTEPLPPFIDDN TEVWEIEQTGEVFVDYEKFLNKRDFYLQRVFTCESTGHSGYTFFEAMESEVRPGRSLE EVLKSVTITTPQQEASQEIDSIFPEGLRSRVLEFVQFEITPRMDDLVNQVYDHFREHY NVGDRVAVDTESGRRYGVIKNMTDTSRLHSMFNGQLHEDFRSYTYIMQMDDGEELTRY KASELMRDRRVYSKIILKQFLRSAVSREPWHGAPWMVKDHLAKRYNIPTKLPDAKTRE AVIAAKKAANAERAANAQRLNDGHSFNHGNAGHPQTNGMRGPMHGNEPGHIGVGQQTT NHPHRVNGQQFGHQPPLFMYSGPPPLQYNGPAPMQYNGPPPAQFNVPPHLQNPNIPPH LAGQVHPNLPAQLAQHIPQQGSGLPISLPFQNNFMQYQALANQPSNGPQHQSAPVPRP FEPIKYPIDDLRIRQPRVNVTRPPLKFLSDDVPDGVDPPEDDRKTGILMKSIGPLLTI WETINVHDTIYSLDSFTIDDFVEAMGYASDDPECELLIEVHCAVLKQYVNSSGKLQIH LPSMVDDDESEDEEESSREATPEPEPPKRTTRSSLRKSEVAEIVEKPRTPTPEPPKQI HKAAEFVEDFNWVEMCKERNFREGGWQAILVGLLHQLSYNPSHKEDCDEILSQLVPPD EEPSVENIATNYLNLDVNLRITALDIALRLTVTTEQFRDQLQNAAQDMTNLRKEKIEY QRKRKELADEMFKLDIDRKIALPLNTPASPTDTKDTPDVSMADVEDAKEDEEGSDSSE EAKNASRKKRKAVMLTKRKRDSEVAKKEKAKKAKAEAAKSKQQRDWEKLLDDIERKKE ELRECEASIAELDDDLREASVHRSKILGKDRFLNKYYWFEHNGMPFGGVPQSSTAEYG YANGRVWVQGPDELEIQPNMEEPALTQDMNEFGWTVPMRKDREEGPTHLKTSADWGYY DDPEDIDSLMAWLDERGTREKALRKELVIFRERITEYMQKMKAHLEKTEDEEMEEEEE EVPTTRSTRNRTSAVVEKADEVPQPRCLLWTNSMMREREGYNHSEEYEPPKRTKKGTA KVTKGKGRK CC84DRAFT_1196657 MAANGAARKAPPASAKNSIIDTDAIRDAMPADKKDKVTLKLKKA VSKPSIPGNWKESDASNKDTPIAGSTSPIVNGQEEKTLAGFPSGRPVDDKVDTVQCKH CRRPVLRASAATHIRDCLSKKQEKLKKKKEAKEAKDAALRKEKGEDDDSGKNARKAIK GTVDGEGAKKGKKRKIEDYDGKPGPKKKKKDEPKPKGAKPKGPVDVEKQCGVALPNGG FCARSLTCKSHSMGLKRAVPGRSLPYDMLLAQYQKKNQAKQQRAAIDANAPLPEDLEP SGAVDSDEEKEAIMAALARHRPRPVVTFTPVSLQAKYQHRRMKDMLRSCLGNPPGASI YGQNPDTMGAVRGLALSNGMMPGSATSENFPNSAGGMGGFGASMSTGLDGPGSRRPSA INMGNAGGPRMIAPGQLPGPGPQRKGSMASVASVGAS CC84DRAFT_793902 MDIDAGSYPHELLALLISISEADFVSLDLEFTGIPSRMPGKEPW KPRQGQGRRTLEDRYQETRTAADRYNILQVGLTCARFDYIDNNYVLKPYNISLSPLLN ENYKLDIEREIHIQSGAATFLLGHGFDLGAAFSQGVQYLSREEAYNVQRRVNERLDRK PEVEDMQLKPGDVQSLDFVRRARDAIVAWKVNPEDKDPLEITTHTGLPNPPPNPAISR FEKRLVHQLVRAEFPHFVTIGRQECIRIIVYDEQREEENKRNIKNRVKEHIAKQTGFR WVFEALAADGDIHEADPYYFGRYTNAPIIAEGTDAKERFDRAQERLHKHQTVLVGHNM FTDLVYFYRSFVGELPATLDGFRSALHKLFPRIIDTKYLATHAEGDLNASPTLQEIAD KLNSQLLPDIVTHPRHSKYHKVAMFHEAGYDSLLTATILLRLSAKMNADRRPPGSQSP SSPSRIPDDASDISFKTAAEQPHSKSQAPMPLTDRLRPPQAEMLVVKKKAKKGKKGKS KYDIVPAQSRFQTRNAFEQLSLDEQGTSSPSDDDQGGVAVDSNTMPSWQDKASEPDTG GWVPIEQKSREPMEMIPAWDTEFWQSFGNTLRVYGTEEAVLKIAGWDK CC84DRAFT_1196658 MYGDLGSSRNLGASVSSLSSSRQHSQHIAKAYRQAAQLFLTRRL PEALSTIEPIITPPAQDDVNGSPDGDLAGYAPIATASRGTRVKVWSFYLTFLNAVVEL GAEEGKLAFGSTRWKQLVSKCRDGSVWDDVARDGYAGVEGDVDAEVVVNLATLLLTHS PSQRLNQHKLETYLSASANPVFDISSHMTSSAYLQRRPSQQNNGTDTPRDLNTRLKLL ELYTLHVLPRNDEWDYAREFISMSEVLDDERKEAFLLALHSLKEEKEDAEKREERLRQ QQQEQLEQRRRETEARRLEQSRAEDERRKREEENRRQPRGSDEVFRGKGNAPRQAAST SSRASRPAKKAVTPPPGLYHRATALLSSMHAMITNTAHSMTANPMAFFRTLLFLLAFA VAFGRRDLRERIMRVLRNGLDKVRRTVGMGVKVSYI CC84DRAFT_1092951 MNFGYRRRNLWLRNRRRILIGFFVLGVLALLGSYLTPGKRRTDP TLRLPNEPLIVKLSGYGSFQGTRVIKNRKNIVFDEPVNAWLGIEYSTQPVGKQRFGVP EWPEEFNGTRNATQYGKACMQNWGWNPQHHTEACLTFNVFRPQDIPLDQKLPVFVFFH GGSFVVGDGKSLDGATFVSKSKAPLMVVTAQYRLGALGSLPSKLFEEEGLLNLGMRDQ RQLLEFLQKYLTDFGGDADRVTIGGQSAGAHAVGLHLFHNYGDTEGKKLFSQAIIASG APTARSFPGPSYALNERYYDEFMTQVGCSTKTNRTNAGYLKCLREVPMRKLRMASGKI YTSSNYNITWPWQPSHPGPLLEKPGSQSGIDGTFFKIPLLITSVTDEGKMFAPKDLQS NTQFVDFLANLLPGLTQSDLAELEALYPDPSGGKGPYADHVGRFVSTQFERISAAYGD YSYICPVQETAHRLAVAGAPVYKARFNTPNGAAPHMGVPHASDHGYFNGHVNTQFPEI AEIYHSYYASFVVSGDPNTHKSAKAPKWDRYWQEGSAQLAVSNAERGGVAMEEERKGI RMQECKWWRDEERMKRLNK CC84DRAFT_793897 MKSKLTNYKLLSFDVYGTLIDYERGVLQSLQPVLEKAGKTDIDP LHILKISQPLFKDEQIKNPQKKYSDLLSTCHPVICKELGLPEPTAEESKAFGASVGSW PSFEDSVSALRRLKKTYKMVVLSNVDNESFKANNANSLDGFEWDLVLTAEDIGSYKPD PRNFEHMLKTVKEKFGVEKHEVLQTAQSQFHDHHPCKDMGITSAWIYRPGAIMGNRDD PVYNWKFDQLADMADAVDQELVGVKRGVEIRL CC84DRAFT_1196661 MVSLLGNTFDPNTEIPDLSGKVYIVTGRSAGIGFGIVAHLLQHN PEKIYLLSNKEEHAEEAQQELAKKWGDASKVEWRQCNLESLKQTDETARNLANELTRL DGLVCNAGLGVGVYNESEDKLDTHMQINVFAQAHFILTLLPVLRKTKDSRIVSQSSDL HRAAPASTKFESIDEMNQDICPMYLYNRTKLAQILFSSELLKRIKAGQFGAVTETEAL PWINCMHPGGIKTDQQFQAEEAYGSLGKIGSRLVRPFLADPVDQGCRAALFATTSPDI VTEQVQGAYIVPESKPTDPSNQTKDEILSANLWRLTKEILESKIGNLGYTM CC84DRAFT_1176709 MQFTIATISALFAATSCALPAAQGATATVSFINDQTGAHAPVVA PLDGTVINLYNVLIGTPVGVPNQVIASSAQLIDYPQNVDCTINGINGGQLGQLNARQT YVLLKSGGINLDGATMMCTPLLL CC84DRAFT_1147148 MADTEKGPYYEGAHPAPEYDGYHHEHEHIVAEDKLRRALSPRQV QMIAIGGTIGTGLFLGTGKSLATGGPAALVLCYAIVGGIVFVTMMCLGEMAAFVPVAG SFCTFAGRYVDDAFGFALTWNYWFNDVVSTAADLVALQLLMNYWTETFPWYALGLIVW VIIVAANIINVRAYGEVEYWLCLLKVITIIIFIILSIAVNAGGNTAHEYIGARNWKIG DAPFVGGIGGFASVFVTASFAYGGTESIAITAGETRDPARQLPRVVKNVFWRILLFYI LSTIMIGFNVAYNTPGLKTKSSATSPFTIAFQMAGSKVAGSFVNAVILTSVVSAGNHA LFAGSRLLFSLGVNGHAPKVFTKLTRFQVPWVAVLTTSILSGLLFGASFIGAGQLWTW LQNIVGVSNQLSWISIGIASLRFRAGLKAQNKEHLLPFKNWTYPWGPIIAVVLNSFLV LVQGWSCFSPVFSPVDFVSYYIELPVFALMFVLWKVFKRTKFVKSHEMDLVTDVYTKE DIEPEKKGVLSWGKRVGTWFCF CC84DRAFT_794203 MKMHWCTPLLALAAPVLSLPLKDPRSATASSERAIWLWNSDIIQ DDSQVQTFLSKMTNSNHPFQTVLALIDRDMGNAPWESFIKKCNAAGLKVEALMGDKQW IVGGTTEDGPTLEHELDWIKNYQASASSDAKLAGIHLDVEPWALEDFDSNKAEYVSSL VSIVGQTKKLAQSLDMPLGADLPFWANTVACADSTLDTCLLDKLDSVTFMTYRNTAKS LLGIADPVLKAVKGVDSSIPVWLSVETSSECSDVSLISYAGKTLSTLIGDLATITTSA KKSAGNFAGVAVHSYTDFLAMGA CC84DRAFT_1094271 MGSAEKQTWLQKLDEELNVDVDWMDPAFSVRMATDFGVKPNDMT SNNIWANVQMSHPSNSSLIQDSVRELKDEGWLAIYNRICVGMCKANIDNIKGRVALQI NPHEAYNTKAVLEHARAYAREFERAGIPKTRYFIKIPATGPALNAAPILEAEGVRTLG TAVFGLAQAIACSQAGMLYISPYLNEVRAHSDTSLWPDVEDPATLHPNSARIWQILET YRRLYKETGKEQPLLKNARQRSILSPQEAMASGEMGCHSATISHTCIEALSKLSYDGT KQPGIGAPKPLHVYKNPGPTPERLKKLMEIDPLASADWDGKLASTDIDYLANDGAELE RAIKKDPMSVARLEDALKLFQDAIDESRAKIEDVLRGL CC84DRAFT_1187557 MRAIDRQSFSTLDSVAHVWRTLELPSDALRSLKLVEDANFFSSS FKVGHLAHASIVLSALAAALLWSTKASKPVPVVSVSSEHACAEFISERLYTLDGIRLP SPFGKLGGLHKTADGYVRMHDGFPHHLNNALKLLGLYPYQLHPTREEVANKMRVFEAV ELETAAFEHEAVIVALRSFQEWDILPQAKAVADFPIRLQKIRETSSPVPLPSGADANK YCLRGVRIVELSRIIAAPVAGRTLAAHGADVIWVTSPTLPNQPELDIDMARGKRTVQL NIKKPQDRAQLLELIRTADVFLSSYRPGSLAAQGFSSEELVEINPNLVIATLNAWGED GPWAKNRGFDSLVQAASGLNVAEAEHFDLEEPSKVLPCQALDHGSGYFLATGIMAALY KRTISGGAYEVHVSLAGVMKYLRSLGQLPKESLEDRGSPGSEVTEKYMETRATTLGVL KAITHSASISGVHVGYEDMPKPLGSDDPTWPPSNAN CC84DRAFT_1147156 MAELAAGAGIALAAEEVLSTSFQAGVATYFLVKPTQPLNATFTR IAVVHDDEMHKRSLTRSNHTLTIVDSKAYIYGGETADATVATNDMHVVNLEPGANHEP DYQLIPAIPQEEDGRIPRGRTNHAACAFQEGVVVYGGTSSTGQPADDGDVWLFTPSSK TWSILHSFATDGPVLHPSTETRIFPLNNTSLLLLIGDSTDGITTIARLTPSLSADADP ASAPSPYETLVPPPSPTSPSNATVHNNTLYLISSTNPVSSQLHVLPLDTKDAKWTTLT FPTNPLVPGPRARYAGALLPLTTGYGRNYLVYLLGARSEPSPRTSKPTDEKEPVPEPT QWSDTWTLQLPSSNLAPQATLSMKDAIKPAKLKDAIRTGVGAESGKWTWCDVDVRVPD DLGDAGAGKLHPGPRAFFGADVMKDGRSVLFWGGEIAEGEKVGDGWVVRLE CC84DRAFT_1147160 MDPESAAFQPRDDIWRIQDDMLRMHQSQQELSDRVSRLERRHED DSRLKNVWGGASPFPSVLGGTPQQGATSIRSLPLQQPTAERFSNFDDHSSALINDLHL DADEEPRRLGTTSRANSVRFDETANHGHWSRPSMDFITRTGSGMGGHAMSERSYSHKS LGGQSSAGQSVHSATSGRANSLTGYGLTAPEAPGLAPGLFILGSVPAIIRCWLTTTFK HDTMLYAAVCSGSYASFLDFRLVNRLGFQDHVTRTDDGRRTIKLPMYLPEAVPVSASS HASSPAPQLPSLTVEFTVVENRNDETDSKAIQIFIGSDMLRAHNADILLSSNQLTLYD DDRSKLRIPLVRPEDEHTFKSLYITSGAPDRTHTELGIEEPSLLPTKSSTPKEPSGAP YTSLPSSSAAAAVKATESATTSGSEDGSVGRRSLEQRPRLGLSTSLRTEPKDAQDSSP GGAAARAGSSPAIWSNWRRDTEKSGSTDWANLGKTSAPTYQRRDTGIKVLKKPGARTL SASVSQGSSPSVTGQSRFFDDGKRREETADEREGSVPPLKRSVSGEKPKENAPVLTKN RSANPRKTATGALHSNPSHGQDKEAASHSPHEGARLDSPRSSPLPASTTSRNSASPPR FLPPHMKSEILDAVSEPSAASTRDTPPTVSSPSEAYANMTLDSENDGDRSRSVEQRPP LRASSPAKRLHSDMDDGSMDVDSAAPARRGSGQSSPLAAKPPLAASARSLRSTSVEMA DVASNGASAASSDSTLASNADSTATSVSNTPAADVPSLDDQVNKVLALSRKPLQDRQV GYVVSQKWLQRVWARTPQYADKQHEFNKSALEGEIGAVDNSDLVDTATLSEDLADQKG DDFVPLRPGLLYEHDYEILPAEAWELVVSWYGVKEGTPVIRRYVHNTSSNEFAENLQY EIYPPIFTIRKVTKWPPTTHHPAKKLVASRADSFAEFIRAAKLAAGIAQNNVRIWRLL NPSTAASDPAPTQQATGILTPEASPPPPATLPLVIDSASLTSLDIGTQREEVTGKDEM TNETFNIDLNLAGAGLAEDQILVLEEQDENGEYVTGTLSRPAKKANVGNKPGISKSTP NSGRSTPTLSGAMTRGRTRNGKTRGTTGLTNLGNTCYMNSALQCIRSVEELTIYFLAS EFKRDINADNPLGHGGAIAKAYAGLIASIYDEAGQSSFAPKNFKNTLGRAAPIFSGYG QQDSQEFLSFLVDGLHEDLNRIKKKPYTEYPESDDNTVNDPEAIKALGNKFRDIHHSR NDSVAMDLFNGFYKNTMICPDCNKVSITFDPYSLVTLQLPIEQTWQHTIKFIPLYGKM WEVDIDIDKNATIKQLKEYIGKRFGVEWNRIMLSEVYSHKFYRHMDDQDTIAECSIQA RDEFYAFELEAVPSNWPGPKKKKYQTTIFSSDDVDIPKSDHVHHDRILVPLFHRKPTS TSYRSQGWAIDLWPSYILVDRAEAKDYDAILKKVLARVAQMTTRDILTEADTSLAQSS SGSDVVLTTEEDASPNGDPRIQDRSVEGEDLVEVTMTEPTETPAETLKADNELPEVLR PGNFLPPQFRNLFEMKYARSGKEYLPTGWNNLDSNKSYDPISKRVRVPSTRASSVQSL EAGSDATSSDADDDENMQFSADAQSSIELANQSSDEDTQPSIEEPPAFSRGGRQKNKK PKKMTKHERKLLRNKNFNRGKKGKNPYHMEQPSQSYNELEDEDDERLIKLGESIILDW DADAWDALFGANSYEDSRGRNAMIDVETWEDKELQAKKAKRAARKKNGLTLEECFAET SKTEVLSEDNAWYCSRCKELRRATKTLEIWTAPDILVVHLKRFSSHRVFRDKVDVLVD FPIEGLDLSERVGLHEGKDLVYDLFAVDNHYGGLGGGHYTAYAKNFFDGQWYEYNDSS VSKKSNPRQVISTAAYLLFYRRRSSGPLGPPELQSLVNNWRNPDSEAAGASEEEDATS RNVSPSGNGLRLGGSSRNGSSSAFGAGSGAAVGALRGGGSDGAGSLLRNGVSAGTLDD ESPPGYEDEGYADEDDVAGAYPTNIEDVYAPLHSRLGGSPNWSFNNVNRDRDDGDSDV VAFDEEERDRMAEDFGEELSFAPGSPVGEMELIAPVETDDAELAEIRLKGD CC84DRAFT_1120979 MAATWDKILLFGDSITQDSFNQQRGFGFSAGLQHEYIRRLDVVN RGFSGYTSRQALKILPHIVPAPSAANIRLLVVFLGANDASRPEAENKQHVPLDEYVSN LEKIITHPSVTAHKPKVVLVAPAPIDEHLVWANDQTQGRAAVSRKNVDLKKYSEAAAG LGEKLGVPVVNLWKAFMANTGWSEGKWKESEPILGALELPQNEELVRLLHDGLHFNPA GYQILLVEFLKVIRESYPELAPENVPLLLPLWNDAEGWATWDAAHQ CC84DRAFT_1164957 MPKSFMSYRSVDDRDDTDDADLERQEQHDTISSATPTSPTATFR PPRPNRASDNDLTHHNVTERSSLLGNTHNARSYASVPATVPGTPRPPYGVRQSSQLVT STRLRHSRQGSINQNFSQRLVNALGDRSGITRRHSTQDMQASKISVFADDRVWYDQFT STDWVHDSIADAYRVKALRSRKDFRGRVSAWFDGAQAWILVAIIGVLTALVAYFVNMA ESTLYDWKEGYCTKRWYKSRKICCDGASICEDWLHWSRVLRSDRLDSVNTQFAAFVIS VIVLALASCFLTLMTKTVVPSAISIATLDENLGAEVHHAIHDEDDGKPDANHEERYNE LQLRPPMVYYSAAGSGVAEVKVILSGFVLHGYLGARTLVIKTLGLILSVASGMSLGKE GPYVHISTAIGNIACRMFRKYSKNDGKRREILSASAACGVAVAFGAPIGGVLFSLEEV SYYFPSKTLFRTFFCCIAAALSLKFLNPYGTGKIVLFEVRYVLDWKFFELLPFVLTGA LGGVLGALFIKASRIWARTFRRISVIKKYPMLEVLLVALVTGLLSFWNRYLKLPVAEL LFELASPCDTFTDSGDGLCPTKEHIPQTLKVLLVAFVIKAGLTVITFGIKVPAGIYVP SMVVGGLAGRMVGHAVQLFALRFADAGLFGHCDPSGPPGSCVVPGVYAMVAAGATMTG VTRLTVTLAVILFELTGSLEHVLPFSLGILVAKWTADAIEPLSIYDLLTDMNAYPFLD NKVRPVFTSELGDITAQPRAQRIIDISESALVPASELREKQQYLQLLGEIDGGLPILK HDVLVGLIPAPDLEFALDKLEDEDNTLCLMSPLVDWAAGREHMSDSSEAIENSDFTPY IDPAPVALDIHSPMDLVYECFVKLGLRYVCVLRDGKYAGLVHKKAFVKYIKELESGEK CC84DRAFT_794476 MLRLVLSAIRQQPGAKGSQRLSKSGKKTCPPKRWSTRIITVYTT TITPITTLTAKCRISITVATPKHPIYPQAENKEGLSEKKRLRRAETRLLPFPAPSQSS LATIWQIFNGTRATPRRSKLHQLQHAHPSYILYSIDEYSYPDNLETGIVFDTSYTRGA ITPKGHEKEGGMLRKDSDA CC84DRAFT_794480 MDSGPGPPQQSPDDYPARERRERTVVAVGISIGVLVLFAGPLCL LYYANWRRKRRAKQNELRDLEGTTAVSHDEKYGTNPFARTGASTLTTTLAPAEELTEA EIARWRELQGGRTETELERLKQDPTSPLNTLPKTPTQPTPEEVLGLDKVSYLQSLPVA SSSSSAPGGTPQITVLPSRLPPGIFHPEGESSRQGEEYSDPGNCISPWAVEHQPNPVI FKHLLGSRGEKLGHGEVAAQEEGATRQNEPSPGLPMNFEVAPLSWDQELQHYAQHDTL TKEEERSPESSALEETSGSSPSSSTSSQDSSHPLLSEGSTKDNSQSPTSFRLPDLHQP RLITSGSGQKAIQAAGKLSCTHCDRTFATTGQLK CC84DRAFT_794531 MKPYQQGTQGGAPWSATDITQIENAVRDETEFTQICALFPSRTA EAVRHRVHLACRTIDAANAQANPQPVNVQLTPQPVSIRANRQPTPGITTQTPIQYAKA GQVWTAQEVQTLDSAVQNGFDFAHIRTLFPDRPVEGVKRRYQRSTQEASSTSMSKPKS KPKRQLQIVPWTVVQEQKIRDALRAKVNRDTIRKMFPRRSADAVRQKIGRISMTLGEE KPEPAPLSTGRVQASNGADSAYEDADMDMYAEPLEPEDGDQPDKPESELPQLQAIRSS SPEEIDVDHVIGPIPEDEEFVMSGALPVPNPVAVSDASPVHSHSRSSDSPRSVRSWKV QDVDVLPRSSTPPRPDTEPLTPRLRAQELPTIGNREPTGDYFGDYFSFESPVENIDRT AFRNPFEASWLQTQDNGWDLDSGLDPEARAWLNGEPWSPPNNFSENRSAGNVGQKCHH HHPVLPQDSLAHDNFAAPISPFMMVPERHEAVCVNNGHATFECGFCMNNHPDVHDGID SAPVPHQPVAPADSRTLEQVFADPSVPPSAFLPSSAAETSPAALLASLGNHRNQWMNY DADVDIVMEEETETSGYDVVE CC84DRAFT_1094304 MNQEHRNHHPSSGLPHDHNPSHQSSEEDLPPYPDPEISSCILDG KPGAKIAYTYYPASPSKPHRPNPFSKSLVVFLNGMVQPRAAWEPSVQKFLEKRITNRL PYPALLTYDRYGQGDSDRDPDDPAQEGPSHGHDAMSVVRSLRQFTLQIWKEHLDISNP TEFPCLIFVCNSIGCALARLFAQTYPGTVLGLLFLDSVIANTDFVSIWPDPDAPSFDE NVLPPGTRPSDVRAARENMANMFHPDVPSREGLSRRNLAKLLPHADAPRLEGYHSEGP YMTVVGHDWETFADQTEQGVMKVPKLLTMTYVNPLWQKYNEGLTKITDEGKAIGPLVA VGSGHFVQVDSPGFVADEMVSLLDRVVNQVKQIHVKEY CC84DRAFT_1164960 MEHYVSRGCQTDIQGLCHDPALRPHPLVSPQPANTGAANNITGT PTPPDDMSLDKTRFLVHELEVTSEPMPDANPLHASQAEQLYNPNHTYNYTASTMQPSI LSKRKTRPSLVARISLPGPDSGLDNENPRSPPPTEALMSPLPAANTLHAGHTPIIPGA LSPLPSSGPASPGDAGLTGPLTLPPQPGDGAEDTIPLHVLDAELEKLRLAQERSASER SDSAEPEDETHEDDAAPPAAQTKENRRRSSAKDVVDGVLLKKPRMNMGAPLGQA CC84DRAFT_1164961 MLHVDPRLAEVPGSSTVQLESLARSIDEKKQRLEADINAYIKQK QHELHVFQHELIAQYREMECGETNDAANRSDRHADSHNTPAPAENPLPSPAQSPELTP ESKREEKAKRTKVHKREKELFGLVTPIFLPLLDAGETSKDKEKKKRHKKKEPADGSSP PKQAKSSSSSTSSSSSGYAADTQGESGPSSKSSERMEIQNGSSSWEPQKENQTPESVK KSKRPAIKKSSLRNSGEKRRRKRVSIVIDGQTVLPADQIAEPQLMSPSETTASSASNS TASLEDTIDPRLIGGDVPLVHSPNDAVHHSLNLPARLPSNSPTGHTGHTLPDSPHHSP ISQSPPSHAHLEYEPPQFTTRTYLDPSPPSDPTTIPRHASAAPIYANEPEVANEQEEE FSTYVGGISGSGVDDLDQTGSLGYPSSLGASYMESYMQSRPLSVRMAAAEKAGMSEAE RRRLVNGREREREEQERVREEQRRQERRDDDDMDIIGSMEDF CC84DRAFT_794612 MTGSRDGCAANRTGRVVYAAAGRARRRPGMQCSARVSVAVGGDL GRQPPQRCRAGTEEDAFGRVVVNGRSPDSAAWSYLVLLTMLRAEGHRMRRGALTWGEL GGWRGEAVAQLRARGVGPAQLQRSQQGRTTADDGGSRGSGAHERSCFVEPP CC84DRAFT_1164963 MNGSCAVYCASLAMHGGVEGMHDAAAVAPGRRRFPWRKRVQDTA SNCVFSNAPP CC84DRAFT_1217961 MSYFNSTEYNTGVCPSTHPNHTISLFYEVLYDINRFADMWYNMS SGSNYQPFVFSNGDTTGYGFHAAFVNRWAVDVLQRATTMCTSDSGNVEDCGKVTQYSY DECNACKLPLAVDEKTDGWVDKLLGCNPVSYGPGPATGPTDCPPSAGHGPPVKNYVDL TTSRGWRYA CC84DRAFT_1164966 MSTGAIAVVLANTPNTFPGLMTIGKIFYITNLILFVLFTALITT RFILVPAKLVASLLHPVEGLFFGAYWVTVSLILNGAQSYGVPASGPWLVKALEICYWT YCGVVFVVGVGQYYVFFQSVKLNMHDAVPAWIFPIYPLLVVGTMAGTMIPSQPTDRGW YMWVGAVAFQGLAWVVALLMYSLYTQRLMTSELPSPSTRPGMYVSVGPAGYTAAGLIS LATQASTVVPGSQFTQLALPDGDLIKNLGILAGLFIILFAFWFCCISTVAVLAGVRQM SFTLNWWAFIFPNAGLTLATIQIAGALNSDGIRGVASALTIVLVMLWLLVAVLCIKAV YMGELLWPGKDEDKTMKGIRWGRNGAFPEYNAEAQKERED CC84DRAFT_794746 MTDHAICRARLLSDLSAQTPCDKEATSSDGRLCAFHARQCQAMY RGYKKRNEELDRIAENQPPYLANNKTSIVVQEFKDVEDEATLQGLHEYLFNKYVILEK VIKARKLHHSHFYAVDMDYGHEKYLTKLLNDKHVMSRALERLGKRGAEIVHQKKEWLG WVKKRQEEEESHRENESKKVKLEALLLKRHQKELQRQQQEATAKEQKQREEEYLNGVL KQRLSEMTEEEQDDWDPVQDVFGYERANYVDLIKFFLMLQDQDILTTSDEKNSPPPAV APNVSEPEKEKPLSKSAKKRAKKANMEQKKLETPTGQSSDDKGPKTIEMEAKRQMRER LCKPVKHERPMGFYLSSPGGPAGFHAETKAIPEDELDKLLEEVAEIKHLLFCRLLLSH ATLLPIALRSDSIEDFLADDDVTREHLRDLCLKLERPGLQDVRDACADLVRGEDETAE YTGAKGSEEDDEDNKKGKIPDKYALRFRDKSRLPEKYMTKREKAAKSAKIEQKMFGQQ KPMKDAIVEFDDDIDETGYERRQTRIKVCGRYMYNYPSEKALPRGGWYHFSVIAKDSS LFDAIELCRNWNEFFELNILAMYHYFPAPKWTRFVGDIMRQQLLQLGFIPYFVSEHAE KVTHYFQTGSRGMARRSHQFTEMRNFICGNVKRDDPVSRRFIQYLSMETWEIRALVRD RKTGKILVRPPEEEFWLLREKAGWGRASKNEFENIIEIGPEFFETVENSRKWHFGFDE YYDVYIWDTAPGRPYFVLQRRVEEILSRAIRVKEVKDMFKVCAPIFETITMEKETSRV RSIKPGEEVESMWDRIEANAHAFQYNPHQKTPQDLKIGIDQTFVYTEADEIEDSILFP EEGTGEMKDNVFRANPSLLEMFEKKPTIDLRRFARDLDSDEELSEKDCFGESDEDLEA VDDDGDEAWETETDEEDNESEGDFTHSFANTADVETAMEALEDRFRSQTIYTKGEPDY FLSIFKSPTFAHYIPESIRSHPPDLMATMRLSMRRVKEYRDDEGSIEADFMSMLDREK SKVFKHSWHQGDLSHNAMRKEIHWRVMASVMDDIIMTSLNSGPFELCKFMEMAPLFTQ ERRIVDDAFNAYAAVALFFDTQTLLSSEEGQVFKDSNLIDQVERAKHVPDRRTHRSNK TMPKSFWEAWDTLRRDHDRTEDDPTYDIMPLSWRKALRTAIIRLFKVGIIQNSYTEGA DGYAIAAAEPGRPHDLYIDWRTNMPLAQALSHLVDPTPFDRVYLLDKARAFKEKHPGA CFSALRMWSAPHFYPLMLGIGNRKEVAFLDDRERRWEFKFIPKDMPCSEWSVHQQLSS RVEPYRRMLKKEQVIVARDLILVMGRDRKECRRLSEGVTWAVTTRPWRLEIDFWRSFV GVDLEFLEGLDEKWLE CC84DRAFT_1164968 MADTEVPVVKFKKRGAKAAPRKAAPPPPRSDSDSSDSDGEGDDG RVVKRRKVNEHALKASTADQQTPRTALEGTTKYEANRAAVIEATDDATKASNWYENDH ASDALSSKSLLGSTRILAKPQSLIEKDANAPERKVGPQKSSSNVRTITMVDYTPDVCK DYKQTGFCGFGDGCKFLHAREDYAAGWKLDKEWETSNKGKKPGGTVVASANRDGKKDE DGIDLSMLEKIPFACIICKQPYKSPIITKCGHYFCEACALKRYRKDPTCANCSAKTYG VFNGAKNLQKLLAKKQEWEDKKKAEAEAEAAEKGEGGG CC84DRAFT_1187566 MATANPSHGAFAVEEPNGADPFVTGSHDAHRQRYAAFDNSSLYV SGSPAQAERALQAHLAETTRRLQETSHLGNALVQQRKELEDRLREVAEQKQANDIGPE LREKLIELEKEFNEVGRETARAFLPKSRVPSGEANTATGASEFISEGHQSPTKISIPS RKQRNQQPSRINDIALATEISTSLLAQLKDLQAVLLEKDEALKAANLDRSQLEIEVEG LSQRMRTLDESESRLKDVNWNLETQLRDFETQTKAATDREQRLNHTLNLARSEKATLE REFEELKQMYSKINDDHLLKVKQHETELSGLRRNVSMGETERGALQRKMEELATQNHE LARAVQHRLRAEEQGSAEDTSPDENPDGGNTITPEHSPPPSPIKPTPRHGQLESETLK HSLHHAHRMIQQLKNNIHREKTEKIELKRMLQDARDELESNRNALPGPGSASKRRKND KDVFKKPARPDRLGALRHGSQEIVALDDDEWEEHDGVPPTPSRAPRPVESVPGAWGGF SSAAETSANEGFETANETSDAAFETANERDGTTTETDAFQTGAETLDGDSSDDLTETE AGPATGTIRQRPSSLAMAHHDSEMRTPVQPQSSRFRKVRQGSNLRGSPARRSFDDGSA AEGTPTRSTFRRPPMVDSGMMTEPWSPPPVQHTEPQSAVLPSFTMSGLATQGTEPRSA PVPSLELSTYATQDTTPHTVPAPSLGISRMLSLLSEQNTVPRFNAPTPLQVSSVSAQD TTPQSALPPSLYMSNVSSQGTVPQSPSPPSLFMSNVSGHGTVPESPSPPSLNMSSFAV QGTEPTSPVVARAAPAVFVESRSSSGSHEISSREAHVEEKPQVPIGLSSLSSQHSIPT EAPQLPPLSLSGLFSQGTVPVESPRPAPSQLSTVAAQTTAPQDVPPTVPMASRPPPSS ISSLFQQHTEPAAPERMPSQFSSVSHQVTEPSAAAASALSQHWSLATEPVQLPQPSPP QLSVVTHQTTAPYEPERRLWDVGAIATQHTEPVNAREVVLPEHQFSDVTILHNTQPES PTLPEFLPSPSRPSTANRVPPTISFSTIVANETEPEIPSRPQTAHRAIPIPIITSSSG AQTEIAQEQRPISKDGRVPLGQISSNVPRERSLEDGYPFPKQSLGATSDEGTQTMVSA EQIDRLLLARSQRYSGTIAGAGVEKAVSPPASPSRRNSNEVGRAPRRPGSSSSMRSRT GTPPPLPADHKQVIAAAALKSPPPLLTPTTPNATGTMGPPIMPASAYKNRPSTPSIRT KAIATSPRAGATTPRPRHNSQTRSGATSPVTRRSSMSSFSSEIDHRFNIPAGMTFSQT GLDPSMTDPRMIQAITQTMCGEFLWKYTRKAGRETMSETRHRRFFWVHPYTRTLYWSE HDPQTAGRAQLKAKSVAISEVHEVSDDNPLPPGLHIKSLVVVSPGRTIKFTATTSQRH ETWFNALLYLLKRTEDGDEGQPSEPNEIQSEFNGGYRSSSRQTGRSRASHATYNSRRT SSPYHAEVPTLRQSHVTNQRSASTEPGQQSSVGARFSTMLRSSSAMRGSFSSRFSKAS VQEAVPYEEPSNSAADLSRELVESNQRAADRLVDVRACCDGKHHVGQLHAHSFKGRHG SFHSRPSFIGSVSSRSQSRTESRNESRNQTELERQHSSTG CC84DRAFT_794926 MARNSEKAHSMLFRFRAAQAAEAGIIDISRTRRPKLITGVTGIP VCEKWRGQVLKEISRKVTKIQDAALSDYQIRDLNDEINKLMREKHMWESQIRNLGGPN YMRGGRVLDEEGREVPGGGKGYRYFGRAKELPGVKELFERQARPEDDDGAAKGREKRS ELRQRVDAGYYGYNLDEEDGTLLAYERAKEKEAWDDFLALGDDLGVKPSKTPRPFEDL PGDAGDGVRWNVPTLEQVNEELIERRKRKLLEKLG CC84DRAFT_794927 MNLERVAECKPEDASGITMQANPVHVSILLASSWYSSHSYCVLQ TRISFKLKDVSLVCLSRDTIVSASAINYLYITMTVSIYGIRVSV CC84DRAFT_1259896 MSLSIPNAPNAGLFKQGYQNYDAEDGAVIRNIDACRTIAQTVQT SLGPYGRNKIVINHLQKMILTNDAATILRELEVMHPAAKLLVMATQQQEAEMGDATNM VMILAGELLKKAEELIRMGLKTSDIVLGYEKAQNATLQVLEELVCDKVEDIRSQQELS KAIRTVVAAKQSGSEEFLADLVAEGVLAVLPKNPANFNVDNIRVVKIMGGSLEQSKVV KGMVFARQPEGTIQKATKAKVGVFSCPIDISQTETKGTVLLHNAKEMLDFTKGEEQQI EQIVKELHDSGLRVVVAGSTVGELAAHYLNRYGILVIKVLSKFELRRLCRVVGATPLA RLGAPMPDEMGSVDIVETLEIGGDRVTVFRQENDQTRTATLVLRGATQNHLDDVERAI DDGVNVVKAITRDPRLVPGAGATEMQLIERIKSLADRTPGLSQYSIRKYAEAFEVIPR TLAESAGLDATEVLARLYVAHGAQKKNDEWTVGVDIENDDGTGTLDAKDEGILDLWVS KSWAIRLATEAARTVLSVDQIIVARQAGGPKMPGKAQQGNWDNDD CC84DRAFT_1121006 MNAGHQTTRRLAAKAGTPICRSCRDTLSRNYVSAAAAAVQTDSQ AAQHIPPVAQASTQTSYGINAGVVLSRPPQITRDLHPFESAFFLYQRRLNERSALPFT RYFYYKDRTPADREWKRKIKQRLTPARDIGRYKGYGDEAWNDEVLIGAKESDFNWQVE RLLEDAETTGLEDQPAEAVSSAVTPKKVEHEAVERPMSRVTEADEKNDVKSLNRALQR TLYLLVKDKEGRWMFPQDRLQKETLKEATYRILEYAAGVNMNTWVVGNVPIGHYQHNY TKALKNAAGLDEVGLKTFFMKVRIMAGQANLKENQFDLKDFKWLAKDEIKKEVEEDYW RQIKNMLAER CC84DRAFT_1217969 MVWSDRQLEALLRALCFQASEGNRADGRFKPQAWSYAQKKVQEL SSRPITIEQCKGKVDTCKKEWQIWAQLRQQSGFSVVDGVVVTDKGALAEYFVAHPKAE RYRDFALPFEDLHWELFEGYYATGEAASSIDRLLASQVADSIENSEPQSLSTDIDSGN KSQGRKRAATIAAERREKRTRNLTAEDRMGMRLDDIARQIGELATALKTRDYQQEALE RFQMENSALGIRLGMPVLESFMVELNAKFWVNLKTPQLQKAWVKQRIDALLKDEAYSD LRESVEALDWSSSGKLSRRRA CC84DRAFT_1164973 MRTLHQGDYQTLNIYLVEGAGGGVCSFPDGSGQPISQDLLDFDG CFVPLEAGRSATSGTLAHEIGHWFGLLHTFQGGCDGDGDYCDDTAPQNEPSHGALATP GDLGSCPAADQCGKGPANVKNFMDYTDCSQEFTPCQGGRMNVAWSQYRVGRALAEGVQ VKW CC84DRAFT_795003 MKVMVKAYHLALSRLAILYFLFLNTSSYTHSDHGPYCDWQGYWK LVLGAV CC84DRAFT_1176734 MANRVFAREAPDPLETAPIIGSFARVAHQLGKRLECESEALEHE SIHEDPLWNSLTFHHFGLIISATFGALAVAIAFMLILRHATHYLKPYEQKHIIRILLM IPIYAVVSFLSYLYYHKAVYFEVLRDCYEAFAISSFFTLMCHYIAPNLHEQKEYFRGV QPKNWVWPVTWMQKCTGGQDKGWLRRPRSGLTWFNVVWLSIFQYCFIRPFFTVVAVIT QHFGRYCQSSKDPRFAYIWVAGFEAVSVTIAMYCLIQFYIQLKEDLAPHRPFMKVLCI KLVIFFCFWQSWIISLLTAEGGPLKATKQIAGPDLRIGIPSMLTCVEMSIFAVLHLFA FPWKPYDLARRHEPLPQAGHEQVPEVPKKYAHGPARALISTFNPWDIVKACGRGFRWL FVGARHRKNDPSYQTKMDPLTKQDTGYHSGPTFAGNGEPATESALDKEIGVQRSDSDR AGLLSNAQANPTLSRQESDPYHRTNDRYYGNSHGHPQAATPGQEYGVASPNMQSNPYF DHQETSYQSAPHQQPQPTYNNNQNWDMFGGVNGQNRPPPRHQGPNGFI CC84DRAFT_1259900 MTDYNKETVAKLRQVLKDRNIPSTGLTRKAQIIEKLEEWDAQNA IEGEAEHAPEAAEEVEVEVAEPEVEAASSEPPEPNDDAPVVAPGATTEERTEAAEATA PDDVKDNTVIEAQEGNSADSVPKEDPQPAIIIAEEAQIPTESHATDFARPTQTPSPAS DEKPSIEKPELLPIPERSVISTADQSRLNTEELEADTRKRKRRSLTPELSAQEVRTKK PRPSEDVVHEVAVPQDEDTVMDQRPPEEAEKELDEIAMEEDAANGNPKIEAELAISAA ESKAAPEAEKKQKIDRYRELLKPASEPSVADAPSDIPDDRSVSPALHLATPALYIRNL MRPLRPEPLRAHLVALASPPSTDADPEIISTLFLDNMKTHALVRFASTTAASRVRASL HGTTWPPEGNRKDLWVDFVPDEDCATWIQEEEDAMFAEKEARAAGRPIPAKKFEVVYF EDENGGYTAVFQEVGAGAAAFNPPKGPRRTSQQVSGAGAVPLPTQEIRQNAEASFKTL DELFSSTIAKPKLYFLAVSDERAEARTRDLEAETSRHWTPEEKRKGRGIQASRLDQKI RFTFDEEDRVVEAGGDFGPWTEQSDFRGGRGGGRGGFRGRGRGGWRSGP CC84DRAFT_795169 MTSQQGGRSSPTQLSALAAPRAARSESHSHSAISSSSTALHPAA NATSSHLNAALPPLNTDDPADPSLPRFHIRNPSAALSLNTDVDDLFMKAAERLQHATT LGDGSTADALRHSATAPIAIQEGYLRSTFSAGSMTGSLSPGSALSSPALNALVDLTPL PSPLVMSDSPGSWTRGGVSFRPRSRGASGSSRDDSFAMFSRGGTLSPSPSLKKKGYQK LKTAGEQAVDASMQTQHKNDASRERNRSLSEFRPDSMHNIGARHVTISNVAPQTSQPD TTYESRLHREAYLAAQRGLVPATVPAGLPTPPASNRSVTESEEDEIAEHDKVDYITVR QGPQMVKKLWRPVRQLGQGTFSKVWLATSEKRSANDPLDETTLDPRKLVAIKVVEHGP AGGADEERVELSLKREVEMLRSVSHPSLVHLRAFDNGDSQALLVLTYCPGGDLFDVAS TNRDLLGVDLVQRIYAELVSATRYLHEKLIVHRDIKLENVLLNIPVSTVPLLKNPRSH PYPIATLTDLGLSRRIPAPPESPLLTTRCGSEDYAAPEILLGQPYDGRQTDAWALGVL LYALMEGRLPFDSPPGKPVRSRPAHRIARCDWMWIRFGDDDGEWDAEKGEDWEGARAC VEGLLKKVSRGRKSLEDIEKMEWVQNGIQVDGGVRRRIEDYDRCP CC84DRAFT_1259903 MASPYEAQKKRPPPIEIPPPYPPRPIVPGPNAFAIAERAKEEQR RRAEGGQQVSTSRPSTADPLGSSQWGASAAVVPSNLAVPPSKSTATKLSSKEAYSNLV DQVRKSPRKSGNSQHSTSVTSRHGSTARSRHSQRSYHSLTSTQNQLEGLREAATSRAE IEAQKERKLFRLMGLVPDTPTDGSAPLPGNYVNINDLRQDRGSQLSKSSDQEIVTRSP KKKIFGVSIPFSSKPLPRESKSPAPPMPLKAARLMGATPPPAKTRKFSPLAKMSGGVA VPRSDTSKSLPSKVFNQPGTYGHSRRYSPVQMSPRGRTSRKSSPPKSPTKAPLTAHEQ TLEALTGFPNTTQELPPIPPRKDSLPPNLRHRFPDLERKIAALKTTNANRTVSQLLQP PTPETGPDDFEDSGMKLMVPSVPPVNPIPSRGGESPSKYCPRGGKPEFVEGEPLFSAH GVVEYTIDEEDEPTYSAPLTSSDKSNGPLSTLRAEHRSAPTTPITATSAWLQRGAQQV NAAARQKPSPELEYLLPTVYSPPKTAVRKKEKEIEQEVLQSADPTRSNSPTSSSLSIP IVFKGDVGEIDPQSATARSISKGAAEQPVLGPKNDNVSARIMEELRNRPPTDGPGKAP SHGILQPEQSSSKLTDMLSGVSPSRVDFNNYDSSSAVPSPLHRTPPCAVGSQQMRISG QVPPVLPHTPIPPPPKAGVPIHDHFYMTNEHIDVVAMSIYDWVQSCNNHAIKAASSKH EQLKTTVDQRFDDIRSQINSVGEKADHNGNQSHNLSIQLDKLRDFIKSEVVELLAAQT ARLNAMDHGIKELQKSVQDLQTQGQSQATTAVYPSPSEPSALVNSRSQPSLPPFYDLS GPGNDSHPPVPSGPTGFGRFGNNTLLGRPPYGRDGRENNSSYPFPNMGNPYHSPGGSF GHGAGGYPQPPSNYPPMHDQQPCGYNQVLPK CC84DRAFT_795273 MVVATIKCVVVGDGAVGKTCLLISYTTNKFPSEYVPTVFDNYAV TVMIGDEPYTLGLFDTAGQEDYDRLRPLSYPQTDVFLVCFSVTSPASFENVREKWFPE VHHHCPGVPCLIVGTQVDLREDVSVKEKLAKQRMQPVRREDGEKMAKDLGAVKYVECS ALTQYKLKDVFDEAIVAALEPPTTKEGGRKEGKRKGKVCIIL CC84DRAFT_1246584 MDNIDDDLDLCYHRDLKTDVYYRCPSSEVTSPPVVYADFEKNTA SLIPVDIVTEFVFNKASTITIAVTQQPNLNALFEPADYPRRITIEHALCYGIDGKERL KLQRAIARGFIEAIQAIDGFKYTELQAWSKDGSDGARFKYVCLDSLQNRNRKSKTKRK NSSKDADGGNAQNISKRSELSTYDCGGAIYARFSTKREAVNALSSNSTTSTGTSNGTK PKKWKKSQNDHHVEAHSAFQDQELDMSTSPEASKAGSKKKRKKNAVQLEGSGSATKNN LKTKQAKSPSKACQEAPARDPTPEPVQPVKDICLHCCKKRIKCNEAKPNCDQCLRVLW TCQYRHLVQRSARRTDV CC84DRAFT_1147206 MPCPDILAALPSDQPSKRAIISWDDAYAKATTALAKLSLSDKVG IVTGTGWQAGNCVGNTKAASSIGYPSLCLQDGPLGVRYINGATSFAAGIHAASTWDID LIRERGTFLGQEAKQLGIHVQLGPVAGPMGKIPTGGRNWEGFGADPYLVGIAMRETIQ GMQEAGVQACAKHYIGNEQEKNRESMSSAIPDRVMHELYLWPFADSVQAGVASVMCSY NKINGTYACENGGIMNKLLKDELGFKGYVMSDWNAQHTTTGSANGGLDMTMPGSDFNN PKGNILWGAALQSAVSSGQVQQSRVDDMVKRILAAWYLVGQDKGYPTATFNSWKIGNY NVGGNHKDNVRKMARDGIVLLKNTGNALPLKKPKSIAVIGSDSIVAPKGANACADRGC NDGTLAVGWGSGAIEFPYLIAPLDAIKTQAQKDGTTITTSTNDNAQQGASAAQNAEVA IVHINSDSGEGYITVEGNAGDRKDLNPWHNGNDLVKAVAAVNKKTIVVIHSVGPLILE PYIDNPNVVAVVWAGLPGQESGNGLVDILYGAASPSGKLPYTIAKQPSDYGTAVTSGD DASWDLFIDYRRFDKNNITPRFEFGFGLSYTNFTYDALTVTGAPTAGPATGAKAPGGP ADLFETVATVTAKITNSGGVAGAEVPQLYIGYPASANAPPKQLRGFNKLNLAVGASGT ATFKLRRRDLSVWDGGRWVVPSGEFGVFVGASSRDLRLTGKIIV CC84DRAFT_1164979 MPLLSKPVNALVVLLSALGVVNAAPVPTPNEAVPVPNPKDIPEG VHYWPIDDAEADRLSKLLNIDFRSLNILGTFVKAPKATCEGCGKRSGLEDFVDNVSQP VMRSIWVSKAACRHSRPTFTLENGWSTCSRKVATTPVQRTISSAPTARPSLSVGI CC84DRAFT_1246632 MSAKLHDFFEKFRRGAYAPLNTNVGPDEAGGFAYRANSAMEEMH NVARGSLRTFLKPALQIFVVIALMVGSYIFGSYSSGQQSTSTGFVPTIPLKVDPGVFS DDHSWIGPGKESDILWAKEYQVMSDNKFIKIDKPENYGIPPGQQDSHGVNFYSISVYH QLHCLSLFRALVYDESLSHNDGDHRRALSQNRTWADWYHLGHCFNYLRQAIRCTVDTT LEFPPGNHTAKEHMATRTGNRQCRDISVLDEFVEQNRWIGP CC84DRAFT_1176743 MAESESGNPTVPGAGRAHEQPCHVLHPTLPLHIATQTLDQILQE HARRAEGRPSEAPGFTLVDCSSLIPGASQPVLYTMWITRASTPSLFSLTCVCEHLRNQ LVENGKVVMSIFNDTGGYGEAGEALAAGTYMVVLKRVDVERLRCVPVSLSSLEKKVVK EYMVHCQSSSSSD CC84DRAFT_1217982 MWFEKSRNEQDHRYAPIDLTAEDQSQHPPRTASSLPWIYIITTT CIVTIVAVVSFFAGTSFARREKYWRPDLPTVQKALQPDTSFMVQPNNVDDHTWDSMFP SSTFFPHPDIAPERGTLSVFHQLHCLNAIRHIYWATVDPNHHKRDGAGPGDPAFDKWH MNHCIELLRQSLMCNADLTLEVTNKTLGGVTGFGTKHVCVDWEGLLKWVDETEENAID HAVSTHP CC84DRAFT_1147207 MMSQFFKPTAVRSAGVLGQVTKNRAQARLMATVQSNTPRQMATP QRIATPISTERATFTIKNGPIFSGKSFGAKVNMSGEAVFTTSLVGYPESMTDPSYRGQ ILVFTQPLIGNYGVPSLARDEHGLLRHFESPHIQASGIVVQDYAIKHSHWTAVESLAQ WCAREGIPAISGVDTREVVTYLREQGSSLARITIGEEYDADEDEAFVDPEAINLVRRV STKAPFHVSSSLGDMHVALIDCGVKENILRSLVSRGASVTAFPFDYPIHKVAHHFDGV FISNGPGDPTHCTSTVYNLRKLFESSQLPIMGICMGHQLLALAAGAKTIKLKYGNRAH NIPALDLTTGRCHITSQNHGYAIDPTTLPAGWREYFTNLNDQSNEGLIHNTRPIFSAQ FHPEAKGGPLDSGYLFDKYIESVKKYKEQQAMFTSKDNKPSPLLVDLLSKERVGVHPA HPDDSEGGHTVGKLQEVVMDTKGTPAPQPIAAAA CC84DRAFT_795499 MESAPLIRAQEHVRNASAATYAGDLARAGQEHELAAAAFHDGLS STTNAESLRLIALLENNHRAHARRIKEPPRKAERKKLDTANEPSDAATTSPPSKTAAA RPASPPTSATSPTRALSRRRLPQSSIASNLAEARGIGPRRATPSSASVSVTNALAGRN EPASTPVRDMLSRKAEASKRNDPMSSDKHQEEAPTAGQTTSSATEEGFRRFYSAFGSV MSAITPPLAFTALPLTLSPAAPEPEPPAKEAKPPKSLSKSRNHSPEAVRTVKSSEPDL AALISKPALRALREDGSAPLGPNESFYLVPTSGGTVSYASILQNPNAPHHPHHAAQNP HLAPIDEADNESSGLRGSSHEEFVDARETVGPPSPTASRRPRSRGQTTQGVGPAVTAL RSIPAGRGSGLKTFEEISLENETLKATVDKQAKRLSMWETTSQSSYNALAQSFRARKM PSDPSALAQALAIGANAVPPALPSPTAQSDPQLAKRLAELEALVAERNAALDEKAREN ERLERENRKNAEVLGRYRDQWEKLKAGARKRDLEKRAARSKAAEGDKGEKAEKERERE EDDEGLEEEPGFGKA CC84DRAFT_1093877 MGGTSRDNSLAAPAHRALSKGNVSSSATSVTSSDGQGDAGSDLH VSGDGALDRRKSRGSEDASSETSSHRRKLSRMFKGRKGRRKSMQEDISPGELDQDVPP LPDVPIVRAPGEGLRSHSDDSLGLHKSVASSLLTEDSDAESSPVRPGISPHQSHAGYL TLSSPLINSETLDSVAQSEATLIDAPLSSEEPPTPRQAQTIDIGSAKRGTSPVSKLKD AFVPARRSTSPKPPTDTTEGARPSTSGGLGALFGGKKRDARLVDDSDLQASPPQIHYS RDTQSTSSLPKSAPQRIFTQIPATPPNLVDAPTTLVTPPTPTDARPASPASASSIKNG GKHGSAQSSPNVVVSPSGNMISHRRARSATNPPSKLSNSITAPLTPTIEEAKTPGGGF SVPPQSPSGFFSSVFSAAQNAANTLSTTIANSAAQNKNKSATQQKSDETKLEETGGEE VIGPENAQPTVNTGSEKRKLAVETLGSGNLSLAHLGISDSSDPSPMSSATHLPLKSDE VSARASDMAAAQAVSAAYAGEPSTTVNGDRPRSLTTNSAPTTTSAGAMSPPRQADVSD SPAGASIQRQGSIRSRISGGRKRRHRGSSATTGNTIAAAIGASTHTLAPQASLSGQRL NGTGFAVASSKRNKDFHALFKSVPEDDYLIEDYSAALQKEILLQGRLYVSEGHLCFSS NILGWVTNLVISFDEVVSVEKKTTAMMFPNAIVIQTLHARNVFASFLTRDATYDLIIG IWKISHPNLKSSLNGVALDGSAGTGDKTEKAESIASDEGSDDGSEDEVYDEDEEEDGI GSFTEAGEGSVAGSETASVQGETRKASAAVAQAVSGGSAKLIDSAEVVANAAPAAQDF PGAANHAPTDCGDNDQHYDKLLIDTTIPAPLGKVYSLMFGPASGAFMKKWLIEDQKST DLQLEDDGKGLDDTHKSMSFSYTKPLGGGIGPSKTRCNINSTVEQFNLDKAVTVLCST QTPDVPSGNVFLVKTRYCLMWGPNNSTRIIMTFAVEWSGKSWLKGPIEKGANDGQMTY ATALSAALRTAVVRNAAPRAPGKGGKGKKRSKTQIVDEAAAPTPAATSAAAQPKEPSW GPLDPLRSLLPGPLVSIIDTLFTTQNIILILGALLIYAWFFRSSTTQIGPHAHLSTAQ RQVAYEQIWRSEEAELWKWLEERVALDHVHGTVASGGVLQGQETQSRLVAKGMKERQI DEAIRTTEERLSALKGAVKRERETGTRSPREEQT CC84DRAFT_1246639 MSPPTFSCPTCGEEHPLHDTLPGGHSAHKPHCPFCLAHTLYRAI ISAHPAPRPTPEQAEKTNMIKIRKIVLCTLAPVAVLAALAFRWQMRFWPGIAGGREGQ GEDRALYVMLCIVGLAPCGCVLGFISAGVFYVYFCVRKTRARPGVSRIMSIILA CC84DRAFT_1164982 MASAVDIITYVGIPLAVLGVLPTIYTAWKSFLTLRQITRTLHAN GVTAITRSALLSGIVEVEIPRKSITPLDRSDPRYFNLRATPSRLRGGTWTLFEWKEMA IGVKSYRLQYHDELAQPQAEIEFEALIAFLLDRGAVPSQAGWSDLRGAGLWTVAGTKL LVSPGEGEEVLSVAFSDDSDGILSLSLNWKGEWDGRGRESLPPYWVRVRAPDGEEDLL GRVHEIEEVRAEGTVEEKKGAFLDDASATSEDVKSRSAIRVRISTTGIQEAYGDDGSS QKLQLHHLRPPPPSSSTASTAGFWFCCAATAFQAPQGGLWSFSIPHDILQLARHSTVP CGVMVLLDTMTDEEVPAWRTPYNDQAERLERQVKAQQQSRAMLEEGRLPPAQRDAARR DRIQREAMDFHNDFRRRMLVQEERREAEMLEAIQSQKLHVGIVAQANLKALKKKLRLS SVPTLTMVVEHILYGMLQDRAFAQRLSVMLDLWKNWAQSGGMTKSHYLAVKEDQVMFS LASCVLAIIRDMVSEPSGSVVGDLQECLRMWKKVRL CC84DRAFT_1259911 MSPQASFTDKLALGEAKRGENKRRVIVTGGSGKLGRSTVRYLAD EGWEVISVDTRRPPGISEDGKSGLGGAYRLVEIDLEDMGAVLETFLTTDMAYSGIDAV VHLAAIPSPGQTNSSRQFRTNTMSTYNVLEACRKLKIKNVVLASSETLIGIPFDPHQP ESLPITEEHERRPESAYSLSKLVGETMAEQYARWDPEVKIISLRFSNVMLPEEYKTFE AWQDDPTKRYWNCWGYIDARDGGQAVSKSLDSKTKGHHQYLIAAEDTCMRQSNDELVK KAFPGVKYNPTSGPNDTLLSIDKAKKELGFKPAFKWQDQL CC84DRAFT_1196689 MEKYSQFRDKGTAIAPFLPIPSPPASLFWTPIHAILFAVRLFPV LSISLFYFLLLEILPIPTTLKKCVLWLLLAVPGVWWVDLQVDGVKRGRLSDKQAAGAL PSAGDVVASSFTSPLDPLYLAGIFHPVFVRTWPAEKGVQVITLWGAICMAFSRPQIAP PPGTKLVQLSELSKRYPDSIICVFPESTTTNGRGILPLSPALLTLDPTSKIYPVNLRY TPGDITTPVPGAAANWVWKLLSKPTHQMRVRIARPLYNSTSIDTPRSEKANASAVDKN MGYETNIWDSPGLRNGLHAGWEGKAKGEEDGQKMLDRVGEDLARLGRVKRVGLGLKEK VEFVKVWKSRRR CC84DRAFT_1092975 PKKKRSFLNIPRSNSQSNEEQTPTGTGLSGATVADSESIGRGSK RSFLGKRRAGSTASSKRSQHAATSEKAEPPPPVPAVMDGREGSTRSKAKKSGGLLSCL PCFAPKDATPAGETTENVKKVEKVQPARNSQPVHKADPTAESSTADSKEPLDEKSAGE TMAADREGIYGDGTADTPSQHGPPKIVTRSSSKKQADTPLPPLPNQPGTLQTGPQISV QGPTPGTTPVHPRPSHEQQQIIHDRTDAQEEKDQDIEMNDVPLASTDVRHEGDDASTD AQNEIPPKVDLPPPPPLEQRQIAVRDQTSDTSMSEPQQKYLLGPIRPEFKGKKCLVLD LDETLVHSSFKILAQADFTIPVEIEGQYHNVYVIKRPGVDQFMKRVGELYEVVVFTAS VSKYGDPLLDILDIHGVVHHRLFRESCYNHQGNYVKDLSQIGRDLKDTIIIDNSPTSY IFHPQHAVPISSWFSDAHDNELLDLIPVLEDLAGAQVSDVSLVLDVAL CC84DRAFT_1196691 MDSVNENQTFAKPSGLKPPSKLPSLFKEDPTSPTSIPRPTGLSE LTDAMQNSRGAMGPPSYGSIKHKPSGLPEPESKQRKTLAERAVEPFGSRIAAPQSSRP INNAVKNAVSNGSRGFSNSLSRSTSTRAPKHKTTISSSSVGHNSRPVSAQPARPKSSY GSHNRSRSQYQRPATSMAQHDPEPEPQVFDDTRVASLEAQFAAWKEQMEADMDKQSKV QESIDLYKTKNAALEDSCTEYKRLLEEYKTNIADLKSLNSTISMELENVKRSHSYEVD DLRRKYQNDMQDAFDRRKRDLERARDENKDLAEKIERELKAEIEKLLKSHKEELEALE RRLKAELEEERGKRQREQQDVQKEFDSELRNARLEADTKERENQLLQGELTNIKAELD RERLLKNALQGQLTDATTINLRLEASNKAMKEKIDFLESDSQAQSSAFNELHRRMEEA FAVAEAAQEKLRQEETLRRKLHNQVQELKGNIRVMCRVRPAHDSETDPAKIGFPDADT DSKEVAVQGPEKISAMGTNITQTYSYAFDRVFSPGSQNAEVFEEISQLVQSALDGYNV CIFCYGQTGSGKTFTMSSADGMIPRATAQIYAEATRLQEKGWQYKMEGSFVEVYNETY NDLLGRSEDLDKKKIEVRHDPTKKQTTLENAVAVALDGPDRVEEILQRADKNRTVAAT KANMRSSRSHSVFILKLVGENSVTGERSEGTLNLVDLAGSERLDHSKAEGARLKETQN INKSLSCLGDVINALGSSKENSHIPYRNSRLTYLLQYSLGGNSKTLMFVMVSPLQAHL QETITSLKFATKVHNTHIGTAKKQTKASG CC84DRAFT_1206206 MKTFTHTALGLAAFVSASPLSSSQNLLVPASPTKDAKSPLDHFL SYSIEFSSFADFAGNLSKPNTYSYTLLKNLAAYAGSPPLVRVGGNTQDLTIFDASQKL AVIQSFSQSNPDYPANQTIGPAFFESYRTWPGVHFSHGFNLADNSTEHRQALLESVPF ACHALQDRFYGWELGNEPDLMNITLAQAPPRGSDWSEATYVAEWLEWTRKIRQQVKKA CPKLASSEQFKFLAPSLAGSPSLSNFSAARVFEEGLNDDNAVGIISQHKYIGARGNPG ITLQGTLMNHTNNKRAVNELLAVSTSISNIPNRSTLPKNAPYILGESNSLARQGIGGV SNSFGAALWGFDYALTLVSKGIGRWHMHQGTNYRYQAWQPIQTKNTTKGTKAPYYGNV ATAAFLGDLTKDRPKIVDLGLPHDFQSAFASYKSGKLAKIAIINLQSYNTSDDNPFKS SSPRPVETYAVQVPSRCNRASLQRLAANGSDAITGVTFDGFSYAAELDEGRPVRLSNV TRGEKVFAKRGQLLIEVPASSAVIVNLY CC84DRAFT_1196693 MSPQTLPHTYRAYRKTSGPFPRTIELFSAPLLQQLAPRDVLIRV RAVALNYRDIAMLNGRYPTDHENAGLPCSDCAGEVAAIGSSVQGFQIGDRVSPCFNMD DLDGKQRDEEVRTLGGSVMGQGAGPGVLGEYVIFSEDVLVRVPKYLTWEEASTLPCAG VTAWTALGRPRDVQPDTTMLLQGTGGVSSFALFLCIAANITPIITSSSDAKLLQLASL SPLVKTFNYKTHPDQISQVKHLTDGKGVDVVINNTGVASLIADLESLRSRHGMVSMVG MLDNQKAEWEPSALMLVTRKLATIQGTRVGSKKDFQELNAFLEEKEVDLNSLIDRTFK FDQAKEAFEYLESGNHVGKVVIKI CC84DRAFT_1217993 MRLQSLLLLGTGFTTAGTPHTKLVLETNISTFVSVGSRTQRVLT KQNRAIVSSIPDPLTACSGQVLPITCFSLYTQSSSYNVIEGPGSSHVEPSSSRVSSTT SATTSGSSTSTAPSTAPFTPPTDPIERQRVCFTGTACSPLFSSLTTLWKGVHADKPIY EQDKEFATVYCELENLKIFTDASSSVIWDCRVCSEANSRATELWDTFLQELRGFCKSP ARSVYTLSKQILGFKKDMVLDPLGMEPAGFDPIVQHMVEAWEKQGVNGMASYTSSPTG TKTQSGAAGVLTQLPRAWPYTRAAVARRPGMTARFTVTAKNGEAQVVVVESMVW CC84DRAFT_1093318 MPPDSSSFMALNCPHDAVLPEYMGLFSLAAPPNTDLWRKPPSGD ISTAPVLYTALRNPFIAAEVTVAADWELEWDQGGLVIFAGAPPGRVATAVPRPSADST APLTTQALSHPATPVPTSQNAGQRPGPGAGAAAAAAAAAAAVAQPQAPPPYMPPTPAS KWVKVGLEFCNGACHATSVVATSDGADWARADVVRRPELRVKIERIGCALWVWYEDEV AGWKKLREVTWFFWGVEDKAVRVGVYASRPANFGTSMYERRQGFSVSPRNLCVDFEGL EIF CC84DRAFT_1187589 MASKSTNYGNYQHYLGPNHAPGSPPAIHVRDLRLGVIAALGVDF KGRRCLDVGCNDGSVSTQIAFDFEASDVVGVDIDPNLVRKAEDLYSLKASRVRPSGDP SGPTIDYYPMSAVLRHGRMPASVSPASHWPSVRFVAADWVVSTNPVLAGPYDIILVLS VMKWIHLEHLDEGLVRFFHKCSTSLASGGYLILEPQDWDSYQKAVRPNAAPHFAKNLE RLKYRPETSFTELLRDEGLNMCVTSDQLRRPITIYCKA CC84DRAFT_1147235 MTTETQKPPAFSPPSFLDYPQSRRLPPLNIPAAVPPSRPDFPFH SPVNHLPSIQPGPPRHDLPHHPASLPRHPAPVDKLLQPSSYTPPRPDPTYSPQQYGMS ISPRTAYDSRGPRSLTDQRHPSLGQLAYPEAPTSGPPPRQEPRAAPLPGSIPQPVYND QLNLNYELVVRQQPIAARACGFGERDRRVIDPPPIIQLKVTNAKNEPEEEELRYSLNV VHCTLWNAEGTSEETALIQPDRRTTRRLMGQLVASPSVAKDEHDNEGCFFCFPDLSCR THGRYRLRFVLMRIDPMNLHVGGFSPILTEVLSDVFTVYTAKDFPGMRPSSALTRALK LQGCNIQVKKGNEKALARKRLTQGQEQDHDDDDDLGKRRRMD CC84DRAFT_1164993 MKYVLVSGGVISGVGKGIIASSTGLLLKTQGLKVSSIKVDPYLN VDAGTMNPREHGEVFVLSDGGEVDLDLGNYERYLNITLTRDNNITTGKIYQHVIEQER KGTYLGKTVQVVPHITDAIQDWIERVARIPVDDTNEVPDVCIIELGGTVGDIESMPFV EAMTQLRRRAGRNNFLQIHVSYVPVINGEQKTKPTQQAIKAVRSAGLVPDLIACRCEI PLDQGALEKIAHHCQVDNDQVIMVRDMPSIYQVPMLLEQQGLIRSLKKILALDTLTIS EVLTKQGKQTWAQWQALTGTQTRNFHDTVEIALVGKYTELHDSYLSVIKSLEHSAMRC NRLLKIRWIDSEHLEPKTQTSEPAKFHSAWHAMCEAKGVLVPGGFGTRGTEGMILAAK YARENKVPYLGICLGMQIAVIEYARHICGITDATSEEFNTGAANNLIMFMPEVNKETM GATMRLGLRPTLFQPGSEWSRLRALYHGKTEILERHRHRYEVNPSFITQLEESGLSFV GKDDKGERMEVVEIKDHPWYVGVQFHPEYLSRVLDPSRPYLGFVAASAGLLDAVTQQY QDSNAEGLAATLNGVALNGSGMF CC84DRAFT_1164994 MEQPTTSWALPMPVRLASRELPVNDLVTMHLELRSTEEAFGIVQ RQTPTCHRSGVKKADR CC84DRAFT_1164995 MQSGISASAELQEALQSLITSTTQRGILATISQEAIVPAAPIPS THVTFLEDLSNLKDFIKPNEALYILLRRNDVLSSPDKSLVAVTYVPNAAPVRQKMLFA ATRLTLVRELGGEHFPESIFCTEADELTAEGWERHLKHTESSNPLTAEEQSLQDIKEA EALESRGTQGQSLAQGGRIAIRADDEISGALRQLAEGGSDNLVQLRMDVPTETLKFVS SSSASADSLASAIDKQEPRFSFYRHSDPDSSVVFISTCPSGAKIRERMLYAASRSNVI SLAQNEGGLKVAKRLEATNPDEITAQVIADEFKVEEKEEKKGFAKPKRPGRK CC84DRAFT_1094681 MSAKSVNGPGGKKPASAATNLIAGGGAGMMEALVCHPLDTIKVR MQLSRRARAPGAPKRGFLKTGQEIVKRETPLGLYKGLGAVLTGIVPKMAIRFTSYEWY KQLLADKDGMVSGRATFLAGLSAGVTEAVAVVTPMEVIKIRLQAQHHSMADPLDVPKY RNAAHALYTVVKEEGFGALYRGVSLTALRQGSNQAVNFTAYSEFRAALQKYHGTTDLP GYETMLIGLVSGAMGPLSNAPIDTIKTRLQKTPAEPGQTALGRIMAISRDMWKQEGSR SFYKGITPRVMRVAPGQAVTFTVYEYLKGVLERGREMLPGGQYEE CC84DRAFT_1187594 MVTTRRGTGTAGSVQSTPASSTRSAKGKRHLELEVQETSTPTKR RRKIATKEEPATDENSDQAQDSIEVSADGANNADTDPKVKAIPVRTRSKKSVDLTVAS TPVARRASPKIVIPEPASERSADEADVFYTPAQHSFANTADEDDREGSLTPRPTSKAN KATPASGKGSGKRGRPRKHSKANSPEKSPIVSTLAEEVPSSTWESEQAPISPVKLDDT AKATGETVSSEEKQESDADTARDGAEGSATIENKPPKDQVQDLASLGIAFEDVAPDTT AAQPRKHKRFGSDEPAEAKVQVEPTEEPAVDGPEDDGSDSDEAPEEVTTSAAASKAKA TAEETKRARQAQQAKEEKRRQERADRIAQEQAEKREREEKKARKLAKSQAKLARLQQR QGSSPARASMDVDMHNLPDLLPDSILEAAGDRRAPTPPPVRGGKTEEELRKEKLNRHI KFLDRGEKPIKDVKKGKLNVSVLAQRNALLAPKVNRDTKNIREHWLKGREAQRKGRGG RKGTTFRKMERRAVSGGFLRGGDDE CC84DRAFT_1259923 MSIRPSVIRGARRIINKEPCIFCLHRSPLGARTFTIKQRIDPRK GTPGEVRADIDQRNRRLYFRMLEADKLIPCKLEDANKIINHFVSNRASMNPATNARTL AQQFKLKVVHLPMLAIPMFRIPDVNDTTRQLPPSSNAPLAASLLVACSAAGDLQATLQ ILNAVYYSAKVHNMPKAAEMARLYTPKQISDARRMLEQLAEGKQGNSGATGDANAMTL HGKFLELAGDTAQARFFYEKALERYDTKIWRGYPHPMALPWLTPWTELVKLEEASPAP SVERITKAIKFGALKADDPMAYYKLATLQASKNPDWLNYMSKAAASGHPEAMYELGLF YHEVQAQPSTFLGNTGFRKALNFITSWKRNGAADFGMEWLNAAATGGHKPAVMEMARM YERNGQEDQVQNCLEVVAADPPNGVPEEWPDLAAQARHRLAALKSVKRAMP CC84DRAFT_1206216 MVSPVAPPPTIRKALIGSPSNFWLHHSTHGFNLTHPSTPNTPIS TPTLTIQTTTTPITLDPSKSALVIIDMQNFFLSPALGRTLGGPGHIASDNLIKHAIPA ARKAGIRIIWLNWGLDEEDLRTMPPAVRRCFGFYAIPEGDEFERDFSRSKGSVGVDRF GVPRAEHGREAMYHGLGAPCGTVKLDSGEQIDAGDLLVRDSWNADIYPPLKELYDPSS DAWIHKNRMSGLWGSSTPLQTYLQEEGIKTLLFTGVNTDQCVGGTLTDAFSNGYDCVM LSDGCGTSSGEEAQKAWEFNAERTFGFCMRCEDLANGVGRM CC84DRAFT_795853 MAASPSSGLLRLPDELLLDILTHIDGLAHPWRTSSLYALSMASR RLHGITKPYLYSTFSFYTGVPYKFLRTLCLNSALASQVKVIRWDYDTSESERFRYEPG KLGVKQQWHIDDAHHKLQHMAAQGNSTASNLINHLRLGPLYLGDQRALEILLMFTPNL EHLEVVETYRWDDHVFWFLPILSRHDDFSRLTSATIQGPMRAPNVLLLMFLPTMRRLE LSQVIEMRQEIGRTLQWDESWGSFILGPGSKDPPSSSLEHLHMLDSYADLDDVTQLVG LVRNLKSFVYEHARNELSIHYLDVPYQNIAQILKCQHITLTSLRIANTHILSPLYMPS ADLIPLGEKDLFETIQDLPNLTCLEIFLSLAPSHRHRAFRWENLPPSLETLMVDHHSA YHYVEDRMDTSDLELSLADLAMRKRRGELPNLRMLVFRNWHPFYGTFPQDMSVKKVLE DVGIKFNSLPAKIGSSVATMYDIGWVELQTEPEWVIIETYNLNEE CC84DRAFT_1164999 MSGLRVLVPIKRVIDYAVKPRVNRAQTAVETANVKHSMNPFDEL SIEEAIRLRERKVPIQEIVAFSAGPTKSQDILRTAMAMGADRSIHVVVEEKDPQLEPL GVAKLLKKVVEEQKSDLVILGKQSIDDDASQTGQMLAGLLGWPQATQASKVDISDKTV TVTKEVDGGVETVKANLPMIITTDLRLNEPRYASLPNIMKAKKKPLEKKTLKDYGLDE QPRLKTVKVTEPPPRKGGVKVDDVDGMISKLKELGAL CC84DRAFT_1246675 MHYYELAVPLVAGLIGLPTINAAAPSIATLGSVSILSDNDLAGN LTTRTTGALLLSKSTYSAAERRCASANEKLWSPSDSDYSLGLGNAFSYQAYLKGNETL QYWISSPSNYSNICSTIATNGAISQEPCESTLPALCTNSGPILSANQSSPIPSLQVVV QSGKQTITGFRDFYTFQFRGIRFSEQPERFTFSSLYEGQGHVDATKYGPGCLQGPDPR WPELSEDCLFLNVWTPFLPAKDTPKKKLKPVMVWLYGGGSVAGTGTDYEKEGGNLASR GDVVVVTFNYRVGNLGFLAFNDGVHKGNYGISDMVTALRWVQKNIKNFGGDPDRVTIW GESAGATNSRTLLAVPQLKGLIHGAIMQSSSGEVTIEAGATRFDSPATNYAKVTTKVL QESGCANVTDEVACLSSYDAIRWATEPGRTQAHFPVRDDILLFTRALPLSGPLAQPHN IPVVIGTNRDELSYQIANPTTNFTSNLALLTSFSGVDMMHLSNSSFSPERLPTWPSLT QAEQEAAVFNATNRVATRLYFTCLTHAFAYSATKNNVFSAVYEFQFNRTYQTPRFGNS ARPICGRDVENPDEEEYYKCHAGEVPYTFGNILQQNWPDRDGKDTKFARLVVDWWSGF ARTGRMEAEDGWLEARGYGESREKMEEAGRWKGDGSGLMHWSEQVAVEIGTWRSSSWW SAKRFSTWKIKKD CC84DRAFT_1176767 MNVDDYTGLCTFRQPFFLVVAVWRSQKPTNFPTHIQLHKIILLS SFMSVSHEHPTKPSIALTRPPCTSASKTTGFKSARYRNKTFIKRRRWPEDDNIPKTTE AFQPHPFDYFQEKAEKDNDARCVFLLWGSRKPESSPTRAVDVQIDSRDNEENIFEKLA HRYTAQRNLISKCFTFREFDKLEPVTFRIICRSSKIFSVFMEPMDLADNHKLYSAMRE EAHATIEKIMDLNLEDFPDHCRRESSGHYTHDNQKCPLNSPTAGYSPVCPFERWDRYN DIIKWIDTVKFLSCYFRNPAAARGQRILHGFEGHGFIYYYSGINVASAVGWPENTFQP QNRDLSMNGLWEVVFGAGSFLVAIPMLAMAAMTHFEE CC84DRAFT_795868 MFRHWITHQVQPRWGPAVVSNAPKTNTPPAVPTKTANRVTAAST PGRQQGRQTTCRIYFPGPCRRAQCWPHEACRSPGRRRRRGDVRRRSGLRVRCLLFALR VSRSTAGCTIRTR CC84DRAFT_1259928 MDFWKGLFGGSSAARKAAQANDPQQRLARFRQRYNQVLQTWHKS ESLASDREALGNLRRGFQGLTKMIEDESRLPAPHLCLSFAAAQQIYTAVSKIAATSHD EGMVRDAVQLYNALIDSEEEDFLENDVFAVSLMNFIGRSITSITLGEDIEADIVELLF GIAAKIRLQPEILPVWFTTASTDLNGRLVNQKVDFAGVTQKEDFPLCYQLIDKVHHEG RIGEFARMGLLYIFESASKSLPLEQWIVNSDLPTLMATGLGALYSQLSRLLSILHPPE GMPLILQLSDYSEMRTNPNAISLFSDDFQGHLVTFLSYLTFWQDVLEHCRSTEVRHTL IDHFQVLFLQQLLYPYIVQSSDVDGGSSVAVLTYLRRILDALDHPELVQMILQYLLAL PDYSAATPLDPRSPAAVRRRQSLMLLNGPKQEEDSMSPSLFNLVDLILQSADSSNPQT VIAALKLTTVLLSKNHGYALGSLVKVTNLHHKEPHRTVGALNAELDTYLNIAMDLGGE GGVDEAYESHLKDKLSILESHPCSLKALALPSASLQSPGYFDAENGPREVGPHHLIPE DPLFKSLVDLLLRFLTNDVETNLALTEAIISLGSCSELRLEGWLSVDPSDYRYLDDGV DYEAFSNDSLRDMYKASRKPVWDSTAAPQLLACLQQLQEQVSALRADIQDWDEHVASR KDAFRFHEEVHDAMRSSTPSKPPRPPTEAPAGSWTPQIPKTPSRTQTPRGRKEGLDLR GTPTPSPAPSRLGNVHTGGSPSRGMSPLPAPQAGKRQMTLMTDIDANLSSIKKSDFLK RRIRFRRPAGSDTVEVMLSKYLPPPKDEGEDAAVEAEKDDIREASLLHIMTNVVVLQE FVLELAALLQVRASLFEEVRHV CC84DRAFT_795987 MFFNPRSLLLGAAALFMSGLANAADCSNGPWTNVLYIGGQGGGE WCNTKYDAGIVVTGMEVWASNKAVRAVQLYYSDGSNSGMVGKLDDIGEHQRIDWDPSK DSISQLKTWGNGNGKYLGRVYLRLKSGGELNVGKDTDGQNVYETDVQSGIMLGAFGRS GDSIDGMGVLFLKSKVSKVTIEDVAFADNVDSLNERMQGLNTMIIDYADHKNNQVNAT DDFSFSKKQARTVTKTYTTTAALTFGITEAVEVSGELLGIGGKSTTTLKFETTLTDSS SEATADTAELTYQTSTTVPPGGKVYCRATAMSGVYKGSYSANVKIWLEDGSDFSFAQG GELDQVTWSQASSECQNDPFTDDLPTAEAPISIENLDKRAMKFIA CC84DRAFT_1165005 MSDTGPPVPDMKILTADEFPAHMKSQRTVARYNSSTEAKPEHPF WALPEVGIDSTLNSISEQLAKILEGIPTGDRELEHLKKTIYTIAQIKRSPAITIGLVG EQGAGKSMTINAVFDTDGISWSGADGVACTSAVVKYSYYTPSSTSETRERFCADVKFL SEEKIEAMIREQVKYLKRYHDDADDSDDEEPRGPQSYDQDEVDKRLCKTATDIFKVLF GSEKAFLRQWTSQPTDEFVYECKIRCKEAMRSCHVADGSDTCTRFANCPRDLMSRIRH FLADVKGVQCLWPLVDHVNIRFDHELLKYGLVLIDVPGSGDTNMARARHIEEIKDIAD VVFVFADTLRIGSDHAALGTVRACSLNRGRKNVKFVATKIDALLKNDLENAKGAEFDH IRRHIQKAGDEARAAEDDGEDAKVLLIGQYATYLERQLLLYFVNGRARNLSNTIRAEL NDRGADESVKIFHISASNYLEWQAKPMIRFRDQPPLPPSSTGIPEIRRYLYSLVAPKN LSDVARHLNSVVPNYFGKIERVINESDRNADFGSLAREFDQVTDSLIDDLLSQAKQMF EKILKETLAKLLPDTAGLKKQIDEKLRGLCKLNGRTLNKIMKFRGTLPPRASQAKGLE KGCSYNRDFSNILAPAFLKWAYAYAVRIQPMRQALIDLTALIARAVLHLLDVSSANVM VVEKAKRKWIQYRISLKAKMEAFMDTFEQSHKKALVRATMEEDRQNCLVAAITDAHFD AVFAAEPALKPGCHPAKPKYVESKGKFQQRMLLECFSDPNSHFVDHVFKLFHDERRRD TNILLDGLFRNICDSLEAYSSSLKDESTISYQVERGGLDIRANLEQAFPDIKKEVARL QGLLTEQTKAEEQSAAYLPDLEGAQTLAQIYARLSRKRKQGAQDGTKAKIKKERV CC84DRAFT_1094700 MCLQALSPIPYPEDTQHTFQQALNRLDSVLNPKRASYLVLRVEG SLVAITFVPYVAIVNDNTALLENRQLLLETLGEQHFSASIICKEMGEVVDARSWDERS GEGHSWNRDYDERADGCERDDETTSGVHDLGYKKNKCRLCDRRMKNNIDDAALSALKS LVEGGGCSVNVSTEILQLNFRANDLAPADVASRLPTDKPSFTFYRHASNHRLYFIFCA PDSANVKERMKHTMAIPGLINIIAKDNSVSVDQKLEIHDPEELDFEQKDERIGKFRSM YLRNEWAGTESQWAGMEAQQKILDAIR CC84DRAFT_1218009 MPNVLVLSFEGFSFSSRQLYEQLLPKLLSRAAIHESLTIQDAMN YILSGWPNVILVTDPVIAEESEESQRLLEAVADYTKHHSCTTILMGFFVAAIETETLD YIFKHHFNLKWKALPEEPSTHEARIHASDQSLLRTTTLVPKLVANAAWLTGVAPPQAT YFGPIERGIAYAAFTRVGLGKLGYIGDTGFGDEPERLVLAMCHLDRPEDRIMHDEDFE K CC84DRAFT_1147262 MAQVQKGEHLLQRPLYVFDLPEELLSTLTLKIQPNQIPHEEPPQ RPTPASDAGERPENEDGSPAKATSCHLCGLSFATLADQRSHVRSDLHGYNLKQKIKGL KPVGEGDFEKLVGELDESISGSESSESTEDEEEDGSKPKESTLSALLKKQAKIADPEF DELSSNRRQRGAGKPPLLWFTSPLLPDSMSLGVYRAVFTVAEQEEEAHLVESLRRKQI APAIPPKIKSEGGVPLPGSDIGPHYFLCMIGGGHFAAMIISLAPKKGKNHAGVDERSA TVIATKTFHRYTTRRKQGGSQSTSDAARGAAHSAGSSLRRYNEAALTKEVRDLLTSWK TMIDTADLLFIRASGTTSRKTLFDKYEGQVLKNNDPRNRGFPFTTRRATQKELIRAFV ELTRVKQSTIDEAALAALNAPQKEPAAPAPPKPQKPPKPSKEEEEATLHTSQITSIIK RSKVPALLNYLKTNNIPPSFRFVPENFHTPTPLHLAASLNSAPVVLALLTKGGSDPTL MSDDARTPFTLAGDRATRDAFRLARFELGESAFAWDEAGVPSALSKSDLEKREAREKS EKAAEDKAEAERRKAETERLRRESEAEDAKRRETKLGKGRIVGVPEKSAGERREEEVR GLGPEARARMERERRARAAEERMRRFAGAS CC84DRAFT_1165010 MSSKLVPSNPAEVMVIRDIVPRTITTLSVPFARFGRIKVGGRGT IVRLQNGSLAVFSPVALTDEVKQKVAEMGEVKYITALDIEHHIFLGPWHAAYPNAQVL GPEGLPEKRKSQNKEAVPFAHLFSKDKPVTSIDPDFDREFEWEYVPAHTNKEIVFNHK PTRTLIEADLMFNYPSTEQYSKTGLSATSGVLTKIFGMLTNTSGKGQQRAIWYGISSR DRAGFSRSVSKIHQWDFDRIIPCHGDVLESGGKGIFAKVMEWHLELAKKRS CC84DRAFT_797946 MPQEAQISVNERAFIQDALKEQIRLDGRAFDAFRALELTFGDEY GVADVQLGKTRVIARISVDVVTPAPERKFDGVFQIITEFSPMASPAFEVGRPTNAEVV LSRILEKAIRRSNALDTESLCIIAGLKCFALRADVHVIDHDGGLIDASCIAVMAALQH FRRPDVVVEGEKATVLSIRERDPIPLSILHQPLCVTFSYFEEGELFLVDANLAEEQVR DGEVIITMNRHGEVCQIAKYGGATVEPYALFNLTTLALQKVKEMSKFIQTRLDDDAKK RNAGGLLAELSAENDRPFDRPVE CC84DRAFT_796079 MPSRKDGRGRRIHPPPSWRLRPFHDTVQPSLCLHARNTQQRERL PKTDRRGCGSYTLHNHNMTTAHRPTFDPARGKEAARGPAYHQRLLPAHTFLKHRQPGQ GGEAESTKRDLRAELLEAEARHFAKKNGTYVEDDAAADPSSASKRPIEAIEAGEEDDT DEADQKRRRIEMLEKYRDVDADDSDASSESSDDDDDDDEDETAALQRELEKIKKERAE AKAKEDAARAAEEEEQREIDVARGNPLLNKGDFTMKRRWDDDVVFKNQARGTEDKNKK KEFINDMLRSDFHKKFMSKYVR CC84DRAFT_1176776 MAGYGNVNAPDLSPSDLETQHSETRFGRDDSHPYSNPHETYGSG TTGGAGFEPRGNKSASDAPIDTDNSHFRFGSHQDTSPYSGGTRHGSGSTGGAGYGNKT GSFSKTNDSTLGKVIEKVGHVVKNEGLVEKGHKKRVEAGLGRSEGELEGKYEAN CC84DRAFT_1094236 MAGGSTLRGLYALLVALSLLSALCAADAVSDLQAKGRAAVDAEV AKGDITAAEKKAYIAAVLCITKAPSKLPAASYPGAKSRYDDFVAIHMKNTMSIHGTGN FLSWHRYFTFAYEQALRTECGYNGTQPYWDWGRWASSPETSPIFDGSETSMSGQGEKV THNSNGMKPAGNGGGCIASGPFKDMKSYMLTIYSPMAALGDTSPPKNPRSDGYGYNPR CIKRDISGYLTQRDATTAKIAALISGQSTIGNFQNQMQSGTGVHPAGHFTISGDPGSD FYVSPGDPAFWLHHGMVDRVWYIWQTQDFSKRQQVIAGGTSMMGGGKAATLNDGVDLE VLNVDGKKYAIKDLVSTVAGPFCYVYE CC84DRAFT_1165013 MFGKQWTSLCLLAGSFTGALGLGLEEHPDVKAITLRTHSLETPY LDSDMQSRWWDFGGDCIIRTDKYIRLASDKPSRDGWIFSRVPLTATNWEIMVEFKISG QGNLYGDGFAMWLTKQRATQGNVFGAADKFEGLGVFFDTYKNNRPGTVFPYVMAMTGD GSTPYDKDNDGKANELAGCSARGIRNAGIPTKARFTYFADKSLKLDLQYKQEDEWTEC FEIENYKVPPVAYLGFSAETGELSDNHDIISVRTQNLYMKGGSQSSSNTAKKTASSAV RPKESSGSWSWFLFKFVMFGLAVTGAYVGFTVYRARQRDRF CC84DRAFT_1165014 MDSFGGALGGGDPKSQIMRQVQQEAAMQNARMLVEKLNEHCFDR CVPKPGASLSKGEEGCFTACMEKYMSAWNTVSKTYVARIQKESQSGAALSNTF CC84DRAFT_1259941 MARFCDPLPQSEQLSHSPAGKSVWKACLVSLQATSQRCHFGNPN SNLRLKQPDSTNIPRSIPSHTLPKPADVSAHVPAQNYLLPTSQTQMPPPMTKPADLSP RSSSLHTRPSLPYVFFLVKQLVPFTDPVRATQMSFNDATTAHAALLDYVRNNHPYHRS IEPRAGVRRGGGGRVMEGYIAEYEYRDGDWIDEEVVGWVWVERDWRG CC84DRAFT_1093664 MRLQAISDVLNPISPLANLSLSAPHRVLVIGCAYGGLSAVVNLL DCDKGKARQSYYPDAPDFKEKRSRNGVEITVLDERDGYFHSVGAPLAHTVPKHTVNMW KRFSQTNELRSERNLKFKHGSLKRVDPEAKVAEWLDRSGETQHQAYDYLIMATGLKRH WPAVPKSGSYEEYLKDGKAFVSKIVGGDPRKPQEGRRVVIIGAGAVGIEFAGEIKSHY PSINVTLIHSRDQVLSSEPLPSDVKDKVKDILIEEGVDVVTGSRAAITELPSGEFEVK LANGNVLIADFVIDSTRKGEPTTDPLPEACLNKDKEVKVNLDLSFQDTIPNAASHFGV GDVVEWSGIKRAGSAMVMGQTAAHNIYAAILNSEKTHGSSGEQYKPLKLNKWEPVIGI AIGKQCLTWGAEAGMKYGVEVMQGYFGDDLGWAGNLKYIGLTDVEEEKESQKPEAVKM GPVGVQEISAAA CC84DRAFT_1093676 MLFLLGISTLAVAQKIPDRNDLSNYTTGINLPYTSKFSLTKTPT IEANIEGIKFTLPVDTASTGLLIGAPQLPSIANNTGIPTYQYLAKTIYTGRFVRLNIT FPGAKSTSARSEIPILIVDKSVTCPKYNTARNTGKCDDKAAPANDVSKVLILGIGFGF NLPNSGLPYAIPSHNPFLNIKEVNGAATTDKTFRSGYTVSTKGVYLGLTSANTKGYNW VKLDKGATGDSRDWAKVKTQFRVDGSAPYNGTAVVDTSTGYMYVQAEPVGSIPNTTVA DTIHKPHDKNKKMKVVKPGTHLEFGFPVNKNAEGVAGFDFKVGEKKKGVPEWVFPTRA GKEPHVVAGRGLLEGFSVAFDAAGGRFGFKCMVCGEEKASETKKVKASRKITTWRYIA AKKASA CC84DRAFT_1218022 MFGSINLVSILLLVSSAAALPAELDKRAAAKCGSVSYTAAAVNA ASQKACSYYQAGTQVGSNSYPHTFNNNEGFSFAVSGPYLEFPILSSGALYTGGSPGAD RVVINTSCKQAGAITHTGASGNNFVKCT CC84DRAFT_1218023 MANSTSTTLVRVDRVSPGYDPAAFYRELVYDKEHSIKEIEMIYG ESYGGYGITYTMYCFVDSYANAQRLAQTLAGRRHGKSHLSSKMRLSDFLRDEKAMPKT KTVRFDGLPDARRHAIVCELFNESSFALVVDELDPNDWEKSADQYIEHVENLYDSVLV RFKNVQSAWSIVRRYNGTCYKNDTIYLTCVDDDELNGILEEKKAKDKNVMYFIPKIKP GASRQEIDKVFAPHVPDDVQMPPGKHYAFVFMRPNAAAEFMETYTKAIAEDKYKKFRR VGWNWQVNLGRKGNKANRGSAAPSAEFKAVPASGRIDTETYGGYSNLPMKVDVLVNKE SLPTPAPATTPAPIQGHVEIRVSGLPYAATEENVHRLFENAQFQVQGTKLDNTYKGNA HAIVKLDSPAEAQRAISTLTGRNLVGRKGPKNLKCKITVLMEST CC84DRAFT_1187610 MKLLSFLPLFAAAAALPAANHLAPRASISKVDGLKFNIDGVTKY YAGTNSYWIPFLTNDNDVDVIMGHLQTSGLKILRVWGFNDVTTVPGSGTVYFQSFSGS TATINTGANGLQRLDAVVKAAEKHSIKLIINFVNNWTDYGGMAAYFSACGVSSNAQWY TTAKCQSFYQAYIKAVISRYRTSTAVFAWELANEPRCNGCQTSVLTNWIRQTSNYIRS LDSDHMIAIGDEGFGLSGDGSYPYQFSEGLDFAANLALPNVSFGTFHLYPSSWGTTNS WGNAWITAHAKVCAQLKKPCLFEEYGVSNAADHCPVESEWQKTSLAAKDSGMAADLFW QLGDTVKSTGQQTHNDGHTIYYGSSDWTCLVTNHVKQIG CC84DRAFT_798165 MPSKKARKAVVNTKKNKKAGIPSSKFNATALVRVLNVPRGMSPL FLYREFLGEDGFGTTILDIEICRGFPSGSRTASTYIFISSASAAQSVAKKLKGISGRI KGDLDIRNARLSLKVEVLDDVSEAADKYVYQGLSDYLDAEGQEYVCFCCCCTRCCAGQ STKKSARKTQLGLWAGETGLPSGVAKMNMWNV CC84DRAFT_1218026 MHPLATVSVCLAIILTAIAAGKPPNIETATLIVDPPAGVAATPT TAQEPNLISANMAPILSDTLEPHTPPSNACGQAVQMSFFGSTWILSNACHPFTKKGDI AALVVEFINEGYTNRKSCAFGL CC84DRAFT_798141 MGEPRARQRKFAPRSRQGCLTCRARRKRCDGQRPHCQNCTRLNL SCEYQAPRRITADAASSQDSESSPDSSLTVGPLTQSLDPWDTLPGDEIGERKHLLRYY VEAFVPSVSVATTATGSFYTGLYIPWAFECDGMLDAILALSSAQLARRSASTDRAQHL RAVSARHQRKCHAFLADRVPETGGPPRDAYQVIGIILLLVGLEALNGDKSTRWLSQMK CVRGILQMLGDPGVSWELHSLRRHFTYHNAMASLMARVSKNESLFVYDDLTLACEPDT PLVVDPLMGITYYLCSLISRIQYVSSTNPAFPQISEAAFAVIERDIQQWTYGSPIGLP GLDLPQALDLIALAEAYRLAALIQLYRTSAAHKVLISSCASRAMEFISRIPPGSPAES SLLYPIFLAGAELDNEPEISKCFKRLAEIQQRNCYENTSNVQKVLEEVWRPALNGEQK RDWEDVLQEWGWSFTLG CC84DRAFT_1165018 MDPPLCRVHSAPPPYSILEPSTIPPSPNKKPTQSSHTRPHFQEH YQKSRPESKTAPPLPCTTPQELAAQKRRTG CC84DRAFT_798282 MLKPHRQDLFTQGHFSCSPRTKNGRQSSGPRAPYERAIPIRPFR SFHQPTPLYQRELGPTTWPGCDILRPVWRSYSSPDPSYSEPAGTAWRPETVEWPSEHG NTSCRPAVQQHNQAFGDAEIFVNWCSPNALFWYDSRSGLSAARCVRCRDCGLVQAIAI RAASTPRSHVSHQRNLIGPSLGLSTHRCFHTRCSRGVLPNWQEPLSFSLPCSIRAGVS RQAGTRIHGDLLMTYPLGDTDVRAM CC84DRAFT_1094171 MDFKYSDVLDSGTYRDDGLANGIPFRIHKDPYSEIAGSLRAQKD WNSTVSPVYDYQGGLGEPYSFIRATIPECIPERLEIISYANEYAFLYDDEMENLDLKN FKEGRDDMLHVFRDDALNEKVHGTVRPEKKLQAQILAEMMAIDRARAITTMKAWAQFV ELASRTRSQPFETLDEYLPSRAIDAGELFWYGMLTFAMALTIPANELDLCMKLARPGY AAISLTNDLYSWRKEREDADKAGQDYVFNAVWVVMQERKCTESTAIKICQEEIKRHLS EFEENIESPNTKTLSRDAQAYLHAVRLSHVGNLVWSIYCPRYHRGAYVPTTLQRKADE LIPFSDKRLALPTQFGNVASRFFDTIFKFFISPFHQVFHTSGRRLLIQRLRGLVTKS CC84DRAFT_1093855 MLNGRSTGRFELFSTARHHLGYFKNVACAATYTVASAVKHDVLE SLIWGALHKVIARHSMLSAIILNEHKQDSEVYFARLPSIDLRTCVEFLERQSKVAVGD RDEELELLLQDQHRINFREDIGSKPFWRLVILHHPEDQSTFTAVWFFHHALGDGGCGP IFHRTLLSALDMSNPTDAVNPIVKIPTTAILPKFEDLHPHPISWAFFLRAILGSILPS IFAPRAPKLWTGPPVIAPTPLPGTRVQLLPISAPTTTNLLKLSRENGTTLQATLECCI ATALFSVLPAEAYDKVNASGPISMRSLIRHEGQPVGDDEFILALAEYTHMHDRTSHPL SSEKFPWEEARAVRSTIQTEVSKQGADNVVSLLRYVSDIHKYFTEKVGQERAVSFELS NLGLIKAGTGAGDGWTLGRCVFSQSPHITGPPIVCSAVTGGDGCCVLTFGWLDGVVDD EMARAVVESVEKLIQGLVGGGS CC84DRAFT_1165021 MAPDFPSLPSELLLLILEYIHTLPNATPSLASLCLTSQRIRSLA EPFLYASYSNANQIDKPHIFPLSLISRPDLADHVREINIRVEGGVNPMPEDTFRHLRK AIDELDVPESLLDYWKKLLASIGIFRAAACAELSLLLASRKVQNISLQLSSLHEFSLI SHILFETPNWPGRFDQVHSVSVVSLPGTFDLHLYSLAYMFSMPSLRRFEITGCHERSL GRSLGWNSKGDLQMDLGWWRRVQDSGVESIIIRKGGVPHYAVNVLLNCCKALKCLHVE VDLPWSEWALFQFFRLEDALCRHAKSLEYLAISQDQDNRKRQEEPGFRHSGILSFLPK LCKLKSAVAPLRALTAIPEVGSIEIVHSEDGSTGEFFDDAEIRKYLPPFPGKISICNE EVHYGATTHLLELA CC84DRAFT_1218030 MSLSSNSSDHLRRVRDDIPVRLWIVASISFWEKAAFWGLTAPWQ NYMQHPARLTHEETPGALGLGQVKATRIYCAFYIAYYVSPILFAVLSDSRLGRYRTLF VCLILFNLGCAAMTFSSLPSSLAAGWGLPGLIIAMICVALGGGGFESNMAAFLADQYA ETDPRIKVLSSGEEVVTDRVLTIEYIYSLNYWLGNVGSLSWFAVVALEEHVSFAAAYG LCLGFVFISLLTLLAGRGYFLRVPHESKIFTQASQILLCACRSGFRLTRTEPEYQLEH HRKVVPWSGQLVHELRRALQGCRVLLAFIVFYICFDQMQNNLISQSAQMETSGTPNDM LPAMNQVGCILFTPLIHHIIYPLLHKRHVYLKPITRITIGFGFVVSSMAYAAIVQNVI YSSGPCYDHPRACSSVANREPNLVNVWIQAPVFFLIAMGEVWAYVTALEIAYNHAPRN MKSMIQAIFPLMAGIGSASAMGLTTFAHDPNLVIFYALLAGGMAVTTVVFWLVFRKHD RNDAGDKSDAHVDIGDTPSTRRSERTSMIADFIPEPEHVDMELGLISLPYANSGLVSP EQSNLTSKTLVSQKHGIGVEVNGSHFGPGASTDEPVPGQQAPALVPEAAHPIQLPPSP PRSPSKSTRKLQKRQPRFSMVS CC84DRAFT_1121116 MSPSIPLDTFNHQIMHSRVGSPQHSDVKADPGLSSRMRATLKAL APTPHDVASQAFAHDSTTIDLSTAQSELIRPELLEFLKTLVEDKMTEKTFAAPAGDGG DASTRESLASFFNKSFNPIHTVKPEHIVLTAGASDALESVIHAICDEGDSVIVPGPYW FGFERITRTRQNVNIVVARPPTYQNFDNYLLPSIQAAYDFTADKSRIKAVLICNPNNP TSRCYTRKSLIECMEFCQERGLHFISDEIYALTALNDTPPNSAKFVSALSLTEPLVPE GAVKIDPSRVHVIWAASKLFGSSGFRIGCLISQQNPKLLSALSLLTYWHANTLASLYL SHLLTWPQLPTLIALNSERLTASYRLLATALQQLDVSFVTPTDGIFVFAKLAKHAQNI EDEQDFFHRLALQGILLGPGNVYKGVERDFGWTRVRFSIPVKVMEVALERITTFVTME G CC84DRAFT_798373 MASRARLTSAFTRPSWYPFRVPPAAYQQPIRYQSRQSLLHSQYS SRLFRRNYSKKRSTNSHPDPTPHLGSPEPQSFTARLKKLSREYGWTVVGVYLALTVAD LPLCFLAVKYVGAERIDHVEHVVVGGAKDLIGRYFPNLFDKGGESDSEIEAAQAEQEE QSGGPTFWTKLGLVFLVHKSFIFIRVPLTAAVTPKVVKTLRGWGYDIGKRKPKRK CC84DRAFT_1147301 MEETGAGEEHREFKTFRVKELHPTFGAEIEGVNFPSPSEEQFQE ILAAMAKYGVCVFRKTGMDDTAHVEFSRRMGELDDIRPYITAGRKMRYKYYELFDAGN VDEDGNVIDPNSPKAQYQRGNTLFHVDSSFNPRRASFSLLRAYELPPPGHGGNTDFAD SRTAFDELPEPLKSELLEKDYVAAHCMAHSRKVAAPEFFKDLDVTTQPMHLHRIAQKH EPSGRMNLYIAAHAHHVEGLDAARSDALLKQLLDHTTQEKYRTSIPWENQTDMIIWDN TCVLHRAGSGTFAGKFKRDLRRTTVHDASSTAWGLNDPNISRRPGFSLGVSNQGPQPV AT CC84DRAFT_1246722 MATGSKLDAVQIEDTSQKEHTVDESRLDRNIHLNDAIRAETDRD EKRVRKIIRRVDFRMVPILGLLYMWALIDRVNLPNIQIAGMGKELETTKGNRYTLVTM IFFVTYILFDYPSNIALRKLGAARWLGFIGTAWGCLTIAMGFVQSWKTLLVCRVIFGA LEAGMAPGCIYLLGAWYTRYEVQKRFAAWACIGVFGSGISSALVYGITTIGRVGGLAA WRWIFIIEGIISAAIGILAGFILIDFPDRATRPGIFSKKGFLTPEEAAIVLARVERDR ADAVPEPLNRRNVSRALQDWKMWQFPFLLFCNNLTVYSFSYFLPIILHDSMGYSTKMT YFLTIPPYVCAAIWMFAIGCLNDRLKVRGPTIIAQSLIITLGICIMAFASNAGARYFG VFLAVGGTNSNIPTIYGYQHNNLTGQTKRALATAMLLMGGGCGGIAASFAFQSKDAPD YIPGMITAITSQVVTVVLVSLNWLYFLHRNNKAEKGEVVLEQTPGFRYTY CC84DRAFT_1176795 MSKTYKSLVADLTLARICRSPPRPTWNYTSTSNAPVKEASVKDR IHALFAPIRHSLTDDYTDASGKTFGLNQLPRWREPLKGKVLIVDIDTREPNGNNEMLN PNPMNWENLQMGGGQLVSGAIMGHYLYSQIHEYDYAFFQAHRMEGYHDTWILPGALRQ LIEADKYQWIITMDADVTITHPEVPLEWLLNHWGATNNTSILMPIDQKVFDNDIINSV DSKGFTVLNTGVVVIQNLPYTKDILDAWIECPHEGRYQGCGQWKDKWSHEQRVFSEYI RYDFNPQGDNIIAIPCDDAMGYPNMMNEYQGMILNDCNGNFFRHHTLNKAKAKQSLDV SIMQLLTQLIHSSFFDQKEYLWYKEPA CC84DRAFT_1246725 MAMTTSSAPSLQVDLAGLSQLIFNVGSHGLKQLATAGVDIHSIG CMLMIAEYTPASQEFRKKINYARNAQRSERLWLYKVVEIGASTNFLADQLLKTRAGEN VLALMAAITPVMDEETCTVALNALFEEAKVSLDNIPGLGQLRSLRTDLVPLSRRVGFG EKVFNYHKFLWSIHPDHSSTMPKEGPYSAIPLAKDIASIIRMLHKVVSTEEPYIFICW GFRGAAWITSYGSEVLGLKVCALQADRTPIPVTGSYEDAKILIELSSNKSSCGLYLKK TLEEQFELSKERPENQRGWSVDCAIVHFLEYRLSKITQSESLPRISAFVAIETLNQVS TLASAISSWTENRMAFQNANMTGFVTYAESELRHIQARSLRILRQLGFLPGDIESYKF SVSKGCPTYICHGHMSDPPVLKVESDIEDTYYDYELKKTVIRTYDSLHGQYMVSGARF GLTITDRLRYYIADPIIYELGEVPPGSKSSFDHGFLKLRLDKQQEVSETIRIASHFAS YLAFSDWDVTIRQMSVSFLQFGQKQERRDERECFEAHMSSAIPLCADNLDLSTLENKL WSADWLALDLDGLIVHRNTARNFSIHDLRGKFLGFTAGRIVSEGETCSKVRSDRVGLS YQDFASEVSGAKIAACVPRLKFRGLGVRTLLSTVKDTLFVRLEGFLDSKPILVGDASL VGQKLPDAFVSVPCKHGYHEPTTIQTLQDNAGTVPIDLVDVIEGLIFDTGNSRMYKTK LHLYYQLVDSSPLGQWLACHWTSENKRAFIIVQKDCCFGCIVQRTMRLYDIIEDSGYP LPAEGLDLLIYGLTILAATSTQSAVYKIFRKSIETQSQWSALALT CC84DRAFT_798407 MSFTRRRSSLEAPLSPSIIPDLALLPKPLNNKTISVGQLVSRTS KHDPSTLEHRDYDDVGSKWYKDVISFDGKSGRFIESLGGAYMVQKQPGEGTEVGTIEA ESQHVRLLKDADAALEKVLRDDKAQAWLKENQEAGFVVAIREVLNASYKRARLVDIGA GNYEVRREVGHEGQGGKRRDSGLDVPETHTKTDIVGVVVREIVKNNGEYSLGDELEAT FWE CC84DRAFT_1187619 MPSQSPFQIDIPATDLLTYLFPPSKPASDKPIWIDADDTNNALS PRQLLQWVKRLGSGLQKLGLNRQDVVMMYSTNHIFVPVAYLGTAGAGLIYSGCNPAYG VEEVVYQIKNTECKVILVEPALLQTLLKAAGKTGFRTDRIFLFSDEEAASSKGVRDWR SFLPSASEAESWNWDQMDSDQSRTTVAALNYSSGTTGLPKGVMISHQNVIANTEQSLF MRDLEQPYSRSACSRPEERWLGFLPLYHAYGQLWSIVAAARTLSPCFFMRSFNYSTFL KNIQNHRVTHIQTAPPVLVMLAKRPETREFDLSSLKNILCGAAPLSKELQNEVSKRFA LKVVQTWGMTEVTCSSLHVPGGRDDRSGSVGYIDPNCSMKLIDEDGKEVGPGQRGEIH VKGPNVCMGYWRNERATAETFDDEGFLKTGDVAVRNEEGWFWIVDRKKELIKVKGFQV APAELEALLLEHEHVADAAVCALQLDHEELPRAYVALKPEHKSASSEWDIEKWIAGRV AKHKQLSGGVVFIDEVPKSPSGKIQRKVLREWAKNDAENFIDRREGARL CC84DRAFT_1218038 MAQDRIRNIAIVGATGQLGKHITGALLKNPSFKVTALTRGTDSS SFPAGIAVIPVDYTSPSTLTSALKGQDALIITLAVSAPPDTQSKLIKAAAEARVPWVL PNEYGNNTDASASNDVRTGPPKQTARKLVEDLGVSSWLGIVSGFWYEYSLSGPGLYGI DIAKREVVLFDEGTQRVNTSTWAQVGRAVASLLALPVDAEKDGEAALGAYRNRFVNIS SFAVNQREMLDAVNRVMGLGDADWKIERIGAKQRFDDARRMMAEGNRMGFAYMLYTRY FFPGEDAALYEKTAGLDNQKLGLPVEDLDEATRLAVKMAEDGYFSKLSYAR CC84DRAFT_798835 MAPREVTVSPKTAMPKDYAFLKKGIQYKTLHSRRLTHEAGKTVY VVESNKKIIGIRVPKDILSRVHAQANETLAARKLATAKRDTATIRQAAAELDSQFSKI PEHDRELVLKHGFKKHSGRVGRTRMIPLSRKVPYAVIAHVRHRHTNYDRLLDSGMSRD AARKKIQKKLQDTLRQWGNKQGRRSSSK CC84DRAFT_1165026 MSLRIPQVPEKIPEKILEISPLRRADTLGLDDPSSAYIAYNDKY IIVFDFSSLDVSEASKQLSALLNDLESVGLQTEVRAGYDESLLVFVQAPREVLGNTVH HSRVKDWLYGITKKHPGGNSNSIVAGANEAEDLQSLYHLVTWSKELGGAGITPGFGKW ENIPSAFPLHNPVRNQKLLVHLSKKFFLTSDDLDQIRDLWGSKVAFYFAFIHDYFLSL AFPCVAGVLAWAFLPKYSLAFAVVICIWCTVFLEYWKIREVDLSIRWNVRGVGKLKVN RPQYQYEKEVVDDAGRVQHYFPRWKRIVRQLAVVPFLVLSTIFLTIVIGTVFALETFI VEGYDGPYDYYLEYVPTVMLALLLPFINNWLEKLAAQLTEYENHRTEDYYEMSLTQKI FVLQSISNYLPIFLTAFVYVPFGETFIPHLRTYILSIAGVAADPNFVFHVDPNKLRNE VITFTVTGQVSSAIEELALPYLKTNARDWWRTYRTSHTFVGGQTRFVKGDDPVEARFL RRVRRQAALAHYDVHEDISEMVLQFGYLALFSPVWPLIPIGFFINNWFELRADIIKIT IEHQRPAPVRNDGIGPWVASLEALTWLGSLTSAAIVHLFGFRNSTSYGLNTWWSLPIT IFASEHIFLGFRAGVRWALHAIGSEHIRKERSERYAERKKHLDELEASSAKRGHLDVV ERERRKSVRMTAADVFWTRQVEEGASMRAGLGMIRASKGSDFDEPMKEE CC84DRAFT_1259958 MKFSVIALLSASALVSAAPVAEPALDERQAAQSIHEAMVAKGKK YFGTCADQGRLNTGSNSAIIKANFGQVTPENSMKWDATESSKGNFNFGQADQLVSFAT TNNKLIRGHTTVWHSQLPGWVSSIRDKATLTQVMQNHITTLLTHFKGKVYAWDVINEM LNEDGSFRSSVFYNVLGEDFVRIAFETAKAADPDAKRYINDYNLDQASYAKTQGMVRN VKKWIAAGIPIDGIGSQGHLTSGQGANAPAAMAALCGAASECALTEVDIQNASGSDWT NVVNACMQQKNCVGITVWGVRDNDSWRPQGNPLLFDSSYKAKSAYTTVLNAINAASSS CC84DRAFT_1092776 MVASFPQRKIGGTSVSALGLGCMGMSMSALTGEKRDDEEIFNTL TTAADMGITFWDTSDFYLDNEEILGNWFSKTGRRKEIFLATKFGCKHGPGGLGDVQIS GSPEYVRESIEKSLKTLGTDYIDLYYVHRIDPRTPIEKTVEVLAELKLAGKIRHIGLS ECSSRTLERASKVAKIDAVQMEFSPFAMEIEDTGFKDVARKLGVTIVPYSPLGRGFLS GAIKSRADFGPNDPRPRMHPRFSEENFPGNLKLVQIFEDIAKEKGVATGQVALAWVLA QGDDFIPIPGTKRVKYLLENAGAVKVNFTDEDEKRVRSGLDAIGGAKGARYPEANLAG CFGDSPELGSV CC84DRAFT_1218043 MVNFTRLTCLLAIASLTSSQSLSSSAGSSKPSSATSRRSGIVKG SNRPVTSGVAAPTGGQTNSTSAPPDSLLPPLSLLDSKLRIRQIQHPQVETRVGPPLSG PVPGGTAAPLPSSDAPSATRRFTGISRRQQASSNASQGSSLPAKPSNPRPSGPPSSGV PSADPDVQEQKFSKLPPNFDSIARATPRATKAIAQFV CC84DRAFT_1259960 MAASDAAVKRPSIEDVSLPTSKAPSTTTVPRKWYRSSFFNAFVI GGVGFLAPGLWNAMNDLGAAGALDPYLVNAANALIFGIMGFLCLFGAPIANRIGLAWT LFLAGLYTNNRYGNVWFVLVGAVACGVSAGLFWVSEGTVALGYPEPGKRGKYMNIWLW FRTGGPMVGGAIVLALNHSASAKKKGKVGYQAYLVFIALQCIACPLALALSPPDKVQR SDGSKVIIKAEKSFKDEVKALWRTSKRKDVLMLLPVFYAAYFNQYSGNFKTYYFGVRA RALIGFVGNFGTLLSSQLISMFLDYKKLSVKQRITFGFYYVIALHILAWTYGWVIQEK YTRNPPAMDWEDSGFTEGFFVIILWDFARQSLQNWLYYLLATKTDNISELSRFSGILR GQESFGQAVSFGLNTKKWKGGRVPLAVNTILLGLAVYPTWLVVKDHVPVEAADGIAEE NMIEQAPDLEAKKTFAKGEAAGSGNL CC84DRAFT_1165027 MSSGKTVTLNTGHKIPQLGYGTWQAAPGEVGNGVYEALKIGYRH LDLAKIYENQKEVAQGIKRAFKEFNIKREDVFITSKLWNTQHDPKTVEAALDDTLAEL ELEYLDLYLIHWPVNFGPGKDPHSDLFPATSDPNEVNIDNSIPNSETWKAVHALPKSK ARSTGVSNFTAKSLKELVDKTGLVPAVNQIERHPILPSDELIAYAKEKNIHITAYSAF GNNFFDIPLLITRPEIKAIAEKKGATPAQVILAWSQIGGHSVIPKSVTASRIAENFKE IELDEEDVKAIKKFSDEHQGRRRYNVPYTANKPRWSINIFDEPEEQEAPNKYII CC84DRAFT_1165029 MEQMLPVPSPVSSYWLSQPHKFSNLRSTTELPTQCEVAIIGSGM AGITTAYHLFNQKQPPSSVVIIEARELCSGATARNGGHSKIKLSTLASQVSNLGQSGV DELQKFVQGVIASLKQVAEDEELDCELEIRRSFDVQLDGEDAASLKDIFQASRKAGHT WTKDVGFALPRFLEQVTSIKGAKAAESVPCCSLWPYKFVAQLLERLVARYPGQLNVQT TTPVTAVSVLADGTNAITTSRGVLKAKKLVFATNAYTAGLLPQFKDVIVPVRGMASHI VPKVPVHPHLSHTYNITFSSGQAADYLNPRPDGSIVVGGGGSMFKSDRPSWFNNFDDS TRFSSEVETYWDNYMQRVFLGWENSEAEAEKVWTGIMGSTPDGWPHIGRVPGRESQWV LAGFNGGGMSFIPTGAKAVAKMVAEDSDLVDVKDEFGIPDIFATSTERLRKAFTETR CC84DRAFT_1259963 MDHWGDPWADDADTDTHTHTTSAIAPPAKVADAKPRENATSSPG LRPQPILLNGFEDEAGWGGNAWATTPSPRKDAETEREFGGDAVKKIRWDAQDDAVEGT GVEEGFSAWAAPTEEDASEDGGANGVENASSWDSHTGHEDRARHDSLEHKAGNEWSRI EEVVEGSKGVEHGVSETSDSATTIHADDTPAQIKAEITGELPREDDASTRSSESHSDG SHNEAATESPRTSVEEERAAVKSAEAQHDDLEGSEETDLGTEHHQILEQDVDDDFGDF EDEVVQEAAEAQLDVEATSDQPASLSKQSEQDMVSDGEEASAIRFPTGPIGNVVLDSK LLAELFPPVKNSPQVGEALDDPVSSTSTRKAWYRITRKQTMREYNSGAVDDNYIRVTW KTSHIKSEAVKTVARWANEDRIAGRGPGARASFFWDSPHSPTDKRASFASLHSRKTST ASVSKPVRPVSQALPPLSTDVSAAFDWSSPSSATRTAPDNSGLRSTSSPIVAKHSAIT KLQRQSGRAVSMDLSSSPRETASHRRTSTATEIRNGPPTATPSITPIEAPPRVSFDPW NSTATPSPIIPVSEPPTEQLDHWANLGALDTGAPPKAPSDAPDDDGDEWGEMVESPVV STVQTPISRVHTPVAECSEPPTRNGTVSATSTTPVSARSSPLQPLPPPTAPIHASPIV RLKGTVSPTSAIFGAKALVPTGSEERIGPHLLKKRDRSRESTPEMARAMPVNLPSMDE TLNRAFVEGILNTDDETTKSVMAADPASPPSPDTTPHIHEHNDSTTINSTSYPPPPSD EPNWGDSADFSIFESALPPSTSFAPPPSQPAVEEPWSIFNSPLPAAPFVRPTARPVTP PAKQPLTSATSSAQRRKAEEDDVVRAIVEGLPDLGYMLRK CC84DRAFT_1093034 MKFQALLAALAATLLDEASAKAFRQRRAEDLASRIEGETTIALQ GVLNNFGPDGSEAPGASAGVLIASPSTDNPNYYYTWTRDAALTLKMVVDEFLHGKGDL QPYIRDYVKAQAIVQTISNPSGALGSGRGLGEPKYYANLTRFNGDWGRPQRDGPALRA TALITYSRYLLSTGIDSDGTEADNIWPIIQNDLNYVAQYWNQTGFDLWEEVDGSSFFT TAAQYRALIEGATLGQRLQQDVSAYESQAPNVFCFLQTFWNGNYAVANINVKQSGFSR SGIDANTVLTSIALFDPDAVCDDTLFQPCSSRALANLKQYIDAFRTIYTINQGVSESG AGVATGRYAEDVYFNGNPWYLTTLAVAEQLYDAIQQWSTVNTISIDDESLAFWQSVYP SAQPGSYTKGGSSNFTKLTDAVLTYADGFVETALKYTPENGALSEQYSRENGTSLSAH DLTWSYASFITMRAARLAATSDYSQVSSWGSPSKLEVPTVCTPSSVKGTYKPAVAAGA PSDAPSCTYLVAFNLNATTFYGENIYLFGSSSDLGDWRVSDALAGSAASYSDARPLWT FTVELPAGADLEYKFLRKEPSGEVLYEVENRSLEVPECGDESPGEQTVEDSWNGPVGN QME CC84DRAFT_1121146 MPSAIPEATELKDLLSLKGKVVVVTGASGPTGIGIEAARGCAEF GADLAITYNSRSAGAEKNAEELSQKYGVKVKAYKCQVGDYANVEKLVQDVIKDFGKID VFIANAGKTADNGILDASVEQWNEVIQTDLTGSYNCARAVGLHFRERKTGSLILTASM SGHIANYPQEQTSYNVAKAGCIHMARSLANEWRDFARVNSISPGYIDTGLSDFVPQDI QKLWHSMIPMGRDAKAKELKGAFLYFASDASSYTTGADLLIDGGYTTR CC84DRAFT_1093496 MVLHGLLPKPLPSNDVVVGQLLTHPLHPERDGFYSQKAHEAVDE LNDYHIQLRYKDVFAADAEGRFMSSYGSKYELGRIYRQPNLLTVTAEQMIQRTSQRPR DAFNAVCNDPEAQQWIYNLAKQQQDFYVVVGITELHKAVFKRAKLRDAGASRSLHEAP LDKDARVPRALSRRNSTLGGLGSEPSISGVFGMDVRRCTARISTPAEPHKLEDIGYHW FYYDVSGSANKEQLIVGLGEPLKANELRLMLDLNEETVQGNLDAISQLSLDALSAAAS PMLKPSSPALRGRSPSPHPQLKGIAQ CC84DRAFT_1218051 MADNSAQEPEYSNALIPESDDSDIDSAIGGLTYASSTTSARSDV YTSVEEFGRTYHGYKSGKYLLPNDEKERDRLDLQHMLFTISCDGALALAPIGNPKRVL DIATGTGIWAMDFAEQYPDARVTGTDLSPIQPSYVPTNCQFEIADAEDEWNYSRPFDY IHGRALLTCFADPRSIIQKAYDNLAPGGYLELQDGLFPFQFMDPQPPPENPLRSFLET VAECGSKIGRPWNNVQHYKRWFEEIGFEAVEEKRLYWPCGPWAKGDKMKKLGVYFLED LKHAFEPVAMKMFVKILGWTEERTKTYMAEEVMPLLGARKTYMYETVCFTYGRKPLNP PPEQSVDQS CC84DRAFT_1121156 MEIPDLVQQLHLTFADNIIGPASDNSLYDYELRFTPNASIGHMH DWYPLNKTYYNDLQRLNIESSKLKIPFLHWAECLHGVGSFEQSMFPQALALSNSWDTE LVHRVGRAIAAEARSIGVHACLAPVLDLCKDPRWGRCQEDWGEDKVLTSHMGVAFASG LSKNGSWAESDAVAPVMKHFAAHGSPQGGSNGAPFMGHGNREVLEEHLLPFKSVVDKG GVRGVMMAYHELDDVPSHVNPMLYKQLDEWKFDGFVTADDTGMKQLQTGHKVADSPAD AIGQYYKAGGMVQFYDYPLYELLNATRGLIANGTVEEDLLREKVRKVLGVKYDLGLFD NPYIPDDVDPYQLTISHIPLTLESAQKSMVLLENRNKTLPLRNTSSETIALIGPFGDI LNYGDYSGQYGMAPVANSTTLRQGILTHLEGTNGKLVSSMGANTWLYNAHYPIPPYHL SAKGSSGGLQATYYADTNFSEPTVQRIETPVGSWGLYPPSGLSSNNFSVIWEGELDPA VNGDVDGWLGVAVGANTTARIFIDGHLHVDVPLTTAGNILSNIPDRSYSINNSTQAPP GSEPFTFKEGQTYHIRLEYQTWNLYQKIENLGSLNSQVYLFWNLVDRTDPVRKSVALA RTADVIVLTLGGAWNSDGESGDRATMNFAANQTALAEAIFALDKPVVLVLHGGRPFAV PEFYARAAAVVDANFPGQNGGQAIADVLFGTTNPGGRLTVSVPVHVGQLPVYYNYKQT AKKKWYTDIESLPYYPFGYGLSYTSFNVSNYRGQTARGSTSNFTATDSLVFEAEVTNT GDMAGSYVAQVYLLQRVSAISQPLKQLVAFQRVYVGAGETVTARMEVEVERYLRMLDR GYEWVVEKGTYTFAM CC84DRAFT_1121159 MKVLLIGATGNVGLRLVSALLTHGHNVVAHVRSVQKLESLLPES VYRQLSVIEGSAFDANSIKIAILDNNCDAVVNSAGLAAVTPWGKSDLPRIVAAVVEGA RQAGAERKKPLRVWFLGGMGALQFPEANSLLSDHVPILLDHCRNLALLKSLPPNTLDW SMLCPSNMDPESSDFSVPTKSSHAKLTSSAQTPPLWKDSWLKHIPLIGKIIVIVMNIT RYGTTLEQNADFIATDLETLDSPYIGTQVGIIDASK CC84DRAFT_1196733 MANISPPSTSTWLLLAARVLLAVIFRLLTTPIRWFTDSGAATFF EDIFFTVFRALLRHASFAVSRAIFKPTTEAYGELCRKTGREARSLAVQGKRREIEGLK AHWIGEEAAEVVVLYLHGGGYTQPASPDHLTYLDGLTKDINIGTGSTSSISFLVLAYS LAPEQAVYPTQLRQAAATLDHLVNVSGRSPSSIMLSGDSAGGALALALLSHILHPKAG VPRVEVQVPLRGVMLFSPWVSFSTAFNSYERNAESDTLSAYILKKWATMYLGEMDADS KHQVTWDVKSNDVYAEAYLADPQWWSGLNHVVESMLVWVGGKELLHDPVTEFVSKLKK GWKRRDEAHIGPILNVSLGNKTKRGSQLAIEAWLMERFNAVSNKSPAVRLRTAIAP CC84DRAFT_1165036 MATSATFPGAVETLSYQGDSGGTYNATLNREAFTLIAQNVTCAY PISDIYAPASRYLFYVLVVLTFCSIRIRWLSHVFFGAVVAYAACAAINAFIIIANQPP IQDAQNVTIPYISPNSNWTTGPDAINALVTNATTVTVKPDAVELDIDPITAIVVTACL VGLPLQIWSRTMRSSLIIRYMILLWNMTMLAASVCALLAWSSTNLAAPQYRFCFAGVL DPDAQLSDGWDPKYWEGSWNATIANIFEHPQTTWQELSNNCFYPCWNTSQVIRQRTSL KSVVSTRHTKFAKLHNPARDNGDDLLALLIYIAVWGFALAQIFLYLLSVLRLGSKELR ATIHEPHHLWRKKGLVWRQLKADWGRSWVTLRGIGRMPLHLSREVRVREERPRLHDLV PVLRLLTDVTALIILVAVFLLSPCIVVAFICWIEWYIRNDGSTNESINQVGQWAPLVS VAVVLLASTVYHVLKDPLANAHEIRTEIEQKEASLQKLREKLEMMGGAEDVEIGTPSE PLSRTVSRYERRTCSV CC84DRAFT_1218055 MLTTSVVTIALSTMGLAQAAVPAGYNKVYLQSMVDTKFVVQAKA TTSGSTVVVNTVNNKADQHWLLTSNTSKIYLANSDPPTLCLDAGAKTAWKDMANVYVN TCSDTAPGQNWTVMADGRIAVTGSSPAECLDLQYMRATANNPVGLYQCAGLNNSGAKD KGINWPQKNVTTTP CC84DRAFT_1218056 MASDENDPRRNRSRDRDQFDEHNPFIAFRRFADSHVSSLLNTVF TLPATIANYKNVHVAREHCLFGRADSAKCEQLHELEEETARVIAECRELYRAGNVEQA LDRGEALLQLNHAADELRKQIVEGGQGSSPAERRAGLIPEARDGNSRTELVERVANEK GQQWGWSWDWGFPKPFDADEDIADRRDRCRRWRRRREESMLTNTQAAEQRDAAEDRSM FPDQQSWVEQQRFLHQLDEALLPIFQELFRRESSETADVYDMAGNSNVAAELVRVGVP RDAFEDLLRSQNGYPLMPQEKLGQSDHLLHENWCRRFFDRTDRGFVGRPSRPEYPKRV PWEGEETAEEPNYEYAHDHEDQHDEPPSPKTKQGGWSSGMPETEMEAYERLLGPTPAF GSDAQTEGRPSVLSTLTTTERTVHPDGTVTTKVVLKKRFNDGREESSETVHTERGQDS AGEPSDSPSSVWEAAAQHKPQEQARKDNDKKTGWFWSS CC84DRAFT_1206259 MMSVRSFFAVALALPLALAHQHHIRSDPSTLAQTPLAINGIPPS TRAHWMRKANEALQDVHGDPCPFGAFGAVIVNHTAPGLGELVCIGANSNRQKGDPTLH GEIAAIQNCSAVLTSPWGPYNLSTTAALAAFTQLSLYTNAESCPMCASAIRWAGFKEY VYGTSIDTLVEKGWGQIRISSMEVFRESYDLGTATKLIGEVLTNETDVYFGWQYDLEA ECPEGCGRVGSSCQAGDE CC84DRAFT_799166 MEPRDFGAAYRLPPLNHWSDIAYLQLFGPSAARPTSSSPLKLDY IIRHSIANRTAKAIAEYMLTKHKVQDPAWLGLTFSLETDEGKVILSSPNR CC84DRAFT_1147351 MNATQLGQSDLGLPPNSYIYRIISTAPRQDPLTYDRTDLLAAIA SDDSLRFFDPTTLSTLANGVVRNVNEKVTCLERGNDQQSNLVVTAGRDGLIKFWDKRI PGSAVAHIQSPQKLISSLVCNSEKHFLAAGIENPEDGPRGSPVYIWDQRNPQAPVREY VESHTDTVTDLQIHPNLPNLLLSASTDGLVNVFDITQADEDDALYQVINHRSAIARAG FMFPTTDIYAMGTDETLSFYALQSQEDEKEEPKPKAYGDTREQLGCEYLAKMHWVGDK AYLATGKHSSGDLTLYPVHKNLQGGPLDYICNPQQPLRHQGAHGEEIVRDLFTDTNTG TTYTCGEDGSVRAWRMASEQNAEAFGDAAEPKTKSKDRKEKRKDKKKDKRKGDESRFA PY CC84DRAFT_1259975 MASQRVFQLGLRRAVAPSFMIQPAGRMVQRRLANTISKESGEEI LAKQRLHRPVSPHLSIYKPQITWYASGLNRLTAVILSGGLYIFGIAYLAAPTLGWHLE SQSLVAAVAAWPLWAKAAAKFTVAMPFFFHSVNGLRHLAWDVGVGFKNATVARTGWAA VAATFALSAYYTFLH CC84DRAFT_1165042 MAESQDCTMLQDKFYDDPSQLSQLLRQRAGSQFAIHSFDSTGQH IVTATPTFPSQRLPSPQRAATALSLSLSPTRAAARRVRFDSRHATPNLHKHHSAPVST RESMREREDARKPYQSFNGAMDTPGDTQADPEIFKVLTSGIYGSNGMLGAAFEPVAPV TDGDSSESARNYATVSSPEQPRDGLPSPVTMDDDQDTQLRAQYAETSPLKLFETPAAY PSRKRDSQGQVLSSAARTNTTPGTVLSASAFFGFGEDNGMSLTQAFNATQAKTSPAVG NPNDDVVFQRPSPNFTHARHSSADAMMSSPIKPHNRKGPMSDVIMRSSSEPRADYESM KHSQARRNQEQAGEDVDYEEQQDSWEQPTDIGKHYARLKAKERFEQEAAKSLASVSAP MPSERRSKKHDLSFMRATATSPDKPSRMEPTHHNGPYDGAGVDAPYELSQPLPRNDGT DHSPDQLSRDLFTRRSAPATAKMVAGVEQRVQVPHTSSHPAYIFSETPSRNPSQRDPS PSQPQPESQSRPSGPQPAPKSLSRLRSSRETEVVMDSQPEPAGSFGASQPAPLRFPSS PSTNQYSINQTTMLPKSALVSSPISSTVPMPPKLSSQELGMTTGNGGAAVDGTEEGVP SSPPLIAQDDDITYDEHAYEEDSDVEPKERDAQISDDDMRMAEADSDDELPTPRNGPN NYAELAHEDDAEGEERAESTRNDDEVPETIEEELEQDVLIRSTHPGHGHQKDANTSMD PPRTNPQSTVPETDLLEDTQLPVFPEATDDTQLDSTEAATEGPVAGIWDGEQDDHVGH FRKKINKNELFNTVKEHESASQTARKVNSSMEESVIAPSPARFKGRSLQDIANDPGTQ QSIDLEGVELPHLSFEEDPDDPLDAMIARESPFNPQKKRRTTYSTKKAFRSPIKETDP VSDQSSSPSHRSIPHVAGWSPPTTQDREAQGAQAASRARAEAGITQSAMLKSKTRFKP NQPQTPRKGALKTVNMALLSKSPGRTPLKTTLRGQTANNETTPTKSTQTLNTDVEMRD ANDLDAEDGPVPDANIPSIEPVDGEGPDDFIGDPSGEPDMPDRVFAHWPSIGYCPATC VGRVDSHRLRVRFDDGTHHDLESMQIRALDLHPGDHVKIDIHGMKKLAYVVIGFKDKV DLGDGATEYPATTRHGYATIVAEEKQRDSLPADAQSRAKMHIDVPVANIYLTAQLWAR LKDRTFKYNKAVSPAGSASRVGTPRVVGSAIPLSTVLRRSTAGPSLLRDSTAHASSVA SSTRSSSGNVFLNMAFAVTSTSKEVNKDDISKLLKSSGGLVLEEGFHHLFDTDSYNAP MSSDGSANAPSASMHGLKLKRKHEDLRFVALIAQTHSRSPKFLQALSLNIPCLHLRWV HDSLAAGHALPFGKYLLPAGTSTFLDPAGVVRSRNMQTYDPTAEDVTFIRMVKDRQLL FSDESVLIVSEENEQEPYIFLTHAMGATEVGLCSDLEEAKESVDTGAWDWVYVDGKPD ALADAAAQIFSSSAGNTLRKKATKKRKRDSETVKAEAQTLVRTGFVGEKKVKVACGEF VIQSLILESLIEE CC84DRAFT_1165044 MASSMFLTPRALGAYAIVVLLFLTVRQLGTTHATYSTAEWTSGK LSHLTGGSGPKPANATLDFQEIVYISMPYRTDRQDAMALIAAQTGIKLNKMIAGIPSA DVHEKARPLTAHPKDDPKKPWLGVWRAHADAWRYIIDNNIQSALILEDDVDWDVNVKE VFGLWNWQMKTNNSLAENNGRGKNGQECEYGCDWDHLSMGQCMYKPHPNDKSHFSYND PNSPAISSFTKENAKEMKENWNYKDEDAGVRLITPTYHPLCTMGYAMTQRGARRALYQ IGGFKAMDNPIDWEFISHMKDGRMRSYTISPPALVKWKVFGPGDTDNDYGHEYKTKDD IDATQGGGQSKGLLNSAREALKVLDGTFHTWKTGQ CC84DRAFT_799679 MAGEHRLEIFRRWCLIPSGQRNGVWGSKSQKPGGLGKSTDTGGA QVLVCWTGTLFWICRVEVPSIPFFLTLIMCFFCSSLSLFAST CC84DRAFT_1094374 MAAPSPQSPNLDRYVVIHVATTCDEHGVYVTKDSAEVIELGWIL LDAKSCEELHRESVLVKPVNTPITALCTSLTTLTWDQVRNAGTFRDAINRFDTFAQEH LLPKHLEFAFVTLDSWDLRVQLPREARDKAVVLPPYLQHSRTFDLRTEYQRWQTHHPE SLPFGSSALSNICAALEVEPVQSSAPIKHNLPFHLQALAPASPRRAMEEAVTLTRVLR GLIHKSQPPQDHPDVLTKPMDARADVRAFLSERSKVLHMSGLPHDTTQSELESWFTQF GGRPIAFWTLRTPDQHKPTGSGFAIFSSHEEAAESLCMNGRALNEKAIEVSPSSSRVL DRAADILSPFPPSKNRPRPGDWNCPSCGFSNFQRRTACFRCSFPAMHGPPGGDPMAYG GYGYGPPSMMGPPQHHMGHGHGHGMGGGHGNMGRGGNGAVPFRAGDWKCGENGCGYHN FAKNVQCLRCGASRAGAAVVADSAFPSPMDTPSSFGMGPPSMGGTPGGGPFGAAPFAA GGYPAQQYGGPPSSYALPSGIGAASPYPPMGAQYAPNGGMHSTPFDSRSAEAAFSSAD PYPNQGAVNGGDGRNDPFSFLSTGFGGLSVNDDRRNASNASNKSPA CC84DRAFT_1093503 MGFMKRFGRKKTPKNKELRARDDFAYQAYHGPDQTQRLPDKILR QIFEEVCPHASDESLEPSEDSGHDGCMNCDMRDLAHCALTKRQWYGVAAGLLYKSIRL DAVHYCELEEVYAEERRRKSRGGDMDPPTVRLQQLCRTVRENHHIGSRVRYLKLPYMT RESCKADLARTVSVCPNLEHIDLPDGFFTGDPTCHTLREELQARCPNIRKMKYNGGSE QALESLLHGYWQQLRVVEINKVQIDPTLLRRILGSLPWLSEVSFSGIPQMNDSFFHTV PGVPDFPALDTVSFHKIDGITGEGLAQYLSNPLCRDTLRTLKLRSCANLPVAALHVVL SAAPNLINLEYSATVAAPLPIDPLPPMASRSLRKLNFEVIAATATQMYSPATSHYQYL TNSLMSNALPSLRQLYVRDPDFPETLTLAPPLRPFADASPQRGFSQPLEVFSKGLDEL DWIFTSIMPADAYGRRGSMSGGRPLSSYSASKGLGPQWGGDARKSVVVPNGFGGFLAV PADGGDGRPRSAGNLSPSGGFGHGHSQSLGGNGPAFRGSFIGHQKRSSRADLWR CC84DRAFT_1093752 FGALVAVSHATSPRKDGLLWSSNLTGIDITIPEQPQWTDGHLQA FESPQGSQISRGSALAWMGHLNALRWFLSTPLQTALVIEDDVDFSLHLPSQISSAANA LRTLLSPGAPETRNASLDTDPLFWANPDSWDVLWLGHCNDAASPQHVLSHPSISYPDR HIPPLDAIAPPASDLLKTFNLSDTRMLYRSFWPLCTFAYAVTRASAERILSTYGTEGK GGCIAYDVRMLEACRDHDWRCWTVVPELFHHVSGNSEIASVNTGAEKDGEGDMSGRRR PSVNIECGARNEWLWVGEEDEVGRERLLGRVREASAKGECFVDWMRGKGETEV CC84DRAFT_799860 MSHELDSLTPLATGFPTTGSTQRFSSTTREERIHRSCSTSRYKS MWAAEKRSYTETLPPTPRRYTCFNWIPVAFSRMDKTRRKFLLLLLPVCVPLLLVHRHV TLITHGTLPFGSLGVSHSDSSSESVHKTVNSTLGVSYSS CC84DRAFT_1196742 MAETAPSSEQTPQKFSRYRSVRRAQAQQQALHQNPVETPNIPAM PPIPMEAANAAPVSRSMSRYHRRPTTSQLSTAKAPPLLPATVNVSAQPVVPQDSTTVR NRALSSPYMRAAPNVSPRVPNTSRTRAEAAPLPATQPRPRTARDEAKQLMQDEADRQR RMREQIEAEKRAKAKAEQAEREREEQQRIAAEEAERLRSQEEAHAAEQLRRQKEDKDR GKRLQKAESTKRLQEREEAERRARIEEAARTVPVSPPTSPPRHGGRFGIFGRRRDNSA ASQSPPSTARPSHTSHENRDMETIRPGGGGAVLGIDAPISAVNAGDRRVSILCNKKTF LLPVTPETTAQDLLRSASIVMTEKIDSYADILVENFAKVSVQRPLRMYEHVRDVMNSW DNDTQNDLEIINAAYTGHDRATLLSSQVPDDKPEGLSCFIYYSSRPGKWNKKYVTLRS DGQLVMAKNETAKDQESICHMSDFDIYRPTELKLKKIKPPKSFCYAVKSQQKSNIFSD ESRYVHFFCTSDNSTSVKFHSMLQTWRSWHLKHVMGEGQKKPKPQEPKPANAFLTKTQ ALGSLDQGPVTSHRRNASENSFYQLGSFKPLFDLEELGKSLDPTAQQSAAPEGSFARM NSRAMHARKMSSRQKAPPPVAYTRSGLVDEIPDMPVVERTNSLTQTTTRPDDEETFAA GGLLGRKYSQRQRAVQEREAQANGPFTAGPSLVGSIDALTAAQAAGGNDGGLGRRSSV RSTHRRTSSDIQRSASTRMKPRPLVDLTPQYKEPPQFRNKGKGFKPEGSAGPLVENAT SPEEAIQVPPSQDWRAGAVRPMTARAHGSYGTSGHERTRSLKGRGEGLAAYTVNNHTA GIDDDRQAFTGGLLARAGFSQGAQPVGHGVMDGSKARGPMLDMSEPSQFASGSLLAGV QRKQTVKGPVIDRDRRQSVEMG CC84DRAFT_1147373 MTEARDVSNHILFEVATEVANRVGGIYSVLKSKAQVTTAEYGSS YTLLGPLNRNSAAVEVEELEPKDPALVATVKSMNERGIKTLYGRWLIDGAPRVLLFDT STGYGYLDEWKGDLWSAAGIPSPPGDSETNEAIVFGYLIAWFLGEYVYHEKKRAVIVQ FHEWLAGVALPLCKKRRIDVTTIFTTHATLLGRYLCAGSVDFYNNLQFFDVDSEAGKR GIYHRYCIERAAAHSADVFTTVSHITAYESEHLLKRKPDGVLPNGLNVKKFSATHEFQ NLHQQAKEKINDFVRGHFYGHNDFDPENTLYFFTAGRYEYRNKGVDMFIESLARLNHR MKSAQSNMTVVAFIILPAQTQSLTVEALKGQAVIKSLHDTIKTIEQNVGKKLFERSLT WSDGQDMPDEKDLLSASDKILLRRRLFAMKRNNLPPIVTHNMVNDAEDPVLNQLRRCQ LFNHPSDRVKVVFHPEFLNSANPVLPLDYDDFVRGTHLGVFSSYYEPWGYTPAECTVM GVPSITTNLSGFGCYMEELIENASDYGIYIVDRRMKGVDDSVNQLVDYMFDFTKKSKR QRINQRNRTERLSDLLDWKRMGMEYVKARQLALRRAYPAAYEEDEEPDFFGSHEVKIS RPLSEPGSPRDRSGMMTPGDFASLQEGREGLSTEDYIAWKLPEEEDPDDYPFPLTLKT KKPTGSGAISPALNGTDGASDVPQTSANAIAGIPGPQITTAT CC84DRAFT_1165049 MFGPWLVIFGWLKQHIPMRWSAFKPRLNFITIHYMYIVSMALIG SVILYPAKDMAYIDALFFASGGATQSGLNTIDLNRLRLYQQVVLMLLASVCNPIFINT FVVFIRLYWFERRFQNVVLEARSMRRSRTRSVAKSEGKPDLERDIGREENGVGNREIR VLRAADGHVKGTRIDDEEAFHEGDIAIEDSDDESGSASTPRKEKEPAPGDASPKTDYS EMVLHRNITFADEVTNPQERLPQKNKETSIAFVENQRNPKDATTFRIPGPRDYDLGFV PERIEEGTELDRPMTNTSHDGDCGERLQAKRSRSASRPPAQEMNGDDHPFKTHITIDV PDVRRRPNAGPSAYNFNRTRRRSDVSEEEPPPSGLHVPNRSRSRTFSSFLTRDKEEED PMPYLSWTPTVGRNSAFVDLTEEQREELGGIEYRALKLLAVVLVCYFVGFHLLGMICL LPWIVNDAKYSAKLRDVGVSPVWWGFFTPASMFNDLGFTLNPDSMVMFQLCVLPLLLG TFLIIIGNTGFPCMLRFIIWLASKCVPYRSGVWEEFKFLLDHPRRCFTLLFPSSANWW LFWVLILLNGIDLIFFIVLDLNAPAVEGLPGGFRFLDGLFQAASTRTAGFAVVSLSDL HPAIQVSYLIMMYISVFPIAISMRKTNVYEEKSLGIWSGDEDENTGHSYFGTHVRRQL SFDLWYVFLGFFIIAIVEGSRLQNTNEYAFTLFSVLFEIVSAYGTVGLSLGYPGTNAS FSGQFKPLSKLIIIAMQIRGRHRGLPYALDRAILLPSESLHKKEDEDAMRRARRGSNV SDLGDGGLSRTGTGLSRRSTASENGGGFRGKLRPADVGRFFAGALNAGPSIPREKRA CC84DRAFT_1259984 MADGLVPAGNDNAEGLPSFHSPEGQTQNPNDWEERVDLKIKAYL LEHSVEYRQLNELRHQGWNNPAGDSYFKKQRQQSDTADEKVAQIFYKMMQKIAQEMHQ KTDGALAIKKHSGNDSAILDMCMAPGGFLLTTMNINPGARALGITLPVSEGGYKVLLP ECLNVSYKFLDVNMMASDMGVRYISLGHPDADEFLPHQFRPTDAFDLVMCDGQVLRTQ ERAAYREHREAIRLTVAQLALGLEHLRPGGTMIILLHKAERPKSVGLFYTFRKFSSVK LFKPTKYHTKRSSYYMVVTDVQSQHPEALAAVGTWKKQWEAATFGTNDEFEEALHGEE FDVAKILEDFGPEFVEMGREVWATQARGLSTAPWMKEKKWKRPGKNRRLDMRRGTVAD CC84DRAFT_799973 MLSRRMHACIFDLFAGTRTFFNRPLLYVRHTLVPFVVPSATLSS ASVLGILGFLSSRLPRRLTRQLTSSRNTPAWINFNRNGTCCTDLHFLRGKQKSKSASS RSLGMPSCQGVLVATKPALETTNTTLRPQQPTFP CC84DRAFT_1147382 MSRPLEGKVAIVTGASRGLGAALAQHLAAKGANVVINYTSDNST AAAKTVAEEIQSKHGVKTVLAQCDITKQHGPSRLIEIAKSQFANDGEFQIDILINNAG VVNPAPMGSVTYDEFENTFQLNARAPLFVIQAAMPYLPNDRSGRIVNVSSITTSMGFW WQSCYAGTKGALEAMTRVWARELAEQATVNSINPGAMMTGMYTGLPQEMLEKVWSLNY MAPLAKPRVGIDSPETVEAAKGLGGRPAYLEEVSGIVGLLCMPESGWITGQVIGANGG GVMTKG CC84DRAFT_1187645 MTGPRLLNKVAIVTGSSQGIGREICNQFHEEGALLVCSDLRPLG QGEDVATHESIVKKGGKAIFVQTDVSKAEDWVALISKTVEEYGRLDILVNNAGICTEA DNPQPIDEVDEDAFDTHLRVNTRGVFLGCKYAVRQFKKQKLHPSGVRGWIVNFASMVA NIGMAGLSGYTASKGAVAALTKTVALDVAKQGIVANSICPGSMLDNALGGALSSARDA VLAALPRGRFGETKDHARAAVYLASDDAAWVTGINLNVDGGLTAQ CC84DRAFT_1094201 MAGATAPGLAICTLLLLVFDVLSWALRFYVRLSRKAWGPDDWCM LIAIPIFAISTTGMLGIAFTGGGLRDDQLTSKQQETAMFWWFIMQTMWCLAAIPVKWS ICFTLLRIANKQLLYTIPIYCCMGAVFLVMTSTTIYEFFHCDPVAMNWKPTKIPNGHC NAQSNITGFSFALSAVSIFTDWFCALVPIPLLWNVQMDTRIKLSVIALLSLGIIASIA PLVRLSITVNLSATKNFLYNAMDVAAWAQAEVGIGIIVANLPALRPLLEKVLSLRSTI RSDKRSKQQKSTDRYLELEEGLSSQKQHSKSASQKGTETRIYGGTTVAGDSNSTLGDD HSQKNIVTSSAQRDTGNGIMVDREVQIRVSQG CC84DRAFT_1246864 MAESVVLNGSSVQSLNSEEADKIRSQALATEKTLLPNGYFWSPK MVGSFAGTGVIVCATYFQFQATAAVLGTAISQDIGPSLNTALVPTVWTISQPISLLLF GRLSDRFGRRGFALASCALAIIGGIVAATAQSIETLIGAQVLMGIASGVPASYPLLAG ELMSNKDKYIGTAAVVVPNVIATGFGAYIGLRLAIVANWRWIYYIFIIMMVPGTLLWA VFYHPPSYVQLHGKKSSKMDEIKKIDFIGVLLLVAGLTLFLLGISWGGPAQPWDSPKI LGLLITGAIATVAFILYEVFLTPAQPIIPMRFFRDLRGFTCLEVISATYGVMNIALFI IWPQQIANIFGSTVGSWEESAWLSTTAAFGLWGGIVLLGPLMHFIKHIRYQILASSIW MTAFLGAMASITVEKKSEAIAFSFLGGLTIGWGEVIAAIMVQYVVSDQDLGVAFSVIS ASRTIFGSIFTAAFTAIYTNKVPGYLASLVPSAVTSAGLPADSVSELMTAVGAGTQAA LLNVTGMNPEILHTTNIAVSTAYSKSYAYVYYFAVALGGLAIIASVCLRDFDQYLTEH VSRQLYHRGEANEDPLEKCMLIYISFWCSSCLPNLYPYYV CC84DRAFT_1246869 MRFLLSIVALITTTLTAPHEPIQSLDFSSYGLTSRADPSLTRYL GVFFLGDKPSVYFYLSNGNNAISLKALNKGTPVINPTKGTQGVRDPSIIAGGGAEAGK KWYIIGTDLNIGKTTWDASQRTGSRGIFVWESTDLVNWTNERLVVVEDETAGMVWAPA AVWDAAKAQYAVLWASKFYAASDSKHTGSPSAIRIRTAYTSDFKTFSAPKDYINYSPT NIIDIDVLPLGNNAYARFLKDESAKTVFMEVSTTGLFGTWSRPGGNNAIIEKGVEGPA SYWDNQVDGKAHLLLDFYGSDGYHPYNSADVKGGKWSASDRGAFPKNLRHGSVLPVTD AQATAVSAKWPA CC84DRAFT_1147387 MDKFLREWRQDAVNKHQYETAIFVADKLLALTGDDQDSFYLAQI HFNTGNYNRAQSFLARGNLTDRNPQCRYLAAHCAIKLGKTEEALAILGDKNPTHLITA PGSARQKLRHVDVNTRAGARHGKHGSRSERVPTSEERDREDVNNIKSEAGMCYLRGLC YAKQNAFDRAKECYKTACQIDVQCFEAFDALMTNSLMSPTEEWKFLDSLNFDAINVPN NPSLSQEAAQFTKTLYTTRLSKYSRPTEFEEAVEQLTTHYKLADNPDIILARADQRYT SCRFREALELTSSVLKEDKYNFAILPVHLAALYELKERNVLFLLAHELTDTHPSEPCT WHAVGIYYLATHRIAEARRYFSKASVMDPHFGPAWIGFAHTFAEEGEHDQAISAYSTA ARLFQGTHLPQLFLGMQNLQLNNMVLAHEYLDTAYQLCPTDPLLLNEMGAVYYHEGHL MEAISMFRRALGISEQNNADIASLIPIRTNLGHALRRDGQLEEALQTFEDVLRHGVKD ASVFSAKGLVLLELGRTWDAVTAFHEALAVAPQDPMATDLLNRALETNEDDASFAGAD KTIGTEQSLGEEDSDEEIEEVERLLGGRLREIQQNKVAGRSRRRRNVPLDDPSALEES MAVDSDG CC84DRAFT_1165052 MSERKVLSKYYPPDFDPSKIGRTRGPKQAGPKVQTVRLMAPFSM KCTSCGEFIYKGRKFNARKETTEEKYYQIAIFRFYIRCTRCSAEITFKTDPKNMDYEC ERGAKRNFEPWREAKLAEETEEERLDRLEREEAERDAMKELETKVLDAKQEMAIADAL DEIRSRNARIERAEKEGKKAEVTVVDVDEARRRQEEQDEEAARKAFAGRSMPDIGEEE IAEEEMNDAPMEVPVMAFTKKPKEKKDYGAALGIKKKDSKPSMPAPAPSTKQSAPPSS GLLAGYGSDSD CC84DRAFT_1196749 MAPDTKATTVNDGPPWSEPSWLTLPSPYYNESHRKLRNTLRAYY DEHIKPYMLEWEEQGDVPEQLRLEHARTGQPFADVPEPYRPADVVGPAGIPVKDLDVF HLMIMTDESSRVEGGVGTAMAGGSVIGVPPVVHYGTEEQKKKWLPGLFTWETSFCLGI TEPSGGSDVANIQTTAVKSKDGSHYIVNGYKKWITGMPWATHMTTAVRTGGDGAKGIS VLVIPTSSQGFSHRRIPNSGQKAGGASFVELDNVYVPIENLVGKENEGFRIIMKNFNK ERFIMSVGCNRKARTCLSHSFEYATKRHTFGKPLISNQIITHKLATLGRYIESHWAWL EQIAYQIQQSPLGWQDPEIAGQIALSKVHGGRILEMANREAQQIFGGAGYQKGGPGAV VEQISRDLRMMVVGGGSEEIIADLAVRQETALAKKRGWKL CC84DRAFT_1121213 MATFLENKVYHDDDSLFFSENLDQITQAKFQVPWFYRAEFEVQS SYAIVDNYLQVKTHGISSRADIYLNGALVVDKNVQAGAYAGRTFDIGSKAIPGKNVLL IRVYPTDYNRDFALGFVDWNPYPPDNGTGVWRDVEIKQTGSVAFAGTPRLATTLDGTV QMKADVRNWGSASTTADVHCKIFDPKDRELTNLGLANGVTLAAMSVQEISMKFKIDNP LIWWPAQWGEQPLYNVSCTLSTRGQLSDVMPNVRFGIRTVTSTLNEFNDTTFFVNGKP FQVLGAGYTSDIFLRFDENKLRTQFLYVLDMGLNAIRLEGKQEHPFLYELADETGIMI LAGWECCDKWEGWSYNDEGSGEKWKDADYAIANASMRHEAAMMQSHPSLLAFLVGSDF WPDDRAVRIYVDALRAFDWDIPIIASASQRGYPDLLGNGGMKMDGPYDWVPPNYWYSD QLGAAFGFGSELGAGVGTPELRSLKRFLSSSDLDDLWKHPRKGLYHMSTNVSSFYTRE IYNEALWARYGAPTSLENYLLKAQLMDYEATRAEFEAYGAKWTAERPATGLIYWMLNN AWPSLHWNLFDYYLHPAGSYFGTKVGARLQHVAYDYLTRSFYLINRSKSSLGPCTVEA ELLDVNGTTISKTATRTQLSPNNSTRLFEMTEINQIRDVALLKLVLKGNDSVLSRNVY HLTPKPDTLDFDNSTWYHTPVTKFSDFGALNTLPQADLSVKTLIKMRKPAGHIHVKVT LQNRSKLPAVFVRLNLVDFFDRPEIDDDGSVDVVPVTWSDNYVTVWPEETLELEVNFR LGGQLATRAVRLEVDGWNVAKQTVGVSIKGGGLQE CC84DRAFT_800021 MDSSAEPPPAAGGSSGAPTGASEDGTHDWTHKLAQTDHVRFFRE TDWNRNGLGPPKDWDPTLQLFANFVHADSRAACLWWGPDAVAIYNEAFAPMCHGVHPA LMGSTYARGFPELWPYIRLMFEESARTGIGQNVNSDTPLLVERNGWREEAFFSGSFIP IGPPHHPLGFYNSVFEVTDHKLADRRTSMLNKLAAVPDQKTNVVMTHVLTTLATNPHD VPLAVMYKFDFDDTLGERTTLRLQGQIGLPEGHKLLVDVADITSDEGLVPELRRAGSE AIFIDCDQRFDNASWRGWEAPSKKIAVLPISSSTRLFGYLVVGLNPYRPFDDSCRQFV YDLNRMVSSIVSAAINFELAETRRDQLECDLAVSNLKLRHLVEHASVGMCHVAIDGEM LWANDQYFRLAGRSAEQHLANYSFYDAYLEEDLPQVREVWQELLAGVEHIHAEFRMKR TYTSPTGEEIPASIQVLAFPYRDPDSGHVRSVMACTTDISRLKWAQAFHARSAAEARE AKRQQEAFIDVVSHEMRNPLGAIVHCADAIIAMAEESKCAGVTVPGDGALAEIVQHAK IVLQCATHQRRILDDVLTLSKLKSMLLSIKPVAVEPSSLIRSIMNMFDAELDSSSIRC TVNVDSSLSDLAIDQVYLDSSRVTQILINLVTNAIKFLKLANEPSVLITLGACASHPR GFFPDKMFWADGEPSSDVTNTPEWGTGEQLYLTMIVEDSGIGMTDDDIARLFKRFSQA NIKTHVTYGGSGLGLYISKELAEKQGGEIGVTSVSGKGSKFGFYVKCRRREEEAPDTT KPINGTEHPTTVPQQLHILLVEDNLINQKVLSMQLRRGGCIVEVANHGIEALEYLEKS TFDAVLMDSEMPILDGLAATRMIRQRELEGKGLLNSAMARGPRAGTRLPVIAVTANVR EEQIQAAMDAGSDDVVQKPFKVQELLDRIYALIHETSREALVNHLTSATSTITLNEAA SEDQ CC84DRAFT_1176835 MHALGQHHGVPCAGSLSIGLGPLERLCDADDVSAEGHTTATAPV TGWDDEARELVVYLKMSVVAQERTATRYIPDSTSSSSATSQQTSASIAKGSNAGAITG ATVGDVVAVASIITLFLFCPRRRRTVRHRADAASKANVNSPATAQSWVGISPLSRNST LHFALSQPSPYSPPDSHPPPSTPWYDVLPVSSQSRQVFQGVGHVCYTCGAAKCGNSSG RRVTWDLEPRKCRDTTKEGFQRRP CC84DRAFT_1187660 MTNSELEEDQGRYDEEEEEEEEEEYEPSYSVKELAEIVLDFYKF LATLHYDRSDLHIPPPEGWPLECLPKNVIRKKSSRTIELMRHLPYFKEAKKSTHLHYK CKLYDYTDAEQHDGIRLIPCPGRETEEAESVPEREEHIDQSEVLAQELEWGTDLDWQF VRQVYREFGWPDAFRREEAVRVIEDFMALKSNERGEWEEAFN CC84DRAFT_1246898 MASRSGVILILGAGANIGQHVAQQFASQGYKVASTSRSAKSADN TSDAVHIVADLSDPESVAGIFSQVKASLGVPSVVVYNAAAASFKDAKNPVTLSLAELS KSLNVNTLSAYAALQEAIQGFEQLPETSSRTFIYTGNILNTTVMPQLLDLGVGKSATA HAIQVAATAYSDRGFKFYYADERKADGTPPYNDIDGEAHGKFYAELAKGMKQGDWQQT FVKGEGYKKF CC84DRAFT_1176838 MTSTDVGNIAITGAAGYMYRFQPKICPIGVRGLVKSTTPEVWPS TEAAAEGLRVPAELVEYLWNSGDDTRTAGMGSAPPIKWQPNWAKEKLLRHIDEEIDAL LELGKAKNSLIASLFAAAKESSPRSLPFLDCS CC84DRAFT_1176839 MHTLQDGLKQSLHIFPAEIRLRMYEYMTPPIDSRLADWRGLFMS CKQFHYEMKDEFLRSMARYLDQIREQWIKSHVAPLQITKLTQSSDIGRISVAIPNSYF RSLDGQFPATRVLSKAIIPLLQLGVIRLTFTRYEDDEEMKHKGPVMPEGPLADFMSDL RRLMDPKVGNLGKFDDKNESIRTPGSVIDRITFEWGFYGEILHWCAKEYVSDRTIELM RPHICGPPTGVTWIRRPYTWYGQVQAEFWSDTGDLKRRSRSQRL CC84DRAFT_1187656 MAVPLVFTNARLFTGAGPSTTNSTEPTTLVVQDGKIAFVGTPDA PAFNTYAHAPATDLNGAYILPGFIDAHTHFLLLGQSLAKVQLDGCKDLADIRARISAY VAQHPEKERVLCSGWMHSMTGGVALASMLDDLTDKPVYIDSKDLHSCWCNSAALREMG VEDMPDPDGGRIERDGEGNASGLLSEACVLLIVWPHLARVLSTEEKLASLRAAIKAYH AVGVTGAIDMAMDDNAWAALLALRDAEGGSLPIRIAAHWCINPGTSEADRLAQVDRAI ELAGQYNSTTSPDLRVVGIKLICDGVIDACTAALSEPYTTNVASPDPIWTPAMLAPVV AKASSASLQCALHAIGDLAVSNAITALRTHATPHLRHRIEHLELTSPASAALLGALNI TASIQPVHADPAILRAWPALLGPARLARAFAYADFAAHGAVLALGSDAPTAPHPPLAN LYVATTRKSARDPAARDAPVNEGFKLGIAQAVTAATRGAAYSCFAEERVGTLEVGKEA DFVVVEMRFEAEELLRARVLQTWFGGQKVFAAEKVGDGRTEM CC84DRAFT_800078 MSLAPSPEHEDGGRLRTPSGDVLLSKTCQNCFSLKIRCDRTQRG DICDRCARLGKQCVFRPAKRRDNSAKRDSRIQALEAQVQDLLRIKTPSYKVQQPLPEA SLSAHPSPPSDGDVVDEDILPMERADTLVELYKSEMMPHFPFIIIPPTETGASLRHEK PFLFLAVLSVASFHDLAAQEKLGNRFKTMVTDKVLYGGDDCLKLEYLQGLMVVLAWNQ YHGRSKYFTQYLQLAISIAVDMRLDRKPVVQVPRRYEDKRDPLVAGMPGTQTWGPEEQ RASAGIFYISSTISKLLDKMNTYPCTQTIEDGCLALGQRAEYRTDKDLYHVIRLQKII ENIETLAKSPGSEAEAEDAYMRVRSQLEEFRAFLSVEVSDSHLLFMQFHTAKLFLFQV AFFERNLQQSPAMHLNILSEGLEGAKAFLDLYLWLPPKSEMALTNQEWVQLSFGVTQA AKFAIVSRTPAVEAQTRDLRHRLNIDHIFRHLALRIGALVGRSGGGDKHKDIFYHYEQ RTRKIQNWYEKMTRATDAHSPGARHAGGRQYNGSPPHAQAPSYSTPSSYASSTQPSPM PATPLPHAHLQQPSQVPLSATFSHQQPLQNLNSQLAPVPLPDAGFEASTSVGMMPMGS YTTSQFGSVPSIAFPDLMSAPGWDTLFAVPMEDMSWLVDVSQGYGGMNAASSPSEGDW GLGGS CC84DRAFT_800097 MLTNRRSTMMLPRQNTNPQIRPEPAQPETEPHCRTSSVLTATTA TLPGYRIVKVHGMVHGLTTVARKDTKAFLKSMGNGSEAKSLTHMIYNARDQASERMIR DCISRGGNAIIGMGFGESEVLGFAQISVYGTAVYIEKEKA CC84DRAFT_1055564 MAPKTLVDFPDELLLQLPVHMHNIEDFKNASSTCRRLHNVFADT LPKTILRLASGSAPTFFSPHPYFLVLAVARQIATWAVANDSERQTRVERLMEAFRGGM KGLLSLALRDDVEDVGLTMDDVRRMYEARFSILNPLNATMDAMIGDEWYKQPDFWYGG AEDAFTLYTDVSSATYQLLTYGELFGSSMASYLEPADRRKPGLGIETRIEFIKYCIPD CC84DRAFT_1176844 MSLNRILNILIFIATVVSAWSPSDHQCRYSSLCLTSFYWCDPTG KSCSYPDDVYPQRKPWPNESRFGFPTLIWETIYQLTWRTEVDDYPVTVWWWMLDANMT GLGDRRPGVPEYVVWEFSESTLYTQTDMSNELRSDTTIDVTDGTSLTFSPSSALFPNP LAPTLNFTQAIGLATGQTFISISQYGSDRANSTDFSREIGRTDSLGDNTQTFHVAPEW SKAAIDFARSEETEKWERKLALGVGLGVGLSVLVTYLVTWGAAQWWARRETRKYGDEK GLGARRWWIGF CC84DRAFT_1147406 MSISDDVFGEITEDGPNYRNVGWMGTVVIMIKTQIGLGVLSIPS AFDALGLVPGVICLLTAGGMITWGNYVVGRFKERHPDVYSIVDVGEKLFGKIGREVFM VLFLLWWICVAAAGYSGISTAFNAMSLHGTCTAVFVVVAAILGFLTSSIRTLGKISWL AWIGVISILVALLTLTIAVGVQGRPAAAPQTGVYQSDYKLVGNPTFIEAMSAINGFIF AYAGTPGFFAIISEMREPKDYNKAMFTCQGTMTVVYLIVGIVVYYFCGSFVASPALGS AGPLLKRVCYGLGLPGLIVSTCLVSHFPAKYIFLRLLKGSKHLVSNSKVHWTTWLSCT LGVTIVAYIICSAVPNFGSLISLVGALLATFMSLQPLGMMWLYDNYKGRSRNFRWMAG VAISLFVILIGTFIMVAGSYAAIVQIIDDYKSGSSGAWSCADNSNSAGGGH CC84DRAFT_1176846 MSQSHSLPLLRQQAKTQRHPSKLQRRTSATKRRSMPDPQPSTRQ RRPSLDHRRHSLLWPSNMPSVTPMKSVNPKEYLTALSSLINNASDRMTRISLPDLIWA FNRAHARNDRTAMRQLGIRMASTLDQVQEAYDSFCETRGTFDEEEIWKMIMGLGDEGE VFWDVVDELGDLIEIMEGLETEGFWRSFDRVMRSVNQ CC84DRAFT_1218089 MLGFVFSTAILFLTCISGILGSGITTFIDDQCKTSHEPLEVKNG YPDGVCTALKIKNGLEAFQIVQLDPGCAVTLYGPNDDSGQACSSPVKIVGELAACYNS SWIYYSVDNCFKPNSVSSSALVLPTVAASPSSTLSSSSSTSSPSPTSSASSSKSTTTP PPTKNTDTSHTPAIIGAIIGSVAICAALIALLVFCLHQRKSQPGKPLPHPPSYELSQE RALSEMARSSIVPPTRTVQKEMWASEAAVEMGRNSYAPPVELPAASWGEDKKRGSALI HVHLAR CC84DRAFT_1187661 MAETIDRNMDDKMEFSTSKEVTVAPTFEAMHLKENLLRGIYAYG YESPSAVQSRAIVQICKGRDTIAQAQSGTGKTATFSISILQVIDTAVRETQALVLSPT RELATQIQSVIMGLGDYMNVQCHACIGGTNVGEDIRKLDYGQHVVSGTPGRVADMIRR RNLRTRNIKMLVLDEADELLNRGFREQIYDVYRYLPPATQVVVVSATLPYDVLEMTTK FMTEPVRILVKRDELTLEGLKQYFIAIEKEEWKFDTLCDLYDTLTITQAVIFCNTRRK VDWLTDKMREANFTVSSMHGDMPQRERDSIMQDFRQANSRVLISTDVWARGIDVQQVS LVINYDLPSNRENYIHRIGRSGRFGRKGVAINFVTQEDVRILRDIELYYSTQIDEMPM NVADLLS CC84DRAFT_800118 MLLEDQRNIHEELERLEDAIADRLLEDPPHIRDRLARDHDVAQF LRQIEERSGRLMSIYQDADGKLDDEVRNLTLGDPMESFAREVSNIKAYHSKYTNMPVE NLEKAYKRRSPEDHAHSIATIDSMFTGEEGFGRFFDLTTLHEQYLNLPVQQQARRLTY LQYLDVFDVFTPPQATIRRDQKMSESYFQYLKALQEYLESFMRRTKPLENLDKLFANF DKEFEEKWADDQIPGWEKNPTQASQAAGDAMEEGIYCSACKKGFAKESVYEAHLTGKK HKKAAAELESSTTVATTNGPGGDMNRFKERAVAEREFRIRRLAAAMQTERGDTKVNVE RKQGMTERERQQELEQLYSEAPETANGGNDEDDDEDGEDKIYNPLKLPLAWDGKPIPF WLYKLHGLGVEFPCEICGNYVWMGRRAFDKHFNEPRHIHGLRCLGITNTTLFREITSI DEAQTLWRKIQQDKKKEKATVDNVVQMEDSEGNVMPEKVYKDLAAQGLV CC84DRAFT_1246923 MPYHAPLRTASSLLPVYTALRAAQVPSLTYLFSLDEQIAHTELE ELYARIVLLWQEMRGHYVEFCCLCADWCVGEVLGFVREVGESAKVWCAGVGNEWEDLG WSMEEERLISATSEVAACEEMSFCRITCISSEPVGQHFHYTKCLATHNKVLLSYYSQP AATFRMRVCVFVLGIAGLFISLSRSTPIRVPLSDDEPDALEKRSGTDGLWTWQKPQPV IGRYPDGRLICRIVTMTAGHTQGPCPPEVAPEAVAKLLHAQHCRQHCCMEDGAPCGEN WHVHEGSAYKDKHTYTNGHGATIHDDD CC84DRAFT_1218093 MSSENVTKVFSSLPTVFDFADWLSTQGRVSSDNELFIDLLQRVR HDVTEASRLYTSQAVVDYLESWPDKRTYIDKVLQDIERVLNDIGQFVEAVRVSGDDGS TVSLRRKFQWAISHQKKLRSKQQLLTICHQSLMPAVQLMQTVEMNATFDPIHELPDRP WVGDTVSGFLKSPRSRQKSKFAQRNSSVPSITLSEPDLKDDKFAHPYQHILAELPGST PDDLHRQSLDLYAGSRKSRSNSMEEVLQASARQSNMRSTLESDVVEESRRPMTADADV AAAPRRSPPKSPSTRRSVDQVRPSLSFFDNRARASFDSARPRAYSEQMRPQRSFEMRS RLSYDQVRPLPTVTERPIERFNSTASVAVGDAISIPLMHMRYQTRHINVRKPPVKQNS LPSTLPSFPSQTSLMDDLNIGHIITDRPKLTYQLSNNATGRLRMQHGENINRPFADSQ IHPSSTFEASASATIIEPTPIYKPKDTIFTEIRARCDTTPCTESEFSEIYTSDVFITI FGNRFNTILKGERESAKSTQ CC84DRAFT_1121234 MHREKGATANVVSISNGSPITSTLENGLPQPLEDQAISGEISKI SNLIKNHVQSYYHSRTVSPGMIDYDDLQALGENLPVSVGTLSTLLNNSATREIALRFI LAWVVTSRIQLNNSSNTTFLPPEVAKCMQSMNHAEHPSRVHSLLYTKWRALTAELLQP THVRNPFSGSDGRIRNIQAAATLLDSVLRPFADSRMDDGARSRNLEEMLKRSAQFAFT LFSQPSAWDFDWQDEQSVKSGSLCIFPALVQVADENGEPLHPPRPFSEAVNRRLDE CC84DRAFT_800189 MTATMNGAAEGEKPQMVIGIDLGMTCTGVAYANLSIGSETIRWV QKWPGRFQANENKVPTVVVYPTASSNPTPSSWGFLSETVAETTAQDKDYKEWFKTLLS PPHLLKKQRSDPENAPASMEEVEKWYRDYLSKMYEYLAFKLGGELSGVSWETARVEFI FSVPTTWEPVPTVERFKTIIGQAGFGVQTNHVVTIGLTEAEAAAVHVSTEAPGIFREN DILLVCDAGGGTTDLSVLRVTGTANQAINLQQLDVVFGETIGSAAIDYEFEKLVCERL ERAHAIKPLPIDPADAAWEMMKSRDFQAVKCEYGAPDDTPIFSIGIPKLSHTYNNEEA RIRSGEVAFDREDLQRLFDKQVNKLLQLIDTQLQILYRKYPAEQVAHIVLSGGLGNSA YVQTRLRQHYAVTSIPNAHGISVRIAPDPQLAVCKGLVADRVRKLITNYSVLGWRCCR SSYGTMCKIMYDKKNPDHIGKQLVRDPLNGKMYIMQSIAWFVKKGEPVSVDKPIVHNF IKKVTPGDPRRTFPTSVIECKLDAPYLPDQMSPDTRVLCEISSDLSSADEKKFTEKNK RFWSLGKHYFRVEYQVRVLIGPADIRFELWFDNQKLSRDQSITVDWVPAPTMPPPPPV DISTYNRVELPDNYPTHMSGGLGDAGAPGNPRVDRTGTEIVDTSVKEKIGKVGKFSGK LGRSWPVYK CC84DRAFT_1246930 MTDVKWFQNHLPYHFKDCSLLEEAFLAAGASISRSDVEGPEKGN KRLALVGDAALRLAVIDDWYKDNTEPSAGHERFLSVGTNDHLKQIAIKWGMERLIVEN PTQKGQYPRETMAATVEAIIGAVWVDSDKNLAAVQKVLNTLND CC84DRAFT_1196757 MCKLADYLNASPPNLVQDNRLNLRQRQAHRRAIFLEPPPTGCLL QESCVSQMLFGGRLIWQQAPRLFSTNKPPSLYEFKKLITHSRPINYPLAASTEANVPI YDLPEFAEVSPDQRSALQDEWYHILLSGPGVFVTKHLYKDKSLLGTVNDAYSRIIDEE KKRSGKRGDHFAGSGANDRIWNSFSKHCLQDAKSFVEYYSNPWLPLISSAWLGPYHRI TAQVNIVKPGAKPQISHRDYHIGFQDNTSCAQFPKALQVASQFLTLQGAVAHSDMPLE SGPTRLLPFSQMFEEGYMAYRIPEFQEFFIENYVSVPLSQGDGLFFNPALFHAAGQND SEDIMRSANLLQISSGFGKPMEMIDTYPLVEATWDLIRDMHKEGGLNSRVRTILENVA EGYPFPTNLDKRVPETAGMAPDSEQDLLIRGLHERWAKDRVLDEMQKMRDDSRA CC84DRAFT_1218098 MKITTLAAALAIFACIANCTLSDTADTLFHQIFSATSDSPAATT SNETYAPGATTARLQQRERLARRANWDLSPMASDELWCAAQAKGAQMYYNFWKSDAAA GRAYDPPRVSANSVFRADNMNNMMYSTWGWSDGDRKYNDAVFNDFYGPGWIDCVRSRG IGITPWQDIYAYHFSHGAANARDEHGQPILLNEQSYQAYGYNYRVTGARAKFGVQDRA GSMMVSIAVSPAESFKTLYGREAHPNELPQLRSLSDLLWAGWQRGSNPYVGNPNVKNL NSMFMMWIINTDTLSIMKRALHARGKDKYSVWPGDDFSTAEPEGQALLGSPNGKPMGY LINQRKLDMGVKLIYNIRVFTGNNGDLPIMMFWLQNIRDDQVQN CC84DRAFT_1176856 MAELHPIPSLLALSEAVRNTGTELESIGGKLHTLAAALEKEATV DPPSLTPSLTSPSSTPVQHADRQVDAQGLATERTSLQSQLAAAQAALAAAEQKAKSAA APWGVDVCVGIRASSSDSSTVFRKMGCCTGCGDAVPPSPSVGRVSGGSAVGSLTPAGE ARSQLSKALSEVAERAEKAASQVRSAAASGGAGGGSKAVSVVSRKSGGSGVGAGGGSR ATSSHASQK CC84DRAFT_1059910 QRRGEETTGISEWKMRPPYRVHEKNEHFDAKYEANCHCGKVQYQ LSRKEPLDSKLCHCTTCQTQHAAPFQWAAIFHKTDINFSEGHHNLEWYDPTEKSIEHK LPCKVRCSYCHSPIMDEGRNMILLFPSLIHLKTDEDKALFKPRCHMFYGQRVMDIPDG LPKWSGLSDSSDLIEDSPPEKVKEMQRKMEEERKERFEKGK CC84DRAFT_800297 MEPSNPEDKTDGSSIKPVSSLRSFFENNLGASKPPASPRPPSPQ AVDRLAVSEDSRRDARMSLDIARQNGGRHSTSNLLETSGNGPVTPRGRSMRSPWSVPK PRPTSMVAFSPPRSPTRSPPKVMVNSPSSPPPHSPGPQIHSPLPSRTSFHTSLETSSQ SPTVPNGTKPFKLPSRDNTPAIPLESKPSFVSSHAASPAVESRKSGAFDRHSISTPPV PPPVNRAGKPKIINKPQPVNPPPRSSSLAPIHDTDRDRRDVSPFSTPPSSPEKPPSPT KDASPPKRFSNLRDGYFPPPPIHHAVAEKLPPSDPRAQGFAHQPSRNPPPGRHQTTGD LSEDRPTLPARRERDNVDMRKSMVLQRPAAPEPPVRRSMDTFRSPAMVAETTNKIMPP PRRTQTTEMYSVALAESRKSLEPPKPPPPRNSGEFRRPAQVPAPAPAPPRKASQPYNY DSDDTDTANEKPGTALTDFPDSSQANRRPPVFDAGTSLIPTGYETKLFAICGEYICTT GYVTNVWNVLNGRLLMSISHGDTVKATAVAFRPAKDVEDEGKRLWLGMNTGEMHEIDI STQSVVCTKSTAHKGAPVVKIFRYASEMWSLDDEGKLHIWPPDDTGSPTLQQTPCAYR VRSGHTFSMISGSQLWIAYARELRVYKRTGDGNYFQELTQGGLSQLNVGDVTSGAILS SQPDRVYFGHNDGKVTIYAKKGFDCLGVVNVSLYKISSLVGVGDYLWAGYSTGMVYVY DLSSTPWKVKKDWKAHEKVIFGILADRTSIWKLDRLQVATLGSDNILRIWDGMMKDDW HETQMQQRDSEYCEFRELTAKVMTWNAGAVKPTELRHNEQDQNFFRELLQPEDPADIL VFGFQELVDLENKKITAKSFFKKKKSKDTQEQEHMSHQYRAWRDHLVRVLDEHCPRQN YTLLHTANLVGLFTCIFVKGSERTKIRDVSAAEIKLGFSGRVGNKGALVVRFLIDDSS LCFINCHLAAGQSQTQHRNNDAASIMEAAPLPRNRSPRDCTNFFVGGGDGSMILDHEI CILNGDLNYRIDSMTRDSVVSAVKQGNLTKLLERDQLLLSRKRNPGLRLRAFNEAPIT FAPTYKYDVGTDTYDTSEKKRSPAWCDRLLYRGLGKIKQTEYRRHDCVRVSDHRPVSG RFKLRVKTIHTKLQMAVSDKVEVEFEAVKRRIAGDIKLDYLVNVFGLSAKEAQKLLQG A CC84DRAFT_1260006 MCKHVLNAQVAIRAPCCRKWFDCAECHHETADHDLMQSFEMTFV CKKCKKAFRKDSREFEDADEYCPHCDNHFVLEAKTPQAQLQVEGEDIRKDARMIKDER TRIEEERSIFNVKDASDRLG CC84DRAFT_1147433 MASGYDRALSVFSPDGHVFQVEYALEAVKRGTCAVGVKGKDIVV LGCEKRSAMKLQDTRITPSKIGLVDTHVALAFAGLNADARILVDRARLEAQSHRLTVE DPVSIEYITKYIAGVQQRYTQSGGVRPFGISTLIVGFDPNDKTPRLYQTEPSGIYSAW KANAIGRSSKTVREFLERNHKDDLDREETIKLTVKSLLEVVQTGAKNIEIAITAPGKP LEMLPVEAIEKYVEDINTEKQEEAASRRPGRGGQAAIPSRPAGEATGSAA CC84DRAFT_1218104 MAAPTRVAASLRRAAFSSRHSPCIKNIRPALQVRWKTEATTSSS TESVGQLDSKGGLDGPAPAKSIKFTTESYPNIERESKFAKLSEKHVQYFQKLLGSDSA VLDGVTKDASEDIEPFNADWMRKFRGHAQLVLKPSTKEEVSAVLKYCNDNMLAVVPQG GNTGLVGGSVPVFDEIVLNLQKMNNIRSFDEVSGILVADAGVILEVADNYLAERQHIF PLDLGAKGSCQIGGNVSTNAGGLRLLRYGSLHGSVLGIEAVLPDGTIMEDLSLLRKNN TGYDIKQLFIGSEGTIGIITGISIQCPRRSPAVNVAYFGLESYEQAQKAFIAAKAYLG EILSAFEMMDGRTQKILKEVAGVKLPLEGDHPFYCLVETSGSNTDHDSEKLQNFLEHV MESEVVSDGVLAESQTQVAELWACRERITECLGHWGGVYKYDLSIPIAQMYDLVEDIR ERMTKDGLLGDTKDHPVVDVVGYGHMGDANLHLNVPVRRFDKTVEKALEPYLYEWTSK RNGSISAEHGLGLSKKPYVGYSKSETMIKLMKQIKNLYDPNGILNPYKYI CC84DRAFT_1196762 MALPPRVDVHSHFLPPFYHEALLANGHEKVDGMPAIPEWSLDGH LTLMSAANITKSILSISSPGTNLRPNTLSSEVTSLTRKCNAFAADLKRRHPDKFGFWA SLPLPDVQAALEEIDRAREEGCDGFALMTNYHGSYLGDPMFDPIFARFNELHAKVFIH PTAPCMQCSGAPTPALPFGDGYPIPIFEFIFDSARAVINLFFSGTVDRCPNVTFVLPH GGGVLPPLLTRFTAFGALVPESRGIDAKVVRKQLGEQFYFDLAGTVFEGDEGVVGSGQ LKALVRGFDISHERLLYGSDFPFTRHASAMILANRMKDGLDELFEKKERDAIYQENAE KLLRKETLTRGRGDR CC84DRAFT_800226 MEKITDKINALPGGANYFSLEFFPPKTAMGFANLSSRLERMAQA LRPLFVNVTWGAGGSTATKSLELAEICQRQLGLTTCLHLTCTNMSRKLVDEALEHARA LGIRNILALRGDAPRSEEYRDEGQLPEDDSNKDFTWAVDLVRYIRKQYGDYFCIGVAA YPEGHSDQSHPEAQDPKFDLPYLVEKTQAGADFLMTQLFFDVDAYDKFETMLREHESG AFTTIPIIPGLLPIQSYQILNRVSKLSHARIPADIVARLDAVKGDDELVKQVGIKVLS EIVDHLKARPSPTRRGFHFYTLNLEKAVSHIVESCHLIPQPLDDDDDAIELTPGADLQ PPEGALRTKDRRRLSSVNSDPRNRVIISKSSHSKSSNASYGVPEDEAGIPQGPVTTRA NTLAISEGEGSLGREATWDDFPNGRWGDARSPAFGEIDGYGPTLHVSTPQALKLWGHP VDKDDISKLFRRHIEGDLEAIPWSEQGLSPETSTIAKELLALNAKGWWTVASQPAVNG LKSTDPVFGWGPKNGLVFQKPFVEFFLPAADWAKLQPRLQAHDQVTYFASNAAGDFEA SNEEGVNPVTWGSFTGKEIVTPTIIEAVSFRSWCEEAWSIWREWQRIYAPRTETSKLL GEMRRDLWLVNIIWGDYVEGEGLWRFLMEE CC84DRAFT_1218107 MPSTSDATAALATLSLIKPTPAIRDLRALLTDAERMQHSFDDRP ALRASPARRELLHEVLKDLLGLRNQVFTRYKRDLTGKGKATSSEEGGVDGDGHADNTA YGNVFAEVGAVIEELRLWGKVEKLLGGVLEGCRVEGVFSSEQMRVLKGACEPGFDWGF GG CC84DRAFT_1165068 MAGVGNAAPAPEDFKAKRRDYNNPVLPGWNSDPSCVFVKEHDNT LFCTTSSFLAFPGIPVYASKDFTNWKLASHAITRPDQLPELGTSGGGPGQQNEGIWAS TIRYRNGTFYLITSYVNLRTWGPKILLFKTTDPFKDDWEGPLRMENPAGDIDPDLFWD DDGKAYVSVAAGIYITEMDLTTGKAIGDTFKVWNGTGDRNPEGPHLYKKDDWYYLLIG EGGTETNHSVTIARSKNIRGPYEGYEKNPILTAKNTDNYFQTVGHADLFEDASGNWWA VALSTRSGPEWANYPMGRETVLVPAQWSKGGWPIIEPVRGRQSGPLPAINRRVPGAGP WAEEPDAEYFEPGSQLSRQHILWRIPKDELFAISPQGHPNTLRISPSRVNLTSDASYQ PTEEGLGFIARKQTSTKFTFSVDLSFKPTTSSGEAGITVFLTQLQHIDLSIVHLPSSS HSETLVPHLKFSVEATGKPNETVPEMKTIPVRESWLSHPIQLKVEAVDEYKYVFTAAS TYHPSQVVHVGEANATIVSGGSGPFTGTIIGTFATKNGNEKETASAYFSRWMYTPIAQ AIDAGVYVPSFVH CC84DRAFT_1165070 MMPTQSAILSDHHHHQFSTQKFTITIELRPLTMLPPISLSSLTP REAITDALSRCILGLDTNSRPLFESACLQDSTMTIAIGPTVVSGWPAISAFFERVFQV ITTHIISNVRIAVEDGADTATLSAHAISYHIREEDKLSVEDTSYTAGSLYDIEVVRDK GDGLWKIRRWGFKILWTKGERAVLFGDEEVATVE CC84DRAFT_1165071 MAKLTVHHLQVGQGERIPFLLEELGIPYEIKLYQRSPFLSPPEL KEKHPMGASPLLEDATSDPENPLLLAESGAIVEYVINKYGDGRLALPPSHPNYADYLY WLHFSNGNLQPTIFRRFQARMFGIDSQDQRYQGTDERVKTAVGHLNNRLKSNDYLAGD QFTAADVMTTWCFTTMRRFEPLDLTEYPAILAWLKRVTARPAYQRAMKKGDPDEDLEA SISAAGPPRFVPKS CC84DRAFT_1165072 MGVLKVLCTCALTFCLLANPSFASLNGAIPKKFGIVMFRAFDTT DITAPLEILNFIGLLYNTEVVLLSDTLEPVSTQPLTMNPKNSSVWTTFTPTHTFDTAP EVDVLIVPGGPGARNPNMTAVWNYIAKAAPKTKHVLTICTGSGVAAKAGIMDGRKATT NKAAWKEITAYGPNTEWIPKARWVEDESAEPPIWSSSGVTSGFDMLLQFVEKKYSLQN ATYMANLIEHVRITDPSNDPFAVNINGTAA CC84DRAFT_800426 MKVLHDFDQRHGTAATAIARSHVLQRSTPVPWYMDPAVTAIDRG KIKLDDIAEAPEYTSGLAHHGDAYTQSGDEDNGLGLEDTHHQNKEHLHLPNEDADAAL PNFEKHEQTLTPELQRIKSPLAQPAPPLAPAHVNPDDPVYEAVIFPNETKEVIAVHPG KPSKVRLDVPSLLNFDGEQVDDWARDILAAIHNHKFLADLAASQHETPTGNAYIAAEK NDGEHIEVPDNGQVKFAEGHAVKVQLAKETPSIEVVPNHSKEAVQPTMLGTPVAVDER AVTLANWASLVQLDGHRLLEPENPAATPSIRQDHVQPLSLYPLPVYQERTESRSEAPL VRYLRVSAYHLSLILFYYVIISFFLPGTSVPASLYLVASTFLGAMWAVLYYLQREPDK PRTARVIDRSKSTTKKRFIKICGTLLAVTAMIAAVAYGTTPGEHSRENPASVATIHAI QAETLAVCTNTLDWETVFRPITTCLVNTPNPFLIIPRDPAINHIAKDSASVFDSDYES DSDIYDSYGKPKAVATRASSNWPSQLLGLGAGVLLAGVHIWWAQNGLEVYLKNYF CC84DRAFT_1260016 MSTKGKGKRVADDEVDDGKSATITISAPASHIMAPASRLTAPEI LRRHRHRRENVAANVWGPCLPGSDAPLPFSVYKAILRHPNLFFQFAIRLSQKTIIDLY AIDKEFHYRFNKYSTSIIHDFARHHAPQAAYIFSWVLFPELCISDPMLRPMDGRPHLA RDIPSLRWTQMVIHRDNVVRTILTILATNGHRVPRETYIVLMKFWLLMEMNNTTLRTT FLADNTIWTNQDLYFFHLFLMKLDMHFNHPVHNHGFIDLSHMLLTQKTLSTLHNVLVG QQTLDYDDLTDMLIRTYHEEDLDLDTNPWLADPEEHGVVADNMGTLSREGWIFEGESM HSPVDLVRIEAIDRGLDIQEYLIDFMMYGYIDPDRKQNVAAPRRWRHEKKILVPKEPW PIPSATTGLLATLDKRYDITQEGGDLKNVSVNRVQQSETVFMWHLSRKHDAQVGQIEN DVEGKCR CC84DRAFT_1147457 MESPPFRPKPLPAHLINGHSPLAQSSKVGDKDKATEMRDRKERD NLYSSIEASNRGRQIVNFDGPDGYFPKPTTSADTHGHDAHQEYELVHSGIDVEGYVDE HSPKTPLATSRAHSPYTQHPTIDFDGLSWPSKGTRERKEATAEEKEQRLAKLSGAVRT ILECIGEDPDREGLLDTPDRYAKALLFFTKGYEENLREIVNGAVFHEDHDELVIVKDI EIFSLCEHHLVPFTGKMHIGYIPNRRVIGLSKLARIAEMFARRLQVQERLTKQVALAL SEMLQPQGVAVVVESSHFCMVMRGVQKTTASTTTSCMLGRMRSTAKTREEFLNLIKRN CC84DRAFT_800514 MAGLRRAQEIDQWRPEESPARDRDRERVRDHIVEPGAASPRPVP RTARDGRRGDPHDADTRSPTRHARGRSDERSLSRERARRRSRGDYREGHGPTRRAPSD DRATHTHRHHHHHHHHSHHHRGSTPSTKRPHSRSPSPHSHKRSRRHRSRSTVRSRSRT RRAETTHRPSSRAFSPRSERERTRRRTPEIDTYKPASLARRRSPSADSYYRPSSRRGR KRSPTPERESRREGSRRRDSPRRPHRRDFSPTDDTRERRHPHASVKDRRRSRSPVKAR SRSPRRRRSPSASPNPSRREREHRKSRSPRASRHRTSRSRSRGAERSSKRESHPPSRR NSPPPPTSDDETDPKMRGGAYYQGRGGPHHSYSPPYSHQHPSQGHPGGRGGWSGHQSY PNQSSPTHGYSPSQSPYQQNYSPSQPPSYRGGFRGSYNGSDRRPSGPAFTPVGGRGRG AQPTQFSNLSWTPSSGTRGGRPATEAPRHSGGSALQPTASVSATADTQTSSVDADDNP FRPSKDLRVEDEGAKEDKKMPPPTKAATTPSKSGFSFSLKTAASKAKLAEQPPAVDKE KGSILEQPKPRESLSRETSVKPSDSRPERDPRDRDTDRSRDRERDFDRDYRAGERRYE PYDKRDSYLDRRPYKDLRERDPRDPRDSRESAYYRDRDYRDPRDRHDPRDPRDRDSRD YRDLRDPRDTRDLRESRDYRDIRDPRDPRDERDGRVERERKELPPNPNLPRRPDFRPD SRPISRPDSRTELRRDTLPEKPVKPERPKTRIIKKTRVKPRPTLSPDFAASDSVYYRK PGNESVVGSGTYGKVFKGVHVYTKDMVALKKIRMEGERDGFPVTAIREIKLLQSLNHD NIVKLQEVMVEKNDCFMVFEYLSHDLTGLLNHPSFKLEDAHKKDLAKQLFEGLDYLHR RGVLHRDIKAANILVSNTGQLKLADFGLARFYAKRSKLDYTNRVITIWYRSPELLLGE TQYGPAVDIWSAACVLVEIFTKHAIFPGDGGEINQLDKIYNVLGTPTVQEWPGIAEMQ WFELLRPTERKQSTFEEKYKDRLTTAAFELLSAMFVYDPAQRPSASDVLSHPYFATEQ PASKRAYELKDLEGDWHEFESKALRKEKEKQDKEARRAAREEASRKDGEKRRLEGQTE SERETKRVKSSEASA CC84DRAFT_1260019 MVSLAFYLSATVLLAQSSVAFNCSIPPIYVDIHKRVTHNTDNFQ YGSFIGIGTPAQNHSLWPSLSRNQTSFGASTFCDGNSTLKDCKESTGGFFNSRDSTTF IEDKDYQTLDTAPNSTIKESFYGYDSLRLYTHYFETDGAWQTLVDNSSVEVVEEGTAK PAVVGLGTSSTILNDLAARNQICGKMYSLYIGQGFDRAGGAVNGSNVFGGYDAGRFID PVHKYSMGSAGENHLNVRVKDIIISETNNVNANVSLFDTAAFPSMSSRPDTFDAQITT DQYPLSLPYEITQNFISHLQAEEDNTWGDNSLKLKNAFNGSMTIILDDGFEVTIPPSV LMNASNITPVQSRAKDSNEPFYLSTAFLTQVYLMADFEKQSFFLATAVQKNNMVMPTP FCPGSTPTAYQRPNQGAFVKQGLIGAIVGGVLGGIGIGICAYCFIASCRRRVAERRIE KRAEEGRKAAKMAQFEVEEVTEFDPPPKSATPFFWKKR CC84DRAFT_1187679 MAGQQQYLTDEEISKFIDDLDRNNDGHINYKELEQKLDEVGKEL APKPKRHQIHHDAEEQGRHEFLRTLIGCQENSIPRDKFAECVRRWEIPSLEQDRKEAK NEDDYLKNMSVWRKVRAYWAVEGPQIAFLALVVSFMLAFGIWQLVKYLTEPIYRPAFG WGAVLSKTCAGVLYPTFFFLILSMSRWFSTLLRRNYIISRFINWDLSQSFHVKMSIVA LVFATLHAIGHLSGSFVFGSKPNRQQAVAVVVGQDAVPRYYMDYVRSLPGWTGLTALG MFYLLAILSMPQVRKWNYEVFQLGHLLMFPIIGLMCAHGTAGLLQWPMFGYWLAFPAL LVIFERTQRFILGFFPMAAKLELLDEDTVAITTSIPKYRFWPYKAGQYVLVQVPKVSS FQWHPFTVSTCTGKSMQVHIKADGDWTSSLRDLAKSGTKSIKLGIDGPFGAPAQRFYD FEYSMVFGAGIGVTPFSGILTDLQDRELERTVSTDQLQARRGSKPSPYEEHKRVDFNW MVRDKNDLLWFSDLLNEVTRSSTPDSHSNLDLRIQTYVTQKRKEISTHVFRWLIEKYR TPSHPQSYLTGLLNPTHFGRPDLASIMEEHYHDMCGVLAEQMKEGEKWQGKGKSDEVK VGVFFCGPPVIGLQLADRCRAMTARGRTEGRKVEYHFMMEVFG CC84DRAFT_1165079 MATMPPVDASAKESTIQLTTRNDRSVLMFLLHMLLRPFHNHVGR PGHARPEGSVELKPSPVGTKSSNVTHRVVCDMHVYDIVAKQPIPSDTKKRICYFGGGG WQTPPSSQHWGMCSRMAQVVPGATVSLVSYPLAPKNPAQNAFPWLMRFYREIMDTAEE EDEKIILAGDSSGGNIVLALVLEALREDDEDMGVETKRAPHPLAIFAICPSTDLTRNN PDIEKTAKHDPFLTPKFIKSTAKAWCGDMDPTDRRVSPINADISLLKKYGIHVHGITA GHDILSPDGILLRKKLEQNRVTGQWLHWEKQMHCFVLTYPYGLREAKEAMDWVGNVLK DE CC84DRAFT_1187681 MYTPTASLLVGLAALKGASAWGTLGHATIAYIAQNYLTDATEAW AKKVLSDTSTSYLANVASWADTYRTTAAGKFSAPYHYIDANDSPPTTCNVNYARDCTS SGCSISAISNYTQRVADARLSAANTAEALKFLVHLLGDITQPLHDEALDTGGNDIKVT FDGYTSDNLHSDWDTYIPQKKVGGSSLADAQSWATTLIASIDSGSYKSLASSWVAKSN VNDAVTSATAWATDANALVCSVVMPDGVAALQKGDLYPTYYNKVIPTVELQIAKGGYR LADWLNKIYSANIAKRDLEGYSEELVSRMAEAAPLPPANRPSKAQLAREAYGGGCGCD RHEKH CC84DRAFT_1260023 MSAGGVYITDNYSEPMAPQHNFANNFDLDDPNQAMSVYARIMHE HTKKQLKTATNSARRRSEGVSPSESTASSESVRSTES CC84DRAFT_1121291 MVFVRPAALLALGGLSGLGWAAPVEVRDDTVSTEIFDKLSLFEQ YAAAAYCKSNSNSTGTKVTCDEQNCGSVEAADTNTLTEFENSSETDATGFVTTDTTNK LIVIAFRGSKSIDNWTANLDFQMEDVTFCSGCRVHSGFLESWNEAQDGVIKAVQAAQA EYPDFKVIITGHSLGGAMATIGAAALRTIDIPVDLYTYGAPKSGNDEWATFLSGTDKG ESFRVVHKDDVVPTLPPSVPFLMPYAHVQPEYYITTGNKVDVTPQDIQVISDGDNGGM DIAAHLWYFNKISACDGLFDLKVKRMVEDLS CC84DRAFT_800605 MFPPSQGLRLDIDAISQICGSVSIACWIVVFSPQIIENWKRGSA EGLSVVFITVWLLGDFFNIFGAVLQGVLPTMTILAVYYTLADIVLLGQYFWYEGFRLT DRVGSREESEEEDEEPTERAPLLSPSLRDRHDLPENGFHTPPRISDADARRRGSAHSA NSFRERFLSVSSNHLSPVTPLLDDPSLTPNKPPPRTQNPSALQAVFFNVGIIILVCAS GVFGWWLSTTRSSATTPPPTEPSSEKLQFNLWGQIFGYICAALYLGSRIPQLLLNYRR KSTDGISMLFFLFACIGNLTYVLSIVAYKPLCRKPNHCREGEAMSIYGRYIAVNLSWL LGSFGTLLLDAGVFIQYFLYRKDEDSDSEEESAR CC84DRAFT_1218123 MDDLAGLDWSAPPKKQSSAPTAFPPFRQSPAPQLSGRSTPLALS AQQSGAGAAGGGSRPFKAPSKPATPANDSFANLIAGNSSKSATSTLSLAERQRQLQEE KQRQETERQRQYDAHFGASGSAFLDNLGSGKSTPEPAAAGFRHPTPNASARQNLSSTI NKPFAGLDTSIKRPVQSPPADDDLLSAFNSNAPVDASSHFPPPTFGSGRSTPAIGTYS SRGDTPAPQTLKNSFGDEDDDDDMFGLNQLAQKATIQPPPPSAPTGDDDILGLLSKPV SEFQRKSAPEPEAEPSISRQSTPAAASPHDKAVAELVDMGFPAEKSAIALATTESGLD VQAAVGWLLNQAHAEAKQKTQDRSQERPRRSPDEMDERPRRSESRQKPRDSSAGGATP AWMRGEESRSRSGQRRKEGQGLEKDVSLASDIGSTLFKSANSLWKTTQKKAQRAVADF QQDGDPNMPKWMRDAQAAAANPSRGKAPAADVTDEAMMLEAGGRPTKPSRPSAARQAP EQLPVRPRREQHDLRQDSRNGGPDRMASQSPAMRQQVVDKRPAHKLTRQDVEEQSPQA YVSPSRRKKTTPQPDLFSSEEPAPSPPTSTFRQSAPAQSNNPFLKATSSAPNPRSPAV TPPPPKPHAPPRRIPPASSSALTQSASYRQKGSEAFKRGDYAAAHAAYSSAIGPLPAT HPVMIIVLCNRAVTNIKVGDPKAAISDADSALEIIGVSRGEGEKIIVGGTELDKDMKE FYGKALMRKAEALEHMEKWADAGKVWKEAVEAGVGGAISIQGRNRCEKAAGGGNQSTL PKRAPVRRPPPKPSAMSDLGPAQESEAVKKLKAANAAAEKADDEKFALADSVDAKLVA WKGTKADNLRALLGSLDKVLWPEAGWKKVNMGDLVMPNKVKIIYMKAIAKVHPDKISQ SATTEQRMISAAVFATLNEAWDKFKAENGL CC84DRAFT_800631 MGLFSRKSSKPAIAPGGTQPNMSNGSLKSPAPSTANGKSRMSFP DVPLPRAPDPTLDPAAYLRSIYAVRERTRLVLEKARKNQLKHFTVDMGKFQDTATYVV AIIKRDYAPDYASIPPHGRWQHFDVGGRPRVDQLMASWPSTVDNQERTRRLIDLFLVS VLLDAGAGTKWQYRSKESGKIYRRSEGLAVASLEMFKAGIFSSNPNEPCQVDSAGLKK LDINVLARGLQVTEANVIDGLEGRAGLLMRLGDALQNQEVFGLEARPGNMLDFLLSHP STQASSVPIIPLPTLWNLLMDSLAPIWPATRTQIDGISLGDAWPCSVMPSHPTHPWEN IVPFHKLTQWLAYSLMVPMTKLLNVHFAGADLLTGLPEYRNGGLFIDTGLLTLKPEDA KRGLAQYQRNAQNKGQPSMEVVPLFTADDDVIVEWRAVTVGLLDELLVEVNSLLGLSG SNKLNLAQMLEAGSWKGGREIAEVSRPNTKEPPIMILSDGTVF CC84DRAFT_1094698 MNTTKRQKQRKVLLMGKSGAGKSSMRSIVFSNYVAKDVRRLGAT IDVEHSNIKFMGNLMLNLWDCGGQDGFVENYLTHQRAHVFSSVAVLIFVFDIESREFA ADVISYSSIIRALAEHSPSAAVFVLIHKMDLVQARLRQQLFDERTEYIRSASESFAPT VDFFATSIWDQSLYKAWTQIIYFLIPNARSIEGLLRELAEVVEARELILYERTTCLMV THVTRDVEAENPFSDRFERISSILKTHKQSMAKHTGIPAGSANFAEMQIKTGRFMFFI TRLTENTNLAAAIPPSEQIFNAARINIALARPRFAELDIASKPRPAVQQAFAHSADSG WEGRERESAAAGFEG CC84DRAFT_1187687 MNRLPSIPSRPAHFHPYASDSPYQRPSAAPSRPDISSQQHPSRD SQGSQNHAQQLPSLRTLLEPELLENKVSDQFPRPGGAQLFNSTAGRYGSASPTLKRRH DSDGYSHDHPESHALPSRIPAVHRHASFTTSTDSQPPAFSTSGPAHRPQTFIGISSGT MYSDSTTKIFRPPSTTSALSACSEPGRAFSSHAQFHDDPVDLSKPARRRTEGSSRAPI RSKHCVGQQQVAGEGLCYVFDDGSYCRVVIDGEPVNPSWGITKAGKPRKRLAQACLTC REKKIKCEPGYPKCHQCAKSQRACRGGLNQPGMNNASGETSPSSSSVLFKNQSTELIS PVAGPDKFKSLDQQYSKPVESWNTTGSSFKPRTFRPNSVATSRDMSVHSMDSDWSGSV NNQDPDDLRRGSHQDQLAMQWEQDPYDSDPRLTMHLLDLYFLHAGRATYGMFPRRPFL TWVETNREKNQDHLMLLYSVLAMGSVFSTDAEQRGAGKWYAAVAAYAMEKRFGKFTLQ LCQSRLMLGLYNFARGKSQEAWDYCGAGLRALSALKLNSEDGIKELPETAADMPYGFD RLTLEECCRRTFWSGFLMDVSSTASTATTNPDLMHQQRYNGFCGGTLCVINIEDTFLR LPCLENMFEASTPCDSPMFDLEILNRQAYSGPPLGHMAYLTLISTIWGDVVTFTSRAV HRSGARYEVLYEAFYAKTYERLDAWLEMLPVNLRYTPQNLDNSIVEGFAGSFISLHAL YRTTVIRLNRHIRLSAMSTDKVARNVEQAFYHAISFVHMMHSLAPENRHQRLPSTAAA EFLFSTPFPGYALLLSIDVVTAAGTAASIPSLIETLGMASSCLEELATFWASARTQQK VVANRLKQLTKTAMQEEQGARNGTLGQFWRQTESLEAAFGNDDAVYEADQQLVFEVLN RLTDGRRTA CC84DRAFT_1060992 DDEAPPLPDEAPPEDDGWTYEWDHGAQRHYFYNRLTGKSQWENP RLPEATATDYGSSTVAPTTSSGAPGTSSPPKRKYGGYNPAIHGDYDPNADYAREAEEE EEELEVVATGLPAPIAGDYATTAQFNRFTGRFQQAGMNPEYHNDENKSKRQMSAFFDV DAAANSHDGRSLKAERRGKALSKKELQAFKNKRRDKKEEKRRAWLRD CC84DRAFT_1246957 MNEDIVDEILYLARANEVAELSTYLSDVSAQAKQSKAELVAAAA DPYSKNTALHYAAANGHVDTVKLLFSLETGKASASTSGLINAVNDAGNTALHWAALNG HLECVKLLVQAGADVTIINRAGHDAVFEAEINDKGDVVDWLLGAVEELEKGIGETGES SGGGLGADSQSEPALNGSIGTSAGGAVEDVRRQMDNLDTKDASKDG CC84DRAFT_1206308 MITRYSHRMRFYESVDELLLWVETSSTLKRVASRPQYSALIHSS LASQMSGEGDLSSLESTHARSEPSNEAAASPTISPTLSSTLLEDTTPEQITYQPDVYS YPLMIYDRLVDAPTPQTAVPCDSTFYVPFSTMGSGEFELPDIPLSVPFALFNGHNVQD KAAKKQQNESQRRWWDCIWGRQHVLRQTLADKPQKYTPLTSGSSIGTNSITEGAPTTA ATSATPERAENTPIRLRKLMPATKRQQPPSPSLPPWQSPTPTRNTTLVTHNQGQRNSS SATFSSPANRFLPYPLQPRLSSEYLAAHGAFFSKPLPTPPLPQSSAHLSPYPSRSASP GSDLDALEAQSDSVVEPRRSRSVRSVMHIADGVDRTGEKLWIGRWIGAVEEGVNKAVD AVVRWTEDEGAEEGLLLPAWEAGGSRSYGFYSRCSGRAA CC84DRAFT_1147497 MEKANVPLSTTALREEPESVPREKEVEPIRADSESATTISSSAG EKSAQPAEQHDTGVNISRAEAEFAALNREFSRTSQLSRTKSRQSRKGATDIEKAVDEE TGSEEPFDLETVLRGNRDEEERAGIKSKRIGVVFEDLTVSGIGGVKNYVKTFPDAFVS FFNVFATVQSLLGLGKKGREFDILKDFRGVVKPGEMCLVLGRPGSGCTTFLKVASNQR YGYTKVDGKVLYGPFESDFFEKRYRGEAVYCEEDENHHPTLTVGQTLDFALETKVPGK RPAGVSRKDFKERVIDLMLKMFNIEHTRNTIVGNPFVRGVSGGERKRVSIAETMITGA SVISWDNSTRGLDASTAVDYARSLRVLTNIYKTTTFVSLYQASENIYKVFDKVLVIDS GRQVYFGPTKDARAYFEGLGFLEKPRQTSPDYLTGCTDPFEREYRAGRSEKDVPSSPD ALAEAYKNSQIAADCNAEIAAYHTQMAEEKEVHDEFMTAVQESKRHAPKKSVYSIPFY LQVQALFKRQFLLKWQDKFTLVTSWATSIIIAIVIGTVWLNLPTTSDGAFTRGGVLFI ALLFNAFNAFSELPGVMLGRPIVNKHRAFTFHRPSALWVAQIGVDLLFSSAQILVFSI IVYFMTGLVRDAGAFFIFVLMIITGYLAMTLFFRTVGCLCPDFDVAIRLAATIITLFV LTSGYIIQYQSEQVWLRWIFYINALGLAFSALMMNEFGRLDLTCAGTSLIPNGPGYGD INSQVCTLAGSTAGTTSVSGSDYIRIGFSYLTSDLWRNWGISVVLIVAFLTANVFLGE YVKWGAGGRTVTFFLKENKELKELNEKLRVKRDKRNRKEEDTDASSGLKITSKAVLTW EDLCYDVPVPSGQLRLLNNIFGYVKPGQLTALMGASGAGKTTLLDVLAARKNIGVIGG EKLVDGKAPGIAFQRGTAYAEQLDVHEPAQTVREALRFSADLRQPFETPQEEKYAYVE EVISLLEMEDMADAIIGDPETGLAVEQRKRVTIGVELAAKPELLLFLDEPTSGLDSQS AFNIVRFLRKLAAAGQAILCTIHQPNSALFENFDRLLLLQRGGQCVYFGDIGKDAHAL LDYFHRHGADCPSDANPAEWMLDAIGAGSTPRIGKHDWADVWRDSAEFAQVKEDIVRM KEARSAEVVAAAHVEQKEYATPLWHQIKKVNKRQNLAFWRTPNYGFTRLFNHVIIALL TGLMYLQLDDSRSSLQYRVFVIFQVTVLPALILAQVEPKYAISRMISYREQMAKAYKT FPFALSMVIAEMPYNVLCAVFFFLPIYYIPGLNPAPDRAGYQFLIILITEIFSVTLAQ ALAALTPDPFISSLINPFVIVIFALFCGVTIPKPQIPGFWRAWLYQLNPMTRLVGGMI VTELHDRKVECVPVELNTFNAPDGQTCGEYMSDYFKNGGPGYIVDNATDICQYCAYKV GDQFFEPLGLSFSNRWRDLGIMAAFIGSSLFLLFIAARYLNFNRR CC84DRAFT_1165093 MLKSFIFTSLALVATAVTGLKTPTPPGLEFLYSLNCSLGEPFST GVGPYGEVRVIPITGGYFEGPKIKGDVLNLGADWNYLDNRGTGHPDTRYQLRTDDGAN IFIKTAGSDQPDGVIHLRGIYETGSEKYFWLNYVTAVGILKPGVGYVTIDMWYLKSPS NGTIAVE CC84DRAFT_1094394 MEQERAQPPAYTQHDPSSLNLPSVPTHSLPSLRSLDLPDAQPSH PSVELSPRTQNTQWGSLPRMAFPRVPEGDVGSPMDMDRASVTSGDDQRREMSVVSMED PDVRLAAEALSGLGNPDFARSPTSRSVTLSARESTVEPEPLLNLLTSAHPWLGGSING SISAYNTTKTYSPRIFKYGAELIERNIGSPVVNTVSSVGRRTGVEQNLRRYLGEVHRR PSDLEQGDADRAGKRPRVMSPRAGESPDAMDLDVESEYATSRTRGGSQSSFAESLPAY DDHRSPTYEETAVVTIDPATGKEIRTSQERPQSTQDRRVNWSTQLIMTTSGLGVALSD ASLKSLKLCLRLLRGATKHIDSVMRALKLVVDEYEAALRNARHSNGTYADEKDATMAD GSSTQDAQVRAIADRMKQLSSDIWTTLQSVVTSVSRYTGGALPQNASQVVRTQLLSVP QRWQLASRTTATGNEGGEGGGEEVRGANRMLAFASEGLDMMGQITTVVDGTVQSAEGW LSRIGRQPTQQPEEGPEKAPLTDVRVDAGVHEKK CC84DRAFT_800938 MTAKAVTATVDAGSEVEGDALWPLAYRLQTSQQPAFVRWWSYQL YQNPEGEKVQVLYSNNKARSEELAQKFLEEPMVGFDMEWPCFPGQNPPLQKRIGLIQI ASEDKIALIHIGLHAGKTTDDIIAPSLRKLIESPKITKTGVGILGADFARLRRFFGLK PQGAFELSHLDRLVRFACIPHLLNTKMISLANLVEGHLGLPLAKGPVRTSNWSKPLND EQKNYAASDAYAGFMLFHCMNAKRLALMPVPSLPIFAEQYPRRAKGAKGFAPITTLML HPAEEGGSAIAANEFFENRKDDAKSDEKACEEKDKTGTPTADKNKKTTKETASKTGST ITQKPLGRAAQILYDHLAERRKALAQANGVPVYLIANNSVLRGLAQMAPQNDEDLLKV KGIGKLSVAKYGTDWLDVIVRNLEVHGDEQQQIAKPSVALAEYQETVPRTPTRKSMRR PQNTQSSTDSSLGFGSPIRRKPVLQTGLSFTLAEITLERGDSTDGSLQYPALPRSRVD HQYEDIEFIDMTGDKPHGHKSAGGVEPIVTVDASLGAFSGITSGKGPAHRNAKSPSSS EDSLVFVTPPSRSASYLKRKRTETRSCTATPSRVPSQAVPPNLAPKEAPTPARISTQA PLLSPGSRMFRNKLVAFSKLVTRKLSTGSATPIVSEATLDLIVTISPRTAEDLDRIAG IEPFVLACRAAEMDLLRNIVKFATP CC84DRAFT_800934 MVSILVSQTHVIHVAAPIDFASSHRHCRFFSSTHTKYWYSSHSF SLAGRVSGGVFAADSLLLRLDERVYTMDSYTCTHTATAPLACDALLYTNANNLSVLRR NSADHAFVKVTNQNGETRLGRTHAWRLKIQRSGLARSGKTTIGLTRRSTFAITLMSAR KSAFGFP CC84DRAFT_1206313 MRSRLRPSGFSHSRSFSAPTVPSLRLTPPTPHRSYHHEKDYDPD DSIPTSAAAMPRPNRYRRPSPRTSGHHPYAINGQSSPSPTFPHEQYQSVNPGFFRGQL DGKTIAAFSIVIAPDGYTVTIQGQLVPKPAKYPSQDVQERRSLSQPASPVIFQSPIEH SVAALGLQNPPNSPTDGETVPPKQQPRLAPEKPEHAVPLAEDHSQFAQMYLDQYNAYL TQYQTQQQWMWPVEQIPASHA CC84DRAFT_800969 MKEEPGVMPPRAHSFLLGLSLCFHLGTPVCFLGSTKRVFAFRRH PVLMSTAESNSMCPDFVSRSLPVILSSCIANRSVVGSLMGLHCLELGPNFRSSIVIAS SYINNT CC84DRAFT_1176886 MPQAKLNPLSKDKPFLLMRLPLTELSIGLTGSSSEYLKKDVAIY HNLMSSLLCSQNTTRGSPSLKVHTQTEEKIERLVALSRWLYKPDAADVYRTLRALETR KAVVRATNDLLWKTVVFFVTSTEWGFATPHVDGDRYLHFLEEMLRGSAALEFAQVKHR SGGL CC84DRAFT_800976 MSSSVWNPDNVRDVAESVGIASLADNVVEELARDVDYRLAQVLE EALKFMRHGKRTTLSTHDISHALKVLNVEPLYGYESTRPLRFGEASLGPGQPLYYVED EEVDFEKLINAPLPKVPREITFTAHWLAVEGVQPSIPQNPTASNQADLLPKGPNANPH LAAANGLDNYTVKPLVKHVLSKESQELFAKLSSALLDENNQEWQNAALASIQSDPGIH QLTTYLITFISEKVTHSMKNLTVLRAMLLATDRLLANPTIYLDPYIPYMVPPVLTCCL GKHIGPTSHQAPSNASSETLNGNNINGHARHNFEHFDLRKIAASLLQQMCRKYSASNQ GLKTRIARSCLKAFLDPNKPLGTHYGALETLRRVLGADGVRIGILPNLKIYDEVLKEA LADDSRKEEARRVLATILVCLDDMERSRGAMRANGVANLENQRDRLAEKVGGEVADHI IKSNRTAVAQAILEADLSMA CC84DRAFT_1218137 MAILKALLSYPVDVDSDVRQVSIDEMASLDFHDLVVLREKSRDE PPSPPPQPPPSPSGWSRPSDPDMVSMGSACTFTSE CC84DRAFT_800995 MPPPVTRSSPSGPSRGGSRRRGTTRSGSAAPGSPHLSVPGSSRS TPASNTSTTTRSGQIVVPSLKARESQNARFADATESPMVIPPNEILVYAATTTGEDIP RTYAEAMSDTNALLWRPPIAHEIRAHETNRTYDIVNIEDLPLSIRLVGT CC84DRAFT_1246969 MRAMFNDDNVKGSFFLATLPDTMDNVIDNLATRQLTAFQDIEPK ILDISEKHSLDTTDSSSAYAARQTATRQQNARSSQRPFPAANECTWCRKHNLTFIGHV YTNCNELRKHKEQQKKTPSKGKDATSKRDKRQKGNSAEVVDNEADDDDDDDDDSTTEV NGFAANVVDLTTPPSTPTASPPRINANGKRAHEPVSAYASTLRQPSAGAETDPTASWL FDIGASRHMSGFINDFTTLSPGRGTITIAGGIKLPIEGVGMVRLRCRLPDGLTNIAEL TNALYSSELHHTRLFSWAYIRNRYELAGLKNDVYLTRQGKTTLWARHVNGTIQIQTED SPPPSSHSKSTLAIPVGLAGLPNC CC84DRAFT_1094480 MSTFSFKLDPASLPKLNARGDNFPEYRSAWTVAFRYAGLWPIIS KKKSRPAALAANTEAAALAARSEAIDAWDADDTKALVMILSSVHNDLTQQVADCETSP AAWDYLTSRFDRDTGQSSIMLFRSLTNLRYRDGDDLRLHLDEFH CC84DRAFT_1121328 MASAVFFLDLKGKTLLARNYRGDIPMSAVEKFPVLLSEAEEESS AVPPCFSNEGINYLYIRHNNLYLLALTKRNSNAAEILLFLHKVVEVFTEYFKELEEES IRDNFVVIYELLDEMMDFGYPQTTETKILQEYITQESHKLDVQARPPIAVTNAVSWRS EGIRYRKNEVFLDVVESLNLLVSANGNVLRSEILGAVKMKCYLSGMPELRLGLNDKAM FETTGRATRGKAVEMEDVKFHQCVRLSRFENDRTISFIPPDGEFELMSYRLNTQVKPL IWVECIVESHSGSRIEYMLKAKAQFKRRSTANNVQISIPVPEDADTPRFRTNIGTVHY APETSSIVWKIKQFGGGKEFLMRAELGLPSVRGDDEKGGGMMGGFGGSMGGVGGGKGK RPISVKFEIPYFTTSGIQVRYLKIIEPKLQYPSLPWVRYITQSGDIAVRLPDVN CC84DRAFT_1260044 MRKSLISLAVAAGATAQSQFTNIPSDFASLIPSSALSIIPSGVL PTDVASATDAINDASDSSSAASATASDSGTTAAGSQTTAAPFIPPPFSTNPGEWSSIY QSIQSAGFSWPSSAYGPGQGPWVGYGGGHPNGGRWGGANGHGPWGSNNWGPSAWQSNS AWHDGPWTRWWGGSACPESDWPGWTEGPWSTDAPWTGWAGCSASTTATSVVTTTVSGV QTTATQYGVKVVQATGTGGSSAGATDSLGSQGAAPMKTMAPALAALGGAVAIFL CC84DRAFT_1093695 MAGIVEQLDGVIAGLLAGYNAYTTLLVVTLLGFVGWVIYDTQDS DTHPLLLARQAQASYVRQPGESAVYRSPEHPHGYPLRTGLGVKPPGAPMYTAGRDGDL RDIWRRVTGEIPLEKGATSSGTANIVTVFGKEGVTEHAIADITKEITIIGKHFRDHGA KRVAIYLPNSLEFLVTLFAGAFYDFVPILIPYNQPHQTLIELLNQTNADSLVAEAGSV PLADVGKSVSSLRQVIWTVEKTSRHMDWNEVPEGIGGKIDVSVWHQLVQDQKVAFPEL PKDAGKDATKAPNVVFLWQERVGKAAEIVEFTQQNLAAGTGALLSALPGPQRLNASDS FLPADTFTNSYTLCLTLAALYSHATVIINSVAGPGVDLTLATRSIAPTIAVVSAETAA KLHNTTTTSITGGLKKIAHYLETRSLTAGRLPTDTFLSRLNAPTRASVGATPGKLRLL FISERAGLNTPPLSSEDLSDLRIYTKARVVYALTAAKVAGAVAQTNIYDYRRAVTPSN KHSHFGVPLSSLELKLKDTPSHKNTDEKFVGDIVVSGASVAGGETALGVNGTIREDHT IAYV CC84DRAFT_1206321 MSQLARTTQGAAPMTPRTPSSAPATPSVLTEEESTPGRWKHPQF DEIARRQYATTFDERNVKMIVTNGSLLVGTFVAPAVISQITLLRTLSKPVLGLLHQLP YNGDEWTLFLFRLIFLANLVRAFMPLARRYFPDDIADIPLTPSQRLAMGLKPGISPRS PASGYASSPNYVTPPRYQKSTPRSSFGAQGSQSPLSGSPRASLGMSTSNSPFSPSTGS PLFQKAVNGGSATKRMSFGGSTPGSSFFGESSSSATPSTPTPSTGKASVGLNNKWLYD KRRDSPRSSLFS CC84DRAFT_1165103 MNTVKSVYYGWGTLILAGGGAYYFAKRSINEDRARKADIEAQKR LAQYQLEQAYSQQSAPAPKPTPKSMPAYAGKPYTGPARGKRGEKGEDKDWQAGHDDAG NPSQEANADPAPTRHAPEDEGQKMREKSKYEASDVYRSRRGDRFS CC84DRAFT_1165104 MKVLITGATGTIGSAILRHCLQEPSITSIVALSRRALPQDISSP KLSTILVSDFANYDAALLSKIASADAAIWAMGTTDANHEVNVIYPHTFFTTFLDARKN SEHRSKRFRYIRINGAFTVSDQDRSLWFFSEPRKLHGMSEARTLELGKQYRDVCQTFV VKPGGVATSGAGVMECAGKLFGDGAVIGAETLGAFVADLVVHGEEEEGAIFNKRMVEK GSALLKGNK CC84DRAFT_1218147 MSPKLIFGTGGLGMAPGSFRDSTSVRPLMSTLKELGITHLDTAA RYPPTSPGLAEKLVGEAADGFVVDTKVLTETHADGSGDLKKEKIENSVSESMNRLRRE SVNILYSHRADPATPLKEQVQNFNEQIRQGHCKHWGVCNTAPSVLEEMLIICNENGWE KPRYYQGSYSLITRGMETKLLPILRSAGMHFNGYQPLAAGFLTGKFVNNDYAGTRFDA ASPVGNVMQKMFSGDQLMMAMKKFDAAVQQRGLTSPEVAIRWLMHHSVLNDEDGIILG ASRIEQVHETAALTKKGPLEKDVLALVEEFWQDVKSLREEIL CC84DRAFT_1246981 MSHQTTTGGQTASEKTEFNEFEAFDEETGVTKQEVNRHINETTL SDTQKKREAKVLRKVDWRLIPILGLLYSVAGLDRVNLSNARVAGMNKDLRFDIGNRYS IALLVFFITYFLFELPTTLLLRPLKPRYLLNGLAVCWGSVMLGMGFINDWRYIVVCRM LIGVFEAGYLPCCMFLLATWYQRFEVQSRISWWYLINLFVSAFGNILAFAIVKLDGVH GIAGWRWIFIVEGAATLGIALIGFFLVIDFPDRMLATNKLQGFTQDELQIILDRIDRD RGDSQPDKLTWEKFTRHVVNWELWVYGFMFLTCSAPIYAFAYFIQIILGTIVDSTAVV FLLCAPPYLFSIIWTVLCAWLADRSHLRMPWMVGNAVITLTGLLITAYSTNPGARYFG VFLGVSGCNANLPTIIALQSNNVRSDSRRSVANGVQLLFAAIGGIYASTTFMQKEYPT YRTGVWCAVATQFLLIILCAGMFLHFRKENKAADEYGEVIQEDEGFRYTF CC84DRAFT_1147527 MSTKSAVISVIGSLNVDLVTRTPRVPVAGETLTTESFNTGFGGK GANQAVACARLSRTQKQASSGEASDVEVRMVGAVGDDEFHEGFLKSLQADGLGTKGVK ILKGKKTGVAVIIVETGTGENRIMFCPGANYDVQVEDLVDDDAAVVLFQLELPLQVVL HNMKVAREKGAETIINPAPAIPLPDEAYSGLSHLIVNETEASILSGIDNPTSWDEVAS VFVQKGVKNVIITLGGEGVYYRTAKQHAQSQLGKIVPARKVQVVDTTAAGDTFVGAYS VAVARWKSSSEATEFDLDSAIRHANLAASLTVQKAGAQSSIPWVDQVPSS CC84DRAFT_801092 MADRQTPYTISVFPSEGDGDHGDAAPSRMQQRLVDFIMDFHLDN VFVYRDQIRENVLIKQYYCDVDVAHLISFDEELAHKLTQDPAEIIPLFEAALKSCTQR IVYPSQRTIKLPEHQLLLHSSASELSIRDLTATSVSRLVRIPGIIIGASTLSSKSTTL AIRCRNCQHEETLPVSGGFSGVSLPRTCSRQRGENDDKCPLDPYYVMHERCQFVDQQV LKMQEAPDQVPVGELPRHIMISADRYLANRVVPGTRCTVMGIYSIYQQKGSKRSQNAA VAIRNPYIRAVGIHADVDHTAKGNLTFTEEEEQEFLELSRRRDIYEVFASCIAPSIYG NKDIKKAIACLLMGGSKKILPDGMKLRGDINVLLLGDPGTAKSQLLKFVERASPIAVY TSGKGSSAAGLTASVQRDHNTREFYLEGGAMVLADGGVVCIDEFDKMRDEDRVAIHEA MEQQTISIAKAGITTILNSRTSVLAAANPIFGRYDDMKTPGENIDFQTTILSRFDMIF IVRDEHDRARDERIAKHVMGLAMGGRGTEEVVESEIPIEKMKRYISYCRQKCAPRLSP EAAEKLSSHFVSIRRQVHASEMNANQRSSIPITVRQLEAIIRITESLAKLSLSPVADE SHVDEAIRLFLASTMDAVNQGEGQASKELMDEVNKVEEELRRRMPIGWQVNLSTLKRE MCDGKGYSEQALGRALHVMAARETIQWRHGQSAVYRSNI CC84DRAFT_1165107 MSSSPRRSSQESFEFLSPNISQAQFPFPAPPEWTHRPNSTGGAR YQPRRGSTSSSIHSVGGALDTAFKGSMGPLREQQNNNAISTLLQPPIVRTGMLPHTAQ TAMSGSHRPPSTKDIPPVTLANIPHVEASAFNPYLSQIGNLYEAFQRAKAEADDAAAI LRKDSVKKDDLSDAVERGMRAGSPAATPKLSSSAFSPSSSPKPKRRTSGSKRALPTIT PLSTIPNVYFDENFRLENPRTFDVVSERSEVVRPVRTKSGDDLNDANGSFEAPQPPGR KALATNAILQEKLSWYMDTVEVHLISSISTASTSFFAALGSLRELQSEASESVERIKT VRSDLKRLDDQMAIGGLKIVDMKRRRENLRKLTHATDQLQAVITGLSVCDETVDKGAL ESAMTRLETIERLICGTLDTTDTSATSWMQPPCPPSIVDLRTLRALDGVSEGINQLRF RIGKGFEQRFVDTLRNDLREHVKRTPSRDTLQRWAATSQRGRGDHQRLKSSLPAYLTT SNQFRSDLRADLVGLSGSNFTNQASATFRDAIVREMKLVIRQYLPSSTDDDAESMTSV STRGGRGYSQQDKNSILARNLRAMDPADAEEFFTGIFTDIGEALRRLSVQVKVLLDVT SGVSTPPASSGGLRSPPRSPYMSSIDGAMGAVPNPMDMTGLQEELMQALDMSSLLGQA VDAAQTQVTKLLKVRAEVTSNLPLNRFLRYFNLCRLFADECEAVSGRSGAALKGVVNN HITDFVSKFGDNEKQALAKAMDSDRWEPKDFDERDKEVLARILKGMESDPTEWKEAGS ILGEVGDDSPTNGTAHTNGALTEKEKKSPAPAYVDEEKYIVSNSSAVVIRGIERFETL LTAMPNMTSEISAVLCDYIKLFNSRLCQLILGAGAMHSAGLKNINTKHLAIASQTLSF IIALLPYLRECARRHATANKTALAEFDNVKRLLHDQQQSIHEKLIDIMSNRATVHVRN LRKIEWDAEAETKKEVSPNMETLTKETVTLHKVINKYLHEIQVRMIMAPVFESYRDQL GKVFKDAAVKTDLGKQRILRDAKLFDAKLGQIDGAGNTGTYLIQLVEGKVVAPTEHEA NKAEETKKEEPETVPEKASEPTT CC84DRAFT_1246985 MSPLAHYIISTSQPRRRSTASLSSTTTTSSMNSDMGLQATTADI GTPKGSSVDLTAQRKQAGEKPSAVSQAQGCMHVCGGGRVEGQQQGLGNGEGKRKGEGR GEWTWNVGFGVWSS CC84DRAFT_1147535 MASTAPKDETYEAFRSRFDGAIITTYVVAMLVVPLKIWCRKRAG GWSIMGADEIFTLCGAAFVTGTFAVIYSAVRPLLGKKIADVMQSPEDMAKLPAFALYL WVANLLYTISVMFMKLSIVALYWRLFGLTRKGRIPLLLVGGVIVAWGITVFLIVIFNC DPIAGSWDLTLATTAKCVDKKSFYVGGSVPNVITDLVLVIMPLPYVWRLHASVAQRIV LGGIFALGMFVSIVSMIRLSVLLDTAGGVFDVTYTFKDVYMWSLVEINVGLTCACLPS LRPLVKVIGLRRLFSSGRSRPSGAATPEPSQGLSGNVSGLNSRRKPKGMFSQLEDDDE FEMIPEDKMKGGAWSGGSTSRVSHDTDRTSNGSTDSRKQAAIGAIVVQRDFEVSSSRP CC84DRAFT_1260053 MAGDGLLTATFKFLLPFVPLLLAAYYVYTAIQTWLPLRHIPGPF LGKFSYLFMMRTQASGTQHLRYRAVDAKFGSIVRIGPNELTTSDPELIRRMSAARSPY KRSDWYLGMRVDPHLDNILSELDVDTHDKRRAKMAGAYAGRENPQLEKDVDDQIASWV NLIKTKYISEGGSLKPMDLALQAQYFTLDVITSLAYGGAFGYLARDEDIYDYIKLAEQ FVSTAAPIIGVAPIHKFLYRSGLIFKIAPNPEDPKGFGRLMSEAKAAVGERFEVDAKE RHDMIGAFVRNGIPQKQIEAELLLQIIAGSDTTASALRSTLLRLLTSPRVLTKLRAEV TAAVAEGRVSNPIQQKESKELPYLQAVVKEGLRITPPFLGQVAKEVPAGGDTYEGIYF PAGTRIGHNVYALTRHPIFGPDSDTFRPERWLEADADTRREMEKTVDLVFGYGRWSCM GRNVAFLEMDKVYFELLRHFDFDVVNAEKPWSSKNFNIWMQHEFFVRVTEREA CC84DRAFT_1176904 MLLLSLFLSLGFAQSSGLWLVADIQIIQWQTGIMNDHPHRLDLC DGGSRGNAFQLIRGTARNRSLLPLRNHSIERSRQLDYRPGLMWNIRETSNRYHFLVSS EQDGQQHFSITVTRYYAHCENMKEPMNLNFEEVDMALSGQLVEWQVTLRTGSMIIKGS CTHHD CC84DRAFT_1206328 MPKYVRPYFDHREWVRDGITLGDFESVCNFKHPIDRYDLRQVGP HLVQMASQLVECASLVCPAPMCEAGRGPKFRRRVMETIRRIHEIADDIDIVVPVRIVT GMVGIIGAGNSSSRTVVRTVLPQELSRRVVEERIYQPAPIHETETKTWVHRAHGSKYL ARVETKTYDRSQPEVEEVSRDENRGHKRGKKKKSQRRMIKERGESSDEEKGKRRRSRD RGHDRHRSKSRDRERGRRSGKDTYKDRDDDRRRSRSRSRDREHERHMSRDRRRIKDRS SSRDRHKEQNRRKSNDCSRHECSKDKDEYRKKHERRPRSRERSRRRDDRDKHKYDESE REYDSSPSRRSSSSSSSASRSNSRRMGATMGCVLWPEARFQCYVYGDFESELQHCICF YFLSGIYISKFTIMSSKYQTRRCDGVVNVIDSNQSGLARFAISISFGSAGSNPADVGF FN CC84DRAFT_1260054 MMDDNMSDAPDAQGPDDEQIQQQKQINEEYKMWKKNSVFLYDML YSRALEWPTLTAQWLPDKRPVEGTNMSTHRVILGTHTSGQAQNYLQIAHIEVPDMPTP DANNFDEKTGEVGGYGDAKKPFDFKVVQKINHPGEVNKARYQPQNPEIIASLCVDGRV LVFDRTKHPMDPKSDGSIKFEAELVGHENEGFGLSWSPLKEGHLVTGSEDMTVRTWDI KSGFSKGNTSIAPLTTYTTHTSIVNDVQYHPIHGFLIGSVSDDRTWKVTDTRVESHKV ALYSKENAHADALNSIAFHPEYESIFATGSADSTIGLWDLRNFDKKLHSLQHHSKDII ELQWHPQDPAILASSSYDRRICMWDVTRVGEEQTPDEAEDGPPELLFMHGGFTDRISS FDWNKNDPWLMLGAADDNQMQIFRPSRKLVEPIPKTTNHGEVSD CC84DRAFT_1093751 MHSTLVRIQNAFGFFTTVAFSVAAVIALSTFIHPQVPKATVGLR NVQVVRGRPHYYSYKKEEYAHIKFDLDTDLSTLFNWNTKQVFLYLKAVYPSTKAGEPD SEAIIWDAILPGAAAPWHQNHYIHPTPKGTKKPKNSKNSQAKSHMPAGIIKLDNQRPK YQITDISGKLQNRTDARLELGWNVQPWVGALLWENWNDYGMWKGLQGGKSELFDFPAI GAKSAKKEKLDVEKGKEGHRLMAGQEQPRKSN CC84DRAFT_1093359 MHFSKGLVVAAAVGIAQAQTPKGFTPAAKAQLDVIFNSTKVSTP GELLSKAATASQPQLALPSSAVSSNETYVFVMLDLDVPAASGNSSRRVLLHAMNTGFK ATKQSIAGGNVLLASSEKGPATYIGPSPPASDTIAHRYTQLLFKQPSTLKASASDFSN TQARIGFDIAGFAKQNGLGEPVAGNFFMVDGRASGAAAATGTRGAGGARQTGGGGGRG NGTSPRKLSPVVCV CC84DRAFT_801154 MRVWYRMTNSSNPSYSARPPAMTVAVLLMLRSNLQSAASPGLIA PASINLVPLNPVILSILLEPGYSENHCPVRDARSCRQAPGTPCEQPIMAAWQSEVDEA LEPCEDKAAEEEGKDDVA CC84DRAFT_801153 MPFNTELTRALGIKVPVVQGGMQWVGYAELASAVSNAGGLGILT ALTQPTPEDLRKEIRRCRTMTKNPFGVNLTLLPALVPPDYAAYAQVIIDEGIKIVETA GNSPGPVIKQLKAANCIILHKCTTIRHAQSAVKMGVDFLSIDGFECAGHVGETDITNF ILLSRARQSLGGVPFIASGGFADGQGLAAALALGACGINMGTRFMCTVEAPIHQKIKQ AIVDAQETDTELVLRRWRNTTRLFGNNVAKQAVKVEKESTTGKFEEVAEYVSGKRGRQ VFLNGDKDFGVWTAGQVIGLIHDVPTCDALLKRIEREAVETLASKQDLFVQDGDQSVG PSATVGKNTNNPGAEVWGVGKSKL CC84DRAFT_1165114 MSRPKIAVLDDYANFAPQFISQFSDRLDISYFPDTLNPAVPADL DALVERLSPFPIISTMRERTPFRKALLERLPNLKVLLTTGMRNLGIDTETCKERGIVV VGTRGAHKVPNFDTTNEQTWALILGLAKGIAEHDALVKNEPSRWQASVSLGLAGKTLA CLGLGRLGLQCARTAVLGFGMKAVAWSPNLTQEKADEGVASVGLQAGSVRVASSKEEL FREADVLSVHLVLSDRSRGSVGAQELSWMKKSAMLVNTSRGPLVAEQALLDALKKGQI RGFAADVFDTEPLPKDSQWRNSQWGQDGTSHVLISPHMGYVEEQTLTGMYKETVENIR QWLDGEEFKNKMV CC84DRAFT_1187709 MPPWVASVPRAIACSRAKAIDRVAASFRCRRAVHPLRTRCASTH VSPTAINYRPNVPPRNQELYSALSGLNGAAEQYVNLSRLQLALRGLAVDNAVTRVAVL GLNSQVGAQRLARLLVADPLAENADWERELEKSPEEGAVLLRFGDENDAHAPSPLYKI LSVPSRVLGKHNLEILVSTLNANAPSSAAAAATESSKDAVLVPKLQVSSARGTPVPYP VHKSLVLGEGLDSAITFGQFASDSIRKAGNMVKVAIELPAPSTASGAAEDSASTVSIN TATGTQGLDAIRASVANSMDYERKWFASGLPALSRWLVSDLQPADTIKPVQKALISSL LDDVEATITKEDAHQLQLLASTTTPEQLNAEMIKALEEWAEKSHRELRDSLDEAFAGK NWRKLGWWKLFWRVDDVSMITEEIIARRWLVSAEKDAVYLAGRMKQAGFPDEVRPLPG YSDADTYFKAPADASGHDVSITEIQETALSTAVNLPTPWPALLSSTRATLLATTLPPL QALAQRLILTTLSTTSLSAALSALLYVSVSTVSLFEASSLAALGLTFSLRRMQKVWED AREAWNVEVREEGRQALKKTEGFVRTIINGGGPGAVVEDEGVEERTRAREAVTKVREA LLKLSKE CC84DRAFT_1147557 MTKTVLITGASGLLGSQVQNVFNVDGWKAIGTGLTRASPPEIIK LDILDANDIERVLDEIKPQVVVHCAANRFPDSCSANPSAAQALNVDSSAALASAAISR GIFLIYISTDYVFSGRKGEAPYYPTSATDPPNVYGQTKLDGEKAVLSVVESKNAKNKV VVLRVPVLYGSANDPKDSAVNVLMSQLWESQKIQSGQPKIKVDDWALRYPTNTSDVGR VCRDLATLYLDPANKDRELPSVLQFSSEDRMTKWEIVKVFAEIMGLPLDGMEPFVPED EPGDGVKRPYDCHLDTGALKDLGINVGTVNFQFWWRKEVGAFR CC84DRAFT_1147559 MDNLSRDDAFLNSLYFNTMSQRYDTIIEAHEETYDWIFAPEAYP ERDARSQIEFRQWLENGTDVYWISGKPGSGKSTLMKFLATCKHTRRYLRRWAGNSHLI SAAFYFWIAGGDMQRSQLGLLRQLLFETLNARPSLIGVLHSQAQARLMKTFTSSKWRV ESDTLIPSHWTLESLIQALTDLGRETSDQKICYFIDGLDEYDKDHLDLIATIETLCKI PNVKVCVSSRRWPAFENAYGSKPGCKLYLEDLTYHDIFRFARDKLASVPQFDDAEDDL DVDQLAEEIAVRSEGVFLWVFLVIRSLRDGITNGDDAGMLQKRLDQLPTDLEPFFERI LRSVDTMY CC84DRAFT_1187711 MDNQGAPARSSFPNSSLHATSTFKNIFQIQRTKSNEPQRWKSPA LHPATLIIAIITPLLLILTLVLLLRRSQREHGIIFAQDINALPLSRTFLYQYFPTILA VVFSIFWAWIDLEVKRLEPWHRLSNQEGALGKDSLLLAYPFGFVPLVPVRALKNRHWN VFWASLALVLVTWGLVPTQSGIFSTEHVTRTFSAKFDVSASLVPASEQPQYLATRFAQ STYGIVVLNESLPEYMTLNYTLAPFKPSSIEQDGPGDATFWTAPTVLYGLDLRCEVAT KIGVSRYNNSKGCAFGSPLDGNTTMHAVPQILSNVKKFQGQYAGYFNPGMADFYLSSD CPQDQNHTFFAAFTQNKKSASDPPNNITAIFCTPEYYSQEVNATVESATKRPTKVETI GPKHQVDNSIFNSTWMEQLLNGGWTGAYLHGDGLPDNTIPNYMEKITDMNVSWESSPQ PMLNLAIIVGQQTELESYLDWRVLASSYEKAYRLLFLRAMVDVLEGREYSSHKTTLGE LVSNTSAVVLEPVFAYIVVGFLGILTVLAAILYYQSISTQTVLCQDPGTIASLMGLVA NNYSLLADFEGLDCCTNEEIEEIVAERTYKLVERGHKTSIVELASTSASAKSPLIRSL TYKHSRSLKSVQKPVRPKEFSWWMLILLFGFFLGLAIGLALLFIKARLNGVALPSQNN FVQNLLENYIPTAIATLIEPIWVLMNRLLCLLQPIEELQDCNAKAKDSIDIDYASLPP QLVVWKALRARHLTLGAVCSMALLANLLAVAFAGLFNHQVVDIQTELHLDPPFDLKFV SIDGSIGPKGLEMSGSDFASGAYHGGNGRDQFLALESNYTRNTSLLAWTDKRFFYLPF VSPMHANGTEDSEYEAETTAFGAELDCTIFDPRSKDLFSRTGEPGSIFNSTLTGKDGS RSTCVSDYHVQASPGPTDFIGDTICQQGPSALELVLSLDTANENATQAEKEICWPSIF MGWLRTPNGTCQTSKKTTLNSQNSFFLECRPRLVRGRATIRVDNAGHLLKPAEHTFLE DNFNAATSQGIFETDPINLIAQSNKYLFMSAQTFWHNESYATDFMNHFLQLESNSNRL LDPNQQVPTLTDVEEPLGRVYASLFAAWLGANKDHLLVARTSGNSSSLTGLKITKDER LFLSTPLFAIAEGILCIYAVVACWVYFRRPGKYLARLPTSVASVIPLFAGSTAVQEMR GFSQLKRRGRLESLKQLDYRYGYGSYIGMDGRVHVGIEKAPLIRPWKRIIST CC84DRAFT_801482 MSTKSLQFASEVVSEAQRDREHSLRTFQVRRPTNFCRLSIAFAA EAALPFMPRLDISWRQCAKRNTFPVLSGVARSAMRSTRSSVRGVRETTSSGAGRKAAD MSCPRCWRFEKIIPYKDRLSKGAVGDDDEYNLGGFCCIMVTIHPDLDANT CC84DRAFT_801286 MFFQTSALIALAASASAHMVLNTPVPFDWEDSATQQAPLEPADF PCKQPGGTYAITSMNTVAAGGSLEVQLKGGATHGGGSCQFSITTDTKPTKDSQWKVLH SQINGCQGGDGETNMSGNKDDLNNPKIPVKIPEGLPAGQYTFAWTWLNKLGNREFYMN CAPLEVTSGSSKRDTASADVSSVLGQLPDMFVANLPPTECEVASGQDFVYPNPGDSVA TGAGATPGTAISGAGCAAVTILGAGAGKLGSPAQATAAPTNSGGVFAPGASSAAGTAP ASSAPAVTQAPVASQPAASYQASQPAATPIASQPAAAQPSAPSAPNSGSETGTGSGSG NASAGAGTPCTTDGAVVCIGTDSFGLCNFGYAVAQKLAEGTSCSNGQLSRRSIRFPRG TLHNRHAALHKRGSVL CC84DRAFT_1165117 MENNQQGDVDQAKYLDNAIRAVKDKKPLPEIDFTLHTMEDGSQV STLERVCKDVQAPAFHPPTQDQFLSPQDPTKPNLQFLKQHFYREGRLTEEQALWIIRK GTEVLKSEPNLLEMDAPITVCGDVHGQYYDLMKLFEVGGDPAETRYLFLGDYVDRGYF SIECVLYLWSLKIWYPNTLWLLRGNHECRHLTDYFTFKLECKHKYSEAVYEACMESFC ALPLAAVMNKQFLCIHGGLSPELHTLDDLKSIDRFREPPTHGLMCDILWADPLEEFGQ EKTNDFFVHNHVRGCSYFFSYPAACAFLEKNNLLSIIRAHEAQDAGYRMYRKTRTTGF PSVMTIFSAPNYLDVYNNKAAVLKYENNVMNIRQFNCTPHPYWLPNFMDVFTWSLPFV GEKITDMLIAILNTCSKEELEEETPSSLASGPSSPPLTQNMDPESTEFKRRAIKNKIL AIGRLSRVFQVLREESERVTELKTASGGRLPAGTLMLGAEGIKQAIHSFEDARKVDLQ NERLPPSHEEVRKSQEASREQALEKASKEAENDAGLATVARRISMSSGSGRNKRT CC84DRAFT_1121388 MSTITKEASQSSYPPILPKDFNGNQPKTIRLYPLSNYTFGTKDA QPEEDPSVLARLKRLEEYYQANGMRRTCEGVLVCHEHNHPHILMLQIANAFFKLPGDY LTHDVEEIEGFKARLNERLAPVGTQFTGEGVNDEWQIGDTLAQWWRPNFETFMYPFIP AHVTRPKECKKLYFIQLPRSKVLSVPKNMKLLAVPLFELYDNTARYGPQLSAIPHLLS RYNFEFVDENGQVVACTPGTGAPDGSRPHVKVLAGGDEDVKMEPNGDAASDHTLS CC84DRAFT_1165119 MLLLGLTGSIATGKSTVSSLLREPPYSLPIIDADLIARQVVEPG TPGYNAIVDYFLPTTPDLLLDAPGKPLNRPALGRRVFGAGEDRERDRKKLNSIVHPAV RKEMYKQMVWAHLRGNWAVVLDVPLLFESGWERYCGTILVVGVSDPKIQIQRLRARDA HLTEEDARNRVSSQGDIREKVERCLRRGEGRGVVVWNDGGKEELEKEVRRVMSEIREK SPAWWSFLLWACPPLAALAAGVSWYKMRGVQLAWEAEKRREKAKL CC84DRAFT_1260066 MRRPNLTPSDTVTSITPPSFVDDGLNLSRRMPRAEHRDVNSWLE GAQASVAPPVVSPTSAASETIYTGSFIDDGADMVYPSVPIVPGCGIVDRAFYSKGKWR TSKYDAEWTIEKEKALAGDMWRSLARMRSIARVEVKDAGEAHRREEKKRRKEHRVGAV ENDGRVRIPKPLTWGTFVIEGSDGRVVVVDKEADYDSGRPGEREEMGAREREYEERIG KRKAKDAARREKESDKEVKVRAAVEARERREREEEEKARAQAASGDQQRKDEHRRHRC RQPSSRLLAPIPEAHTPEESVTDIVSPTKFFMTGVEEISDHSTAGSNGSNSRGPSLIR SDDRGSDGELVAEHDEDTRSVRSHSTYRAPTVEDAPESEDGAQEWNSAWSVKDSRGHG HNAEDGGSQAGVEASVAGSARWSGKTGWGGDVETPGSVAEGAKWDGSAAGSRVESDWK DQSGYAEENDTWLNSEVRGVKYREAEWRKDAIEGSWRDVW CC84DRAFT_1147576 MALFTRQPFAELGAPRLQALQSAKNRQNALTPASNSKFASPLKP SPTASTGKRSRGPAIFEDDDSENADPSIFNSPTKKSKTSDGFSKPSKFALVPSPLRTR EPLTASPSIPSVRKALSSPNTTTSTPISHSRGSPKHKRIGALSKRRASSSPFRRVDPP SFSAHSSPALPFSIDAALSGTIPNYTPKPVATPTPAAVPVSAPLELASHVEDSMPTSW FFEIHEDTPEQEAANLMEHSASVLDISSDDDAATKARNEELERGKENIPPPDFTLSQA QSRGASSVSLESAGVEAAGVKMPRLREVKQDAMDEDRKPLGDLPASEFYGEGCDKDSY VVVDKGIERPSGLSKEFEFSCATEEEPQPVPEEAPIEAAKEEESVVVYADENVVPVAQ EDILEATLAVTSESQPLADEAP CC84DRAFT_1260068 MLDRHQDIESSPYLDRHDSGDNKPILEPQLNVGGHGATQRRLKN YHVTMIGFCSGIGTGLFIGTGAAYANAGPAGLLLAYIIVGSVLWCVMQSIAELATVIP TAGSFPHFASRFIDPAVGFSLAISYGYCYTISIAAECSASAILVGYWTDMSPAIVISI SLALILAINLMNVRWFGESEVAAGAIKVACFLGLVIVSIVITAGGAPNGETTGFRYWH NPGAWVDYNGITGPTGHFLGFLAAFVNASFSFIGVEVVVITAAESVNPHTAIPLSAKR VTYRIALFYILGALLIGLIVDPRNPGLVSDAGNANSSPWVIAIKQAGIVALPSIVNAC ILVSAWSAGNSYCWVGSRMIVAMTTDRQLPQIFGRTWKNGVPYVAVIASWLFGPLAYL SLGTGGAAQAFTWLVSLSTVAGLIAWATLCFCYIRFHAAMKAQGLSRDSLPWKSPFQP FSAWYGFIGSTIITFITGFPVFLKGNWNTGDFIASYIGIPIFIVPIIIWKLVHKTKFA RSKTIDLWSGRLHRA CC84DRAFT_1260069 MSNLPEVALPTTGLEVDHSASAPPAGVNYVAPHYYGEQQQRLPS KGEYAPVGSEDRSVPKDSATVCGVRRSTFWLTVILAIVVVAAAVGGGVGGSMAVSNAR SDAQSGSSGSARETVTVTATVCSTSAPEPTSTSVSEDDPPYVPKEAWRVNSINGSCPT PVMQNTHVDNRPDDKYNCREGRDFGGFDIMWFTSYTLQACIDACSNYNVANKTQTPCK GVALALDLRQQYYVNGGANCWLKSEANEAGSSEKKTNTFAWLENQ CC84DRAFT_1165125 MATATVQPITTGSVTKYEARSTAHGYLQSRLAAKDTISDADFTI PVIDLTPSFSESLEDRKSVAAQIREACTTSGFFYVTHHGIPSSTLQSILQQAERFFNL PLASKQDLHIKTSKYGYGWEPSEYTSLAGDLESKEGFNFGYEEGLDKSGGDGKYRNLD GSDEKANLWPKKEELPGFYEHVGEYYDAVLKLSRHMFRLFALSLDLPEDHFDAMVTHP GGIARLLYYPPPKNPQPTVSEPIQEKDYIGLGAHSDYECFTLLLSSTTPGLEILKPSG HWHIATHIPDAFIINVADFMMRWTNDVYKSTIHRVVNRSNQVRYSVPFFFSVNYEEVI ETLPTCLKEGEKPRYPPIGAGEYILERLNATTKDGAGFYGNAEVFEKESAS CC84DRAFT_1165126 MSPLTPLFIGAILAARAAATSKQTFDYVIVGAGPAGLVLANRLS ADPSVTVAVIEAGADERYNPLVTDVEGLFLGIGSALDWGYPSAPQRHALNRSLAYSGG KGLGGTTLINGMTYLRAEKEQIDQWEAFGNGGWNWESLYEYYVSQEHFQPPRLENAES GATFDEAFHGYDGELAVGWNKFFVKQGFFEILKNTSETIGIGWNEDANGGRMGGFSTW PFMQNSTTNTREDAANAFYYPIAEQRANLKVFLNTTATRIIWKEKSGSNDAVAEAVEV LASSNVTNCLHAAQEVILSAGSLRSPALLELSGVGNPAILQTLGIEPVIDLPAVGANL QDQPNSAISYTSATNWTGYPSFVTYLTASDLFGEELDDIVSELRANISDLARKIVAHL PPNESTVEREEKLIEMQLDLTWSYNSTVPLVEAVWFPYGNAISVAFWSLLPFSRGSVH VTSTSPTRTPAIDPNFLHLPIDTLVQTAGALKLREYFATEPLSKHVIAEVAPGPDAVP VGAGLRDPAWYKWIAGAFGSNSHPVSTAAMRSRELGGVVDGEGRVYGAENVRVVDASV FPTQISGHLSASIYALAGKIAEGMTRGKRREV CC84DRAFT_1187720 MAPNLALLPLVCYLFALVSAQSVNGSTPTFTLPSGYRTASFNAS AQPTAFNRTDFSENALNELWNLVGPVATGPVTTTVEATPEPTAYAQPDANHFHGLVGS NHPELNDVKLPKGFKWGVSSSSYQIEGAAKDEGKGPSIWDLLAHRVPNRVLDNSTGDV VASHYWLYKQDLARLKGLGMPAFSPSFSWPRFFPFGHGPVNEDAVKHYDDVISEFIAT GIRPAVTLFHWDTPLALFVEYGGWTDRRIVDHFFNYAKFVISRYDAYVDEWFTINEPQ YCNWQYSYYPAGEYYPAPNGVTGGDKARFLCGHYTLLAHAKVAKWYHDEFKGRGRITF KNSGNYYEANSTSAADEESRQRNFDFSIGWFGGPWTDGDYPKTLKDTLGDLLPEFTQD EKDMIKGSCDFFAIDAYSSFMAYGIDGGVEACASNRSHPGFPECAGSTSMSPIGFPIG PAADPTMSWLYSAPSGIRKYLKHITTKLFPSIPDIAVTEFGFSEPFEGQWTSLAPALW DLRRADYFQHYLDQILLSIHEDKVNVTGAWGWAIFDNFEWAQGSQVRFGLQYVNYTSL ERTPKASIWQRRLVPPVVSPAFVLADETAPNVPSPNASDRPAIPHSPAPPSPELSAHD PSTDHDAVAAPAAPSTHPLAPKRRRSSQDSDDLSDPTQRGRSSRPIKKRRRRADGTMR LDSDASRRSHSPTQSYTNGSTRSPGPRSTLGKIANGDSHRPESNGSYTNGSSVASAKA LSSSFFGHDREEVTRILIQSLSDLGYSDAAGALVKESGYTLEGPTVAAFRNAVLNGDW AEAEELLFGTNSYDNGGGIHLDGAAGYGKTWAKSRSIAQSHRAEGLPLAEGANRDEML FWLKQQKYLELLERRDLGKALMVLRQELTPLHQDVGRLHTLSSLMMCPSADDLKSQAL WDGADGESRTLLLSELSKSISPSVMIPEHRLVGLLDEVKDGWISNCLYHNTADSPSLY IDHNCDRDDFPMKPVLELKGHHDEVWYLKYSHDGTKLASTSKDKTIVIYDTTTYKILH QLDEHDSGVTHLAWSPDDTKIITCCSAQENSARIWDVKTGACLRLISDFTYPCTTAAW APSGTHVVIGSQDTKYGCCIWNLDGHLVHAFAPHHNDSVRVNDLAISADGSRLVVLLE NKIQVYDFRSREKLCEWHFDDVKLTSVTISSDSRHMLVSMNKNKIRLIEIDTGREVQG FEGQIQENFIIRSSFGGADENFVVSGSEDGRVYIWRNNVNGLLVEALDAHEGCVNAVA WHPSDPRCFASAGDDGRVRVWRPR CC84DRAFT_1121412 MAENVNEEEVQARFEELSVLEAEFEDVELELIRKSNALNAPLWK KRAEVIAKIPHFWTLVFEQAPPELDSFILPSDAQIFAECFESLEVTRFEIDDPKGSPR SISIKFGFGDNEYFEDKVLEKKFWFRKSRDWEGLVSEPVKINWKKEQDTTFGLTDAAI ELAEARKKADSKAKETELPEYKKLASLIEESTEASPSFFAWFAFVSDYRWVSAEESEQ AEKEDAERLEKLKRGEKVEEPEDDDDDTIDYQETEVFPQGGEIATLIAEDLWPSAIKY YKQSHEQDGEDFSDIDVEDMDEDEDEDESGDEIDIRGLVGKGRAGSPPTKKQRKA CC84DRAFT_1165131 MGGQAFAHIVSDTPINVPRMLPDTYNQVASDVKLKLETLFRHVT IPRDAPGKSDFGDIDLLVEGIRDPINNKDIWATVKDVLGAELYVSPHHFGIKHPTIPD AYVQVDVELSPGNDTKDGEELFEWTTFMKSDSDLLQIIGVVHRSLGITCNDKGMHIRV EEVEPYNRKKSMVFLTRDPDQAMDFYGYDKAKYYQGFDSETELFDWATNGRYFHWKVY QDCEENSNDRSRINKRAMFRRFVYKYMPSCGNGTKTSSHTEDDESDTKKAAPSRQEVL QDALLMFGRHKEYNAIISEHKTQGVEEAIWLQINNSISSDNAARGTILKGLKRWVAFK DGHPYVTEQPISTSTKLKWSEHVSNDALPEISSWIAENQEQIGKLEEERANEARKAGM KK CC84DRAFT_1165132 MRKSADGLVPARGFLKTGLAPRPVFNDGSYPEPLEIVTDDSGTR RAVQSLRTPTSAYERRVRYSVLEFDTLLDSSSINAEGWDQIAKTIYRNYQLFDGFVIL HGTDSLAYTSSALSFMMHNLGKPVILTGSQAPMMQLQTDAEDNLLGALIVAGHFMIPE VCLFFNFKLFRGNRSTKISADDFNAFGSPNLPPLATITSLRTNVSWGNVHRSTSMSPF SIQTSLDTTHVACLRIFPGMKPEMVDAVLHLDGLKGLVLETFGAGNTPGGPDSPMTRA LADAVKRGIVIVNVTQCLSGSVSPLYAPATFLGRVGVVFGMDMTSEAALTKLAYLLAL PGATAEEVAKKMSVSIRGELTETTRTHFEHPKTSGTLTPELSSLAALGYAIQKGNFQD TRDIIRGEPRWLLNDADYNLNTPVHLAATGPNVEILRDFLEQGASVHLRNRSGHTPLY LAAAAGLKDHVEVLKRAGSHLHTDEVPQARLQMAEGDGNTSIWEAVIG CC84DRAFT_1094373 MQRTVVTINSNGRQSASFIRVASALGWQVRAQMKELNGLVAEEI SQLPNVTVYVGRLEDRKFLDDLFKSAQCAFINTTHWGDEVAIGRALADAAKKAGIQHY IYSSMPDHSVFGKNWRALPLWAPKFTVEQYIRQIGLPATFVYCGIYHNNFTGLDFPLF RMEHQNDGSFVWRAPFHPDQKLPWLDSEHDIGPAIYQLFKEGPRKWNGKRIPLAFEVL TPKEVCRAFSRGLRRPVQYKRGPIVIHCPTPVGYREHLSALESTLAESAAPYFGPDLE KDCPGVALELWEGNRGMEEYAQEVFPVEEAANGLTWMEEGEVFPHFNGVVGLIEQLNQ SRL CC84DRAFT_1165138 MATAIASAKAGVSQAVLLVAKPPAIAAKSVKGSKLVDAMHRSLY PHLYQQGGGSAPNRKIRQQMGTMKRYKQVEAEREARKVSWFRNKMRTSSSSKLASQPQ KPRAIRKACHDPVAAVTSSQLAVHDPTGARTRLFDRANPECARVGDILLVRQRTGEPF AGVCINIRRRGVDTAILLRGQLTRVGIEMWYKVYSPLVEGIEVVQRAQRKTRRARLTY MRKEKHDRGSVENVVRVYLRQKAALGSTDGSKKKGAAAAAAMAGGGKRKGSVKGKKGK KK CC84DRAFT_801624 MGAIKLVLLILATIFFPPIGVFAVAGCGADFFINICLTILGYIP GHIHAFYLIYVFYERKDEVKNGVYNPRPASGIFSERVLRGGRHVPAAVQVQQPVAQPN SVPQPQPGQVLPAQAYGTV CC84DRAFT_1218183 MATLQLASWATNLQYANLTTDVLLAANKSFYNWAGCAIGGFASQ PAPQIALNTTLGPFSGPATSSILGANGSITHGFADAQLAALVNGIASHVDDYDDTHLE TIIHPAGPVASALLAIAEAYGPVTGQDFMTAFIAGVETECKLGLSVWPQHYDVGWHIT STTGAIGAAVAVSKLLSLDTNHTAQAIGIASTQVIGMQAFFGSDTKSFHIGRAAQSGM LAALLAKNGYTSASDALEAKYGWLHVVSTRDNATAYFDQLGKEWEIKKNTFKPYPCGI VMHPAIDGAIQLSNQTHDNNNSIENVKSIELRVNPEVLVLTGKTSPQTGLEGKFSIYH ATAIGLLYGEATPSQFTDDVVKNATVVDLRKKVNVTTDEGVPTDAAYVTLRYEDGTEL EKHVEHAKGSMENPLTDAELKKKFMEQVTLAIGSERAARATSVDHHSPQIVKKICL CC84DRAFT_1218184 MPGVIKIVVSTGATSGLLLEQSQSYRFMLGARNFKREEKHFSNL SYDLQKHSLTFLPLQLSDLRTVKTFAKQTLEKLGSSDIDLLFLNAGINKPADEPV CC84DRAFT_802179 MAERTGSLVFSVLWSYVKEVVSYCIHIECSFSLLHTVDMPSWEH ASSKENPHLHRQEKLERFHAELARFRV CC84DRAFT_1165141 MRNGSSGHGPPGSWSSACCGFRLGRTQGRSSSCLRIPPSASAPR SGCRLVRLYPYQSGGSIVGFGLRTNADTCGGTGHKFGDDDDCWNTGYEFAAPFPRNDS ARDVTNLKTLAQKGLSNSVGLPQQIANAVSEMQADTCMGHGFGLIDAVGPPITMIVQG EESTSLVVQTAEKIEEEQRKAIILAFVSAILVFIPIVGEILSTVAETADIAGTIAVLA AAGHAAFDVYTIVDDPKNASLAIFNLIMAPLALADVAVVAEAAQIRRKSGEG CC84DRAFT_1121431 MTTPVPVGLCRLNLRVETSPSSEVYMEVWLPENWEGRILTTGNG GLAGCIQYPELAYGASYGFASFGTNNGHNGTSGGAFFRQPEVYEDFAWRAVYIGAVVG KSITEQFYGDVCGKSYYIGCSMGGRQGWKAVQENPELFDGVIAGAPAIDLWAHVGFFG YALQTLGFNTSSVTLAQWEAVRQEVFNQCDGLDGARDGILEDPTACVFNWTPLVCSST PNNSTCLTPDQVDAATKLFGPITYNGTFIHPGHGHGYERELITYEYSPLVLTWIPEVF RYVVYEDLSWNPTTFTLEDVLHASQKDSSGNRLNTFDGNISAFRDRGGKVLHWHGLAD QLLVSRTSDLYYNIVRSTLNASVADLDDFYRYFRASGVGHCYGGPGANFMGQLGGQVT VDTPEDSMLRRIVQWVEEGDAPEFIRGTKFINDTVALGIEFTRRHCKYPKVNRYTGNQ EGLDEEGWECVEP CC84DRAFT_1218187 MRYSVIAASLLASTASAWRLPDFDWSGKGYQGWPRPSHSSSVAV SAVPTKTAAASVSHVATTVVATVTPTSAVADAVSKTSTAAASTGTSSSGSLTSDEQAA LDAHNDARAEVGTADLSWDTSLAADALAYAQTLASSGTFQHSGVSDQGENLYMQSSSG TPLANAVKSFLSEKSLYSGQAISSTNYQSFGHYTQCVWKDTTKVGMGAAKGSDGSTYV VARYKAPGNYIGETAY CC84DRAFT_1260084 MATSEPQFHYWRTLLWSQWCFTPPYPETQWTGKIVIVTGANIGL GREAARHFARLGAEKVIIAARNLDAAQKAKASIETSTKCGPSVIECWPLDLCSYDSVK AFATRCNTLPRLDCLLENAGVSKYRFYKTDGALDELQITTNVVSTFLLAFLLLPKLKK TALTYDTQPHLTIVTSELHHQTTIPERKALRQGVHSSLLDALNDPRTSSRSGRYPTSK LLQVLLLRELSSTLGQDYPVVLNCVNPGFCHSALTRDFAPIAYIGKIAMRARSTEVGS RTLVHAASAGRESFGEYLSNCQVALVSNFVRTSEGKDVQEMVWREVLGRLEEIELGIS KNLR CC84DRAFT_1260085 MRSAFALPFAGLALASSSTLQNILKNTEQTNKYTYPTDFTRGIL PKPFHSHNDYWRDVPFYSGLSYGAISTEADVWLINGTLYVGHERQALSKERTLESLYI NPILDTLHRQNPVTEFSTEKTFNGVYDTSSAQTLYFFIDLKTSGPETWPAVLSALEPL RKAGYLSTYNGTGFTSKPITVIGTGNTPIWLVQSAVPRDAFYDAPLAQLGGAFSNITA NDSPIASTNFEASFGEVRTESFNDTQLETLREQLKVAHDKGIKARYWNQPGFPIGTRN AVWRTLYNEGADFINVDDLEGAAGFWESHG CC84DRAFT_1218190 MSTNEAIQDEIISINSIFEEDTLVGLDDDSTLFSLRLPSLRDIT LRLEFPQDYPDTPPSILGTESVGQDVPKGMGNKVVHMARDVLARVYDPGEACIYDLLE EVRDAIEKAEEDGSIQRDRQDEPEPPPEAPQDLTQDLGPEPPWIIAPQITEKKSVFLA RVAPVSSPDQAKQYVAHLLATDKKAAKATHNMTAWRIKGPNETSYQDCDDDGETAAGG RMLHLMQLMDVWNVMVVVTRWYGGIQLGPDRFRIINTASREALVLGGFARDTKEENKK KGKK CC84DRAFT_1121439 MAAGAMANSDELKRAETGLRIAQLKFKQALKREDSSWQLSPVSL ESSTSFCNHIDAVLQRNTTDNVQTCKKWIAEHIASSRPRIALLGEYLVAVSKSIVVEQ PAKSAKAARCRLAVLLVISDVLHADKFHRNNGATSGAIAVHLIPYIEKLAELAALAVP GKNSLAGHKLKAVFNSLAATACISAGDFESIRERVDEGVAVAQGATPQLKRTHALPDW FGDRSVPWHELSASHMVEPLLKNPDRPIPTNAINATRFDQKQPSERTRKLLDNYFENI DLHYVPTADNPTGETKKYKLWLDSMGQLVKQNKETGEVVTVCNGYGWSTQFCQQMQAN GIPDRVTELREEYSEKSARELRESRWRSDVHGYGPDLRSPRRRFSYSSEKSNGRPSRF EDRGRPSQDNHNRKRPHDRQSRWNDNQSGFPDPMPNRSAPAAQPRGSNAPNAYPNMSM PQQVFPPPPPPPPPHHAAGFVPPPPPPPPPGQFPGYPMQGFPPPPPPPQHFHGAGGPP PPPPPPNFQGPFHGAPPNAGDFQNNAYQFGLNTPPYHANRGGYAGQTQGNPRGGFQGG QRGGFRGGFQGQARGQ CC84DRAFT_802194 MASKKRKRTSDNNQSDKPLRAKSRAIITERESGTGTDTAAQLKE KEGKIAQKPATTSGTRKRGRPPKDPTAKPSKKPKPTSRRAVASSNSTTSPSVLSTRAP SDPSQQELRRSKRNSRSSAHPTLAARAPSPHVEPTLHNPSSLQHYKYFSQVESTQGSP ARTQFRSFISSQSSSSSSFRVTGEVPDSESEPEDEIDDPSASFRSATQTLSQSASSQS NNNGQFRHESTTIDTSPVASPVSLSFSIPETEPEIESSPAAQRIVEETVLEHVSDAQL HEASIREIPDTFETGRTLSTVEKSSGHRTQQPQEGPVDVSQGLAKESTSQAWSDLEEH LRTLHQSTPSVQEREGSIQVLEQAVESIDVALQDVPQSIAQEIEPLTQKRSQSVHRSS IEEISLQGSQASRPSGLQQAPQSPLEYSLPREIPSTTQTVQTPSQQCGRQQYQPESDE QTTLLDSSIYTSSHPQAQEGQSQSRELGANQVRTRSEGQLEDSSKEVHAHQSLSFPRT DSFPELHPASRSTFRDTVALIAQAINNCQTPSERQDIAKATRANGDHSHLARPSQPPA QRQQGQSLPYDPVLEIQQQDTRAGETIPERYSDTVDRLSRHDSSQESPSQSCEDSSPV PQPPKQSLGTSHSSGNVPRRPERLTDSFLNTVMNTNEHSASPRRDVPPATPGLSSAPG DPLANESPIGSSGVGTGPGPEPTSTPQSFKARFQASRAKLHTTPDQDDKASRRHETRK FETRESKSRESGSRAQSEAVEKIRDLDRLTAPETGTRSPSTIPDRLPVPQEPTPLRAV ATSIPAHVPPVAQNQEETTAELEVASSSVPEDVDMGEASYSDNEEDESLLIDDVELQD NEYIVPLPFQGRQADAYRRSSAGLDGLYMSYAHDRQDIQIKIDERLNELRSLETHIDL VRIANSTPQPHDVQQVQDDHFAAWSKLNSIKFRFLGELLSRLEARDLHVIVLIDDQNN TRLFGLVESFMRGIKFTFQSPSTGQSFSALNEPNKESKRLKVTILASTDSRVLREAHL IVCLDGKPNVTTIRKKPWALKPDRSGVPLLQMVIPRTVGHIDRYLSPKLDPKRRLVTI ITTLSQFVTQGSVGCVADSSPPAPHIKTKVDDMDVKTKADDIVKFILPDQDESALSEW PLPTLGTIKNDIEYQSQLSQQSQDALGTTVSLPGSHAANKRPLIQNNDREDPAKRMRF TPQPQPQASTSHISDSEPATSLSIEQQLEWYKQENKRLREVTQSYINRQWQYEEMSRK YKAIETRAERAENQRDMGKEREDKLREQLNTRTLDSVEQMKNVEELRDVNLLSEDAKV RTIAKQSGEIERLKDDLAKERKAKEDAITSKKSTETTLEYVNDQRRKAQDAAEAATQR ADELSKANAKLERAAKAKALLLPKFNEQQKRQHDQALALVTADRDNLKKQLRVQAEKN KTQATELDRFKMTRGVGGGTRAASVGAGARTPRPGSRAASPLPNGRDRIANLRNG CC84DRAFT_1187732 MLLRQVHFTAITVVCIPIIFAVFVFVSYFHDENEWLPARPIDPS CAHLPDLSKVLLIIKTGASETFDRLPTQFMTMTRCLPDFLIFSDMEESIMGYRVYDIL SDTLDEVKHDNGDFNLWRRQQECLVDQKSCQKWSNVGGEAWNLDKYKNVRQSDVSYTM RPNYDWYIHIDADTYIHWPNMIEMLKPFDPLKDHFFGSFEPSQYGDFNHGGSGYILSQ SAMKKFHANSSVEHFDHAARSICCGDMLMTIAVKENIGLKNTNMFPTINGRKPFTLPF GPRQWCQPIATLHKLNSEEVASIWQYEQKRFANATDGKPTPLLIKDLYYEYFAPRLVE KLEDWDNMYDDYLNNVWYYIDKDDKSKDWDNFHDQKYIWHMRKPEDFKHDEEKNAYKS FDNCKKACDVVDDCLQFRWQDDACVMSKNFRLGNPMEKKENKKERWMSGWAVQKIEKW IADQGECKVQFPGA CC84DRAFT_1176938 MFFFQNSIDSLFEENVPSPLYLLPAEIRNMICHEVIFDGTKTLT ISLSETGKIILPPLMAVSKQIHSETYGYIVAALRDPATIFEAKVRNYDAKPLLATIKR ISQQTGIAQSELVARTQVGFVGTVDMGNLLVWIQGTIANATAHPIFPFEKMDLPSFSG ESVFEGRLSLKSHLISYKEFEQRRELCAWTASAREFLTTLEEANLGVLGRPKGEDWRI ESNAAIQAAVFNTFAEWHDIFLQKKTIQARVLGQRERKRVEDEHVYVASAMWQFGESL RTVAQRWTQ CC84DRAFT_1176939 MQGPPPPEAHMEHIVQNPAMDLFFLPDGRSLVLRDKRQTPEKPV GLKKQESLHAATKKPKENLHGNDANPTHFPSRVSCTQTNQAFEELEHDTHPARQKDGS QDDPKQKLGAKNGKRAVAFFDDERPYFVRLPHNKVERFPSATTITPAYIEALEKESGS VYHGECCNHSTCHLPDHMVGWSQYSGDYLVCPRRFDPELHKVLNPRSINRLTLGAYKH FQEAHRNEESVLLYHLPTIRMSSVNMVEMPVSLVNFVETLTAFEVQQILIGKLGIDRT GSQGWFLRPRNVFIPKYALWTDTYVAAPRRVSGCDAFEKSPSEDTGEFLGRLTDSAYY AFLCSEVTAARSPEGWYLALVPSTERHIYGLTPAMDIDSKSFRGVAYGGTSDLSVIGK SKDAVLEGERADNSELQAVDPPFFRMESKKHTPLKAFISHIGHRNKGGEISRVRWLAS FDNTAPNGRSLGEIPRVRMSGHSKFAPTGPSTAYSRTMDQKRIDEEVRKALPSLQKNQ MSQVHCCVDCVDCRWPTTKFGSSRTTKNPQPTVARHPMVMRRSTVHPIGFSPPRSKAP KVKLLKHSQPLSTSPHDMHRSDIVWNPNNRRHADSSTSLYQGVEGEDWFHVD CC84DRAFT_1093326 MAEGYQVLEELGSGSFGKVYKAIEKATGETVAIKLIDLEESTDE LADIQAEINLLGTCKSPYVTEYKTSFVRGVKLWIVMEFLGGGSALDLMAPGPFGEAHI AIVCRELLLGLVYLHGTGKIHRDIKAANVLLTDMGKVKLADFGVAAQLTNLKSQRMTF VGTPYWMAPEVIQEEGYDFKADIWSLGITAIELAMGQPPHSGSHPMKILFTIPKNPAP RLEGNQWTKEFKDFVSKCLVKDPDLRASAKELLEHKFIRKAGNIQAMRELIERKQMYH AKQETTKHVKYYEETMVDLSPKDEEDEWNFTVQHPKTVRISATPHTARRRKPTRMPSG EMNACAATMQRMDLNAAPLGNITDSPLPERRRPSSRMSSTGTAFRVPSGQTPTTRRIA NVPKQPLGVDLSFGNSPSTVRHFKRVSSGERRAALASNPIDTANSFHPNPHAKTFRPS PPNSAHPLDIHDENTPPPTDYQPVAITKDALYGRRAYGKALDSVFEEAHADSASPQQR EAISRVHQAWAHLDQVDPEGEFLLLKAMVDRLQGDSKLASALGIAMSPAPTPGTKQHN TKSSESSLAGTTVHGNGTTRIRANTSSTVFTKPSPVTDYASPIQAQTPFLSPVGTPTS TSSGSPVKGPKLVLAQNNPHLKSHRRRQSAFVGGEKLFGLDGDELSEKKLPGHVEPGM EQQGLLADILYGQWMQGLKSRWPLA CC84DRAFT_1165151 MGNSASPALLKAWLYSWLKSGFLLRLIGLPAAILALHFELSESS HRYSVILHRLSSRLRLAHLTCRLAKGHGIGAHNIFYLGGADVMDRAQHKPASSRKRSS TPDDKERASGAAPSSTVAASQQTSGAASTSSTLSHPSPTPDMHSHARSMSHADIVRQG KRLSLQFPIQPAAAGSSSPAIPSPRSRPQSWIAAPSPVPSPDSTSAETNILNIIAAQE RFVLELKEELTKAEQDLKTLKKHYATQEAVKVRNDLRKVTKLQPLNTSLANHGLDQDD EDGSSSWMQKEMERRKALLSAKATQRGGKVFSGSRHLRTLSLLSPDKNYTPSFPQPLD LRHDDPPTPATSTSTASLQRPAIPTRQSASPDIANQIANTVNGERYDLGGLSNIQREA LLRTGKQMANDFKDGFFTFIEDIRQATVGDDAINAAEGSAPGTVRDAATKGTRKASEN RPTLNRASSSKKSAPSKVDIGDEFWKEHGLSEPKASPTHKKTHALKQTRTPQKQTASQ ADDFDSWDNWETPSDNHVHAAKISGDSNSSDESEGPSSPASGQVSSRTSTRYHSQRHD SKASTHTASSSVEESSRRDSKRNSIPWPELSKLSPSQLKRTASHLMKEWEKNLTPPPE SRDFNHASGDYIGRSVSPSDFA CC84DRAFT_1165152 MSFTPPGMNPNAGMSEQEAQMVKMMQASMESCVGKTVMAGVMGG GLGAMFGLFMSSMRYDTPMSQPLAVPNAPAPVAAAAAATNATSTAAAGAKPTPAPTPA AASAVSSTATSTASTTVTHPSFAGQMVQTPAGSVPLTDLPLRQQLKHGLRDMYRSSLS SGKNFAKVGAIFSGTECAIEGLRAKNDLWNGVAGGCLTGGVLARNAGPQAAAVGCAGF AVFSAAIDAYMRMPEDERSRPIA CC84DRAFT_1218200 MSPNFIFRIDEIRESLQTAASYDRYGIWNPSTDSGGNERMNGHG ATGMWWYCNGQNIQRLNVNAPPHGSSHFQTFSVFYCDGFGFWVLRGDATSPPAAEAWH PLSFDWDEHDYSAYLTNAGYQRTLRVQRNDQMWPRMLLPTTNQVPPVTTSQRYGGLKG DLAIFLALIALSMDRPYVPHVLPQMFAREAWTIHQHPHGRLNQRGVVVYVYTVPASWD PTGKSTAKELKNYQDGVHGKYYY CC84DRAFT_1121456 MATQNSVKPVHSIIIDTGPLIHNTVSISTIINTAEVLYTTPAII AEIRDPVTRSRVETTLLPFLNVKTPSPASFDAVSEFAKKTGDFPVLSKQDLGILALAY EVYCEKHGGSFGLRSQPAQTDIENSPTDLEEEVVAMNNAEQVSATREPGQAADEPEEA TEGPEQVTKQPEQSTQESGRATEEPETAPEAPKQETDEPWQEIAKKKVHPAKARLARR AAEREALTNEVLPTTAQQPTPPTTDDDEESDGSEWITPETLSKHQQQDTSDTPLDASE PQLAVATMTTDFAMQNVLLQMNLSLLSPSMQRIRNARSTILRCHACFLTTREMEKQFC PRCGQPTLQRVSCSTNTKGEFQIHLSTKYRYNKRGDKYSIPKPVGGTSNGKMRGQGGG KGGWGRELVLSEDQKEYQKTQAERKRTQAKDPMDQDYLPDILTGNRSKTDSRPTVGAG RNVNSKKRN CC84DRAFT_1165155 MPSFPTTPIAKLTGHTGPVHAVAYSSSPHSYILTGAADRTIRLY NPSKAPPSSVAPTSSAAYPPGLVTKYNAHGYEVLSIDVNAANDRFVSTGGDKTVFLWD VQTAQTVRRFTGHAGRVNRGVFGGEGDSVIVSASFDGTVRIWDVRSNSYKPIMTFSDA KDSVTDVLAFDGEIVSGSVDGRVRSYDLRTGYCEVDVIGYPVTSLTLSKKGTEVLVSS LDSTVRLMDRANGQLLKAYRDEAFLNTDLRVRSTLGLNDSVVLSGSDDGMVFAWDLLG GTALHKFRHSELREVRGTAEKKEKGKKDIVSAVAFCPSRREWASAGGDGNVVVWGTDS CC84DRAFT_1092976 MSSLRLLTTAPKSDDFTPLQEHQQQTPSTFFGAKPVLYVHQTGL NISASKAKLQSDDAFAKFSSEPEGASEDDVLVRDVEAWVNSENLILFQKAPNPTGVSI PYPSIALHGITKKNGVEALLMNLSLNDAETVNADEDIDVLEIILLPHSHHADTSQTSP VQEIFAAMNTCADLHPDPEDGEEAAPDLSEPGATGWITADNMDEFVDEDGNFKGGMVI GGEELGPGAGTVRPREGDEEESATNGVNGHEEKYQRTG CC84DRAFT_802995 MSTSNLAFKIIKGNVKTAATNYGHKTANIYYFSLIHLYSQLLVL LRLLDALHQPQSSKPPFNRNDFTLRSFEIVIMNPHIITTNPCLFCGKRGSEPHDSLCL TRRGYDITELRDWVRMPQSQSRVRSADTMDQRFQQSVIRRLRYGSTVFLGGPYRIEIS P CC84DRAFT_803005 METRLNERADDGLTPQNMSDAIEDMLTAFENDLERIERSGVRGR ARSAASPHSIPPLIFARTRRRSQSPAFIIQSGSIGHPIPPPPPSRPISRSHSPGFHCQ SGNTGAPLDPRTRGKGFRAFFQGDRACHSAEDNNSARAQASSSPQSLPSTPGLLTMTI SPEDMSTLYHVIQSGQLTEAALRAIQSIVANNPSPLKIGTCLNEDGQLTEAAIHAIEN TDGEKPSRPVSPKSRVAP CC84DRAFT_1176948 MGVFLSSLSTCAAKDPEGSSFQCGLPDTFESDGDIAGLGVFIAF AVAVITSLFASVYVLVIEGFEYFSKRDLYYHKLRMLADEYLISLSDAQAVTSLALLVT ANFYVGCTISAYHYDLVCSLVLMSSAAHIGSLAVMHKYFDVDKCQGWQRVWQWVRFSL RVFMVIASFVLSNYLFRHRLESKIFPSFKPASDDITTNNRTHSTGLVLPAVCFIDHPG QSNAVVYNNFTASPNWTRNYTTHASSNHSASNGNSNTSTFAVMPAFATFNSNDDLSSD GDLKAFYCLIGACAVAALTSFLLVLFKKQGTCGHSLLCRHHFAYLLRWGCFFTTYIIA LSSWNRFTKLQNWMIGSGWFEGSDTGERSIDSFGQLMPLILLALPVLALIEALAEKSD PPQKGDANWIGLQDKRNPDGFGA CC84DRAFT_1165158 MTKFVHAGGPERIVDAAGPAIKPGASVLDLATGTGKVALVAAAK VGEKGRVLGIDISDEFLKLAKKTADEAGMGDRVEFLQRDVGALDMPVEYGRRWADAVT CGSAIAMFAEPGKLLDTLARDVMKPGGVFVADMWAMHLPAKIFLDVAVPRGFEAPFDA LWMADTETAFQRLFEGTQFNLVKLEKMGESMARWEAGSEEKMEALWKNLAEDQTWLSF GLERLDAGRVEEIKRAWVKEIEACRNDEGVVVKEMKQWIAVAVVKE CC84DRAFT_1218206 MQLLILFACLIGGVHYAAAAVKTHIYIDQIPIYTKLASCAQDRI SAIVRAQASGCGDDTQLTSFACFCIDSSSQFATIISTAVEDQCRSAATEAAFTAGHAA AKLPAHRVRARATVTPAPTPTGAVVRNVNSALEAFNSYCSKSTELTRFQQDPIAQVTQ VPTTVFVTLTPSAPTTSSTADTSTRSSKPIAAIVVPVLLIPIALGIILWLLLRYRQQQ REFDHKLQELQAENSFARGQTVLGRPNAVMVSDQKELPAQYGNELYTTQHRVEMPPNQ DPAVELDANAGRTAQKNEKMGLI CC84DRAFT_1121465 MAPQKETLLKFTSRRYKSPSVSEEAFHAFATSDHAPKAAPIQAR HGFLGVRQSFKPTALRALLTEGPFAANKPPGWTVDDHDFEIVFYVRSMEQLGGLLGDP DFQQLMADEADICDQERAELSIGWEEVFVENGKVVNIEEGKSVYQGWNESVEKLAGKK EGSKN CC84DRAFT_1093742 MGGEVEGTDHTRWRMKNDRGTQTWQYLESDEEAKAWPQSTADKW YLGMDTELPDLPPAKTPLQAIHNGLAFYKELQQPVGNWACEYGGPMFLLPGLIVTWYV TETPIPWHHKAEMKRYLFARAHPEDGGWGLHIEGESSVFGTAMNYVALRLLGVDAEDL RMQKARATLWKLGGALRAPHWAKFWLAVLGVLDWDVVNPVPPELWLLPNWVPIHPWKW WVHMRTVFLPMGYIYSQRWSYPLNDLTKSLRSEILVQPYESITFIRHRNDISPTDNYH PKSLALRILFWFLVYIWFPYLRPASLKKKAEEHVWWIIQREDANSDFANLGPVNGPLN TLVSYIRHGPESVPFRRHLETLPEFLWMKDEGMLMNGTNGVQNWDTAFTVQAVKHCGL VQDPQYHDMLHRALEFLEDQQCPEECRDQHQTYRQSRKGAWGFSNRRQGYTVSDCTSE GLKAVLILQTLPEFQSLIPDNRLRDAVDILLTMQNKSGGCASYEPTRGSEYLEWLNAA EVFGRIMIEYDYTECTTAVITALHMFQKYDSSYRAAEIAAFKARAVNYIRAAQQEDGS WYGAWGICFTYAGMFAMESLACGGETYANSERVRRACQFFLDRQMEDGGWGETYRSCE TGVWCNHAQSQVVQTAWVVIAMCHAEFPDKEPLRKALEMVVRRQQKNGEWLQEAIEGV FNKSCMISYPNYKFIFPIMALGMYKERFGNEELF CC84DRAFT_803214 MATMAPSTQPLPVRRKPIANPGISVRPPVPPFDAQSGHSRTRTT SSGVFPVAPSPTASTMNSQQYPQQMYSGGLRRTPTSSTSSTTGTPGRTNSGALRRSSS TRSGNSPSSYVALMRKQKATVWCDRAQYDDPRVVAQQRQAKMRAVMEVAGGGHHASLR NSSGSSGVAAGVRSKVRHHGVPKASLYAPIATTGSGVPMRLSASEVDEGDSEDNNSIG QGAGPYHHRTGSGRSSLGSGRRVGYASGRISSNSTPPNGQNSSPGEMADLPEEETPVP NYGQNDYFEHTKTGLSGGSGSSEERNFGSVGQMPQGLPKADPEKNTSDLHRRGSVDER TTTMSGTRLFIANPDLSD CC84DRAFT_803072 MPRSDESTQVNDRWLIICVEQAAWASGGPITSAVHHRPGVTGPC NVHQITAALRPTFRVPQPRSTTEAARPIDYLVLRRCFASIVPLLYKIRAFNRGAHASY DFTLRCEPLGYQPTQPAASLGTYERSASPRCTCAPARLVSGVRGASTRHVAVAYIALH LDPETRSAGCIHL CC84DRAFT_1218210 MPSNLIPNTKGYDEARANDPRSEESKKKDLISRGDYTPTPVGKL VFVLARAIDPFIQYSILQGAGTGLLHQIGLRTLPPGIPSSTGISALDGLGLSPYRLVL LGMAVGSAVKQGIWAVAVSGEPMNVSNAIAISVFNTVFNSINSYAFIIRATSASLESD FPQPALLVGGALYVTGLLTEFISEVQRKRFKMDTKNKGKPYTGGLWSFARHINYGGYT IWRGAYALTGGGWIMGAIVGTFFFVDFARRGVPVLNEYCEKRYGEDWDKFKKQTKYRL IPGIY CC84DRAFT_1247110 MVLAFGDVCNLLEGAEKIASRHSRCAPDEEELRIRQHVTRWFEQ HRADLDDVETPAAAVLSAIFPHRRKDRVYGLQPPSLSKKITQLLNLNHGQKVLFGGWS TGAYGDLGRYTELAFKPWDGTFAARIKRAIPMEKVDNLLTQLAARYRFSDPGIKQQRD WHMSTDTELTYILRRLESWEAKWFVRLLLREYPTISLDETHVFRQYHFLLPELLKFQN EFDAAFQLLRGELSCYPPRPELSVENKMRLEASRLLKPTVGVKVARPPFHKAWSFKHC YQLVGKRAWAAEVKYDGEYCECEIHVNLDATGNDIRIFSKNGKDATMDREALHSTIRD ALRIGEPDCSVQRNCIVLAEMVLYSDKEQKVLSFSKIRKHIKRSGSFLGTLQDSPPHE WEHLMLVFFDVLVIDDEPVMRQCLQKRRQVLRKLVRITPGRSLRSQWTLLDFNSEHGT TDLKQAFARSLVEKQEGLILKPLSTPYFPLHSAEGVYWPGYFIKLKRDYLGDMGGQRD LGDFAIIGACFDPQSAPKTDVRPLYWTHFHIGCVTNKLEIQRSRAKPKFQVVGCLSLD KQIPKSDLKYLNQFGRFQQIDLGSSRSIDAFDIKHGKGFEHRMTVAFGSPFVAEILGS GFEKAQNETFEMLRHPRIKKIHHDRTWEDAVSMDDLHRMAKEKWKVPDAEELDGHARD VALLAKKYLNEKNGSQGTASECATTQETTQRSEASTVRTSASASKDAVVQESQQASAH TCTTIASSQCSGSTQGNGGRASRELRSILVREDTSDSLQRQETGAVYPTPHTCSVVSA TSKRSFDSNVIISPPSGKRRKTRSPLADARGNRTLGSFNFDSQTKVIHIFAEEGLKVQ VHTGSPAEE CC84DRAFT_1093407 MPQLTEPANLPPAPFNQTGAVFVNSTLRETLHMTVGASQIRLKI SNVFGVTNLPITAVTIALPVNGSAGVHQIVPSTLQKVTFSGKSSISIPNGALAVSDPL NFEIKPQSMLTVSIYLATGQTTNSITSHPGSRTTSFWQFGDAVSAPALDISNAATQSA AHWYFVSAVEAWVPPSTGTLAIIGDSITDGRGSTTDKNNRWPDLLLARLQKNSRTAKI GIANMAAGGNRVLADGLGPNALGRVERDVLSHPGVKYAMVFEGVNDIGTADVTPAAQN AVYEGLTQAYAQMVTRMHAFGIPVFAATITPFSAPANFTGQPYSNAEREKTRQKVNKF IRESGTFDAVVDFDKMLADPKIPSQLADAYDSGDYLHPNPAGYQRIADLFPVEVFREW EGGADEFA CC84DRAFT_1092995 MPRGVRKEEGMQDADYVPGQDAPVAQMDTPRGKGVKREDNGAPQ ASPDVNITVHFPVARIKRIMQADDDVGKVAQVTPVVVSKALELFMVSLVTKSAAEAKA RNSKRVGAVHLKQAIANDPQFDFLSDIVAKVADAPAAADKSEDAMDVDGGKKKKASSR RKKKSDDDDF CC84DRAFT_1247130 MRPDPEEQPAAPSAPWRAGSAFVMGAVGLLCRGFLAMSKTETHG LDNFMKLLEAREDVEGRERGLITVSNHVSVLDDPMVWGVMPLSYLFSPDNMRWGLGSY DLCFTNKGLSTFFTLGQVLPTHRSVHSDFGGLFQPTITQAIRLLCRGPFLNASDHLGK PSKKFRSPDVSDPFSGGHLTFSTNGTDTFPSPSAYLTRRHAWVHIFPEGMIHQHPDRT MRYFKWGVSRLILESDPMPDVVPMWIDGPQEAMSEERTFPRFLPRPGKNISITFGDVL DGEKVFGDLRARWKQIHAREEARSGKLEVGVLNDTLKYSQEVVALRKECTRRVRNAVL DVRRSRGLVDEDPKAGFAETWLREGPGRAGRKEDGSIVKDT CC84DRAFT_1147672 MPPPKTIFTSHERNNMKATWGSQAARLSRDSFLPFGSCQLCLLP AVDPVCCPSGDLFCRECAMTNLLAQRKEIKRLEKAAERRKEEEEDQRAREDEEARLRA VAEFEAVQMGLKVKHGAGSRVVGREGGKIVVEKEEEGAARGTKRKFEIDEEELKRIAL EERSRAKLALDEEKKAAKGHLPSFWVPGETPDQNYRSMEVAKRNPTCPSGDPEHPHAL SLKTLVSANFNMEKSPETGKSTFTCPSCRKALSNASKAVIAIPCGHVLCKPCVDNFLR PEHRHHRDAHDDGPEPETVHCYVCDADLTSVPETKSKEKEGKKSKKDKVKGLKPGLVG IRSEGTGFAGGGKAMVKKDGVAFQV CC84DRAFT_1092987 LIFCGVLIPKGQLPGFWIFMYRVSPLTYIVVGLLTVGISNRAFE CLESELVILNNPKLMACQEYLTIYLELVGGRFLNPDARTGCKVCPV CC84DRAFT_1218216 MCSSTAHIAHSSDKSTSTTKRDPGPTTPSAIPLPPSPPQDTTTK GGDSTPATPAIAIPQSASASASKLKASFPAGWPHSNTNLNTAAPAKTGFSVPVGRPKT PRSRNRRGLTISTPLPNPFLNVRASESPEKNRPLSSKMTTPAESPTTPNSRMIFKMDD EEK CC84DRAFT_1165171 MAQQPPWQEPHPGAPSKLVVYNSLTKTKTPFRPIDPEGKRVTWY SCGPTTYDYSHLGHARNYVSNDILRRIMKDYFGFQVQYVQNVTDIDDKIILRARQQHL LSEFRSQHPQVTADALATTLKAFHAYAAEKLPLLTPELKPEDFSSESAEKYASVLAGK SLDGINPPGDKEAKIKMHIQTLAKAATALLSPTKGSDEDAANFYDNASDVLMPYLDSL YGTSISSSDHEIFSALAKKYEILFDEDMEALNVLPPDVTTRVSEYVPQVVSFTEEIVR KGFGYATDTGVYFDIAAFEKVEGNHYARLEPWNKHDSSLIADGEGSLSTKSGKRSEGD FALWKASRVGEPAWESSFMQGRPGWHIECSVMASETLGKQIDIHSGGIDLCFPHHDNE LAQSEAYWSTGKQWINYFLHMGHLSIAGSKMSKSLKNFITIREALSNGEFTPRSLRII FLMGGWHSGVQIEDDMKRAAAGFESYVSSFFMRIKDLEMHPNTNSTGTEDARVLEALA TAKLKVNEALADSFDTPTALRAIRGLVEAWNTADKAGLSDDVSRQLGQYVTRMVRIFG LDGKASPDDGGIGWAGVDIPEEGKDYIYAASRLRDEVRQRAIAKEPLRIDSLVSKDKP SKQQDAAAVPWAELLSKFQEDLILLSQQKAEAKAYLDLCDELRDTHLWNAGVYLEDRT NAPAMVRPVDAELRAEREQKEAIAQQKAEAKQKREREEAEKKAKLAEQARINPKDMFK TDEYSAWDDDGVPTKDKEGVDVPKSKGKKLRKEWEKQKKLHEEHLKGIGSS CC84DRAFT_1165172 MAPAVSPFLDPGTSSSANSLGILQLKRDHLIPTVLSDASSTEYA EGNVVNTRFGSFTHNSLIDKPWGTQILASVVDTGSRGGRSKKRKREANGEGPAAEDAA GSEEPVRGIVKAATTASSGFAHLVPPTPETWTLCLPHRTQVVYTPDYSFIIQKTRLRP GDHIIEAGAGSGSFTHAVARTVFNGYSGLEAPEEDKDGQEANGTTSRKRKRRQQRHGH VYSFEYHKPRAEQLREELVSHGLDPLVTVTNRDVYADGFCVDTEDENAPNADVIFLDL PAPWLALKHLTRSPPPPAVVKSIASDPSTPTAEPTTSTSTPQAPKPFRSPLNPKTTTR LCTFSPCIEQVQKTVAAMRSYGWLDIEMFTMEHKRLEVRRERVGLAEEGLRGANPSPA TVQEAVQRLRDVEDRAKVFHGLQKEKQEQVMRRAEAKKRGEKAPAAESEADGQKKKTE GLPPSKHDRLERAKKESESRALFKEGRLVCRTEPEIKTHTSYLVFAVLPVQWTAEDEE KARRKWE CC84DRAFT_1247131 MASLSDVIALVSSLALRLSAYIFLRWIVPPLATALALVYIPSFF ISLQDTAPFKIISDELNIIVKEAVDTSDSESSERVELLEGADDGPLQELDVQEEIQYE ERQPKILRTLLTGRPSPSSIFWSWATFGINVALLAMIADVIYRAQIFHPHHHASFGRI GYVSDNYANILIREPYAYDVKVLYRSIDEYERSWMQSTLRSSQPEYWLTGDSDFTTNI KIDHLRPNTPYEYVIETSSGNTTGTFTTPPRPGHLSAFRDDKYTFLHSSCIKPRVPYS PFQHPLHFPGFKHLARWIPELKPYFMLFLGDFIYADVPLQRGRDAEYYRREYRQVYSS PDWPSVSEDLPWIHVIDDHEIQNDWNGNMTGLAVPAYDAFQHYHAAANPPAHRHGHTY FSFVQGPADFFLVDTRAYRSPENSDPNDPVKTMLGATQLSDLLSWIATPPPRGVHWKI IVTGVPFTRNWQFGSEDTWGGYLVERRQILEAAWSLSSTHDVGVVILSGDRHEFAATS FPPPKDSDWPVSATVHEFSTSPLSMFYLPFRTYSEIDDGDVCIKYLPDGNSKFGAVEI TAPETSEQSLLKYRLFVDGEETWTHVISTPPLRDGSKRAKDAVWG CC84DRAFT_1165175 MTGSSRICFQAQRPNYRSRSTHPSRTDLQAFSMNHVSGIAFVAY ALSLWHFFWEWHREFWFV CC84DRAFT_803824 MFTGRALDAGFFHWVVVPGMLLSALGIFLTSLCHTYWQFFLAQG LMNGIGNGCQFAPSMSLVTTYFARNRSAALAVMACGSAIGGLLYPTIARQLLPQLGFQ WTVRVMGFIMLAVGAVYTSLLRPRLPPRKSGPLFELSAFREAPYTLYAIGLFLVCLGV YFAFYYISAYAVNVIGVSYGTSINLILILNGMGLPGRLIPGYIANRWLGPYNTLIPLT FITSLLMYCWAAVKTKASLYAFTILYGFFSAGFQGLFPAVMANLTKDMSKVGTRNGMG LSVVGWASLCGPPIAGALIQRSGGDYLAAQVWAGTMMLVGSCTILAGRVCITGWRIRE RV CC84DRAFT_803524 MTSNGTSWVFPPALNATFVNDFYVQYARAHNGTEDDNGVISLLL AAVQNLTLEERVDLGFKNEGWGGEDAVVSVGDTIEGGFKDRELRVYGMNCVLCKTGRE HGSIENACSNYTETSNVQYYSKREGPGGLSLDLPELDQYSTLPNETCGLCIFSLPSEP GSFHFDDYSVPFLVQEQETLQRKVFNKSNPTGVMVPRPSYAVPSPPPEASVGALRQEE TSRDPNLIVGVVVGVLAAASIIMGVIIWLWTRRTGRKNPYDSAMDTQPGTPQPRARTP QSVPLQQVQPVVRRPDSSGDVPPAYHEVVRAKETEP CC84DRAFT_1187756 MELRVLVLLLTSLLIQTASAQFGFFDQMFGGQQQQQHHHQQQNV RSDASWYQAQYENAHCDKYLCPGTLSCVHFPHHCPCAWESVEDKVELGEGVAVCASKG GYKEGETLRKIELARKGLL CC84DRAFT_1165180 MHLMYTLDAQGKRVYTLKKVVDGRIANSAHPARFSPDDTYSRHR VTIKKRHNLLPFQAGGAAKKKIAERY CC84DRAFT_1176966 MGAPGPVAAPPSRFIAQLTACPHGQHLLPDYAHAAQKSRTTTLA RSPSEARCRRREGKGSRICPPVTTAMSRWLTYPAEPPTRRLVPPVRARRLHWLTRHDV PQLPQIVTAARSAAASLPRPPLFSVRV CC84DRAFT_1176967 MPVPSSGPHRRPYQMTPFQQRGALWSRIFGDHDEVLINRYGPPW IASFAHLYRRQHPLHAQIAFVLTPFARPFPQQYIVNPTLARHGKTRSGSVAAAYANNP SNLANCGIPTCVCIFATYPENTSVAAQLSTAAPAAKLLWTYRRDTLLHVLDCHYTAPL KGNGNGTRPLPS CC84DRAFT_1176968 MLAFKDTQLALIHIPLPLYSSFLQSILQLLLPNESRNEHSAFNG NGAVQPPPAWPYEHPFVNISVTPSECSVVCSRKLANDIFVPALNLLDPKSRDRVSITS EDYVVMQVDGEGLDAGQRVLELTSPLALAGISIFFITTYFSDYILVPIRYRSQVVHAL EERGFIFEDQTSSYVNPSHHSRQHSSPQSFEVRPPGTPPPATVSELQTRTFATLKRRN IIPTVDSCLRLVQCAGRRDSANSINEGRNRSSMTSAADDALHLGLVKCLISPPYPKFL SLTLTDTEPASLLLDHSLLPNFASDILLGSKDDFLIPITLDLRDLPMESTGIICGVAG RLVGGTAGQLESAVEMSYLSTARAGTVMVAEDELKRALGALRGAENGSSTPD CC84DRAFT_1260121 MSPLDSWKGADASYLQNIYRLCHQHPSLKLLNTLPILPEHSSRM KILEFGDKTIAELEIYKHPQLDAYWSTDKPPTCKGRLYLAEDISLPWISLLGNHFDID PRFFAEYLKLDLDRTQNFLEGYHTMRRLPSLQSIATFTTFVYHEIRTFDRHAPRREEY EIRTRDNVARLVTTVDHHCERATGLIRRNMSVWWRPSTNATAPWEAIILVDPGASNAF GLRRWSELDAAKWSTTTCSNTPYLDGYLDFSAWPPPPRGKPGAAAHASILDDIAYYWQ HASRADLAAALLDPRNAFIFAHRITASHWNLQLEYLVSVVSDLEKGMLKFEQMDAHPR AETIVNEVRALRTLLSDVNSWRRRVYFYLEQMRWNIEGLCVPGAGDSVGRTIGHDSAV SFGEDEGKEGSGSGSGVAIKRGRYTSPSAAAALAHTDFAPLLTSLRLTQQRIQSLLPV VMGAFSLLEAQHSVLKADLTIRLSSVALVFVPLGFTSSLLSMSDNFLPGKSLFWVFWV VSVPLIIGLFWWAYWVQVGRVKRVGMREMGRRLKKRAEGLG CC84DRAFT_1260122 MLSTLLSLALATITHASDTPCDRTFLNDMTAAYLAAQTLGEPWL IPSVAPDLNYTEQFKPLSINAGILSRPLNITSHRSFYDDVLCTAFTQYLVMDPVHPYV IGTRFEGDGLYLTKIETLVTDQGDWAFNATGYKYWDDQEDWSEIPQDQRDSRKVIQAA GDAYFNRFANANATVPWGTPCARLEGGAYTGGRNLTGNTCDLGLPSTITVVDRRYVVD EVNGVVVIYIGFPGLDRDDPKPSPDSHAFRVEKGKIRYIHTLSTCEGHPGCGFNGTGP PALRRQ CC84DRAFT_803957 MRQAFRLGIGPEERPHTVGFMSKKQNIQKLQKDRYTMVAQSLRA GQPPLKTNVRIRAKVPTGFIFHEQPFHQENWPLEKGERISTFTQRARCQRIYLTKNRY VGLGRATIRKNYGVCVLFGCRVPVILRKIESYYVFIGPTYLQGWMDGRSLICLRMTSS KRWNFGSVSMG CC84DRAFT_1147700 MTSIAKTVVLITGANSGIGFELAAQLLQKGTYHVLVGSRSTRKG ALALKDLQSRNYPGTVELLPLDVTDDNTISDVASTVESQHGKLDILVNNAAVAIPPGN DREQLRLAFDTNATGPWVLTKTLLPLLQKSTSARIINISSGVGSIGRKLDPANPMHKL SAVQYRASKAALNMVTACQYVEYGALGIKVALYDPGFTVSNLSENNRAEKGARSAEKT VQSLMEVVEGKRDAEAPAFLHNTGAYEW CC84DRAFT_1093846 MVGILSAYKYQGLVDEDEIRLLVVEPGNQDEDLRCSLVHARLSD RPDFEAISYTWGTDAPSKQILLDGHIFPVRNNIYHALKRYRHATRQRILWADAISINQ DDIPERSSQVKLMKKVYMYASHVLIWLGPNLEHDDLANADVRALVERESKRNADTVTA LQEFFTRSWFSRMWILQELVVA CC84DRAFT_1218231 MDVSFLTNLFGLQGRNAIITGATGGLGSAIALALAKAGANIVFI EAPNDPNSNALHDLLAPTEREITSFNCDLASSQSIRECYARMWAEGIVPDILVNVAGV MARNQCENATDEEIDFLMAVNQRAVYVSMQEFGRKVLGLGRKGKIINIASVTAFQANI NTSVYGMTKGAVVQMTKSFSNEWAGRGINVNAIAPGFMRTNMTEVYARDEEYTRYLMQ RVPAARWGMPEDLVGAAIYLASAASDFTNGVTLIVDGGFVGK CC84DRAFT_1147708 MAESQFPLPTIRWGIIGAGAISAAFVKDITLPREHAQARHIVSA IGTSSLEKAKSFVQDNIPSVPTPHIYSSYEGVLQDVTVDVVYIGTPHAFHKKNCLDAI AAGKHVLCEKAFAITAREAEEVFAAATAKNVYVMEAMWTRFHPLVQDLRKVLFTDKLI GDIRRTFCDFGQDHKLASLGPESRLKNLDLGAGSLLNIGIYSLTWGLLTLDERIGMEA SHTEILANQSLVDGVDVATAILLRYPATGRQGILTSTSEFKNDDAFCRIEGTEGYVLL SGPYTSSPRNFSVWRNRSASNSSFDGLQQSEPVFQKGYDDVGFGLYREADAVALDLAA GRRENKIMPHAETLRIMRMLDEIRRQGGASFPQDGESSS CC84DRAFT_1165184 MRLLTLLSFVPGLLHLGSAAPNPTGSLSFASNKELYTFEYSTPN ANAKNWIGIYHATGGGPDDEMQVTPSLRWSYAPNAKGSLTIKPPVGGGKFKAYFLADD GYKWIAKPIEVTAKETNTDELKVMTWNLWHGGTKVNGYHDKQVKFLTGAGVDVVGLQE ATGDHAKRLGEALGWNYHQDGGDNSVGIISRLPIIKRHGKIGRGSGVQLALKGDTSKP FNFWSAHLAAYPYGPYSFCFEKKSASDVTADENEAGRPSQIKELIDGTKSQRESNIPF SIVGDFNAPSHLDWTSANNANHCGKSFDWPTSKIPTDAGLIDSFRKVHPDPKAVSGDS WSPIYPKNADDWASQPEPQDRIDFIYGTSKLEVKGSEVRVVGTPSAYPNYENNEWTSD HRCVITTYKMP CC84DRAFT_1165185 MDIASIVYRSADVDIPERIEIYVDEKAELEKPQPQPVRKPRPVR LSEDACEQEAHSPEPLPDAQSDLSFSTTKRKRESYDLSGSVFLISREGKTLDLPIPSN SRHDPLNWSPWKTGAAMVAVGWYSATALTAVQAVSLMMRGIKPDFTKEMTTPWSPATL STAPTLFMGIGCFIWVPLSLALGRRLVFLLAALIDLFAILGAAFSQTFYQLLGCVCLL GLSEGLALSLALLMVVDLTFIDRRPHIIASLWSIAGFFGTGSLGLVPIMSDDGKDWHL FYLRWICPSVIALLLAFFCYDETYFKRPTVAFDGMIIMQSATEKLTIYEDLEAESDIY RDLPSFDAEKRGLLHRFGLARSPSASWEAFGRCYLQMFFCAINPLVFWVLIASAFNFA GMMFIGATFAIILAEPPYNLSSYKITVVNFSSGTGGLLAYVFAGYLFGKALTFLSKRN RGVREAEHYLVGYIVPVITGSLSTLFYGLAVHNGWHYSIYYVAYGLNGLSYVSLSIAN TLWVTEAFPRWAAPALAVVTGGCYLLSFSISFGLVPWIHAHGFLMVGIELTVLQLVGG MIALPIAFWGKSARQMIHGRWANERSGALRPL CC84DRAFT_1165188 MERKRCVINDDAGLAAEKFEVRDRACIGEMVRASAVSTRGPDTI RTIGPQYVASKLLVLVLSSSDMWMSSFRHVTDFNVEDLTATGSLLATCDGYALFWNKL RYLLCFHRTEVNTFNPPEVAPGAIVPYVSSKFDSFGPPVMSSCLAEEASPMYQQEPQS CTASEFAATLVRATEPHRHCRYQIIPTSQLGNS CC84DRAFT_1187763 MAEKNIDTIHDPSEPPQYGALHEKAEKPHTELVDDTGGRRASVA LNIVQNPLQRVSPQAAVADARAFAETNGMSEHASLFGRAALVARNPTHFESLAELDED ERTALIYERDHKWHGPKMLWYSIGLCAIGAATQGWDQTGSNGANLSFPEEFGISGKGK DEWIVGIINAIIFLTAGLIGAFIVDPLNKYLGRRGEIFVTALCLTATPIGSAFARTWQ ELFAARFVMGIGIGAKNATVPIYSAEMAPARIRGALVMFWQLWVVIGIFLGFCANVIV KDTGRISWRLQLGSAFIPSFILGIGIFFCPESPRWLMKKGNYAKAFRSMNRLRAHPII AARDYYYSFVIYEEEKKLAAGTNYFTRLWDCFRVPRIRRANYGASTVMLAQQMCGINI ISFYSSTIFENVGYDKTQALYASLGYGAVQVVFTIPTLFLIDTKGRRTLCMITFPLMC LFLLAAGLSLLTPTTASKGAQIGPVVLFVYLFTICYSLGEGPVAFQYSAEVFPTIQRE QGMAWAVCINNTFAGILSLTFPRMKTVMTPPGAFGFYAGLNLIAWFMIFCFVRETKQL TLEELDQVFSVPTKTYIGYELKVMVPYWIKRWIFFQRHLPKPAPIIEKAEFIEPVTI CC84DRAFT_804158 MWASTRKHHGERVTFLKLGRGTLVAWPQIFCRVHWRAQSGLRQL THVSLWDCLRPSHVSPKQANSHHYLAMSLCCVCVSGVHPCAAGSEAAQIQHPTCKAGP LVLQVKATETDRPTASSVEGGDPERYRWRIAHKPKPQPSLLGATPDPRS CC84DRAFT_804224 MPRKKQGLRSYHHKSRNGCMQCKRRRVKCGMQQPACANCSRRNE ICEYPPPVDDGSTTPPPSERSSEINSWAVGPQLEYHDTVSSSAGLATHASPPFLPDFQ SNPTDSDAPYCPRTRKLLDGLLGAGSWFSAPETVLWTDSIAKAAPKYPYLQHCVQAIA HMKHHNLSGLRWPSTSAYQHHLLASRIFRETTHYVDGDNWMAVLAFAIIMLIFQFGSQ INCDEEHFNIIETLQALRNTLQIEEAAREYFHRTEFWKLIQARTSVPILPDLKMRSAM HSLSFAVLNVFQEINSCNDGTGPGRINRQAFMELEKWAVECQGRPIRWDQYQEWPRRV FPEFLDLVAGGDDTALLILIHWTAIMSRSSKPFVKAWAVRAGLSTVNRLKGNWHEQLA WPLEVFIEPMASENAPRQLETVPLFLQLPSYTGPDQTPVSDPQRFGDPHGFPMIPDDV AGMPMYPCSSSM CC84DRAFT_1093531 MAPSLALKALVALCSASTVSAFGVGTQQTETHPKLQWKTCTGKG GTSCSNVSGEIVVDSNWRWLHTTSGTTNCYTGNKWDSSLCSTNAACSSNCVMDGADYS GTYGITTGTDALTLKFVTKGSYSTNIGSRTYLLQDSSNYQMFKLPGNEFTFDVDLSQL PCGLNGALYFVSMPQKGQGTPGAKYGTGYCDAQCARDLKFVGGSANAEGWVPSTNDEN SGVGKKGACCAEMDVWEANSISTAVTPHSCSPEAYSVCTDTGCGGTYSADRYAGNCDA NGCDFNPYRVGVKDFYGPGKTVNTNAKFTVVTQFLGSGSTISEIKRFYVQNGKVIQNP QPTVTGLTGNSITQAWCNAEEKAFAEDRYPFNEHGGMASMGRAGGAGMVLVMSLWDDH YANMLWLDSNYPTDQPATKPGVARGTCSTSSGVPADVEKNTPNAQVVFSAIKFGPIGS TFAQPSGS CC84DRAFT_804173 MRNLGMFFRPPAGTGSAVGRCDLMRHGRCRDSIWSLVHITTLLE RRISCMCFPRIAWFGRHVESELAVVCEAKELGIISCTTCSPTFFSPNIITLQTRFGNP QHISRGFVATAAECLCTFHDFVFLASCELCCPHQVRSGHLPISHFRSACLVLSWSGHC DPQVELSACDNV CC84DRAFT_1165197 MFATHALNQLRRYSTSLPRDPHYRLKATLMTGYLVSAFTLPFVP PLLESRRTKADGTYLTRENVYCSAHYHGCAR CC84DRAFT_1093895 MAATAHIDRTNPHSLFYLPDELLVQLVSHLAGDAQTLCSLARVS RFFQIEAEKHIYTKIELLSTNDLHAIIEAFTRRFDRVASVETLKILYRFHGGLGATAD ERAVFNACVGGMKALRSWEIESPYDNYKWDEGGDEWVLKDMEKFRAHLETASLKAAAG SLTGPGDVGLAKLQRLVIHTHGVSDDFWNLGEFHCLFRHPSLRYLHVSCLALPPDLPK LEPYARTTPLTDLVFDECELEPRSLGRILATPKKLKHLTLGENVYNINEGRGANPRLT RAPEAALAALSHVAHSLETLVHYDPAWRINMNYHKALPIPGGGMRDFHSLKTLRVDTC SFLHRSIALSHTQAPPNLHTLQIRNPRPRVTRFGPLAASTNDDFFEHLPPHDPYTYLA SLKTLDFVQGATSEMPMAKTLHITEDEALRERHKTGYKLFKHGINLKVTLEATWRAAL IPPFLHGEPLPELICVYDAQEIGFNR CC84DRAFT_1187767 MPSFTTFVGAAALAHMAAAYTQVNLRSAYMTKNIDPIVFPGSYD KSHLHSIFGSDALTASTTTSAELQKGCTNADNPNDLSAYWVPTPLFTTDGGKTYTPLG MFRFSAYYNLGETPAEVAIPQDLKMVAGKATATTEADMVANAQSSWGCEGESIPLDKN GFPSSTCATHIQQLLYFPNCVNVDTLETAYKDRRGGSCPSGMKSMPQLRFSIRWDARK NLPNGWSGEAPIKLACGNAFCSHGDFVNGWTEEAAQNMLATTQEKMHFSAVTGSLGTS QMSCTPKDAEPDKGTSDFAESVSLMSKRSVPEWGWASKSRLSRA CC84DRAFT_1247165 MAPGLVLPISEEIKDTVQPKKDTLGLPEPARKRLEKAGIDLSNG YPYRPAKPLFLDDVIKIRDYDREHVDPGSRADPEKKALLSAAKEVIHLTAHIGTEIVG LQLKDLTDQQKDELGLLIAERSVVFFRDQDISPQQQRTLGEWYGEIEVHPQVPSVPGV PGTTVIWPALQATESPASFRQTGGASRWHTDLVHERQPAGVTHLHNDTIPKVGGDTLW ASGYAAYEKLSPDFRKIIDGKQAVYRSAHPYLDRNHPEAGPKFIERIHPLVRVHPATG WKALWVNRAMTVRIVGLDKAESDVILNYLYDVYEKNVDIQVRFRWTPGTSALWDNRIT IHNASWDYEGNEPRHGTRVTALAEKPFFKADAPTRRQALGLAGPEDV CC84DRAFT_1247167 MSTSPKRAVVIGAGISGVVSAAHLRNEGLDVTVYERSSAAGGVW LYDERRPLEPAYPSVLPSKGEVYPDDPVLELTETETQAVRHAPPGPCYVGLKNNVGTR LLTTTLNSFPEGTEDYVSHSVLKDYIQDTAIKTGVERITHYGTEVKNLSKVNGKWKVE TVALERNSTGNVERKSTTSNFDVVVVASGHYHAPRVPDIPGIGDVKRQFPTRITHSKG YRTPERFANRNVLLIGASVSSSDIARELGPIANSIYQSHRGGAFDLPASILPENATRI EEVVAFELSKENAEAIDENEPLPVTIKLKSGQTLCGIHDILVCTGYHITVPFLPDLHS DNTAAADASPITLVTDGTQLHNLHKDIFYIPDPSLVFVGVPYFTATFTLFEFQAMVAA KVLAGKVNLPSEKGMREEYENRVALKGYGKTFHSLREKEVEYVNELLAFANPQLDTQL LGHTEVWHGARAELVARMKAMFAGGNESAKELGVTCA CC84DRAFT_804748 MGHTPFHPRTLDSPNELPQVIEASEEVKQQPRKWYYYLWDTLDK PKEERWFMFKLDAALLTFASLGYFIKYLDQTNINSAFVSGMKEDLGLYKNQLNYMQTC WTVGYVIGELPSNMILTRFRPSRWIPCMEMIWAVLTFCLARANNATTIYVLRFFIGLA ESTFYPGMQYIIGSWYRKEELAKRSCIFHTSSALGTMFSGYLMAAVYKLGGRGGMAGW QWLFIVDGVISLPIAIAGFWFIPDVPEIAKPWYLSEEEVKFAQKRMQLEGRQERKPYT KAKFKKILTSWHIYALTPLYVFFNNGGVSAAPVFQQYLKSSKDPKYTVSQINVYPTIQ NGVQVGSTLAMAWISDGVLKGARWPMIVFGGCVNIMTEVSLAVWNIPTKWKWACLSLT GVAGGLSGLCMAWAHEICTHDNEERAIVIASMNEVAYVLQAWLPLIVWQQVDAPEYRK GYITVSCLSFMLIVTALTIRVLHAREVAQRQDEEESDASSAQLGREESTEKYVDLKA CC84DRAFT_1165202 MEACLNPATANSHISPAEEAEIARLNAQVCSKENGIKRHPNVFR QAAPDEAARSFGEQSSPRPMLRPAKPSGCLCRPVSSTAPSASGSGLFGAAAHQFATQP ATGLRFGHVPKPPSAGLLGSTGNPSTERRFGKLGQHYSTDPPVSDGGLFDGATRQSDT QPAKSSLFGHAVKPASGRILAGT CC84DRAFT_1093970 MLARTLRARSAARGLSFFRAYSTPNDPVKRDVPQTVSETNATPT SSEGAFDKVLQESVAKAEQLRTTQAPNYKGTWSTSQQARAVAMQGPRFEQTIIEDQPR PYAAIDLIHKQPVRWTHDRVVSCDGGGGPLGHPRIFINVDKPQICACTYCGLPFAHEH HRATLEAIPESQLSYPLAPKGDPAEVDASQRITNEPLGQR CC84DRAFT_1147742 MRLPPPEVLLSWPAPNYTDPVTRGNTLVIVNVVLISFTVMLIFA GGLTAAVLLANQHYGWDRHVYDIPLTKLKPTLQIAMAAKLLFTAAATFTRLSLFCFYY RLLKDTSKGFYVWVVHANVVYTICIFVTFVFLIVFLCTPVSMYWTYGAPEGKCLNEGT ATLIAGIINVIADFACTVTPIPMVMSLKMPRRQRFAVIVLFSLGFFVTAAGSVRTYYI YESLVVQYDTTWYAYPLWIAAAIEIDLGVICASAPVLRPLLAKLHLSFSSIGTTGKNS TRDTEHFRSLPGGDAHTVSTDRRSMLWFKTDSRPLSRVEKGELDAYEMDARQGSAHAR GQVDPRSLPSSPAPASPGVGSMVTETNCESGVGGSMGRAPQHAWPSTETHIESGARGA ASPVSEPIRLGHTRAANPVSRAWF CC84DRAFT_1094163 MAEPLGRSVARPRIEDLERAEVDDRSYRIITLSNQLEVLLIHDA TTDKASAAMDVNVGSFSDAEDMPGIAHAVEHLLFMGTEKYPEENNYNKYLTSYSGHSN AYTASTSTNYYFELAASQSTPSSSSNVTPSATQEQLPTNKEQSPLWGALDRFGQFFIC PLFLEDTLDREIKAVDSENKKNLQSDTWRLHQLNKNLSNPKHPYNHFSTGSWKTLHDD PIARGVQIRDEFMKFHATHYSANRMKLVVLGRENLDTLEEWVEEVFSKVPNKDLPRKR WDDVSVYTDKELLIQTFAKPVYESRSLELSFLYRDEEEYYESHPSRYLSHLIGHEGPG SILAYIKAKGWANGLGAGGSSLCPGSGIFNISVKLTEDGLQHYKEIVKIIFQYIAMVR DLPPQEWIVDEQIKISEVGFRFKQKSPPSRTTSALASVMQKPYDRKEILSGPSVIKKF DAQRISEAMEYLRPDNFRMTIVSQEFPGDWPEKEKWYGTEYKAEKIPQDFIDEVKEAF ENKTRPEELHFPHKNEFIPNRLDVDKKEVEKPAKQPKLIRNDDAVRAWWKKDDQFWVP KANVHIHLRTPMTYVTPRTAVLSSLYASLVDDALVEYSYDADISGLVYGFSTHASGLS VSVSGYNDKLHVLLEKVLLQIRDLEIKEDRFKIVKDKTSRSFRNWHFGQPFHQVGTYS RWFRVDSAFMNDELAQELDSITAQEVQQFFPQVLSQCHIEILSHGNLYKEDALQLTDL VEKTIKPKKLPPSQWPIERSLILPEGSNFIYSRPLKDPANVNHCIEYTLYLGNRMDDD LRAKQFLLAQMLDEPAFNQLRTIEQLGYVVFSGSTAGITYAGFRILIQSERDCGYLEG RIDHFLTKFETTLKDMSDEEFEKHKSAVISKRLETLKNLGQEGNRFWNHMFRNTYDFL QSETDAAQVSTLTKDDMMTFYGHHISPSSPHRAKLSVHLTAQAKPKEPTLDEKKTQAL AVLQTIAGEEKTPIDTDKLQARLNDVNESAKLPAAISAHLAEDLKLGQEEVARIVDEA AAALGLAESGVGEVKLAEKMDIQETDAKQPVVITDVRAFKAGLFASTGAKPVRNLEEY MEDAAKL CC84DRAFT_1196859 MVSKHFEAGYPNTTGFRKVVKATIMVKKKGGMSDEDFIQYYNNH HAQRAVPILQRHGIISYSLTYHLGRDRKMIQDIMHNQAQLLDYDAICTFVFPDYLALA KFMYDKDGAAMSGDHDNFMDESQMRMMVGDEYMLIENGEKVVS CC84DRAFT_1165208 MKFMQRSAAKSVKSEPSTPDGPPQKRMRMSNGSSAASTPGAPSD HEIMQSALAAEEKKREEALARAYAHTGETKWVLNYEDPHAGKRPPMMQVRQAGFAVID ADGDSDEEEEDKPIRMKFGGGVKKKKAVKPDSDQAEESGSGSGSGSESGSASSGELDS DDPAVELIKETKRQVAAKQRHARNAQKAAAVTPVRRSAAPIDEDMDLAGLTSLSGGRP SGGRDMSKVECFGCGKLGHTKADCPNPGRRSSGPPGMRGSQGRGRGRFRY CC84DRAFT_1165209 MLRTSFLRAIRPQNAFIRAPKQRGFATEPPPPPPNIQARIERVN RRLPRFLHKYTKALGSAPVSHITAFLVLHELTAIVPLFGLAATFHYTHWLPPWFTEGA WALEGVERFGKYFKRKGWVRGDEAREAEFEVEMRTRDHAWHIGEGGVRLIVEFATAYT ITKILLPVRILASVWATPWFARRTMVPLLAKCKGLFRKGKASEAGAIPKSGGKGPGS CC84DRAFT_805379 MDNLGQPKPRHQYVLYPQAGRRGILVHHGQYQNGAPYVGSASGM GMGYGMMGYGGMGVGYPGVNAHISPLYYGPNVSRSTYSNYAGFMGGYTGLSYGVSAYP TYSFSYPYISPYAFGYGCGMYANYYHPYQRTYYGMNPVMAGGAYSYSYPGSTTIIPPS TTTYTTVTNSAVPVPVATSTTKSTARVRPHFQSPPAVAVSRDEVQYENRRVAMERGSY DPKPIKPASARPDDPFWCMERTGEWHLRTYYQIENECYPGRWLMDAEHGFLVFHRQ CC84DRAFT_1094723 MPPQTQHTISHCTTFLILCGFFLALFPSLFVKLTWSPYNYIFPP PPPQSPPVWCSAPNICAPPTTMSWFQKTLTLPSKSRGSYLVTDTIVRELPELKSYKTG LLHLFIQHTSCALSLNENWDEDVRADMSDALDRIVPEDRKGGLYRHDAEGPDDMPAHV KSALVGASVTIPITDGRLNTGTWQGIWYLEFRASRHSRKVVATIQGEKM CC84DRAFT_805309 MRVNQVAAGCLLVPNLVTAQIDRKHVVSSFNILRTNLIDNDTTP LQIGNGNFAFNVDNTGMQTLLPFNTLSSWAWHNDSLPSNGDLPSDYHGVARETYGRQV YYDLPDPSLKQATQWLIGNPNRINLGRIGLTYKGVKISPSQITQPKQVLDLWNGVITS TFQVDGKDVKISTQGDFDEDAVAFKIESSILESQDLGIELDFPYPPIHTTTYKYEVFA GVYDFPLNHTTTVATKNHEAGTASIKHQLQETSYFANLRWPANSALNLTRNEPEGSNS TTAHRYTLKPSKKSSSISFTAHFSPDQRTPPLPSTIQKRNKLEWNRYWQEGGFVDLTA SSNPNATELQRRIITSQYHVRVNSAAKDQSPQESGLMNNGWYGKFHMEMVIWHNAHWA TWGKQKYFDNIFPGLYERLLPSSLARAKSMGWEGARWPKMTDPIYGINSPGDINGVLL WQQPHPMYLAQLAYQASPTRKTLERWDQVVTETANYMASYAGLNASTGKYDLGPPSYG VTENTPPNSTRNLAYEIAYWRYGLDAARDWKRKLGQRIPEKWTHVAANLAPPPQAGGL YAVYDGLNSSWWNDTKLTGDPRSLIMLQGMLPDTPAVDPKIALATADKVAQVWTDDKI RGWGRPVLAINSARIGNPERAMYHLTAYDYWKFDDAGFAIRGGDGGTPAPFMPGNAGF LYAVAYVVGGWAGSKGDAPGVPKDRGWVVKHEGLRKAL CC84DRAFT_805753 MAGHTRSRTGCWTCREAGYKCDEQKPSCGRCTRLKKECKGYGVK LKWRIATSAAVVPRKRFAKRSQSVGMVSVDSPASATSPLLVFSVFSAPTPASGASSYD HSESTLSPTMNPSVYPDLSRTNQRLLHYWLEQLAPLISAAPKDGGASSFQIHLASMTY TRGALQSTVLSMAAAHFGLVSGDTTLRFEAYRHQHEAIHLLQEAIQDPNEADSDPTLA TVMMMQISARLFGDEEEAHAANHLTGAKAMISRRRARSGNMNSLSADFLNSLYAYHDI LSSVSRGSSPLDAHGAEFTAIEGSAKMSSIAKILQVVARISTFHEAAKAERLLSQTSD LQGNNFNLGAELQQTLMNLTSNLDTVIPDIGFTAEAYRHAAFIYLYRVWLDMGSPNPT TLKHVQECLAYIGQVPVDSPLASSHVWPLFTAGCEAIDATQRKFVRLRFQAMYESRKF PSLKRVLRDIEDVWAVKDAEQMGGLKVDCIQVILRRRGREVDLA CC84DRAFT_1092766 MADQPPPLTGIRVLEFAGLAPGPFAGMLLADYGATVLRLDRPSP TPHPTSDQLTRRKTSIAVNLKSPSAIALVKKLLTNVDVVIDPFRPGVLEKLGLSPQDV MLKINPRLIVGRMTGFRRDGKYSMMAGHDINYIAVSGVLSLFGRKGEKPYPPGNVVGD FAGGGAMCFLGILLALLARERSGNGQVVEANMVDGSAVLATMPRLGLKTEVWRRERGT NMLDGGAPYYDTYETKDGKYMAVGAIEPQFYAALLQGLGLQPSDLPGDRDNRADWAKL KEFFTGVFKKKTRSEWEAIFDGTDACCTPVITNAELEAQGFDQRPAVTLRSTPGYAIQ EGASGRAAAVGQGVGIEGSGWDEKGLVPGAGGEETLRRWLGWAKGRQYDMESGGLVLK DSAKL CC84DRAFT_1196865 MLLPRIFAPVRAAAKSPLIPSSRVLDVLRHANGSAALGHAPAPT LSFVRHATHQAQGRANGAKDGPGKRLGAKKAGGEYVIPGNIIFRQRGTAWFPGENCKF GRDHCIFATESGYVRYYKDPSLHPKRQYIGVALEKTDKLPYPQNAARRRRLGFVAVQK DSQPAEKEGQTVVADLQVGDATGSETSVTATARTPTTLTVGKGYAYRHANYDIGRVAE RANVQVKEFVPGDRWAAWRKSQARKKRNEEAKKLRNAGKKSAKPKARKRRGP CC84DRAFT_1218257 MHENPSWLIVSQKAAAEIGYEALIPAVVLTALALITVALRWYSR AKLSGTYGVEDVVVTLALVFSIAFTGIVGGGALPAFQIMFAGSIFYHTAVNLVRFSIL FQYHRLFQHLPPFRLCIYVIGVATLGAMAWGVFGVVFLCSPVRKYWHGGVPGKCMDAQ DHFWSCSLMGIVLECILWVMPMPVLGRLRLQSRQNGGLLVPFGLGLFVCIVSVLRIVH VHGAAEKGNVSKSGSYAAIWSTAEINVAIICSSLIVMKPLLARAVSGMGTETKVSAQQ DGSAIRRVMSIATWEESFASTEDPGEARYEACIWTDSSDSAIEGRVGPVKGGV CC84DRAFT_1165218 MLGLRPRLRPRPSLLDLVRKTNSQEQLPLRPSTSHETGTHPKLV PLPTSPVLLPQDNEADDVETPPPHSPVDVAKADDRTHKMPPKQQKKTDDDEQAGTVYS ISGPVIVAANMIGCAMYELVRVGHDSLVGEVIRIEADKATVQVYEETAGVTVGDPVVR TGKPLSVELGPGLMETIYDGIQRPLKSIADAANSIYIPRGIDIPALDRTKKWDFTPGK YKVGDHITGGDVFGTVVENSLLNDHKIMLPPRAKGTITRLSDKGSYTVDEKILELEFN GVKTEYSMMHQWPVRVPRPSSEKLSSDQPLIVGQRVLDALFPSVQGGTVCIPGAFGCG KTVISQSLSKFSNSDIIVYVGCGERGNEMAEVLMDFPELTIEVNGKKEPIMKRTTLIA NTSNMPVAAREASIYTGITVAEYFRDQGKDVAMMADSSSRWAEALREISGRLGEMPAD QGFPAYLGAKLASFYERAGRVTSLGSPERHGSVSIVGAVSPPGGDFSDPVTTSTLNIV QVFWGLDKKLAQRKHFPSVNTGLSYSKYTTPLDKYYAKNNPDFPRLRDRIKELLTTSE DLDQVVQLVGKSALGDGDKITLDVATLLKEDFLQQNGYSDYDQFCPLWKTEWMMKNMM AFHDEAQKAVSQGHAWAKIRESTSDVQSELRSMKFEVPSEGEEKITKKYEDLLQRMTE KFASVADE CC84DRAFT_1165219 MSVNPTAINVNDPTLITLVNKLQDVFTTVGVQNPIDLPQIAVVG SQSSGKSSVLENIVGRDFLPRGTGIVTRRPLILQLINRQSSKQANGVKEEIKTSDAES NVDEWGEFLHIPGQKFHDFNKIRDEIVRETESKTGRNAGISPAPINLRIYSPNVLTLT LVDLPGLTKVPVGDQPRDIERQIREMVLKQISKPNAIILAVTAANTDLANSDGLKLAR EVDPEGQRTIGVLTKVDLMDEGTDVVDILAGRIIPLRLGYVPVVNRGQRDIENKKAIS SALEHERQFFENHRAYKNKAAYCGTPYLARKLNLILMMHIKQTLPDIKARISSSLQKY SAELASLGDSLLGNNSNIVLNMITEFSNEYRGVLDGNNQELSASELSGGARISFVYHE LYANGVKAVDPFDQVKDVDIRTVLYNSSGSSPALFVGTTAFELIVKQQIKRLEDPSLK CVSLVYDELIRILGQLLNKPTFRRYPGLKEKLHQVVVMFFKKAMDPTNKLVRDLVAME SCYINTGHPDFINGSRAMAIIHERHNASKPQQVDPKTGKPLPPAVPPRASSPSLGLDG GEGGGFFGSFFASKNKKKMAAMEPPPSTLKASGTLSEKEVQEVEVIKLLITSYFNIVR RTMIDMVPKAIMLNLVSWTKEEMQRELLENMYKTEELDDLLKESEYTVRRRKECQQMV ESLGKASEIVNQVQ CC84DRAFT_1121589 MDRSAKPIFNFVNLSHPDELKDEQTQLRIRRLAMSEVGRARRKP KTKRGKTEIVLKFREPAESSASIGHLGAGDVDPFALYPIDLDEKSRALVASIFRANSA HSRQLRGAWWPVGLSGVATFYNVLANARLFQLKELTGAFVQKSDSLSLSMQSHAIRAM RERMQDAKHHASDETIGAVGSFMCHYYVLGNFVGWDHHRDAIARMVGMRGGVDNITDE SLRITISWADLVGSFSQDIPSIVALPERWQASSRSPPGSPRPLNNISLQWKQRFPALM DWVTIFDDIDQLIMLDRGFTEKDKHLAETTGCWMEPTMVRLLAIRPLNNGSDAGHVME EVCRFGTMLFLAPIWRWLGASPVWTFTLTRSLISVLHGYMIDWGELKPLLVWTVYFAA LETRDTQERSQFAFMLAVLMSGMRVREWDELIQVVKSVLWVDRIFSSSDESIRDEVVS ILRLPGTGDVTPVLEEIEGDEA CC84DRAFT_1206413 MAGQTPDPDYFVKAQQFTAKTYRDVYPAIDPTSSSLSQAGKVVI ITGASQGLGAKGFVQAFAATGPKALVLVARNAKKLEEVAASVNEKFPNVETLIVSTDV ADPESVAALFEKVKSKYGHADVLVNNAAVFNSLSSVKDEDHKVWWGDMTVNIYGTFLV TQGFLKLLPESAPAKIITLTTGAAYQVFPNLSSYGLSKLVVFELMDYVRAENPNVTAV AVHPGIVPTDMLKDAFAPFAHDTPQLVGGLATWIAGWEGADRAFLSGRYLSANWDVEE LVKRKEEIVEQNLLKMDLTGKFGEEQFK CC84DRAFT_1165223 MVGRAGRSKGCFDCRRRKKGCDLSRPHCDQCIKRKTRCTGYDDT LDVVVYTPPASKFIYTPPASKSHASLESTAMQIKYIDTFWELLLPTMSRASRSPNGGW TQVIRDHYTADGPFKNAMLALTLSRVGQYSGNADVARSGAIHYTNCLREVARKIDIQR GACNDELLAVCMILGLYEVMCSPTAQGINWQHHISGVGILMQLRGPHEFTYGISHALF VGARMDVIFGALISRKATFLDSHEWTTVPWSTPKSIYDKVVDLCAQVPRVLEMLDQLH IARPQPAANSESLLKACWRLDNELQDWYREFVATCNDLRHIHPNRYASRAPDFDQPLT AETEINYEHAQALSVYWIACSFVHTILRLLWQACDNPVYLLPHRIDPLRYASLISKSL PYFSAPSAGEGSMLYYAMSIGTALHCFSVSNQLCSPDAYRITNGFYGLEDPTGIGVRV GLFLRTLAAASVKPHGKLDKATRDDIAEFGKRWWGGGREKVTLRPRTPVGKADKM CC84DRAFT_1147778 MAPYALTPTSERGSLSDGEVNGHANGDQHESSIVGLANGRSNGA ANGHHETPQNGIPPSDGRNPQAPQLTLETAPAVPYETAYTNGYTDGYTEGYTKSHIEL QHQPVAIVGMACRFPGNVSTPDEFWELCARARTGFSEIPKGRFDSNRFVHPNPGKSGT TNAHGGNFLQADLKSFDAPFFSFTAQEATSLDPQQRLLLECTFEALESAGIPKHSIVG QDVGCFVGGTFSEYEADLFRDPETIPMHQATGCAFAMQSNRISHFFDLRGPSFTVDTA CSSSMVALHVACQSVRNGESSSAIVGGVHLNMLPEFWISYSMSRLFGEAGRSFAFDQR GTGYGRGEGCGVIVLKTLEQALKDNDPIRAVITGSGINQDGRTPGITMPNGSAQESLI RSVYKNGGMDPADTGYVEAHGTGTRVGDPIEVGALRAVFGEGRTKRKPLFIGSVKSNI GHLEAAAGIAGVIKTALMLERGFILPNYDFEQPNEKIPFDEWGLKVPTNQRPWPIGKR WASVNGFGFGGTNAHVVLTKGPLERKTMKEEIDTQVFDRLFLLSGNDKVTAEQVMKNY GIYLEQRPEVFQIDLLSDLAYTLGQRKTHLPWRIAVTASSSVDLVETLSSGKVRPVKQ DLEPLRLGFIFTGQGAQWWAMGRELYERYPVYAAAIDRADKHLLSIGASFSLLEELSK DEIDTKINSAHLSQPSCTAVQLALVDLLRTWDINATAVAGHSSGEIGAAYAAGIIDFD DAMTVAYHRGRLIPILKEKFPTLDGSMMAVGAGQADIAPLLERIPSSAGEAKIACINS PSSVTVSGDTDAILELQRIIEEVHPGMFARKLQVDTAYHSHHMNLVAKDYTESLRFVK PPKDSNTLFYSSLLGRLANSTELDATYWVQNLTCPVRFDEAVQSMCQPTDSHQTGVNF LLELGPHSALAGPIKQILKAVGPSASKIAYASALARKKSALETALALAGTLWIKGAAL EMGAINFPKTMAKPPAVLTDIPRYPWNHQSQYYHQSRFTEIHKFQNDRRSDIIGALAM YSNHTEPTWRNIVRLDELPWLRHHQIQGLTIFPISGFVSMALEAAAQKASWDSIEFDV LEVCDLHVATPIVLSEDDLEMTITLRPQSGSATGPGLRAKFFISSWATAKGWTQHCTG FVKTKRVEAHDLHNNRLVDVRRQKLRSKLLSIAEAAKDVVATDALYERMSAIGVSYGN TFQGLTDCRASKSGSVSELVQADTVTDMPNHYESDYIIHPTVLEQLISSYWPVLNSTN GLLDNIHLPSSIGKVTVSGTASTTLQSNRGRLQAFCEPREILSNVKSNKLSMFAMATI DADEPVITIEDLTTAPILEKDANTEADGARELCYKQTWEPAFAEQKEETPAQFDAEIV IIHGETDLQRSLVGELASNLATVTGSAPGTGVLGQVDGTKKICIFLTEVDQTVLSTLD QQNFEALQKLLTSVQGILWVVRGAYQNAKNPDANMIAGFSRTLRSEGTLMDFITLDLD GETMLSQSDMVRTISKVFQASLGVNRQVEETEFMERGGLLLTPRIINDADMNEYVHQQ VQPSATAPAHFTDTERPLRTFIATPGALDTVHFEDDHRAQAPLPDDEVEIQVKAVGIN VRDAETAMGHLPGDDLGMECSGVVIRAGSSISSVSVGDRVAAITPNGSLSTVARAHDR FLVKLPDHLSFQEAATIPLAYCTAYHSLVDTASLSEGESVLVHHAASAVGQAAVSVAQ MLGAEVFATVRNSEEKATLIQLYNIPNNNIYFAGSDSFADFLLDATKGLGVDVILNDM SEVEVLRATWRCMAKFGRFVHVGCKDLAHVAFEKSATIVCVDVFALAQDRPQKLKRVL SDVAKLLRFGKVLPIHPVVSYGILETTPVLQALHSAELHGKAVIVPREDEAVLVPRLE EEANILHADATYVLIGGTGGLGRSMARWMVSKGARNIVLLSRSGAVQGKAKEQVDALN ASGANIVVRRCNVADRSDVDALMTSGLEGLPPVRGIIHGAMVLRDVLFEKMTFEQYTT VIESKVKGAWNFHHALASSPLDFFIVISSAAGAVGNRGQAAYAAANTFLNGLTQYRAF RGQPAASIDLTAVSDAGYLAEDAEKAAEVARNLGSDTICEAEVLALISAAITGKMAST CNHHAITGMRITATMRPFWSTDAKFKRLLSVAEAEAAAMSSNGPVTISWGAAFKTAAS ASRAEAEEVVCNGLLEKIAEVVGMEKEELDVTRPLSNYPLDSLTAIEVRNFITRMFEA NLQVLELLAQGSIQALAKVVCTKSKVGVVAE CC84DRAFT_1121597 MRLLCLPGAYGSIEKFKVQLAPLVKELQDDKTAEFHFIQGPYEV APPEGYEEFFGGPPYFRFIVPEGEEAEKVDVIERIRDFPQLETPEMTMRELMTYGVAR TDKSAANTLQYLYDLMEKEGPFDGIVGYSEGATIAGTLLLHEQLMQENHGRMPMFKFA LFFGGWPPMKPTLDGIVLADESDLMIDIPTCHIIGSLDPYLHGNMALYNVCDPDSAYI FDHAKGHTLPRDKHMVKELGDIVRRMIDEANGGVSPV CC84DRAFT_1247261 MLGFRMCSLRLSAAIRLSYMEALFQQPISVLDALPPGQTAAIIT ITANVLQNGISERLATFIQAISVIVTASIIACYYSWSLTLVTSSGLAVITFCYAFMTP IVVKKYQQIQDVEKEASGVANDAFLSVRMVAACGAEGKVVESYNRLVEKAAAHGHKMS PIAAWQHSPVFFCIFATFALCFWFAVKLYLGFHFSNVKTLVIVLMSVMTILSHITAIA VPLMAASHALNAAAIFFAVIDAPKPKTGGKTHPEVKMDDGIVLEKVNFAYPTRPDVKV LNGLDLKIPYGKTTAIVGPSGSGKSTVVGLLQRWYQLDGDMSTNPLTLYFRNGSIKTE GLNIHDIELTWWRSQIGLVQQDPFLFNDTILKNLELGLVGTEWEHVETKEKRRLIEQA CIEAYAHDFITALPEGYETQVGDNGIKLSGGQRQRIAIARSIVKNPKILIFDEATSAL DVASERIVQEALDKVAHNRTTIVIAHRLSTIQRADNIVVLAKGKVIQQGDHNTLLADK GGAYWKLVHAQQLVQETKTNPSLPAWERFLSEKRLSVRTSIVSEMEMLTPMELLMFST EDNTTKGNNDSFFRSFGTLMMEQKNNLGWYLVMLLTAAGAGSSYAIQSYLFARLITSF GFWGDYLRRSTNFLCLMLFIVAIGVGLCYFILGWVSNMVSTSLYRKEYFRNIIAKPIC FFDKEENSAGTLTARLATDPTQLQQLLGMNMAMVFISIFNVIGCTSISIYFGWKFALV IIAGSMPIILGSGYYRVRHEVKFESRNNEVFAESAKFATEAIRAIRTVAALTLEKTIC SRYEALLNDHIKKSFTEARFSMILFAASDSLVLLCMAFALWYGGTLLSTFEYHPFNFF VIYYAILQGSMAAGQWLSFGPNIAQVTAAATRIHSMRTGAPHPHSRASTRPTRPDTAV SSRYMIPLTTIQKGADISFRDVWFTYPTRPNPVLKGLNFTIRHGQFAAFVGPSGSGKT TIVSLLERFYEAQCGSILYNSDDIRFISLRRHREQLSLVAQEASLFRGTVRSNILLGV DERKVTDDMLHSACRDAGIHDFIASLPQGYETDVGTSGVALSGGQKQRLSIARALIRD PSVLLLDEATSSLDSETEREVQEVFERTASGRTMVVVAHRLATVQRADVIFVMQDGRI VERGDHQSLLAARGWYWQMVSLQSLELFSPYSYYRSVKPRLRFELLHFHWFKYCIAFA FV CC84DRAFT_1247273 MWAPLTRQLPALLTLVVPLAQLPLSSAAPNCPLIGPEFPAPYNL ESHPIWKAAVQNITNVFQYIDDTNLTGVDLFSYSLQIFSTNPGSPILWERHRTAKDLP TNTTGVKKVDGNTVYRLGSVTKVFTVLTFLAEAGDVYWNTPITKFIPELAKFEGRSSQ PDFDPVRETDWDDITIGSLASQVSGLGRDCLDIMYPSYAPWQTPTYSNVAYQLLAYAL ERITGKNFTDSLNDRIIKPLGLNRTFYDTPPDKYGVIPGTVKDTYWAVSLGQASPGGN MYASASDLSKLGRAILSSKLIKPALTRRWLNPVTFASDFLASVSSPWGVRRIQLDRIS QPHRTLTVYTKAGTFKRYTAFITLLKDYNLGFTIMMAGNPPLSNFYGADLLGQSLIPA YDAVARDEADRTFSGTYVQRGGVNGTRNSSISISTSASKPGLGISRWISNGTDMLSMA LVLQSGMPANQLRNPEARLYYTLLDSKTANGTRQSFKAVFEDTGGPAVGQQLWSTDCG AWVGVTGVTYGSMPLDEFIFERDASGNVISVENLALRAKYWKVNQEATGPSGGWAWDG GRWKVARR CC84DRAFT_1218266 MSDKYMIMYMGHAQGGRDDKGFQDGAEFARDKNNHPVLVELVEQ QVSQFPFRLPRKFVPGPSNTFSHFGLIVDDLAAAQHRFDSPGVDIIKCAGGFNFSPET RSPVLAAAWAFDDLVSEQTQAASKAVLPALMTIEFKNFGIVANPDSSLFEAQQFVSDA L CC84DRAFT_1165225 MAIKIPQAGVWTPAVTFFDPTTGSIDLEAQKQYFNYLSKHVTGL VILGSNAEAFLLTREERISLVKAARSAVGPSYPLIVGISGYSTIQTLEYAQDAKAAGA DFGLLLPASYYGGATSKEVVNAFFDEVAQGTDLPIVIYNFPGQCNGVDLDSVTIAGIA KRNPGKVVGVKLTCGSVAKITRLTAELSPEEFTTFGGQSDFLIGGLAVGSQGCVAAFS NVFPKTIKRVYDLYVAGKVEEALKLHKKAALAESPVKAGIASTKYAVSQFSAVDAGIQ GAEEKLLPRRPYLPVGDAVKDNVKKVMAELAEIERSL CC84DRAFT_806200 MHRRLSPHLHLWTGPISYSNFRYLSLNDPVPKSRHLSPLDRLSR CYPASVFAFLVRLRLLGSCTICIPMPCALIPGHIEAWGALLTRLTFS CC84DRAFT_1165226 MEGEQDTEDAEAAPTNGDTGDLDAEEEASVQPEDEDEPILVIEE VVEEVVGDDTDDDNDDDDDQPYAAQDQDDLAAESDALHAAHEAASDTELDDEQPVVVT QQIEQVEIQLAGREDAVEEAPEALDLRQEIQEITESTPHADPEHTIDSLFEGRPSAFS QVDDHANPNDSDDEDEAASFSAPAGVAPVPNMLPTAPFGS CC84DRAFT_1247281 MGFFSRTVAVAASLLSLASAVSISSTKTFNISNDVEGSVHLNAL AFQQSPLTTFGDYQYVAFYKTASGYGKHYVNLGRRRIAPSLGDWQSFAFTDYVQQTLD EHNTISMGISGDGKIHLSFDHHDVPLNYRVSTSGIAKTVPSDWSMNTFGGSVQHSLPG STGPWTPLTYPRFERLANGDMLMEFRIGQSGSGDSYIHRYSASSGSWSAVGKYLQGQD NNAYINGLTSSGGKLFASWTVRETPDASTNHDFYFAFSEDDGQTWKATSGSSVTKPIT PSTAGIKIFTIAQNTQIMNQEAQTADDKGRFHALMRDNTSGTARFYHYLRSTTGTFTK TAINAPGLSNPPYLAYRGKLAAKGDSLIAILPDAPKNTTTLYGATAGGNYQDWKLLAT IQNTAGEPGVDEERLQQSNVLSVFIRQGGPFGDRKIQVWDYDVQW CC84DRAFT_1121606 MAMQDHSLLQAALYLSGAYLAFSVCRAIYNVYFHPLAKIPGPKL RAAFYFPHNFELVAGDVVHNWHKLHEQYGEVVRISPSWISFTNPDAWRDIYAHPSIPK DPVVYNTYEGRNRPANIISSNDADHARIRRCMNHAFSDQALRGQEPIISSYITLLVSK LQAKSRNDSPIDIMRYVNYATFDILGDLCFGESFNALESEEYSEWMANLFKGVKLVPF VRLFKQYPIIGVPFWILTKIFPQILAARTKHDNYTIEKTAKRIEKFTDRKDFMSYILK HNDEKGMTRDEIMRTSGVLIVAGSETSATLLSGAIYYLLSNPTWLEKVREELDGAFKK EEEMTFASLGQLKVLNAVLTETFRMYPPVPVILPRATVDKGAIVCGTFIPKGCTLGVT SYAASRSSHNFKHPDIFAPQRHLGDPEFKHDKRSVIQPFSVGPRNCIGQTMAWLELRA ILARVLWNFDIELVDKSHKWDQHKVFVLWDKPALMVRLKMRKHE CC84DRAFT_1165229 MGHQDEPKTDISQTVSRPEAELGDVSNYKVQEALDPGSLHTHRG LRPRHVQLAAIAGGIGVGLFVGIGKVLVNAGPLSLLLGYLIYGIGFIWPCNLCTAEMV TWLPIRGSVFGLASRYVDPALGFALGWTYFYAGAMFVCTEVSAVATVVQFWDVNVSPA VWVAMVLILCTFFNLVAVKWYGESEFVMSITKVLLLVGLVLFTFITMVGGNPKHDAYG FRAWTGGNAMHPYYADGATGRLLGIAISIRFAVFTLAGPDFISLSAGEIQNPRRTIPR LAQLIFYRLVGFYIIGSFAVGIICSSRDSNLILAIKGGKPGAAASPWVIGIRNTGVTG GLPGLINTLILVSAISCGNAFVYQTSRTLYSLAQEGQAPKIFLTCSKSGIPYYCVLAV TSIGCITFLVADNSAITVFGWFVDLATSGLIVNFVAFFWVYLGWYRALKAQKFDRKNL PYYCNFAPYTAWFGLIFGCLIILFLGFDSFAPFSIQSFITTYFGIAWAAFMFTLWKIV KKTKFADPATVDIYHGKAEVDAECAIWENGGLEENEKQRLSEMSVVRRTWEKIW CC84DRAFT_1093528 MVANQTDGPGPEAEFSTFAAAATCSTTRTRTEWHDSSDTTKQNF VNGVKCLLGKPSAGNYPGSKNRYEDFVQVHQTVTDNVHNNRKFIVWHRAFLWAFEQVL RDECGFTDSLPWFDETKFAGRFSQSSIFSSKWLGAINLGGNCVTDGQFANLALNVGPS TAQFNQYHCLARNGNAADTANTNSNIVNGCRALKDYQDFAKCAEQGAHAYGHNGIGGV MRDVYASPGDPVFWLHHGMVDRHFRIWQNDDSSRTGYIDGTGPNNQALTLDTDIFLNG LKPNMKVRDIMNTLNSPLCYKYNY CC84DRAFT_806296 MCLPLLNISSMQSHLGIAAQEDDEEAFEYAFGLAPRSRSVEYTK SPKERVTMYEEVEVGYARGAACCVGVLSSLTPSSILGCRPFAPSSDLVGSSLAPSSQN PTVLLRGWALTCCGDLTHSNVLNRSYIVSRRIRCTLPKGLFPSSPPVVHRSHQRAASC LVTSACCASFPAFAMHLSTPPGVAVARGG CC84DRAFT_1260162 MPVNPKDEPFPWKQLVIVGICRFSEPLAFNSILAYSYVMVKELG IHEKDASFYSGLLLSAYAVAEAITALGWGAISDVYGRKPVALIGLAGVALSSLAFGFA KTYWVALLARFVGGALNGNVAIMQTMVQEMVKNPAHEPKAYATQPFVWTLGGIIGSAM GGFLAQPAKFYPGTFSEDGIFGRYPYLLPNLVAALGIVLAIIQGMFFLEETLPMQEEI EEETTHAAILDPTINERAPLLSNVHSTLPRDAGRPRDRSSLSRASRSRAFSSSSFRNR SMSIVDGLRQIRKKPSFLEDGMPSAIDQRFDIRRDSFGTMHSIRLAHHEILPAAISRP DSTGAKKTFNYTVVMLTLALTIIAFHQMAYITNLPVYLLDKSAKDGIDFTGGLGLDLH DVGTFLAVNGLIALFTQGVIFPFFVEKVGVWNTFVSMIVLYPTTYIIVPFISALPQKL VSPGVYLSLFLQGLFGILVFPCALILLKNATPSPLVLGRVNGAAMSACCLARTVSSPL VGVVYSAGGSAAAWFFLAGCAVVGALQLFWVPNEHVDKVKVENIVKDAVQEAIHPHHV HISDTDSVAVADYDD CC84DRAFT_1218274 MRGTWFLAVVGCVDTLTQAAFEPLDFDITQALLANGVDVSSIPA LSQYSLDSGASACEPACSSLHHLFGGKVSTPNTSTYEAFTQSYWSGQQASVDPHCIFS PAVARDVSILVLIARLTRCPFAIKSGGHAAFAGASSIQGGITVSFKDMKSIELSEDKS TASIEPGNTWYDVYHTLEPEDLAVIGGRVSAIGVGGLTLGGGISFFSNEYGWACDNVA SFEVVTATGALVTASPSSHADLYWSLRGGGNNFGIVTKFVLETISQGLIWGGARLHVE EQFEAVMDAFYNLGTNSPQDVAAAQILSFAYVQNTRIASSELEYSKPIANASIFSEYL AIPAIEDGTMIRTLANATLQINTVNPNGLRETYWSVTFKLDRDFTAFTKDVFFEEVLA IADAAAVVPALTLQVVTLPMLRNFAKNGGNALGLSADDGPLLLLSTATMWADEADDER VLEASRKTIERTVEEGKKRGLSIEYIYMNYASQFQDVVASYGSESLARLKAVAQMYDP TGVFQVLQPGYFKLDGLQPRGVY CC84DRAFT_1121619 MHSIRRSCRAALLDSTHVAFLANGPHRAFSRSAALHRGALPVFL EASSPELSQLLATLNARVLLPHHLTPEQERLVYKPENRTRLEQEPIDITLGDVTLPLE HLDRNKDMPAYRVHLRSILKASKTPDDWENVVRALEGYHNAGLRLRPDQQTMAVRLLN RAGMQHLILKLAQRAKATGLRLRNFELIVAVLRSVRDKAWQAGWEKEDLRKALSMAEQ IVELMENEEHLGHPAGNQQDYRTHPTVVAVPLEMAAELAYRHEADAAKVKRYASRLMN ALKQQNFLAADMERIEASTTKTEADFSKGHKQMRALAALHNDLCTLIPIWNSLSTART VLDADMPMAEDAERVQRRLRELLQKGEDAAERLRKRGGEELASADPEGYAGYVKTAIQ ACEEDADEAEE CC84DRAFT_1094427 MDNTEATAYLSQFIGQPLRIHTSDGRVFGGQMKCTDKDRNIILA LTYEYRAPSADVIRKAVQESGNPSAPVNWNSRYVGLVVIPGTHVTKIEFEDSTLSGQP GRVVV CC84DRAFT_1260165 MQRVLDGKNILITGASSGIGRSTAIEFARTCPNNLKLILTARRL DRLHELKNRIQNEAGHGVKVCVKELDVSKKEQIDSFFENLDEEFKDVDVLVNNAGFMS GVERPPDVPSDVITSVYATNVMGVIHMTQAVLQIFKKRPDGGKGDIIMLGSMAGREPY AGGSIYCSTKAAVRSFTESLRKELIATRIRVMTVDPGQVLTEFNDIRHRFDKEKADAV YAGCDPLTPEDIAEVIVFAAGRRENVVVADTLLYPSHQAGALDIHRRQ CC84DRAFT_1121628 MKGFTAFKAASLLSALSIPAAFAQDSFTHAGTGITFFRQTISTA ETSGGLEWGYALPATGSGNAEYIGYIKGSTPSGAGWSGISHAGGMANSLLLVASPDGT NVKTQFVWAGGYSAPEDYTGNATITQIAHTVSADSFEVIYRCEWCWVWDQKGATGSQI PDEVQVIGWAQHKALPSNPWVQHNNGQGIFGISVADARNAKYSSWIPGGTPTGTPTTT PTATSSPTSSAPAGPTSCAGKPAPTEAYDYIVVGAGAGGIPVADRLSEAGKKVLLIEK GPPSLARFGGTMKPDWLKDYQLTRFDVPGLCNQIWVDSAGIACTDTDQMAGCVLGGGT AVNAALWWKPVAIDWDTNFPAGWKSADIKSSVDAVFKRIPGTDTPSSDGKRYKQEGFN VLATAFAADGWKQVTANSVPDQKNRVFSHSPFMYEGGQRQGPLGTYLVTALQRSNFKL LTNTAVRRVVRTGSKATGVELESGYCGTVKLNPGGRVILSAGTFGSSKLLFRSGIGPT DQLNVVKNSVQDGPSFINSSQWINLPVGQNLNDHVNTDLVVQHSNVSFYDFYQAWTDP IAADKKLYLESRAGILAQSAPNIGPLAWEVIKGSDGKDRQFQWTARVEGPKEGDNYSM TISNYLGRGSTSRGVASINGALSMTVSKPPYLSQQADTDAVIASLKSMQKAISKLPSI TWEVPAPGVSIEDYVASLPKTPSARRANHWIGTAKVGTDSGLKGGTSVVDTNLQVYGT DNIHVVDASIFPGHITTNPTSYIVTVGEHAAKKILALKST CC84DRAFT_1121630 MHDEHPHPLLAQVPLTVSPFVSLPTATPLPYTYKQLPSTLPPSV LSSNATSDPTQPQSQSQPQQPPAYVVSSSGTTATPDQILSSCLALQSHLQSLENEARS TLRAWEDKRKAEDLAEKRRVAPGWLDGDVKLLRPENVGGEDAEMGEASAMGQSQAQQS PHQRRTSVGIKALVERRDGLPDPSEGEELDRAFGGMGLK CC84DRAFT_1147817 MADSASPIGIANLPNQRHKIVAKRGANFTIMVAGESGLGKTTFI NTLFSTTIKNYADHKRRHMKQMDKTVEIEITKAELEEKFFKVRLTVIDTPGFGDYVNN RDSWQPIIEFLDDQHESFMLQEQQPKRSDKIDLRVHACLYFIRPTGHTLKPLDIEVMK KLCTRVNLIPVVAKADTLSPSDLAKFKSRVRAVLEAQGIKFYQPQLEDDDQAAMAHAQ GLINAMPFSVIGSEKDVQTNDGRTVKGRQYAWGVAEVENEEHCDFKKLRAILIRTHML DLIHTTEENHYEAYRAQQMETRKFGEARPRKLDNPKFKEEEEALRKRFTEQVKVEEQR FRQWEQKLISERDRLNKDLEASHAMIKNLEAEVESLQSTMGNRSHGRR CC84DRAFT_1121635 MKTDFQFSNLLGTVYSRGNLVFTPDGTCLLSPVGNRVSVFDLVN STSYTLPFAHRKNIARLALNPKGNILLTVDLDGHAILTNVPRRIVLYHFSLRGEATAL VFAPDGRHFAVGIGRNIEIWRTPSTPDVADGDLEFAPFVRDRIFTGHHDTVTTIEWSR DSRFLLSASRDITTRIWSIEAEDGFRPTTLSAHKQAVVGAWFSADQETIYTVSKDGAL FVWKYMLRYDAPEGADEEDDKYLAWGIAERFYFHQNNAHVTCASFHPETKLLVTGFSH GLFFIHELPTFSEIQNLSISQNDIDYVAINKTGEWLAFGASKLGQLLVWEWQSESYIL KQQAHHDVMNTIAYSPEGQRIITAADDGKIKVWDVNSGFCVVTFTEHIGAVTGCEFAK RGNVLFTASLDGSVRAWDLIRYRNFRTFTAPSKIQFTSLAVDPSGEVVCAGSLDSFDI HIWSVQTGQLLDRLAGHEGPVMSLAFSPDGSTLVSGSWDRTVRLWNIFARSQTSEPLQ LMADVTSVAVRPDNKQIAVTTLDGQLTFWLVSEASQQSGVDARRDVSGGRKMTDRRTA ANVAGTKAFDTVRYSADGTCVLAGGKSKYICLYDVQSGVLIKKFTVSVNLSLDGTQEF LNSKLLTEAGPQGLLDEQGEESDLENRRDSTLPGAQRGAGARRTRAAVRVPAVAFSPT GRAFCAASTEGLLIYSLDTTFQFDPFDLDITVTPGTTLSTLTQKEYLKALVMAFRLNE RNLIRRVFEATPTTDIALVVKDLPKVYLGRLVRFVALQADESPHLEFNLMWIEALLSK HGRWMKENRAGMEAELRSVEKAVRRIQTELARLADENIYRIEYLLAQPIEKKEQTLQL DFSVKEVEDGIDEVMDDGSDDEGDWMGFD CC84DRAFT_808091 MRYQTSILALAAVFSSVQAHGTIESAIGESGESKGFKVDDSLAR NCTGISPCQQDTTIIRDSEITQNIVNSCGRTEIDGNIDVGGETEKAIAAKKVTTVKKG SQLAVTIHQVNADGAGPYECDLDVTSNAATSFVKLQVTNNVPGVNGLSQAEATNFTIN VQMPDDFNCVGASTGNICTVRCRNTAVAGPFGGCFPVQQTDGTGRANNQTAGSITTAQ TLDGINKQIAQNKKDLPVAIAAIAAAGAVAGNADASRVSALVPAASQTAAAGAGTGNA ANGNTGNANTGNGKNGNGNGRNRGNGNGNAANAANGNAANGNGNAANAANGNAANGNG QAATGNGRNRNNNRRDRSRKFLSRFINPNAMA CC84DRAFT_808176 MAANCPAISRSSWETLFNATEILHQRWEDSPEDNRKRLELCWGY NDCGDCHRSKGHCGWCAISNTCLPLPMDPLSRAFPLLSPIRYKFICATGPERFELRTS GLGCQVSTITFLTSVVTIFCTLFGVLVIYLLFRTAERISFTLKARKGGWVVYADGRED IWVRKSEGLGRWWRQVIGRPKEHEVLEVDGGTRGRSWRAWMRRSRDREGRNAISENRP LLG CC84DRAFT_808177 MSKTTGDTYTLRPRYRFCEICDYSVPVHEWREHNKSEDHYANEK EALRNYEIKLSGDAVPTVNGSLEAKIFFCDRHEHCGAQPCCTCEQSRKILTTSYSPRP KQACELSQDTSMADCLPGLEELVWMMEQFNTTGGNKGRADTTWASCDCGIAVLRDALV ASHHQCLPKAHKIAVIQKQLHWRHHFSQSKGSLPNHLKCTAPSANAGSRIGERTSTEV FTAGTIS CC84DRAFT_1177017 MSEEESNPWRQSVSGSPTTHGAPGVACACSPTTASINSDVVPTG VVGILLLGIVGIGSLLGGLLGGVASVGIVGRVLQVLVLALIARRCGRSNIRLTLFILP TGGVSALAYLLGPHGVLGGSGRASLARMLIRVPEATVALIMICWLRYLRYIAMH CC84DRAFT_1218283 MSPGTPMPDVSQLPPEMLDFALLKTTGALAPRLGRLTLGGRKPI LTPAFLGNTSRGVIPHMSPDNFCRYAGSNGVYVALEDFVEKHPEKTPPIFEYNVPEPL RRFAALPHDTPLVLGARRTPPVPTPAAHTSTAISLLTSVGYKSVSSEYYAAAARKLQP DVVVGMADIPFGQATIGIKRKDKMSDRTEAWLRHLVATRTAMAPGEPRFAIFAPILPI ERDLQSWYLEHLLDDMADSISGVAIYDAFLLDDLPDALHHLPRLSLHVPASPHELLRQ VSLGMDLLTVPFISSATDAGIALDFTFPPPDKVNGSGRQTLGIDMWHAEHALALAPLS NGCRCYACTKHHRAYVQHLLSAKEMLGWFLIQVHNHAVVESFFTSIRSSIDAGTFDAH VAAFEAYYEPQLPEKTGQGPRARGYHFRSEEHAKQEKKNPKRWNGELGGKGKAVLKGA QGQQPEKKQDMMHVIDDEVLIGLVGIEDGAEAVQVGDLKIEDDVKG CC84DRAFT_1218284 MAATSTSKRKNDAIEKEQSQHIEEVAVPKTHGSYTHVDETIARK VAANVDDFMTLVAEADAANQRERGMPLTTAFRVYPKAIAWSMVLSSCLIMEGYDTSVV GSYAAYPAFMNRFGTIAKDGTHQIPPDWQNGISGARNAGEIIGLQFAGFLSDRFGYRW TLIFGLVVLTGLIFIPFYAHTLTTFLVGNLLMGIPWGVFQTMTTAYAAEVCPVPLRHY LTCFVNLCWIIGQFIKAGVLVGFVDRRDEWGYKIPFALQWIWPIPITIGTYLAPESPW WCIRSGRKAEAELSLKRLARSSDFSQRDVDATLALMLYTDEMEKQVQSGTRYRDCFKG VNLRRTEIVCMIWLAQTMSGTALSGLSAFFYEQAGISDSNAFKLSWGQSALGAVGTIA SWFVLDKVGRKTLMFSGMCVIFVLLMITGCMGIPKEQTTATSWAAGTMVLLISATADF SIGPIVYTIVSEIPSTRLRAKSIILARNTYNAINVAFVNIISFRQLSPLAWDWGAKAA FFWAGTNMLFTAWIWFRLPETKGRTFAELDILFTNKIPARKFAKTKIETLGEGTENAH MEQAERIRHP CC84DRAFT_1092962 VNGTLYPNHHPSVARGPPNPEKDAVWNEWEITRVFAITADQIRG MGKDPTTATKLEDEIYGLGDDAYASTFDIYHQLHCLNMLRKLVYPEYYPNARMQFPHA QDPKVMFEIHMDHCIDMLMQTIQCSGNLNLITMHWVNEEAIPFPDMSINKQCVADFDA LTQWRKEHQVDPVKYVKMSRSSRREDILCTHVLTVWF CC84DRAFT_1196888 MLNVEVVRVDRIPGLALPASHGQLIAFQPSFTLTNAHPPICIFS QPAPNTPSLRVFLALHFTAVHIAISIEYLERSLRSRAMADGSRSKVIEANPIGKGLNT FRDSFEFKHRGLGITGADALYHISGEGPKNSLLDLIYALQGLPVTRSLPSKSNSVNLF GDLLELSSAVNSGNFDIGHIIPLLRAVLNNEPDEVIWDNAYAAVAAFTAFTVAIPTTP PLSAPSLAASFQQTPWLHNTGSFANSTEHRKYVDDVLKEELGPLYVGVPGFFDAYFGS VPGLESVAQAVFDKCKEGDNPLYREESGWQDWPESAKERDVLGWFAPLIGQLVDFAAQ KESTYRPRRRPLAQPHKPLQGSIAERKMDVGFVDDPLAEADAKYRWSHILIPGELKSN PLEDRASKAWLDLGRYTREVFAAQPTRRFVLGFTLCGPRMRLWEFDRLGGIASNSFDI NEDGLQFVSAVLGFLWLDEEQLGFDPTIITDGNKQYVDIERNGCAERLIIDGVINRTP CVAGRATTCWKAHREGDESKRPLVIKDSWQYPERDEEGEHLRKAAEKGVANVARHYHH GTVHVGEQQDDIRENVRRGLDVTQATNYRTMPPPSTNGHRGSRTGRSSSSAIRRKRSS SCMNAPLPPSKRTCSSSPVKGGKAIANRVHRRVILYDLGVPIYKASSPTKLLAALEGC IEGYESLQSKAGLLQSDISPNNLMVNEDKENPSWPAFIIDLDLAIKEQREKSSGAHGK TGTRAFMAIGVLLDNENHSYMHDLESFFWVLFWICVHYIGPGQGRTVPRFDKWNYLDA EELAGAKKGVVDDERDFLRIAGETFTPYYQPLIPCINKLRRKVFPGGGRWRDPNPKLY DEMREILRAARHDLEGSDLPRNIALPIER CC84DRAFT_1196889 MAVERKYDNDHIKQSLKQINPESWLVGSLILRRLPYFSENAVWN DSDDNSSYELKQAPNLYDWTAMELDSPYITLIHEAGDASAVWSIGNNAFCKVKYIERG VTPESVTLNFVQEQRPSFRTPKVLHYFVDKDRSYLFLERLPGRTLAEAWPSLSMEWRR SYVSAVVRICKEMAEWEGKMLSGVDGQGIPEYYLQPRGVDDFTSLQETCATIGMDCST FFFYHADLGPCNIIVEDEPERGTIGIIDFEIAGYFPRGWIRTKFRISSGMNLPPSSKQ EDPLWWRRQVQMELGVDGFEDFTEEWQAWLAG CC84DRAFT_1206435 MASCDTTSLLEQIEANILARDDTPFDEINEHLKKLQALADVYST FVSTAHTLTDHAQDHILPQKLALRVKKMIKFTFGDPSRAADSDKVRSARLRKLDCNAL KFCGLAYKVNEVCLLSELHFDLLLEKVTEFVCTRGLIEYLYRDDISKAVTTQLNLADE ERDREYQKFLIANISSRPLKRSRATYDGSGTYSTTVVPNESPSKRQKTVTGSCLFESY LGSEVFVLTMHQVEEIIQSGRFGSDIRLIVPIYLETPPFITIPIANDVAIHHMTTRLA GTS CC84DRAFT_1165247 MSPSKMIPTHVLLESTPRLDQLATSIDLRGRKRVPVKEDTTLYI YDMPEQCADMVTEIHSIRSAIEAAIDWADIQKPTVLPQFFRMTSGVIKVKENHTVIIK AVGDPEDLAEARLRSWPLFQDESVTETKPLSSLALIRPLDSTQCLQYNTERRKANEGS R CC84DRAFT_808541 MNKPSNRVVDPKAVPQIFTWLEDTVGRSAKRPRHWGLPKTITTN CESVPIARQRGADTHWPENWPQSDLNERHFSSDQPSSSSDSLMPVDGPPFNVMAAHLT EGVGDGQNGRSTGHAPSDCSSDRRTSLEEISIHPDKSLEDDNLGCQGSQGRDEGTDIL GWLSLFGNVNELHPTDTVRDVLSSWSE CC84DRAFT_1218293 MRVTEATQLDENYVDEVDSQTAVNLGHQTRTQYPKLIEQCVPAI KTLGTRNLYLNHASHYFAPTFFDDMHSEPELKRFAGLHIEEWTDVEATAWLSCGPKLP SFPVDVHWFSARDKELLKHTHYHSSEIVSAESLPLGMKFIDPSYMWRCDKFISEILHG HTAVFRKRCFNNDKTGIFLGELFQLMKDVPVPHRLINDTLRLITVTYIMGRAITLDHV SGMSSIPRTKGFGAARLISHQIKHPFHVLQKTIVETTTLRLMQTIVSPKGCDDLLAAF ICVIGLSMVTEEQQVTYLETNVKIMGRKASTVLADSGCEDTEWFVTMVMRMFFHHVGD PREKVISDPQRPALVEFVDAVCHLVEKNYALLVCRKKNPVSNISFRNLEENSLRLVGL FLLTAFKYDGKIDHIDDTGDDSTVAMAPIANLKECAWWKKKRFR CC84DRAFT_1218294 MPELIATQEQELLYENFQSFEVTVNGTRMHVLGDIEAINGRFTG RCPVIMRQIGGGLCCNKVPFPPWERPHQKHLHRPGMFIFTMYPRLAPEDDFSGMLEGC NEFATCFEAPNFPKVEAEIKKLFPTMKATLQDQFFAISDSAGALWSVITLLSHPGVNI RSGELWCPMAGLYRRKLGPYRGVNISAKQATDSGLNWLDDASRIRPGHRPGRGRTPPL GMGVLAVNATWTYCKDRDELKPVPLLEVLTRYFSILELANMVDASNYYHDVGKDMVFD PNLLVEPVRIFERLGERMNNIGVRYLPEMDALEFIKERSLPQLYPPRKPLRLAIVHGT EDVNCPFENAEQLAMFFQRVGADVRFEKVQGAPHAFDVPTADSGTGRKYDFDYEEMHQ WSAAPMEWNETAV CC84DRAFT_1177026 MDSDSMQPSSTKLLGVPPARPTAVPTAATPNISIAPKQPFDVQQ DKLTINPNGLIRIPPHINQLLGSRPVEETLSCFQVFHKADGFIRSGGVNPIIEKALED SKRYMKLQALVAQGCAYQYERAEIRGGSGVKAQGTLFQFAVGPTHVSIQIGEEQLGLP VKGFVNGTYSLVLSPKRLFPAYVDITDMSASSAELDKITGPHGV CC84DRAFT_1247335 MQNNARNHSRTLDASSTRAESNEDWTQINDRRARKRVQNRLSQR KTPILSIKFISDLIGTYREKMRRRLEDAKNIKKRLEDAEAAQKAAQPPVGPLPVPPKS RSDQQTLRAQDSGQVAPSSSSVHYLYTNTPYNSLPPIDSSYAYTHPISPEDDIQPSNL GRHRLGYAFYDAFNAGLDPVDQLTLQTFGQPTEGSLINFEEGQLKGIAEGPISILDKH RRAPHDQTDIPKLAQIMSEMRYNITVHFELFSSFIERLQQALPEPRFDNQAFWYVRVL LGKYLDQMIQVRKERETLYGKAVKMKGKLRTFDTTEDTYTLVIETSGEKFNGITVYAD EYWKGIATIERYVEDVPLSHSIDYTPSTASADDPYVYLQL CC84DRAFT_1218296 MNSGIAFGDDNNGFQAGTINGPVQASFYYATAQPETPPEPSIVI PFGCDDDFVERGTILEDLRTRCTASNSWTALVGLGGVGKSQLAIEHAYRTHAQSPETW VFWAHASNAARFEQSYRDIADRVKLAGRQDPQANIFKLVHDWLQGCKHRWLLVLDNVD DAGFLVERPAGNPKTGARPLRGYIPHCDRGSVLVTTRNKEAALKLVELRDIVAIRPMD MYSARALLHKKLGTQADSSSIAELATALEYMPLAIVQAAAYILQRSPRYSVVRYLDDY RKNERKRTSLLKFDDGQLRRDWEATNSIIVTWQISFEYIQQTRPSAAELLSLMSFFDR QGIPEELLRHRGKQENNDGDQQGPTRVADIDVEEDDVSQSSTSVESDEDTFEDDVVAL RNFCFVSDEIGGTSFEMHALVQLATRTWLAANSRLERWKQQFIGKLNAAFPTGAYENW AVCGPLFAHVKAAAEQRPKDERVLIEWAALLYHAAWYAEQRGNVAEARELAMASLKTR QKVLGEEHEDTLRSVAMVGLVYKLGGRWDDAEMLEVQVMETHKKKLGADHPSTLTSIN NLA CC84DRAFT_1187813 MRCPGPPCNLGPHCWRDPFGKKHYRLRTHHLKTLIGLVQQGYVL DSHDAVPDEVRSQLYAEEQQRHERRSTAASAATPGFPPITITNVMPSPSNRSPSTPLT SDTPISGKLHSDRASVNIPGPRDVAVVAYSEWQRSNVVNEAQKVEYTKACDATLQEML DLEQVYEDQDTDFYIQQGVKMGVARRFISDIPRWAELYRIAYNERGEH CC84DRAFT_1165249 MARNQQFTIGWISPLPLEKEAARLVLDEEYPQEDVQHENTFYLG GRIGQHKVVIGVQRKIGLNGAAVLAEKMRVGFPNIKYLVLVGIAGGVPRYGPTGASSE IVLGDVVVSSPRGNHGGVLQYDKGAWQGEGRLNFRGHTNGVPGDLLAALNNFRAEGWS KTNIPGRLKQMRLKLDEKRQHQFDDPGPTRDRLFEDEYNHRGTELDDCKDCCDADQTI SRSERGDGATRLLDEPLVHFGNIASSNQLQISARERNRVQLEHDAICFEMEAAGVMEE YPCVVIRGICDYADSHKNKGWQNYAAATAAACAKGLLSMLPAIEVTDSSKTASLPSEQ PATHASNITFGSGNSGQQAGVINGGVHGAVFGRR CC84DRAFT_1092887 MANVISFGDANTGLQAGIINGPVTFQPPPETPPPPSILIPFARD ADFVERGTTLDQVRQRCAALGSRAALVGLGGVGKSQLAIEHAYRTRERSPETWVFWVH ASNAARFEQSYREIADWVKISGRLDPKANIFKLVHDWLRGSQERWLLVLDNVDDARFL VDSQAHDQGQPTDDSKTASKPLRTYLPRCKHGSILITTRNKEAALTLVEYRDIVSVEP MDEVQAQALFKKKLGVQGVDSDVVELAAALEYMPLAIVQSAAYISQRAPRCLVAKYLE EFKKSERKRSSLLSHDGSQLQRDWEAKNSIVVTWQISFEHIQETQPSAADLLSLMSFF DRQGIPEALIRSRREHRDAQRSQRADDDRDSDEEDDASQSSAGNDAFEHDVVTLRNFC FISVDTNGTTFEMHALVQLATRMWLAANGKLEQWRQQFISNLCAEFPTGQYENWTVCQ ALFAHARSAAGQQPEDKSSLAEWATLLYRAAWYAEMTGNITDAVTLAVKSMKARKKVL GQEHEDTLWSIAMVGSAYKLGGRWNAAEELEVQVMETRKTKLGADHPSTLTSMANLAS TFWNQGRWEEAEKL CC84DRAFT_1093548 MADPLSITAGAVGITVPALHGIRLLMEDLQQLKDAPKTIKRLVE DVRSVDTALSLLQGVEDREWTLLGAIIAKESEKTISSCKQACDLFRTNLQRWTKNSED GKLGWKDRTNVGFFKQGPIKAMSEQLQNCKLTINSVVSIATLYSSVRHNHITEDIKKT ISTKQEEVKEAITATHKQMIVLENKLEELNLSSDDEDMAGPEAGKAETVRQLEEERKA LEASRTLLDELLSRAREEAVAKAAAKSQDSSTTVSTVTFGNQNSGQQAGVINGGVHGA VFRGR CC84DRAFT_808701 MGRSSKAGRTRRSSDPVCIGSNCNLYRGLRRYSFASTTSFTDTA PQLRKSLEKELSIPPFLFERLYQHSNGFAGHNVQLDRAQKVETYTHWSRFTIKQAYEN LMPKRTFTPHIPHHQPGLNNRSDNQAVHGPQSVQHGWEWYEMGFFASWKPSGLLTLIC FDLPTKSQSKIQSTMDSHTVDISNPYSTFLLVLEELLRLYDDSVWSIRNHISQWEATE RRKEKPIETDYSRLHEIARHGVHVNETLSVAIRSLKNMGQHY CC84DRAFT_1165253 MASTFSFGDANAGFQAGIINGPVNNAFHLLPERPETPPPPSIVI PFARDADFVERGTTLDQLQRICAVKDARAALVGLGGVGKSQLAIEHAYRTHERSPEMW VFWVHASNAARFEQSFRDIADWVKIAGRQDPQANIFKLVHNWLYNCKQRWLLVLDNVD DARFLLSGQANRDGQHQTTNAQVVRKPLREYLPHCNRGSILITTRDKGAALQLVEQRD VIVVEPMNEAQAVALLQKKLDAQGDSGDVAELAAVLEYMPLAIVQAAAYIWRRAPRCS VAEYLEEFRRSDGGKRSLLNHEAGHLRRDRDAKNSIIVTWQISFEHLRHQKASAAELL SLMSFFDRQGIPETLLHGGRGMEGPNTASNATKRSTRKRVKAFFSRTKSQHEVQQEHR NNADDRERFENDIGALRDLCLISISADGVTFEMHSLVQLATQTWLIANGTLEQWKQHF ISVLCAEFPTGQYENWAACQTLFAHAKAALEQRPHDDAFLGDWATILYRAAWYAESTG SIADAVSLATESLKAREKMLGHKHEDTIQSIAMVADAYSLGGRWNEAEKMRKQVMETR KKKLGADHPDTLTSMANLASTETSKKKLGADHPDTLTSMANLASTYRNQGPTR CC84DRAFT_1055351 YETREALLRHLSAYDVAKLDIAFHHILSDTEKRTYLNPIRDLLW DIAETEVLLQEGMKLLLLGKDRLALKGRLYDTEGYLKSYGHRKLKVYLLGIFPLQEKT TTSLDRMIRFSINGEASQSRILQDENDLRRIEERLFVYGWSLQRTFLMAFGAPTDLSS SDSKGFWYKVPNIPDRTVELRVYVPSFHDRIFERVELPVSEIPRLSG CC84DRAFT_1093040 MAYERFISPGPSVATLWTRYYFSLKAHALIGDILDLDEEKDAAK LLDGNINTVWCAAILYQFCWDKQVNACKRPLRYSKGHGSIVVSY CC84DRAFT_1076669 NDIDAWATDISQLFEHLDVTDATVVGHSTSGGDLTCFAAKLKAH SGYGPYGSKKLSWVLGEEASVDQHDDTAVFSYDVPEGPLFGFNRPNAIVSKGFIPTCY NQSRDNQSMQASFKSTFDTIASWETDFRPDLQSLDMPILVIHGVADQIIPI CC84DRAFT_1165254 MPPPRIATMSLAAQFGQMALSASRPRVLPTVASTTLRIPSARAF SSTPHHLNWLAPKAGESRKSRKGRCHVPTGGSMRGTTVVWGDYGLRMRDHDRRISSTQ LRIAEETIKKRLRGMKFRLYERVSANIGVYTSGNESRMGKGKGSFDHWATRLAVSKVV FEIKGDLHEQVVRDAFRLAGNKLPGLWEFVKKGDAPKMGITKVGVNGVTAEDLRRPRR KLPLKPLEDAAARLPSASSS CC84DRAFT_1147857 MPADIRSFFGGGAKASQGSQGSQKKDEKPAPKKAAPKKPGRASR VVVDDSDDDDVDEPAPMTTTPKKPPPKNANKEPSPELQETTTSEFFASKNKPKRSEPV KKKPAESTPKPTPVKANGKASKNSTPPTNKRGPARPKKHLTTHATKDDEDEFPDDDLD EADDIFGDDFKPRGKRDDYVEVAASDDDDLPVPLPHRGTPKRPAKKQRTAKADDDFDP EHEDVDMKDVDAEDDFVELDEDERAAKSKPKKTSTANKKRKTPEPEDEDDGEEDEDEE PKSKRGRPKKDAPAKAPAKKKVKKEEAVENKDIQAIYDSIPLVRPPTPPPKDEAAKFD WRQKAGGGNADAAPVGGDSGSMPSGSETCLAGLTFVFTGVLQRWGRTEAQELVKRHGG KVTGQPSKNTTYIVLGQDAGPSKLRKIKELNIKTIDEDGLTALIEKLTAAGNQGDSKA QAAYKEKQRKEEEKIKAQAAEMEKEEQTRLKALKTAETAQNGKVSSAPTSASANSSEP AVDSRLWTTKYAPSSLNQICGNKATVERLQRWLQRFPKSLKTNFKLAGPDGSGSFRAV ILHGPPGIGKTTAAHLVAKIEGYDIVESNASDTRSKKLVEEGLRGVLSTNSLNGYFAG DGKKVEAGKKKMVLIMDEVDGMSAGDRGGVGALAAVCKKTEVPIILICNDRRLPKMKP FDYVTFDLPFRRPTVDQIRSRIMTIAFREGLKMPAPVINALIEGSNADIRQVVNMIST AKLDQEAMDFDKGKSMSKAWAKHVVLKPWDITQKILGGGMFAASSNATLNDKIELYFN DHEFSPLMLQENYLGTNPIQASQYSGPEKKLKLLELASDAADSISDGDLVDRMIHGSQ QHWSLMPTHAVFSFVRPASFVAGSTAGHQTRFTSWLGKNSSQGKLTRQVKEIQAHMRL RSSGDRHEVRQQYVPILWQQLVKRLEDGGKEAIPEIIELMDSYFLTKDDWDAIVELGV GPMVQEKVKIASQDKATFTRLYNQQSHPLPFMKASNVVAPKKATKDKPDLEEAIEESD EEEVVSEIKEEDEDVDISKDKYVKQPKKKAAKKATGAKPRGKKRAAEDDDDGESEEDV KPKGKGKAKAAASKAKKK CC84DRAFT_1260184 MSGHSHDPIASAKAQEAAHKFEFRVATTADITALQKMIGESLRA LGKGFYTQAELDGSIGYLFGPDTLLLHDRTYFILHPLDSPNVVSACGGWSFRRTLYGA DTAPGRLPEARDPKVERASIRAIFTHPEWARRGLGTMMMRHCEEQAREGGFSGLEMGA TLSGVALYERCGYKRSGKIDVVRCPNGEGIQIVHMLKDLPGFGIEAKTLDDDKPRRNG LASSDA CC84DRAFT_1093755 MDTLDSTHWDVVIAGTGLQQSLLALALSRSGKKILHVDENPYYS GSAAAFSISEAEEWVAKVNAGVYHAAFAEASIHVPEPSESDTPVLSRRPGRQYNLALA PEIIYSSSAFRRHLVTSQVHHQVGFLPVGSWWVYSSHATSGTATGSLEKVPNTREDLF GNSTLDFPAKRKLVKILRFIMDYENEDEKVKWEQHRTLPFSDFLSTVFNAPSILSAPL LALTMSSQSSDRVTTEHALPRIARHLRSIGTLGKFSALVPKYGGLDEFCQVACRACAV GGGVYVLGKGLSTSDNSVSTVQIPVENMLHLKDGEAVTARWVVGESPPANNESPLCKS VTIVSSSLSTLFLPVIVEDRPFQPGSAILVFPSGSLSLSEELDGDESLPPVHIHAHSS ELGECPAGQSVLYASTSLGGERGFELLRQATNRLLTSLDASPSPNTLWSMQYEQRAVP SVAPLPIPDGASAHFLGMPPVPLDLVVDDVVFDRVRTLWRTITNDDLEQFLQFKNREA EDDDDL CC84DRAFT_1165259 MPGIPEKRKRPTIRESDDEEQPGVSDGSASKRARSISDASGSPS SNHSHHRLPEDVHQPGSLVRVKLTNFVTYTSAEFHLGPSLNMIIGPNGTGKSTLVCAI CLGLGWGPQYLGRAKEIGEFVKHGSAEAEIEIELAASGNRKNPVIRRRIQKEGNKTMF YINDVYKSQKDVKALAENFSIQINNLCQFLPQDRVVEFAKMDPIAMLGETLRAASSGQ MVEWHDELKRLRTDEKASEREQQNEQHHLKALQAKQSSTREDVDRYLQRQGLVTKARD LETCRPFIHYNAIRAERSRIQADIQAGTIDLNRYESEAEPIRRAEAEMESDKARIERV SNTRKHRFEVKKSAVDRFEKKIDNDQKSLGQLAAQINAEKQDEKQRRQDVRRLENEII NLKHQHENNTVEYDPDSFRSRLADIRARKSAAERKQNAHINEITRIREETVQITNDMH SKQKEKEHLNSRSGQQDSILSNLSPDTHTAWTWLKANIKSLSLKDKVYGPPIIECSVP DPKYADAVEAMLRLGDLTALTCTNKEDAQKVQNKLVGKKDAGGLGLHQVTIRTVPLPR SSYSHPLTSEELANFSFEGWISDYIEGPDAVLAMLCDNVKIHATAYSSRKMTTGQYNT LERNERLQKWIAGNQVYAVTRRREYGISSTSINTFRKAKHFTGQPVATAEITLLENAI KELERDVTMRKERHVAKAAEFNAAKLEIEEAEAERAKVQAEQDQLKKAYATWEALPRR IATKQSDLAGITSQSDDTNNRIELIRSQSEDLAMSVARDTLSYAKEVVQLRALQESYL EAEIRLIEATSEVDGLKNDNREIIEAIEEQRQALAVLKTELDAKTAEARHLKRQLQAI IVSDDVKELIIDFKDLPMERLDDEISAVNSRLELMADGNPQAIRAYEDREREIRKVEQ TLADTAEKLEHTRTRITEIREQWEPELDRIVSAISDGFAHNFDRIGCAGQVSVKKDED FDKWAIQIEVSFRENEPLAVLDSHRQSGGERAVSTIFYLMALQGLARSPFRVVDEINQ GMDPRNERMVHERMVDIACQEHTSQYFLVTPKLLNDLKFHPKMKVHCIASGEFMPDSQ TNLDFRSLAELAMRVRKGVAAA CC84DRAFT_1187821 MFRSALKPRAAEAGLHSALAHAKARPAIVTRHWSAQTGRAPLSM STTPRYAQLQAQNTAQRRHFTATTMMASTRTESDAFGEIQVPADRYWGAQTERSLENF KINQPQDRMPPPIVKAFGILKGAAATVNMKFGLDPKVGKAIQQAAAEVADLKLIDHFP LVVWQTGSGTQSNMNANEVISNRAIEILGGQMGSKKPVHPNDHVNMSASSNDTFPTVM HIAAVMDFEESLLPALKSLRDALKKKSDMFEKIIKIGRTHLQDATPLTLGQEFSGYVQ QLDFGIERVQSSLPRLKMLAQGGTAVGTGINTFKGFAEDIASEVSKMTGHEFITAPNK FEALAAHDAVVEAHGQLNTLAASLFKIAQDIRFLGSGPRCGLGELKLPENEPGSSIMP GKVNPTQCESLTMVCTQVFGNNAATTFAGSQGNFELNVFKPVMIRNLLHSSRLLADAM RSFEKNLVEGLEADEKRIGALLNESLMLVTCLNPVIGYDAASKTAKNAHKKGITLKES ALELKTISEEDFDKHVRPELMIAPKEKN CC84DRAFT_808854 MPSPPTLSSQCPARSVLTPSTLISNNHTRFDEEGRVDEVAGTPN DVGHPYPGFNLPSYSGPPDHPNLQWPQQLPRNFARHPQRTVPMTSSFSSDMFGTDTTV DETRNPQYHRNSEIPHPDVTSRRNPSYQRPHRQGSSDFNSPDRRHSSRSADGHAPLSE LGSSLRTHNRRSFDRYSTDVPNGATGPNGPIRSPSSRGADRMAARRQRPIGHPLFRRM SSHMEHPNVPSTEQLRELREKLRHLLPAELPEGIDAMCDICQKDYSTKHVDPSEYDEV AIQLPCKHVFGEHCIHTWFDTCKKHKNKITCPMCRKVLIEPRCRENAQSRGQLLERLD RLRRQGDGGPFFEHMPRAIFEGRVAREP CC84DRAFT_1187822 MPAPPPPLHTRSSDMDRPATPSQEAFISPQQTPQGSPSKHQHPP GAFDLPHVFENAMRLLPTLGSPTRSKPGTPTSPSKANLQAVDDGDYSANDLTTLGPGS PTRKANKENTPPSTRPGLQKDPSYVTHAAQSRQEPYRTREADQSGRYYQTQRLSAEDL EKTRKPSVKRLANVTQLYFLDHYYDLLSYVHTRQNRLAQFKAQNPAPPATPEAEYNDA LTQYLGRERANLRKRRTRLRQGDFQILTQVGQGGYGQVYLAQKKDTREVCALKVMSKK LLFKLDEVRHVLTERDILTSAKSEWLVRLLYAFQDDKSIYLAMEYVPGGDFRTLLNNT GVLHNRHARFYIAEMFSCVDSLHQLGYIHRDLKPENFLIDSTGHVKLTDFGLAAGMLA PAKIESMRVKLQSVGDVVSPFGRPMEDRTAAERRDNYRSLRERDVNYAKSIVGSPDYM APEVLKGDEYDFTVDYWSLGCMLFEALAGYPPFAGATVDETWQNLKQWKKVLRKPVYE DPSYFLSKRTWDLITRLVASKTSRFRGISEIHGHQYFAEVDWNRLRDQKAPFVPELDS ETDAGYFDDFGSEADMAKYKEVHDKQMALEQMADRDEKMGKGLFVGFTFRHKKTADEN GKPASPRKPLPSVDENFGTIF CC84DRAFT_1147875 MPHSESPPMAPAIAVSKSGDAPAPSHDDVAAVLTTVFTAKLSHS SVEAAYALVTLLQNSVGFRGLQSYGILDEIKKAATDKKNPGRREGAMNALGALFEKMP PFQRLTEVVFLIQEEGTVVLALDALSDKTGTVRESAQYALDSLFNNLSAEAKVFGLLP VLIKYLGKKSGKWQGAVGALELIGRTADKAKMGMESFEVEKEKDILREAMGKKLQLLI PVVEGGMHDLKSEVAKQATKTMNSLTTLLQNDDIAPRIPLLVKSIEDPSTQSLQKAIH ALSQTTFVAIVTSPVLAMLTPLLERSLNNPGTSQEVLRQTVVVVGNLTKLVHDPIEAR TFLPKLQPGVKKVAEGASLPEVREIASNALAVMDKAMADQDGNVAHGAIDRTHPEDIQ KVMDKHIKAVGQISMFPGDSAIWGLAKQYISDMVAEVVNERQLDRVTLLIAPYLGPLM DPGDAEKVAKAVHQFYVDEDHRKFGQPIKEDDGEVEVVNATFSLGYGGMLLLSHTNLR LLKGHRYGLCGRNGAGKSTLMRSIANGKLEGFPPQDEVKTCFVEHNQGEDADLSILEY IVRDPRFAEESRERISEVLEEVGFTSGPEGRQSEKVGSLSGGWKMKLALARAMLMRAD VLLLDEPTNHLDVANIAWLQNYLKKHTEITSLIVSHDSTFLDEVCTDIYHYEQKKLVH YRGNLADFVKVKPEGKSYYTLSASQVQFKFPPPGILSGVKSNTRSILRMTGCSYTYPG SSKPSLHDVSCNLSLSSRVAIIGANGAGKSTLIKLLTGETIPQEGKVEKHPNLRIGYI KQHALEHVEMHLEKTPNQYLQWRYANGDDREVLMKQTRVLTPEDKAQMETPVDIGDGK GPRRIEALIGRQKYKKSFQYEVKWMNMLPKFNTMISRETLSSLGFQKLIQEFDDHESS REGLGYRVLEPKVISKHFEDLGLDPEIANHNEISGLSGGQKVKVVLAGAMWNNPHLLV LDEPTNFLDRDSLGGLAVAIRDYKGGVIMISHNEEFVGALCPEQWHVADGRVAHKGHL AVSMDRFEDSRPGSSNVSSAVSSAVPSTVASAAGTPVMSDAEGANDDMKFRARKKKKM TKKEKKEQEVRRRLRHIEWLNSPKGTPHPPNTDDEADE CC84DRAFT_1093870 MAAEPTRKRKRNQEEAIAKIKKGKAAKKGAKKKKGSDDDSDFDD VMDMYKKIKPLPGQLENCEVCSKRFTVTPYSKAGPDGGLLCAKCGKEMAKEAKATVQS SKPAVRKGRRKIESNRLDGLTFRGAKSLQQLCINTLATHADDIEEFGDMPEPILNRLS EIFSKKRAMKSNTFKLFLQPDLDVVAIHEAAYLETEDYDQIFAVVPHVKRLSLRNCCQ FKDSNVDYMIDKAKNLADIQLLGANLVSNDKWMDLFIARGHDLRSLKLEWLDAAFDDQ AVEALTTFCPNLERLKLERCKQIGPDSIDAIARLEKLQHLTLCYQKNIPRDRVVNLIA HVGPGLRTLCLERFIDAENEDGLTDDLIDVIGITCTRLEKLRFSENNECSDAGYVNLF TEWPNPPLRYIDINSTRDIDNANPDGPEDPVGLASAGFHALMQHSGSKLEYLDISSCR HISHETFAHIFSAEQTWPCLREINLSFCPVVDTQVVAGIFRSCPQIKKVVTFGCFEVQ EVVVPRDIVLIGAPRAQDAIEQFGQVVMDFQKEFSGMENPTGMSRIVPVMS CC84DRAFT_1165265 MLIPKADRKKIHEYLFREGVLVAKKDFNLPKHGDIDTKNLFVIK ALQSLDSRGYVKTRFSWQYYYYTLTPEGLDYLREWLHLPAEIVPQTHIKQQRTHAPPR GMMGGDDRERRGGGRGGRGDRDGYRRRDAGEKGEGGAPGDFKPEFRGGFGRGSGRGGA APSS CC84DRAFT_1165267 MAQAATVSGHHCVPTLNANGNAPVTVLVNTVRGTIAFTVVKAAP LSSIYETLYDRAPWIANTSYILTTHSRRTVPTTDGPVSSLLSSASDTFISLRLSVPLC GGKGGFGSILRAQGGRMSSRKKKQGEVNGSSRNLDGRRLRTVAEAKTLAEYLAIKPEM DKREKEERRKRWEDIVASTERKQDELMSGRTQGRLNGKWVEDKEEAENKTREAIEKML MAQQQSSSEEEEEEEQVAESSKPAAQRTMFGWDDEDDEFMSDSEEEEKMLGEDKPKYE GKGKGKAV CC84DRAFT_809006 MSDQLMDTSPGGEGGQYEGNLGYMDPVAKLSGQLGAVSKGTQLA DKVHESKSTGPNSQGVTPAEKMRYGQTIQESGGGGGKTTAKGHASSEGRFGGTERSDE LEDDAAQQRRVQGYGGDKDMDRNIGG CC84DRAFT_1165269 MALLVDKHRPRSLEALSYHPDLSDRLRSLAQSGDFPHLLVYGPS GAGKKTRIVATLKELYGPGVEKIKIDARVFQTTSNRKLEFNIVASVYHLEITPSDVGN YDRVVVQDLLKEVAQTQQVDLNAKQRFKVVVINEADHLTRDAQAALRRTMEKYSPNLR LILLANSTSNIIAPIRSRTLLVRVAAPTEEEICGVLRVVGKKEGWKEVDGLNKRVAKE SGRNLRKALLMFEAVHAQNENITDTTHIPPPDWEALISQIANQIVEERSPARLLQVRA ALYDLLSHCIDPTTILKTLTWKLIPKTDDALKPEVIKWAAFYEHRCKTGSKVIFHLEA FVAKYMRLYESFLMGVDFD CC84DRAFT_1165270 MAADLPKKYKAAVYDKPGSISTKIEELDMPEPGPGEVLINLSHS GVCHSDMGIMMQSWKHMPFPTQAGQVGGHEGVGKIVKMGPATDTSSVGLGDRVGIKWM AGICETCDACRVGLDASCAKGKVSGYYTPGTFQQYVLAPANYVTPIPDGLDSAAAAPL LCAGVTVYSALRKSRTQSGNFVVILGAGGGLGHLGTQFASRGMALRVIGIDHSSKKDL VLESGAEHFIPIDGTDDVAGAVKDLTGGLGAHAVVVLTSNNKAYASSVGLLRHGGTVV CIGVPEGDPVPFQATPAQLIMSAITIVGVAVGTRRDAIETLDFAARGVVKTHFRVEKL DKLTEVFKEMDEQKMQGRVVIDLS CC84DRAFT_1177052 MAMLASKPSYSAPSLGSSASQTSTQYSASVSSYRNQGAASRADQ SLYASPTESEFSEVYDAPDAVRHWDEEKVGDWLKRINCAQYVELFRQNNINGENLMEM DQTHLKDMGIKKVGDRVRIGSQAKQLRNKEYKKASRRVSNRQSLAMLDNAAYTPPSSA SPRPSQHSARSVPSSYQTASKRMSRQINASDLSYNFGGAKSPSRPSSPLVDQENRGAR RERNYGMTSPQDNKNYASSYGSQPLSAANSAINMSYRNPRTTPTETPQTARFASHIRN QSISESATNSAILPSDKAVIRVIYDSGRSSVINIEGCKTADEIMLKTLRKGQLNESHL KNYCFYILDGPEPKSELCHRLSDIEVIRLCKDSNRHERGRLILRKIHTGEPEEDQLKA AAGIYQQQYQQQNIQQTQNIILPQNERSKKKIQEITGESLAAVSYPLSPTSARERERH IHSTAQHLEGPSRAQAFATRARKLKQFYGARPPSELITSDLTSYFPDVEKDEIDKTIR MSIRRSRRLSRAASRLSMASNFSVASSLKDAPPLPSIADNWLQNNTQARPLRPLSVMR LGLPSQSGYRDSVASSVLEPLEEESPLEPNRKSYVSFGGESTTESLQVTDPEGHTTMQ SYFDDGGSSLAGSSAGNTEAGDSLNKRLSAAIAEDGEEYDDELADYLEQDSWENVKYM KGALIGQGSFGSVYLALHAVTGELMAVKQVELPSASGTAQTDQRKTNMVEALKHEIGL LRDLKHKNIVQYLGSNSDEQHLNIFLEYVPGGSVATMLVNYGPLGESLIQNFVRQILQ GLVYLHSRDIIHRDIKGANILVDNKGSVKISDFGISKRVDTSTLLNGGKKGAQRVSLQ GSVFWMAPEVVRQTAYTRKADIWSLGCLIVEMFTGAHPHPTLSQLQAIFKIGGPGEAN PAIPETAGDDARAFLSQTFLIDHERRPSADELLASPFCTEQAS CC84DRAFT_1121718 MDSSYGSPDPHQAPYSSSYDPRPYYPSSYQQDASFRDPQRPRSP ASSRTTNDGHAQPYQQPLQQPLHNALNNAFDKSDSAGKVDPELIAQITAEVKKSVLDE IKLGMGVTAQQPVAPPQQPYPPQSPVSMTSIPSRNVYTPPSPKQADLSSHGSASPDPP SHDPLFDGTGDTPTPRHERSAPVDIPHERSIPRPAAAPRMPTDSDLTPIERRWQHLFD PQGQPTPRLGEFLRGLAIHLIDDYEPKKSLVISPSKMLRFYSDVKLTEEIYPWDTIFG KLPYSTLCKIYRDMRCEHHLIQEHAAAQPDIPALTPLGFQEWMTAMIQAYPDAEYERL SRAVLDMPISNADDCKERFPKELPRRLFPHIENLQAQQRCAAALSPEGVGPLRRAPTF PPPPPPPMSQSASVPPSLERERSPYTSRPDPRAVVSEDEDNVPISIPIERERKPYAAA PGGGKMHEDDNTRGTTSDAPLREQRRRTQSTASQYPYVPPADPSQYPRSATQTNSRRP RSPSFSNYGKSSDPNVRDMSGAYYNSNMYGEDEEKRRFAKDAEMRREWAARQADHSNA GHQRRSTTGTDSSHDSQSRSVYDDDHYRGRNGSNGYDSRSGYDSRRY CC84DRAFT_1218324 MDEDALKSLKIDKKRLMEDLHHTCQWGTGKRWGKAETETGMTRL ALSDSDKQARDWFVKTTEDLGCTVTIDEMGNIFAVRPGLKNDRPPTFAGSHLDTQPTG GRYDGILGVHAGIEMLKVLNENWIETEYPVGVVNWTNEEGARFPMSMSSSGVWAGSIP LSTAHNLREVHPGTATMAEELSRIGYLGSVPASHEATPMAAHFELHIEQGPLLEMANK KVGVVTGVQAYKWLTINVKGRDTHTGTTDLKSRADALLAASKMILHSHRLATANNALA STGILNLKPGSTNTVPGDVSFSLDIRAPADATVARVLALVEQDFPKIAAGENLSGLND GGTPSLPCTVSITEDFDSPATTFHASCIDAVTRAAHRVLGPEGKSLTMEMTSGAGHDS VYASKRCPTSMIFVPCREGMSHNPEEFCKEDDCAIGAEVLLHAVLRFDRMREVQRVSW KQEPYTL CC84DRAFT_809051 MAVQEEPEVPCKPEEHGGQESTAESLTHNTASEPPYDEAAGDSQ AVPGSKFEPVDLANTSVADSGLPPEIWTIVQSAPANFPPQYFLDVSRNLLENPNLTAS HLSRAELSYKSFTDASYNPEASHPQELAGIIKHLKPDHQPRLILGGVPGYKLEWTVVR KLIPRNPKLDEALQQTCHLYTSTEQVILPAKDGSNSKFDAERYLVVYIPHVANVDSIP FYHPKVRGVAILYTFLNQPPPGVAPGTLSLYYDLFSERPLENRMSRTALKMLEIIHKH ARGRVKGYQKRVHHDVIIPQKTFQDTYAYLKGEYARELIDNWVEQTPAQKHVFEDLGI AAFLIELWTDMYGGTPKAYDQPDSVDPQDREHLRLELQTVRRSLAQQAFPGFVDIGCG NGLLVYILNKEGWKGWGFDARRRKTWDTFGESYQQHVKEMLLVPEVLQKLVIDESIAN GSPPWQNGLFPRGTFIVSNHADELTAWTPVLAYLSDSPFMAIPCCSHDFGGTRFRAPY HRKHFPSPTTNTAKQVSAYTSLCSYVAHLSAQMSWVPEKEHLRIPSTRNIAIIGRKRN EIAGKGTLEERLRFVSEVVEKEMGGETSIEQVRLQWLKRGSGLTKPGSGAH CC84DRAFT_1147908 MASSSSSQTSIELQPVGASSNEQTPIRDNNDPAEFLPQPSTTVS VIERWNHPKSNIAKTGATFFAFLLMGANDASYGVMIPYIEEHYTLSYIVVSLVFLSPL VGYAAAAMLNNWVHVRFGQRGVAFLGPLCHIAGWLGLSLHPPYPAFVVIFVLVGFGNG IEDAAWNAFFGNMANPNELLGFLHGVYGLGAVLSPLVATNLITEAGWRWYAFYYILLG GGVIELITSLWAFWPVSGRVYRESHPRTASGKDSRLQESLESRVTWVVAFFLLCYVGI EVALGGWIVTFMRRERSGEAFASGMVATGFWTGIALGRVFLGFLTPKLGEKFAVTVYI LLAMVCQLIFWLVPSFHVSAIAVSFQGFFLGPLFPAAVVAATKVLPAHLHVSAIGFAA AFGGGGAAILPFGVGALAQSRGVTILQPIILAILAFCLLLWLCIPAVAKPSPGSSQEE RKSRQWINIDFDLVDAGKRTIQKARSGTSKVATQ CC84DRAFT_1093674 MSLLTPVTDDSMPLFSASLISPEVQSQLPEGYTIRPLQRGDYAK GFLDVLRVLTTVGDVTEEQWNQRYEWLTQHNDQYFMISILDSASRVVGTGALIVERKF IHNLGLVGHIEDIAVAKDQQGKKLGLRIIQALDFVAEKVGCYKSILDCSEANEGFYVK CGFRRAGLEMAHYYGEAKPKGGATKQ CC84DRAFT_809205 MHSIIFAITAALAATAAAFDCHGPYFSFYNRHGPAMSSQRLDPA LFPGQQSPHMHDFDGGNALSADVSYESLQGSDCTTARIKPDKSLYWRPALYWNGNGTG MYPVPNSFLKIYYKFGDNGNAKAKNISEFPEDFSMIAGDPFRRSEDEKNEAGIDWTCI GEDYSRITAKGFPTGFTSCGQGLTTQLTFPSCWNGNALDPKKPNAHMAYPSGGGSGID ACPEGFQAARFPAIFIEFWWDVKAFDGQYSANDNPWVLAQGDPTGFGMHADFKNGWAK GVLAKATSDDGYCNCGCGCGDDQMRECFGAENVNNDADISTCAAKSAFADEAGVVEKL PGCNPIQEGPGEATAVSGPDCTAAAAPGAGDATSAVASATSAAASIISSAASEAISAV SSIVATIADIESTATPESTSNPAPETTLVSKSRHGHHHYHTAVPGGASEALPADAESS ECTNAATVTVTPPPVTVTVTATAAGGYAVDRRRRRHN CC84DRAFT_1147916 MPRYPLQDTCLAVITFTPVLASIFVALRIYTRRKLNLRLGWDDW LIVFPTILSLALIGPSWRHVKMWHVGIHIFDVPPNDIEPNYDEYHQILLAFNLLNIPI LPLVKVSIILLLLRAGSVIEWMKKTLYGILIFTVGSALIPWCLYIFICPPQTGNTWKP RTFGGLHCMGRHRMGEMLIWVTCANLLTDLLIFPIPFIIVRRMMSARLRSRLVVLAVF TSSLAVTAIGAAKIYLTYRDRLFTSFKTDWTYPIDYCISHIENNVAIIVANIPILRGL VTRWVFNFKTKATPIEREFRSEWQWSNSNSSSITHVASRKRRFLNKILPCLTDDFSSS LARSGDIMDSGKQRGLKPAVLRKKSRSSKPRSHKPSRRNSVDRYETADSLEKGDALET VTSIESLGSGPTLVDNHKEIEWRLSEATMASSPRRPSEATFSPIVPLSPVLLREVDDE DEILRYP CC84DRAFT_1247394 MTALCLRNIFVVLNLFALHARSASLNISGEAVTTRFWDCCKPSC AWKEKAAFDRPVLSCSSDDKPTTIDVGTGCNGGSAYLCSDQQPWSVNDTFSYGFAGVY ILPSLTGGKIEDAWCCACYQLDFTSDPLKGKTMIVQASNTAYDINTANRFSLAIPGGN TTSHDACARQYGVDQTVFGTENTGITSKDDCQKLPEALQDGCKWRFDWYEDQAFPTAN FKRVPCPTELTSRTQCTRTDEKQLISGDTSSATVLFPSFKVLSLVIIAGMFLV CC84DRAFT_1206463 MTMPGREQRPPALSFDLGRELYPKSSLAAVGLSQSCAPLHSLPR LSQLYNAARSQHVGSRAFARHRVLSTFGKGTLVSPHSRIKAPKQLGDILPEQNQDACP KAPQICSPDQSTQKDSKLGITKKPSAVYICRRYVAKAYAASPVTAMRSDEYMDIGSAM CASRSRREHYRGNRDRKLSK CC84DRAFT_1247403 MEMRGNPVEEQERTFRVARRSCDQCRTRKIGCDRGSPCAPCISA RLSCTHSAVAYSSASTKQRVLISAKYEQKIDGIARDIDGIKQMLQTLQVAEHEFRPRT VSGPQSTQGSPMPLPRDTQLHPETNSEIVWGHSAHMIDFIKAIVRDGASRSTGPEANQ VLSTLQKLLHALESPPQALGQRYSREGIASNRDGINMPPQESAVTVLRWAKEHASNAR ISWLAKLLPLDRFTNICQNLYFSVQDYSEIEFILANGFLSYVFFEHVVVSGRSDYAQY SRCCRDNLNTALSQLPLLIPSSIEVVAALTLGPYTERTDDLWLAQQRLFWAVYRLDKG ISLRLGQSSTIRDEEISLSSIPDDPGVRTASIQGRAYNELYSPKGLLREDAERAYIAA SLGTELKQCINDTRDAILENAGGLSANTADPVGVVYMQCELICQYSLLTLVLRAVPVS LGAVCSISEECLKVAREALRAHEECITTMRSCKNEPLMLLRYLNWAIVHCPFPPFSIL LTHAIQMMDVPEIEHLERFAASLEPSGSTTKPDTITEPHHLYNILCKAARLYLDFNTG PVSGNFIRLESDTIPNIDFTEPGLGRTSVAAFPESRLDEGWLGQWFHENQLVMSVLDE NAFF CC84DRAFT_1260207 MSHVKNILVTGAAGYIGGSILADFLSRPDLLAPAKLHAVVRSEE QLQALSKLNVSVLNFELDDSAAVAAAVDQNQIDLIIHAANSSDTLIVTNLVEALGRRR STTGKPVFFIHSSVTTLFSEEAGWPHGMLKDTESIYEKEKELGSSHPVLQTNLLVVEK AQECGVKCFNVVVPNVYGRGKGEWRKVSILIPALIQASIRLEKVHKFDVDGHPPAAHI SDLVALYRILVHKILENEDIPSGKDGYYFAMAHRAPWWAYMRAIAQSLHARGLVADPE VELWPSDEAAAKALKFPVQFIRAMGTASGGLEPVNARQLGWQPIWDERRFLESTDEEV QAVLDLDTIRTGIFDKLMQPATD CC84DRAFT_1165279 MGRNHHVSLFVVLLGLSQLDSTVAASKKCFDGSGDQTHQLPCTS DSQADGICCDAGDICLSNGLCKYGPSAAGRNASIDVDTYQPGCTDQNWDTENCFSGCN SLKEPLVQSCYDNRYCCYGASGCDCSKTSLFELDAATFVTTLPLSSATATGSPASNSA TPTTSSAHPSTATASSSSSSSSSSSSSSSSSPSSSSHLSTAVGAGVGVGLAVVILGAL SVFLFLRRKKKRRAAEGAPYRKGHLIPLHEVDGDSAPQEVEHRMVPQELEGGGGEQIK FRNGQGQLTQKSWRE CC84DRAFT_1147927 MRNSYNEPGAYHSTPYYTYEPRHPAPPPRVPPHSARYRNRWPPS PSVEDETAALTREVRSSVGSGSVVDDGEAKTRGTVDQYPIIQEMEQPANDERRFVLVS DPIPDGGTPGDRRRRSVADRGGMAHLKTDLDDAPLVAERTSTPYAYTKPQKESLALSP GEYYLSPETVAPSSSSIPRSLPARNRTDARDQNTKPAKQVPLPDWHATYPPATDRSRP TTRPERYETMPVPPMPQINVKSPSPARAARAENPLPYPVDDRPTEAFMPPEEQFQHDH AYASYTPITSSPRMDRLDSPIFSSPRERQSGFRPQPSSRKNTTDDVPRSPRVRSNSVR SQNDDDGARKERRTAVPLSLDRPLPSCPRSEPSSKYTDWYTLQNCPSFDICPSCYEGV FSDTPFSRYFTQGRRYERPVERFCDFSSPWMRLAWLLTIKQRRQSPDLLYTLATIADQ ERPCPGDRELGTDHAVWYGVSDPRDGIHVANFALCPCDLRMVEELFPSIKGYFTRIPA STSPYAIPPTYTCSLRVKSRRFPKYLDLLVELDAEAQAHNQHPHIARFVQLAREHAFK AECQRDKPLLRKAWHFMPVLPEFTVCQECFDELIWPHLAPPSSSSPSTPSTIPKLFTR TIQPVPGEDLELGSSCCLYSPRMRAIFETAVREENFGYLERKALERKRAEVRLGRERR DILGWMDGLERGTWEWEKAKVELRENEDEWRAWE CC84DRAFT_809335 MANVERPPSAAPPAAAPTSTPDSTPATGKAALHTAPPVSSPASS EKTAIAAACSTRVSPRLRRMNAQPGTGAAVFSTDGGRHPHRNPPFPPAPVIVRSDKTQ GVFEFAGYWREKCPEFYHCFFPSAGWTITDLWDDADIHLESPGFLEDVLGAICHDNLV SVTKFAQAWGPLNPEKLEKLAKYTDLMYDTNDQFAIVDEIFTKGEQDDCPRHFLYHTT WALRHGMQMYMVKHHAAQSASPVGLTCKAQPLSPTINDRRTTAELSHKSAVIVRPQSA FPGSSNSVPGPVQSAPNAPLMRQPSFPSSHIQRTLPHAGDFLIMGHCAPMIPVPYPGP PRHNKRRDRPGTNSYTQTPHMHGFVENGRMPSGEHPRHLSGPSLHGGTVHRYGPPMPG PFNPFPPGARLPSNTSVTSPPMHPNQVDHMEMMSRVAMFPPPGPILPQLHDSAYQQYA PTPFMDMSNNLQYPQGRDTDAPGMQRSNSQSTKTSGLFNPYGAERPDKAGFTTTGPRK GGRGNYSNNADRGRKSSAGTFDRSLYGSYPSDSAENGMRGGSGQFFEGTQVRQLSNGL EVDPSIVNDKQFGCSYDFIGPQNNTVRLLYVKNLPKEVDASELRVAFLESTGVGPESV QIKRNFDSKDFTQAFVSFDTVDEARTALEAVNAKNLKFRDRELHVSVARRYFQYPMSS QQPRGASHEFRRPAESNVASVGSIQYSPQDARSDLHRANKLQQPEYPATRGSPEARKA KKILPKKKNHSAHEDRQSEIGEASHPSSNAISTFEAADSTRQDEPSLQDKNTDTSAGT AVRAPIEEVPSNVPVKGFVNISEETPSNGVEAALGNTVDETPIDMTT CC84DRAFT_1165281 MFKRIFGEHGAKNVVTLFHKPSSTASTRVLTLLKQTNAQSVAHA TEDQASSHQAQDKAERMEFELDVTEAPPTADQLKSILDYLGGSGAASKVVSGAQDETD AMRRLKADGETFLRPVVVDWNQGKAVVGESESEILSMLRSISKDNKA CC84DRAFT_1094413 MGKVHGSLARAGKVKSQTPKVEPQEKKKTPKGRAKKRLTYTRRF VNVTLTGGKRKMNPNPGS CC84DRAFT_1165283 MRLDPALLPLISLASASALPSWHDKSAHEIYHDGAGFKIPTVHE SAVLARRILDIERVGTLSTVFPTTHATENRPSNVGGSPIGLPDYFANCEPDTGNPTIL ELPIATSFKNVQAGSNISLSLRWHAPYKHWYSVASVPRFNLVGYLEEFTDEEVKDENI AACFVKKHPDAATWLPGNRIHVSKWVRLVVQEIYWIGGFGDRAYIGWIPLEEWQSVSQ DEIEKARLPGEDSPWSWRLWFGLVDNKQEMLEL CC84DRAFT_809496 MAMHLASMVHGSGREREAHDRHYHQQQPLQQSHYYSQQHQQPQS YAAAPAQYSTHISQQQVEYQYQRHPQSPPSPPVEEQKPSLPSISSLLHIADGEKAASE TAGQSPSPRSQPHSPQPGQEHQSSVAVTKQQPESRSEQAFGPTIVSHPRLTLPPTPPM HPDSVVDGNQSPSTASTHSGAGSYYLGQSLNNMEPHQQRQTGPHSSVVRRTPLPQSSM SPYSASSYAPSPYASSPGPASAASFYSPESHPYSMGMYGQRALPSNFQPQMPLPLPTP SGNGSNPWQHHHYISTSSQSAFPQSQDRYICSTCNKAFSRPSSLRIHSHSHTGEKPYK CPQPGCGKAFSVRSNMKRHERGCHASTTLTT CC84DRAFT_1206472 MALPATLLCAPARAGRETAPAPRGRRLAVVEMADTCGSRAERLR RSACSSRADGRGLEARRQLGYACERRGCRKRPESARRHRDGLNQPGARDLPAMLKHLS PPTRPSTSRAMRTSRVPGPAVRATTRTAAAAESTSHGSRGRMMPRESASAGQRRRGSC QRHAGERCTAPAAPRRTAPQDDDAVHRPSRRGCWTPFTVTAELLVADRAQGLQDAFST VSSLPACLRLLAGSIASATPDLALSFTHCCNPLRNPPPALCKPVSKLCSGAPAA CC84DRAFT_1165289 MASACWACSTLTVNMAKLGLQSPKITAYLGERYFCPSRRIIRGD AACLSRLILSPVVGLQCCWLNSRESMPAAWFCKSHESPGNGGSAPHYG CC84DRAFT_1260215 MTKKILDEAIAKNDARGIITSGGITTQWALEDVPSASSTPRSWS PVFTPTSSQFFDSYPPSGTTTPKFALLEMTGLNAALSLRLSLRTGAKSRTCPLCPSVT KRTYTPEALRCHLSSTVHRCSKASIASCLSAPGITFHCPSGLVGAGDKKKTKEFASVS GLAQHLESGACLGGKETMKRVVQFVQKEMKNLGFGDSKLLL CC84DRAFT_1260216 MAIEDAFRDLTATQRQAALEGPALMPPPGVTPNFINPPNQNKLG YGLIYSCAVVCAAVVCIRLYASLICRKKMNIEDYLAIVALGVTGGFLYISFRILLVPG LYVHQWNVQLKDLAMILFNVNNNYILYGIIIMLLKAAILLEWTHLFVPLGIRNGFWWT CHAILWANVMFYIACTVVENFSCAPREKIWNKLIEGHCVNNPALIMSSGILNMVSDVV IFALPQKMIWSLHISTRKRVGICLLFATGAFGCVCGAVRLVWTTKALGADDITFNVGP IGLWSVGELTSGFLVLCVPSFPKVFKDSIIARKLASLANRLSGATRSNGMSNLRHGLP SWYRPSAPRRPQRSQFSEIEEFTVPVTQPQSCMAYGDLPRDDSGTRGLGMVFKNAVSE D CC84DRAFT_1165291 MAVGRSAALKIDWTKIGTQLGLKGQTASALQSFKKRNDDARRKV TLLSEQPQTVDFSHYRSVLKNTAIVDEIEKHFNAFKPQTYDVGRQIKAIEAFEAQAVK DAEQTKTVVDRELSDLQKTLKNIEEARAFDELTVDEVAAAQPDIDKRTEQLVSKGRWA VPGYKEKFGDLSVL CC84DRAFT_1206477 MANPRIEELPDEVEKKNVEIEDGESDSDSEVEGEAESGVPAGAS VTVHSRNEKKARKAIGKLGLKHIEGITRVTLRRPKGILFVINQPDVYKSPSSNTYIIF GEAKIEDLNSTAQANAAQQLAAQEAASHDHSGHDHEGHDHGKGKAVEAAKDEEEEEED DDEEVDDSGLEAKDIELVMQQASVSRKKAVKALKENDNDIVNSIMALSI CC84DRAFT_1206478 MGDADITTSQWRLVEVGRVVVFSGGQYDGRLATVVEIIDHKRVL VDGPSKDAPVPRHAVALAKVSLTPIVIPKLPRGTGRGHVAQQWEKEGVDAKWAESSWS KKRAQFQKRRQLTDFERFKVMKLRKQARFEVRKSLAKVRSAA CC84DRAFT_1147952 MDLTPVRIRGKRKRPGATKSNLQKALEASASQSKRPQISQYPAK SKKIAETAMPTLQGLPVELLEMVFLYSMNISLPRASPTLGRKLSSNTVIMEFVLRSFY HTVDHKSNYRDREVTSDPRIQSQLLACRFFTWDFFRAYVAKAHSSFIQQRGDIWKDSS VQPLGVEAFDGLWPFRFMKLTYLGFAEGFYIPEKVLHGPWTDDKASLLYVLVSMSGEV DWDGSMSGEIAKAGLVQAINENQERAVAALAVVLGVAEMINMSVIRQAVMKCGCNLNI VRQLLFNAQILRHDLPKDDVDFYDPALWRWADAHEGKGVLLKDLLRKADRFALEFYLE GEEGAQAKIVPFPYGGAKYDPKNPLNDVGREMLVRLYRSYGRKMTISPRRRRPRYQGL EHMNEGH CC84DRAFT_1121774 MQVHAVPDAERAYDSTGRRLPWGFDFPDSENSKRRIPEERGPFG KARKRGVSRSKTPNISSAQDLAKQENLKHIDDIFAQYKADDKQKSLRKSSTSQLPASA SAPNLLDTGGVTSSFQTGVSTNGAKIPKEVILYGFGEGQQWAAISKFEEISNGTIYEE YERQPQDPKFGLSFGAPRELQKSKLSASQVGRINEYVGGDHWVKITFDSAEAADRAIH WSPHTIWGYEVYAEEYRGVGPKDGDRPIPAGSLSLTASPATISSGTVHGGASQSSATA SSATATGSAPALLSARRSSRPRLGGWDDSFDLEDTTTRTSHALASASQDQALAHATSS QHRPSGNSTLRIKPNSNLKVKRGEFQEGKVFLPSRPKWQETLGQFPLIGWIVGSGNGL IGDQVPRNADGKFDHANASLYWQLWYAIDSCAGTDFCGVKEIEYDE CC84DRAFT_1165296 MLKNDRVAVLQPTLHPTSEELSIGNVKFTTFDLGGHAQARRLWR DYFPEVSGIVFLVDAKDHERLTESKAELDALLAMEELANTPFVILGNKIDHPDAISED QLRAALGLYQTTGKGKVPLEGIRPIEVFMCSVVMRQGYGEGIRWLSQYV CC84DRAFT_809868 MPASLDLVPRLTSEKARRLRSFDRRHRSLLEHEFALCFDGSHRS EFVRRQHLNYGLALTSCVCGGEFSPDRSIRIWQYGMIVSQRRRD CC84DRAFT_809870 MTKPKRRKSLATGHALPGHAAPGVIVHTNSRQLCISTSLNTRPG CTPISWYAVRLEDMYTLRTERYGSEPSLPRPSARLRSSNPTFSPCDQISHGATGCLFL AIIRNCNSSVATTAERYTLRRPKSSLSQEGSLLLSIRASCILR CC84DRAFT_809872 MSSSRPTITAAEKDQMIQALNTHRINTLSELRRTEKAFAKLGSS DVAAPMTAAWTYYVNSHGLLTDIRGLTKNYPFSSECLEEAKRRVYADPESNKSWNLCW LVLNKVHQDQLIPYYAHYQASQPTMWGGQTPSSAGIAQLTNAFVSEWHGAVQQMLAHW EQPPRR CC84DRAFT_1260223 MITLKALLALPVFLSSTIITFVLAAPGSSPQGSDESNGFLDVDK KEPFLNYAASLTGGEGCKDKDLKWIRDGFDEMNRLFAAAQDPNFENQSEIDFFGRPYR IGNFTDLIRANLRRAGQYGNLQGAEIVNPDIHVRCDDPNDICNEGRKKDGKHAAYNIG NEPHINFCEKFFTLDPLDEQVNDESSKDQAKNYLMNYYNRATLWARMVMHIADVGEAV VMRATPNFAPNATREWILTPGPSPMKTSVLAGVMDDKNGPMNVRVLKYAYGVTRAKLL TTLSTQDPYDALNNAENYALYALARYVIQKRGFFPNMPLVNFGNDMAVLTNDQIMDGD KKKFACFDMPDVVPLMDGIIREGARLSSAANGLRVPTATVVSLVLAAIWILLGWTAGW L CC84DRAFT_809906 MVLELIWNTRGSGAAEAVTTPFAMWLILWGIIPLRWITFPSGPG LSFVDQAYHWRSFGVALSSSGVLCCLLEASEACFAAVTRHMFARLVPGRFV CC84DRAFT_809933 MSERNYICNLPDELLLKILHHIPPPKPDPETNPHLSWGVQRLPL TDYRSVSSVCQRLNRIANSVMYAHYDHESNYVSSARFIRTLVQNPLLARHLRSLKELE STSPTLQHLEPYKRSISSIECLYALSEQLNPQTSQSIVLTRNILRRKNMFELFQSGQD TTHDLEAALILALAPKIQTVALNYNSNRRRNWAVCLKMMTPGNRSFPYNPEQQFEHLR KLKVNMSGLRFSDISGVLRLPSLVDLILFKFERLPTDVDQINELDWNCPVKESRIRTI RLDQFDIHHSYIVKLISSCHHLEAFALGFSPRTHNPLDYLNIISELEKHHPKLEALSL TRFFRQQTAHFRDVPPRSTSLRGFRNLKYLAAPLELLVNPQTSDPNLHLGCLLPRNLQ TFVLSKGEALYDELKRWRDTHAVGTGNNWNFSAEERYNRAFCDKQVQSVVEACRYDNL QLQAFGIHYATDFRYADAPGGYANTSKDLARMEDVHFDFSRFTRDFEITNVHFDYVIK VFHDRTSEAHERLISVRRINGDAVAEDYSYHLHSNFDPAPRYELRGFEQPRFLNTEGE EYRVHRNQIPYELREEI CC84DRAFT_1147970 MGRTRPRFDTRASSTLHYQTFPIRAPSTRGRPLGGSQAPLPGNQ SHGSDSDDSHHGESPLPTRQLAVLAVIALAEQTALNSISPYLPQMAKSFPEVQDGQAG LYVGLIASSFALAQFATNFLWGFLSDRIGRKPVIITGTFLTLLCFVAFGFCRTLWQAI LVQVCMGLVNGNAGVVSTCLGEITDRSNQSRAFTYLPVVYGLGAITGPIVGGVLVMER HPFHKDRPNPYPYLLPNLFAAAVLAVDLVICMFLLEESLEEAKHLPPLGKRVGNLFSW LWQFTSSTRPTYIKRFIQKRKNRHHNSHLDGLQEEDDEDEEDVSDVESTDSPPALFPE SSGDVLTKKEVLNRDTVLLLVTYFIFQLANISYNSLYPIFAEEEEPTGRGLRVEGVGL SLAFAGVITILFQIGVYGKLRERIGNKVTYRVSLAAFVIAFILMPWVGYKDSNPYWGL GTGTGWLWAELGVILVIKTVASVGGLTSALLLITNSAPNHNVLGTLNGLAQTLSAAGR AAGPFISGSLFTAATNIQPKGEALAFGIFAGVAFIGFLLSFGIRGSGLEADGWSSDDE DEDEEEDIGDEEEHANERTGLIRK CC84DRAFT_1147973 MGWSFHGHKRDVDKERHLKRLHKTVPWIENPLAGADHEHLVFSQ RHEANTVELFFDLFFVANLATFTTYHSITDGDYLVAYIGFFGILWSSWFQVTLHDVRF ARDSLYERVCKTIQFIVFVGLALVGSSFNPGMESTGGKKASNTNFRILCYTLVISRGL LAIQHAVVLFYLVRAKFSKLFLPMALMISLYLIFAGAFAAMTPAFKEGDESHRSVYVV WYVIMVVEAAAMITISSVWRMLSFKKTHLMERMSLLTLIVIGEGAIGATKTVSRLMGK YGLDVEGCFLIMCIIAVLVLIWALYFDNFPHGHYGTIRQQVWSVLHFPFQLAIVGVVE GSQQVALARYVIKNWSKIDKAIDKYCLAENLDGSKLRDKLFELLDYWYFTSKTETQEF QYITEETIWQIGNTTNICSAANATEYINTGTIPTLFYNMSIDMFNGVYVGLGMKLPAD KLEKYTAAEIALKSWRLVYLYYWVSFCILMACSIAFLILIRRHRHDLFDFVSIGSRVM SLIIGAILCVMISNEVALYQFLGSPAVLPVCLCLIFLVLCFDKLSAVFCNWHLLKSGQ PYAKEYEEHGHHEHGHGDAHEHAAHASGHAHHDSTILDHRKSAAWSIHPESEDTLPLT KHDAHSSTEYYGTDHGGYPMEPLVSPPLLSPPPATGHGSATGGYMPVSNSQNYGA CC84DRAFT_1260227 MLHHLAFALVLAPCAFAAPVSNVAAATIGKIRGVADPIYHLYLQ ANPKNASIPVLGPESSAQTFTIGSTIQSQNTSAYLNIQTVSTSYKPLVWGKSAETTAW GLEGDTIITATGSSYGRQLNFLACKSTESGYYDLYLQTGSDVPSGKTCSNYQTIHLPC LC CC84DRAFT_1165302 MPSGPIPFTLVTGSFTPPRVYDKCEILPNEKGYETSLITLPSVN DGSRSPPATTNDDSNCIHSTLLSILDGPSLSNVILAVHSYAGLQGTSAVQGLNKASRA EAGKTTAVPASCTLWRMSPKSANPSVAS CC84DRAFT_1165305 MAGPATPFDSRTFEKDVTIDINEDYTAASISPSGRDVVLAGTKG LLVIDLDSPYSPPRVIRYRISFEVADVQWSPFASRAEWIASTNNNKAVIFNLNQKSAS DQAPVQFILDAHQGTITDINFSAHHPDVLATCALDTKIFAWDLRSPAVPGGTFSSQLR LPSHSFADWQGGATQVKWNRQNEHILASSHDRYVRIWDTRHGAQPITTIQAHFNKVYG IDWHRTESTQILTCSLDKTVKQWDGAGIVESIDQPSRTIYTEYPLLRARHTPFPNGIV ALPQLGSASLSLYQQDTSLPSPKSPQHVFANNDCEGGRLHEFLWRSRGSCDEGFENRD FQLVTWATDHKLRLYSVSAKTLRKAVGFEKGRPVPVPPASTRKGAKYITFANGPVLGK QDDQANKSQEGVPRSGTLSTLLKNNALPRAGLSSLFQGQTRATMTARTVRRNTQQRIV SSVTWMHNVNIEKRKPDGDGKTPDAAEEFDLAAEVKAVGQKYPNVSFEAFDPDQRRIV VAFKAPWGEMDTSATEGQEIVRKTVFLRLTIIFPDMYPGTADLLNEDRYLYPLDITVE KTTAAITPAMINHLKDVFDQIANTYALKSQPALGAILSYALGDADLQEIIDTDSEEQE KGNMHESSSEEEEDDDSDPGEFNKDLMLSSHSNTNIPLPAQTLVRWSSTGMLLSVRFP RPPVTRISQSMISSASALGLTDPIRLPRHLMTNPSKDDIFETFGRITATHGPESPGSS RVSWESSSSPSTSSGSESDIVFRDLLPPLPWQKISSRLNTKTSVPSSVDPISAYRTKA IVTIRNDSVAEFIPSKKVLAEEYLMFGEGPRVCLHNSEVARKHGFEDLADIWLFCRLI LTNEVPLEILPQQHRRDQVLVLARRALVRIKRKDSGLDLQFDEADNVTNPKLRGRVKW GHHPVVTWLIPALFDHFERLADTQMLAMLSCVFSEPAAREGVPSAMAKMRQSHLPMSM EAPAFSLDYFSSPDAAWSLFKPTISMPSTPAHSKFATPVYEFGWHRLSKNLDTYGSHG SSNGPWGSDILASEPVTPYSTGNTPPNISRAPTLRSVTTANTPYSTSPEQTHTVGKKI SSAGFSNALANLGKSFISASPPIKSRIEDLSTSLPASGVTWGTTTFYSSGSQERGLAA PRAKQGKRASFGQADRVNVDYISDSDSDYDTLGPEVTFEQTASVPSGTNDDGNSTIKV TLKHQDQFDDEACVSAPLLDMSKEGLYRAWREQYAELLGCWGLISKRAEVLKFNGLVS YFPFDGVSESKAGSMHLALKRDGDRDESGITSRTLSRSSTLAPGLNVPQQFRRSPLSS PRGFSFNPEAIEFKPGTSILSGNGVPAPPPDVFMASEQYLRLSIPTPTLEAQDPFNLP LGDSKLSPYAHKSARPSISRGTSNISGRSSLNIPTSNANSPSKKGQSDPIYSCSICWI RVSGRFYLCPACGHVAHFDCMDNDEGFDEGECTVGCGCGCGFETSREQTRIDEFLDGV RNWGERGGWLPEIEFDEDASTRAYRPYEASYEDEMKNWKQEEKGRKKETGKGEKGKGK RKARLTGKSYF CC84DRAFT_810010 MAALPKRIIKETERLSKEPVPGISAVPHDDNLRYFDVTIDGPAQ SPYEGGVFKLELFLPDDYPMTPPKVRFLTKIYHPNIDRLGRICLDVLKSNWSPALQIR TILLSIQALLGAPNPDDPLANDVAQQWKEDQNQAIATAREWTEKFAKA CC84DRAFT_810042 MATPSTPRSVLHTGVGGTAENSGFEVHRGRTLEPAGRYWTCRHG SSSKVTYSQMSRKRVRRYVPRYVLDLSCSTFQDSRSIFATGACVAYVADISVPVLNSR ICALPSTHTSSSSSGRTRGTSENVDATGNISSGDSRRTRNILPSTRRACCEGMPAWES VP CC84DRAFT_1093419 MSTSYLSIYDLLRIAYTYEAQALAAEWRKDGFIESIASCPTPEI CKNAESSPCYEALETDFTQKYARRKRDSCVPMS CC84DRAFT_810049 MAENPRGGGLWLCGLLGRRRCLGRDDRQGRRVSIVGARQQQEGE THPRKGLFIGESELSLQRSDRCAHRQTRQKKPAMIGGKTGLVVRSHNALHASGDDSVR QRDIGCILDGRNTAPRQHLERLFKCTARKSRVLTHSAEWSWSSSQGSTQMLLRTSKWR CC84DRAFT_1054297 FTFVRDIGYGTEGTVSRWENRTAGQVVALKVPRTPDRSKDLLAE IVALKKVPPHENITNFLTYILQHEPVGPALVFELAQWGDVSKFRRTFLRYVDKVPEMT LWKFLVDMSLALDWLHNQMGEGHIHGDLKPENILVFSPPGWKGAHDKLPTLPTFKISD IARMKPASANIKYHGTYEFGPPLKERERKQTPAADVWAIGASLQNFALGVMPVKNKDE YIKEKEEEGGYVPTLEDLRTEKWRKIIPHQYRPINMSEDYQRYNMNIEPTAPYSFDLQ RWYERLYAEDISKRASSKWLVKKYVPYAEVKI CC84DRAFT_1206489 MASQKKVAENMLWGGRFTQGLDPVMEQYNASLPYDRLFYAQDIA GSIAFARANMNNGILTQDEFAAIEKGFAQIKEEWASNSFEVKANDEDIHTANERRLSE IIGKDIGGKLHTGRSRNEQVATDMRLWLREELRTLESYLAQLIKTSVQRAEAEIDVLM PGYTHLQKAQPVRWSHWVLSHATAFSQELERLREVIKRVNRSPLGCGALAGNPFNIDR HAMAKELGFEALLPNSLNAVGDRDFVFETLQWGSSFMLKMSRWAEDLIIYSSLEFGFV RLADAYSTGSSLMPQKKNADSLELIRGKSGRAFGHMAGLYVTIKGLPTTYNKDLQESV EPLIDHIKTVGDSMQIATGVLSTLTINPEKMMAALAPEMLATEFADYLVRKGVPFREG HHISGRVVALAETENVPMDKLSLEQLKGIDSRLGDDVVECLDYERAVELKNATGGTSK SAVREQIEMLKKQL CC84DRAFT_1092819 MGAVVSCIQSIFRTIGNVIMAIVRAIGAMCTAIINGIVALFDII ISCLTCGRGGSRRRGAKTRTHHTSRV CC84DRAFT_810262 MPMLMPRINTRHTCTPSYQAARCHFSEAWNASTNAEDDGKSEKR TAFSRLPILTAHGDATGRGGKQGMGEFEFAVPWGRCLAALPRAKCRRRGGRQGCRGLV TTSLLDVVVWWLSCSCLLLNQRVAGYMAEAVRSGERALRSLALPLQIQRCGTAWELVW WWWKPIRTISASQAA CC84DRAFT_1147995 MALQQSLFAFLLMALAFLSPVVSAIQEGYVVGTIGGQEYLVKDD RRPSLYTQDFGDCMGGSSINVTRFDAAYYKDNMTILFHLGGETDLKNETIMMYIGVFA YGESRFDLTFNPCTANIKSACPILAGTPIDASGIIPISQNDVAGIPSIALSIPDFEGQ AILRIFSNATRSQIGCYSGVVTNGSSFSQPAWVSSILGAFTIIAIIASFATAIYGENF PEMRKHYAHSFSVLVVFAVWQHVFFSGALSMNWPSVLVAFWSNYAWAGGMIYAEVMQN TINDFIGSNKGNTSHVGAAGTGVANPSLGGGFDVQKIYSRRVPSRIPSVLGSDEPFVD RFRQLITKREALERLMSKRDSAGNSSASSWYGHPVKPGLPLPGNFSGFSGTLAQENIP ASNAFMTGFLWFLVLVAGVFASVVTFKVLLEGLSSMKMMRRNRLVYFRRHWLGYTVLT ILRTLFIGFFMLMFLTLFQFSYLGSTGPVAIAAVVFLIMLLGLGGLVGYACFHIIKFG YYASEPDQLNVEKRRLLKVIPWYRLERNSNFPRSEDKTYAGSIRWWRVSPASEEKSVH DDDEYTKRFGWLAARFRRTRWWFFVVWLVYEFARACFLAGASGSPMVQVFGLLAVEFI AFVGLIILRPFEGQRLNIIAVYFLGFSKVVTVALSAAFDVRFGLPRITATAVGIVIIV VQGLLTIAVLICIILGAISSYMSVTRNRDTFHPRRLVPLRGRYLDHLDLKAKDIPRPP KDKPEPVTTPIVVEPEIPKEPYFTVSSVRRMAKVEDEDEEFMNEISGEASQLTLAKTS RDSLSGTPVGHRRSPSIRSNMSYSSLPYGARVHRASWSAQDFSEHPGPKRPRTLSNPL YGPEESRCMSGPPPLVTRISSGETVSRQLSPLSSDVSRSVTPVESPTLGDGTVNSIPR PKSTPRGRMSDGSRLQLQTLISESHIPTLAGSLNAANVTEASRRGGKKTVESGGGN CC84DRAFT_1093913 MIRPRFRLNAISTLQRTATQRCSVRFASSESTAAPSSTAPSKPK PRRKRLLYAWYGLVLFGGVSAGLTIRNFVSPALPVPGSREDKVLLDALSSDAEQLDVV KFMRSQIAPVEESSDGSERKGWVELDIKTHITESKNDEGEQTRTITSQTLAGSKGLGV QRAFWNADTKELVAAVWIGSAMSGWPMMAHGGGIATIFEDCMSRMVAGPDASIDSIAR PTSMSITYAKPTFSTNFYILRANYSRPNLPQAAPPVDPEPQPAKSWLPSWKDLTKKEQ PIEAKKTVEIIGTLESVDGELCVRVKGTFPVSG CC84DRAFT_1121817 MSAADILKLAPFDASNARTYDALCHCGAVQYKVTLSPPLEQQKI TECNCSICSRNGYLLVYPQREHVRITSGQEALKTYTFGPKRNLHQFCGRCGSAVFFDP QLPKQGQDVDIMGINIRMFKGIQAKDYRTIQVDGWNGWPFIDSDYLK CC84DRAFT_1177089 MPPHHQKVNPPSSNARTLSVPVNKASSDTEQTTTSAQITHQSRM DNRNGASSKSPHSATEISHKPVARGYDKYGRYWYDRKILREEGLCFKCGEPYHRASDM NALGRIAVARTIARSPPLLTFQYGDAGTGGDDENESEYNDETRYHNEREREGESEDDG SESSEGSPRQEAFTSMPTPPPSQTSTDRPSGNRARSESPGKEKAAVHRWVERFESPR CC84DRAFT_1247466 MATSEALRAPHDKANPDAAFSISLVIRFPHSRSQKCSHAAQDSD VRLRLGDYAASMVKRAARPKPGNGTSHTRSRSRPRNHERVPGPSAATQSVSRAKSIAQ WSGTWSAAEADRRHLSRPGQSTACPTAHEPGNHLPIACLRVYCRVVNR CC84DRAFT_1177091 MRLLLTQGRGTATRTREEAFCFSMYQGWATGQPASVGTGASEPS TDVVWPDASRAPQRDKALRTYKDSNLPTRRPGGGGAGGADWAGRALHEHVGVAEGQQM QSRSGSRTSAMLALSASGSVGGLALAEYAAGGHMMERPTHAWAGEASDDKQSWSIDGR RTRTGLASPVDCGDPGGPACGTTTSSARPGYLSSGPVSHAAGTRSSGSGVFLACHSIV CGTCRTQRGRCNKRGASSALAFERPGRSSGRALRQHISRSPRGGSCSYFRALCRRPGC EGIECEGTGRVRPCGGDRGGSHVWSSTSTRRPLAVVDSWRCPEPGATAPRLLVCSSAL VASLATVGVCCAAGACQGQGLADAAMSAVCREQPATNGDHQQCASCAPGTDAPSPRRW AWQPSHESRERRAVGTSGCPSQDDVCMRLSFRVRPGRRSTAVQRRAPGASERRAISRA RESGTGSYSCCGRRRLRPATRRRASASLQPAEASGLEARLALLQMMRACGEQQRPSLR PRIGSPLADSRARQTDCARPRSTSRSRAPGLPRTNPEHLAVVLLCVVTAPGRRLDLSP RAGPLHARRGSSLQTRLLSRLSGACATMVRCDRSASARGAGARSSQARCRPLGLLSGC PGPTQRVLPIRLPAPVAPRLHDLILTRIALVFWTAPKPPHPPLVLFAIRSS CC84DRAFT_810460 MHPGPAQMGVPPSFSSRRGHAAHLGNFELPPPPAHKFSSFNTIN ASQSSQAPTTIASVGNLLTPPNNIPGEVLSPSSGVSTSSAQPATMSSYNQSGGYMYSP ASQPSSHYGYGGAPQNQYNQGRGGLNPPPYEMNQQLPPFTPSSVPSNMPPMSAQHQPH HMMSSQTPVSSSAPQQSPAHAQEPFRPPPTPTYAYPPATTPQQATFPYSTGPSPTHQS PISGGGPMPRMSPAVSHGPIPPMPSNSAPSPHPYQRSYGNYVPAPSPVYTNVNNPNGQ MALVGGVHPGMMQGFNSGHAAAMPHFLGPHQHQQPPANDRPFKCDQCPQSFNRNHDLK RHKRIHLAVKPFPCTHCDKSFSRKDALKRHILVKGCGKAANGGGDDAKRESHSPDVKA ESVDTKTIIHSRA CC84DRAFT_810534 MLVRYFSGIVRSIWLQRQETLMRDCRKHTDAECPGTSITSNAGR RWCCGHCDRSGMVDCNSVRGLLTLNAGARSAQPKEVAPAQDLVTRSAPTRLPQNNLNA ASYTSGSSCMSDHEPGSLSACSSVQFRHSLHCRRRSGWQNVDSLRGNSSCACGLISCT VP CC84DRAFT_1247476 MDHSFHGRPPTRRRTALGDATLRANEDHRLRSPRSTGDKLNSLH VPTSSPNALPHNESLVPNGTLTVRHEAHTSPSPQHKRLSAVMDECRPRNTKRDSEISN ASTTASTGGRRKTHIGPWQLGRTVGKGGCSRVRVVRHSGTGIYGAAKIISKATAEKVR ALSMANLCDSAQYDPTLFTDGKVIPLGLEREICIMKLLDHPYIVKLFDIWENRNELYL IMEYVEGGELFGYIGKNGGLEESDVVHIFRQIIAALWYCHRLNIFHRDLKPENILLDR ETMTIKLVDFGMAALQPHGKKLTTPCGSPHYAAPEVIESRSYDGGKADVWSCGVILYV LLTGMPPFNYDGHDGEHENLKPLFQAITRADYVMPDYLSWEAKDLIRSILVPNPKRRI SIEKIWEHPFMRKYDRKLGFHRTKEHANSWIRPHAPVEWKPLTRPTIDREILRYMRTL WHSEKEETIIRRLLNDDATNHEKYFYAALQKYRNEQIENYMPSPHHAVGYSHSDHHHH ARRSPTAKGMLALPSKNHKRSQSGYSILNDEHLYSQHSFYEPPPTEVSYDPFRASREP VLPNQDLHQNITVHRGSSSTSRRMRPTTALGHRTGSSLRVQALTNSKRGSVLTRTSSK RSTPSHRSAHVRRSSVSRSSLASSYLPSSPPVFVRPKTSVRRGVSFSHLRRSSVATTH TEETPSIQCTPKQGESSSSRRGSVGSSLRSGRPSTVGNSPSVRPLPKVAARPVVPRLR VRKADSPSKYIQSAARKVSTELEKHMDEAFNRSSIGSSVRTSTTSDPRKDASGFDTPP TTFSNRDSSATGSETPEHKAMYQHRPLPAIPNETPNTFLQRKLAETRAEIARRLEESG DSTEHFNEVIENLDRLMMPAVHVAKRTCSAPAKSPEHPVPLHVIPEEAKEDRFEPYVS NYRAFTEPFGASGHGRLADDSTIRVVEQSPTHIAPLSIRKKSEAGRSTKSENSPLGLL WPGPIRHTSAPSRPQAEAGALTVRTNQFAQSHGAPEQAETKEATIKKKKSSWFRRTPE ERERPQEAQPKAVSDRLQIPEAWQGLDDRIKDDPPKASTPAPDLSKQSTKHSDGSANN EFPMRGCGTTVGKSEGSGALKGFFGLFAKKSKEGKRPLELGEYNFSTSSILSNFDTDN TGEAQTRPGPPDFQMNWLSRFLHIKPASRALCFHARRGKVRQELVYLLRDWQRYGIRD VTCDRNTNVIHARVDKNNRLNLKPVAFVIELFVVLEDNKRANLCLGRFTQTRGAASSF RRAVEVIEDICREKHILIEDEQKKAAMCEILN CC84DRAFT_1218367 MRTYDDSFSGEKIYPGKGKLYVRGDSKIFRFQNGKTESLFLQRK NPRRIAWTVLFRRQHKKGISEEVAKKRTRRTVKHQRAIVGASLDVIKERRSQRPEARS AARAAAIKEGKEKRAAAESKKKAEKAKSAAAGARGNVNKVSKQGAKGAAPKVQARTR CC84DRAFT_1177095 MPDSQQLQHRKDGGPSTIDQWNKSIAIPGPIRGLNTPTTKNAVQ KAKTNSSAPTAAMRSSSSPEILLNGSDDVRRSLNRPTFEDLREAAPTAHVVLSAAQTV TTSTLNFALHHPNFMKRYAFPFALNVFSRPLISLFPLGPLGPILAFVAVACGRFRLRQ ELMGGGYAALHAVGMAGVKGQALSLLGLVGLEATGSKRLRDTFNALMESNVGLRTQVI GLAIATVSTSTGALGSLGSMLGARAMSLLFKELRSFATFYGLKWAATNLQTLWRMFCT SSAVVKSSFLSYVLQRKEDGSAPEGKARVDDDAKRMRWVRSTLEQIATRIPQFPVEAV TPKLAAVLKAWDLEKESGETSGEAIDEWIEIDADDESSIWGDVEQKKRKDKVAKTPNT AAFASAGRLSVEDFSHRRSRAENAGFLEQVAREAFVKSGMSEDITARLAKMGFGAGQP WSMEVEEVDSDVDEYNDGIEVVDLGHT CC84DRAFT_1260242 MGDSSNPKPATQYEPDPTAFVQFQREIYASLRKPAFSTKPSQWE AQARKILPEPNFMYIYGSASSESTYASNVSAFERYRLRPWMLVQATHRDLSVELFGKL YKSPIFAAPVGVQEIAHHDAEEATARACASVSVPMILSTAATRSIEQVAKANGDGDRW FQLYWPVPQAEEVTASLLNRAKSNGFKVLVVTLDTFLLGWRPRDLDESYLPFAWGQGC QNAFTDPAFQRMYERLQAADTRSATEKIGEIWSILKRPGSLTGAAKILANAAIMKKAQ LFMSLTASGAYRDWNDLSSLRKHWDGPIVLKGIQTLEDAHRAIEYGMDGIIVSNHGGR QLDGAIASLDALAEIGGDEKVKSSNLTILFDSGIRTGSDVLKAIALGAKAVLIGRPYI YGLAMGGEVGVKHVLSCLLADTDNSLANLGKTSLSQISRNDLRVIQSLAKL CC84DRAFT_1196941 MDFTASNILLLSLVEAAVSVYFFPQVFPRNALASFYLIFVIVQG TAWCFQKLIIYPFFLSPLRHLPHPPKGFYPLIGHGLILFKRPPGQAFLNILKETENDG LIHFRGVFHADRLLLASPAALADVLVHRSYDFEKPPWARNFLRKFLGDGLLMTEGDEH RHQRKHIMPAFSFRHIKELYPVFWSKSIELCQVVSRELGEKPDKVLDIGHFSTMVTLD IIGLAGLGRDIGSLRNNDDVLIENYEEILEPSTEKAVYFVLHLLFPPWVMAALPWKLN ERVKITTGNLKRICGEFVANKKSRMKMESEEGKDILSIMLRSNNFSDNGLVDQLLTFL AAGHETTSSALTWATHLLSTHPEIQTRLRTEIQENIPDRKALLDPNFDIAALLESLPY LNAVCNEVLRLFPTIPVSARMASRDTTVCGHFIPKGTLLQVVPWATNRDPALWGPDSE KFIPERWIDESGRTTMNGGADSNYSFLTFLHGPRSCIGERFARAEMRALVAAFVGEFK MQMANPEEKVRPGGTITSKPIDGMRLKLEPVTWGE CC84DRAFT_1218371 MSTSFERPSAASSRQNSTSSNSSTLSKTWKKIKEHHDGMNDAFA TYYGGGRRSQEAWNTASPRGSVTYVEGTEHEPLVEKKSLAKKMLGRVKEHHRSVNAAY RAYYG CC84DRAFT_810715 MKLSTTLLILLDVLAVSAVSVQAPDANEAPALHARSELHKRGCA WRQYGCEKGYCWQKCEQSSPGGWCWLAFNGGNGDWVTCSKDSDCVDRHDNNPSCGNPT RPSGGCSC CC84DRAFT_1196943 MEDDRAQTNLGPAAEDGVPEEVRAVPKQPRRRFVGRKSAAEAGS ADPNANIEDSSAIQVAQPRRTARALNSIPDSILNDADINAAIALLPPNYNFEIHKCIH RIRSSGARSIALQFPEGLLLFATTISDILTQFCPGTTTLIMGDVTYGACCIDDFTARA LGCDLLIHYAHSCLIPVAVTKIATLYIFVAIGIDTQHLVNTITQNFPTGKTIALVGTI QFNATIHGIVSVLKREGFTAIVPQIAPLSKGEILGCTSPSMAIQHGQLDAKGREEPVP DLLLYLGDGRFHLESAMIANPSIPAYRYDPYSRRLTHETYQHTSLYSLRRTAILTARK ATTWGIILGSLGRQGNPHTLTLIENELKRQGLKSINLLLSEIFPGKLAMMDDVDAWVQ IACPRLSIDWGYAFPRPLLSPYEALVALGVREAPWLDEEVGESEVRRNLYPMDFYARE GLARTTEGHVRAAMEVEIKG CC84DRAFT_1260246 MLLQHSRRRVSRLLLVNRLSARFRSTTPEDFKELRENEIETDNC DLGESHTEQNQNTVADEAPFPLNSLPADLLVLVIEQLVVAYLSGPESDPTEPPSTPRA RILYPKKCCWNLHTILSLRLVNRTWAKEILLSLHNNIILPNLSMSLNARLLPCTSPSI PHRRTLFASALYNSTLSISNRRIGDTLPKRIISCANHALSLSPPPPFPKKEILKRLCT AASQKTTYGRVRLLAPIAQFPKSNMRCYPGLTNARARNYLPQLNGVVPRDGTPDDELV ATYICTLIILDMEDHAIELLDAAAVLYPSDTFGCADRVARNWGRKKVLDWFKREDDAE KVTVGGNYMRDAEWLKLEARSHREFSDNLLSDEVHVQREIPHWAERQVLPVEWA CC84DRAFT_1177102 MSRIPRPTTESTQDSSSRFGSLRGSMRKGFQSLRETLKKKDPAA PSTDRRKISAPIMKQVEVESGVNTVSVPAPLELPSPPAPPRQPVRSIRWEQGVHVPSC VSMRPGPAPRELLALPLSMRKFKQEGKGYEPNGTDADLYPRPLSVASHLQILPPKREE RVPKDLGPQPLPLAAAPPRLPIRNPERNGIIIPPSRDMVADQARVPEPLHKFKLRKPD LAVRDSFARELPSPPPSEPRSSPSVEGEEQVEAATGIVSTLDAAQQPGQEYHVTEGST AAVSEVVVEKASPSSDAKGAYSPDAFTDRIQSITERMDSIKVKIAEGVENDEHDPVLE ARGSRILEQVTSLKEEVANARHSKYILSKEEIASIESECQPRAPELVDVMMTAQEKVD KLVEEARRLAALVEAEEEKKRVQEEERQEDEGKSKPPPDAPTAPKAMLVRRAERAAQG QQQSHSWGRNGPPSSVQRQTRSGGNRSGNGRPNQRMPYNSQSMPRGGYRNAAPNPSRH RIPQSRPGGNLESEPQGHFRNGSRSGPPGQPATPYETWTMPRRGWPQNHGYNWPQRTP PMNPIWHSSSGSGAAPYTPGPPGYFPGYSPYGPFGSIGGYAP CC84DRAFT_1177103 MADNKNYDDEPHERTTMQQKKAINAKKQAKRESGEQTARSRSSS RRARRQRIQKGMEDGKYLKTTSLEALEEADANGELNQMGMFERIGPDSTSMDGPVTYA RAMRGEIPMRGTDPNQPYRMEPQEKKSSLDDTDGLKLKLDANLEIEIELKASIRGDLT LSL CC84DRAFT_1165325 MADTVEETKNSVSGEGQANANASLGGSGQAESTSKKTEKKAPKK LGNKKQQQQQPTPEATPAPDSEAEGEAEQEDAGRKQTSNNADADDSEPEPQQEKSKSQ SRRRQSRGRGRRGGDSDSESVARSDVSASGGRRNRQRQKKQQGGKGGGPLDSIGENVP GGELVGGATDMVQNTAGNAVNQVGNTAGKALGGLTGGGGEEEGDSKGEQLRLRLELNL DIEIQLKAKIHGDLTLGLL CC84DRAFT_1092825 MSTPEAQEAVISERIVKHMNADHADSVRRYLKAFKQKSISEVRD ARLTGVTLNDMKFDCGKQQVIIPLDPPMKAMREARERLIQLDKEALDILGLTDIPITK YTPPYAPGLHWIHLFNFTQCLIVLTTFSRRANFKSGSLIHDNILSHVPGFGDFLFTIQ PYLFYIMVGIHATETVFMARKLNRHGLTPSEPVWWAWMTSCFVEGKTCWMRLDAHIDE KLKEREAKKH CC84DRAFT_811029 MSVMALRFSTKRFATTLRASRANCGPARYASTAAALKGSSLPQD VKDSIEREASLPTPDPPSTSKTAGLVNQQLPYMVPTYVRPPPMFEKGEGCYLYDVENR KYLDFTSGIAVNALGHCDPGVTQAISEQAQLLMHTSNLYHNPLTGLLSKQLIQLTHAT GGFATATKTFICNSGSEANEAAIKFARKVGKEVAPGKDKYDIVSFTGSFHGRTMGSLS ATPNPKYQKPFAPMVPGFRYGTFNDVDAVNDLVTEGTCGVIVEPIQGEGGVNVATPEF LLALRKRCTEVGAVLIYDEIQCGLGRTGTFWAHASYPKEAHPDIVTTAKALGNGFPIG ATIVNDFVCDHIKIGDHGTTFGGNPLGCRVASHILSRLSSPEILDSIPAKSAAFKKHF ALLQERFPDRVKEIRGQGLILGLQLDEDPTPIVTAARERGLLIITCGTNTLRFVPPLV ITEAEIDAGMTIVAEAMESVWTKGEQVPGTPGQQEMR CC84DRAFT_1206506 MSISTEVPSGSIPPNDRCLGPLYDLDHWTDPNPPIFSCANAWNA ENATRGLKDSDFQTFCCDGEILGSLNWTRENFELQDLECCRYGAELSTGPLPDSPDDG GDGGTFCAERYGFSRTPLASLAATGTAEAQPFPVTYNKMGASRLSSDAYMVWSGSTLS ERVVTETPYCLWVDTVHGVQMTSVEVPKADVTTLPRTGSAEASARPSSGSSLGSGSEV QRTGPSTAEGVPSNGAESGTSTGGAGSEPTGASNAPSVPAVMCLGLMFVSWVLLM CC84DRAFT_811063 MAATTAAAHQQPVHGPALTFPRPIFAAVAPHPFLQAHLSASQPS KAPLRANSRTAHEFRRVGINTGSLTHCNGSAVVRLGNTSAVCGVRAEILHEEHVQGTA DFAPSTGNEAEEDLEREREREEIESLRVLVPNVELSTGSTPQHIPGNAPSSFAQTLIT RVRSLLLSTSLVRLSDLRILYTPPSNPDDPDAEPEPQLKGYWVLYIDIFYISIDGNAF DAAWIAILAALKNSVIPHAFWDEDLETILCSDDPSEAHPLTLRGLPVPSTFAVFEGKR DWNESGEDEEADWVLSDPDAFEESVCRESVCVVVDCTGGNKGTKDGILKRLEKSGGGV VDRDVMKGLVKRAVDRWQEVETATNAKP CC84DRAFT_1247507 MDTKKKFELERALATENQAVSAGKLKEDNPLDTTETFRQFCEAC RRGDLKVCQEMIQAGVNVNARDRHDYTPLILASLCGHYEVIQLLLENGALCERDTFQG ERCLYNALNDRIRNLLLSYDYAKSSNPLQPLAAHITSLLTRPEPVTADLTIEAYDQSF HVHKFLLAARSPYFAKKLRAAPNTTTWTLPQNIPSRSLGVALQYLYFSEVSMRRAMHG LNDDEETHALKGIDKIGRQLEMDRLFDDITEVADRRLLRQKRAEELIRGRDQLETWFK EHVLDNKLEVETANVDNLKWDRDNSIYADILLRADDTEEDYESDETDASPGPSESSTP PACSILGLPVKSRSPSRSRKSRRSTIYPAHKAMLLRSEYFSTMLNSHFREAQQTPYLQ IVTLDCSPKVLETILTFLYTERSDFGLDVAIDVLFTADQLFIEKLKQRAALIISTLGN GNSSTVESENPRGETDAEEVIDIYEVIRAGWDTRVQRLEEFGARYIAYRLESYIDTPE FAEIVQESARRVKGRQETDTVELVDDIRYYLSDRFRLRFEDSGLEEMMEQRGDADAIA EAMQDLGVKDEGDENKNELSGKELEEQFAVGAIRTLDGEIAGDELAQDAMNYQILLAK IDQLLENLNLDA CC84DRAFT_1148035 MPEDDKYEVLEKIGHGSFGIIRKVKRKTDGYILCRKEISYSKMS QKEKEQLQAELSILKELVHPNIVRYYEREHLKASQDLHLYMEYCGNGDLGRVIQNLKN KNQFAEEEFVWSIFSQIVNALYRCHYGQDPPPAGQNVMGLGADAKPVRDRNGRPMILH RDLKPENIFLDHDNSVKLGDFGLSKILQSHDFASTYVGTPFYMSPEICKAEQYGPHSD IWALGCIIYEMCAKQPPFNARTHLDLIQKIRMGRYPPIPAVYSPELNKVIASCLQVSP TNRPDTAQLLNLPIVKLMRKEQEVVKISQELKDQETKLIQREKAFDTKVINMRKDIND QLRREWEVKAQLEIEKRVKYEVERRYQIEFRKLQDTFEAEVKAQVERALKKYPARPST SPKLAPRSNTPTQSTEPAVIFPANGDSISTSGTNSDWNSLTDISSLSIDDSIDDVLGT NGHGVTQDARVPVGNQLPKKRSRAPLTRARTMAGPVSQPPPSPMDVQMGEPSPAPASL AVSGLSLSPRRERHSSKNIFAAAKEAAKRWEGEVPPSPTDDDWNADLDDDDIPALPSP TRSRSGSSGRKSNEDPFKTLAGAQKPLPRPTARLATAPSLAPGGQKPRPHSTVPIVAT SPARARSKPELSSPARRAAAKQAETSGMLKKKTPANGARPLGRTLVELQQARGIPHAL SEDEGKRGMRTGIKSPMKARSAVTARELKPAVVWNPEECDDMPSPFIVKTKKLVM CC84DRAFT_811078 MRMSEQLHILLVACCVLSDYLFPMSFSQRHCIVLVFQWHFTAYL LDRHFVYGRTWKGVGGITLSISPIFHVEYQRRHAVQFPTPAVMRATMIQ CC84DRAFT_1260255 MSTESTQSQACCNTPAVVSKGYQQKGEYIEVQGMKTYATGPKDA KRGILIIYDIFGFFPQTIQGADILAHTDKDHPYQVFIPDFFDGKPADISWYPPDNDEK GAKLGEFFKTAAAPPKTLERIPKVIDELKKSKSVEDWGIVGFCWGGKIVNLSSFEGTL FKVAAAAHPAMVAGDDAKGIVIPYAMLPSMDEDKSEVEKWQKNIKTPNIVEWFPDQVH GWMAARGDLEQDKVKKEYERGYKLVLDFFHKHM CC84DRAFT_1165331 MSTDTILILGGAFAGMSAAHYALKHVIPQLPKKDGVAYNVTVVN PSKDFYWRIAGPRVAASKDLMPYNKLFYPIEPAFAYAKEKFTFVQGKATHVDSAGQTV SVETVSGEQKSIPYAALVIATGFSTPTPLFTQTTDRAALEAVYDAFHSQLKTAKTVVI GGAGPVGVETAGEIAEFLNGKPGFMASAPKNPKARVTVISAEKKMLPVLRESISKQAE KYLNRVGADVVYNTKVVSATKASDAEGAKTTVQLSDGKTIEADIYIDATGTRPNTSFL PKKWLDNRNRVSCHAKTLRVEAAGPLVYVVGDCGSYTRGGVMDQYDAIPVAMTNLRTD LTAHLSGQAPGPDRHYEANLKEQQLVPIGTSKGVGAANGMALPSLMVWGIKGRDYMVS MLVEGHMNGKPYEKDNKWKPQPVIAAGQVGTSRG CC84DRAFT_1196953 MLFNALTLLAALPLTSAHFLLTWPDKRGFDDDKATGGPCGGFDS PSSNRTEFPLSGGPIQLDMHHTQSKVAVYLALGNNPSADDFTITLVPTLSEEGPSNFC LGSVSIPSNLNISAGTNGTIQVVTNGDPSGGLYQCGDVTFVNTTLSESDYNSHCQNST GVRVTQENMSGQPNGTTSGGSSSSSPSSTPSSTPGAAAHATAGVGKGTPRRKVKKVHK SAGTDDKKLQGALKKLNVQPIQAIEEVNMFKTDGNVIHFSAPKVHASVPSNTFAIYGN GEDKELTELVPGILNQLGPDSLASLRKLAESYQSMQKEKGEDDKKEDDDDDDDIPDLV AGDNFESKAEVE CC84DRAFT_1206512 MVAHEGHDMSSMAGMDMDGGSSGSSSMGMSMFFFTSTTTPLYSE AWTPASAGAYAGTCIFLIILAILLRALFTAKTFLEYRALQSAMKRRYIVAADQQTATD KAFNDASSMTGILTTNGLQENVRIVEAPARHVQPFRFSVDLPRAAIMTLAAGVGYLLM LAVMTYNVGYFLSVLAGAFIGELALGRFNQSAMSM CC84DRAFT_1187877 MSMGGMAPLIEFPKYYWAVVGTAIGIATVVNIFNKILYRQRLAS ARAGAPRPAKPKSWLMVSIATTFALTREASNFSVRIPFKDRFLRLPTVGRVFLVLANI VVLLVLCFYGFDLNDRWSRENIGYRCGFVTIAQFPLIFLLAGKNNLIGYATGVSHERL NWLHRWCARCLLLTATIHMGYFFADWAPYNYIGTQLRENTLVWKGIVAWAVLVWMVFS SMSPIRGWSYEVFVLQHLVSFAVLIGFVYIHTPVEVHVYIWVPIALWWFDRLVRVLRV MYLNISWFHPRQRKSGETSGFWACKAEFMPLPHKTTRIVIRNPPVSWTPGQHVFLSCH SIIPLQSHPFTIASIPEDGMMEFLVKAESGGTKSFFKHAEKYHGLPTKSDRSRNKTVA IEGPYGTLRPLRQFDSVVLLAGSTGATFTVPLLRDIVQGWKENASTAKDAKSLFQPQT GAVTRHVRFIWVVKSRGQLGWFAEQLSSVYSDSQALQTDLRHIKLEITIYVTCDETFT EEHKNILSRTTASKEPQHGPVELRSRTPSLDEKGKSGDALDEITEVRATETKSCGPNG TCCCKTTVDETSLENRQTTCSCCGPSDQALKTRPTSNTSSNPSSTEGKPLVHPSISVF AGRPNPRDIIRRSLEQAWGESAVVVCGPQGLVGDVKQDVCSLSDERAVHKGTGAQGIY LHTESFAY CC84DRAFT_1165337 MKDVLEDWGVGGDAPSFRKFMDTYNDFHLVRVNSNDWLHKLGFV LPREYSSPNDLTIPIDKHANLETLMRDTSCRTHAGKPREQWLYLSNLAFPGFNTWDTA FNGVLEYVHAHPTLNESGFHFAMCGDTASFLCGVWSTQSPALLHFQVEDGPPNPEDLE EGLTYSAQWRHLRPVTVRLIEFPLKDEFTGLPISTFPSPKQQMLSMVAGDRLYEQIEP YDSWVQTGKRFSEYVEKSYKTPGTVLHYVDNVDEWMIEHVTKPLGVETGLVVISQFVF QVSILGSSLVCSTIRSISYLIDSFLGRPGLGDQALAELNVDQDPEEDFWANLKKDLNE VIAESKRRKAEEQSSSGNPDTSMTITTT CC84DRAFT_811301 MATLRGNALSLLLPFQVFRAVTNEPLITGPLLYILTRGPVHIRE RILEPFRKNLLAKNGASRITTTIAILKVYFIIGVLRRFNQVMNRIALNGWALRRQGEP FVFGPTKQELVVITGGSSGFGYEMVKAFSNLARVVVFDVNDLPPELETLPGVHFYRLD ISKFKKVEEACADVKRVHGDPTVLINNAGIANKKSILETTNEETDRLFQINIIAHFTL IREFVPAMLRMRKGHVVTIASMASFVAGEGLVDYSCTKTGALFLNDGEFPSGLPHNDC SQPSGLRRELQRIYVGGEAICTTSVHPSWYQTGILAGAEEALAKRGIKPRPPVEVSDA VVEQVLKARSGRLVVPAGAEMWTSIRTWPLWLQDMVSGLGRRNTLKQ CC84DRAFT_1187878 MLALTSATGKLGKAVIDAVLENKLVDPSELVVCTSSDPLDARFD ALRDQSITVRYNNFDQPESLERAFAGCSKLFLVSTPRISMDYNDAPLWQGREAHHRAA IDAAVKAGVKHIYYTSLAFGNPSKAGVMRAHIRTEKYLSDLADKGKVDYTIIREGLYN ESWPLYFGYYFRLRDEKRHQVVVAGDGPISWTSIADMAFGTAQIISAPSDTWKGKTLY LSQTETCSLEDIARKVSSAQGQDIHLKVVSKKEYEDHYAASGTERASVEWWSSTYEAL KNGECDIKDDTLKTMLREAGREPTSIDETIAKMLGGEGGQIVG CC84DRAFT_1148058 MGFLGVLEDKHLQHVPATVVLAEEENQRTEATAGLKHGTGKDQD IVLIPQPSEDPNDPLNWPTAKKLVIMAVISYGSILYAAVLAPLLSPALVTISLDFQVA VADITVISGYMLLVTAASGPFVSAFSRKWGKRPILIVSSVFGLIGTVIGSATHSYNGL LAARIVQGFSISAFESLVVSIIGDLFFVHQRGIWVTVIQFILGAASNFSAIIVGPITA NLGWRYLFHILIAFTALETVLLVFFVPETAYIRDRRYEIDELAVDNLSELAAVERRHA GGEKEKGSDNDIVRVETTTSMPPAFRPKKTFVQEMSVFNGTFSDDNLFQLLIAPFAVC TNLAILWIVIVTGGLTAFFVAQSYDMAQIFMAPPYLLSAAGVGYLSLGPFIGGLLGAI FLGVTLDPLIKWCAKKNNGIYEPEYRLLGMIPGLLTGVGLMVFGYMAEKHYSYYATAT FHGMDLFGICCAAISTSAYAIDAYRDMSSEIFIAGMVFKNFVFYGFSYFVNDWTATEG PAKVFYVFGGLSFAMVGTAPIFFFWGKRYRSFWHRHNLLEKWGVRTHAEL CC84DRAFT_1177119 MSTKDQEEKPYQQGWHPSVTANHATRTAENEAAFLLPRLKPNFH ILDMGCGPGTITTGLANHVPQGSITGVDLTPEIIAQAKQLAEQQNGGAPKNVEFSTGN VLEGLPFADETFDVVWMSQVLLHIPEPVKALKELRRVLKTGGFIADREGDFPFHWYPY LPGLQLKSKYQYEMVITRRKSSSAQPHLPPHGPDHRSGSMIHVWAREAGFDPLKIEKS ARVTMYSTPEERKVYAGHMIARMEQGGHRQKYEALGASKEDVDLMVRDLERWREDPDG VHHIVHCASNATIVYPAAGGTANCGLFLLAVPRRSPSHGAAMQSTSPPPQTPTIQRPD AFDESDSDSSQVTLQRRSPLPRRAVRSPQPQPGDATPNYSRPAPPRDRDEPAHPGPQL GHRPRQRSQGYFEPSLPSNQASMSNLDASRIAAQTAMQPQHMRKRSTTIPEPGASVPS ARGPSSPPPVPGQHTVTFRTNGMTYQNGLAGGGRMAAASAANAAYPRSPLHSPNLQQP PEHGSPKPAAADLAQQPKAKEKSKMKLFSKPKNIKTDKGLEQKHQALPSPGKIGIGIH SSQALNRMMMNPSQTSLVEPSMSSAASIYSTANGSTSTLVPRNDSYLPTVHEKDRDKH KHHFLSRPKKKEKDDHILPLSSASSTSRLVDPNAPQPLYSFAAPASPGHASSFANSVT GLDLRHGGRHLRRAKKEEKAYLDAQLEPAFRDRKQSFSTDRSEWPSAGSVGTTPMSTF GPSSSAALSQSSDAMHTLGSSFNLPGITPDDAWPLLKATLLLIFAGEDPRPPVEDFNA LVIVHIKRCIQKRSPIILIEDLNELLMTGFGSLDQTLRHVPDDRLIPHLVEMWIVVFT TILPFIQAVFLPLDLEFRGSGIMSSTAAAEFWGVKLPDEMNTKSPENKNIPILGELDV RRITLLMFRDIVILPRFDTLMAIFSRLSLENLNAGLESPSPIPDARPGTAGSEGAPLG SLQSASHSSGGYLESTNSMTSSTFASTRSRATSNTSAGSFSAHSIHSNPSTFLQPGQH IPASLRAQPMDSAKVTETVGRMLQCVSVLVSLQSGDDAQEKMSKLTRELKYNWLGRGR TGRQRHGFVGARRGGAGLNLAAGGVSIPGVGA CC84DRAFT_1165343 MTVLWTLLPHTATIVTTNTIIATIPTCVSPTTSTVYGSISLCGA PAATYPSPTTGQITCTQPADAPSESVNAFHHIEADDTQGTAFEGCIFAGPSDSTTLSR GTHQCGTSTGVSLSSRKDAAARLIGFTYAGTYSPLFQDYFITRIASTAQTDIQSWGVL NNSVFTTLGGCGAQA CC84DRAFT_1165344 MQFLPSSLLPLLLTTVVTAQTTTSCNPTEKTCSANTALSSSTYT HDFTTGLDSTNFNITAGNVTATSSGALFTITKSGDAPTIRSKWYIFFGRVSFILRASP GTGIVSSAILLSDDLDEIDWEFLGGEPDVAQTNYFGKGESTTTNREVDVAAAGSQTTS HNYTVVWKKDQTQWLVDGVVLRTLTADEATAGGNSYPQTPMDVRIGIWAGGDSGNSEG TIEWAGGETDYSQGPFEMVLEKVEVVNDNPGTSYTYGDTSGDADSIKVDGSGTTSSVS ASLGTKTSTASGSAGISTDVTTATATTTGSMWWTASAEALISKSQSGGSRISASYKWY GVALGTLLALL CC84DRAFT_1218393 MPSAKHRIAKAAKKKEQAALTPAKAARKDPMTADTSQGAITTTV ETLHEIVDPTNNNTPDESRPDDMEPCPYYIGEIGKKTFKSIGAVFKHWVDQPIKENSL YNAAHPENRFHADWLMYQEAFRKKDEVDAPPRMPYHLIGETAWHHKHPLHVEWLEYQE TFRTGRKKDKENVPANASELSVYVEPSASRAKNCSYWPLGKCRDRDGCRYYHDPAKAS ETPRPRAALPIGRWR CC84DRAFT_1055717 MPTIPLHPRALAPSSSAHASNPLPPLLRTPSGLALLELQGDIRF PPAAAQASTQVGKLVFPLHNPDLNGEDDTKWMKRVYLYVGKNQRMAGEVKKLGKPFAV IKKREAVESEDAVMGGAEAASTGEELEIVEIVKHKILFATRPEPVGG CC84DRAFT_1165346 MQTKPLYATGSALLGDYTTAGQFQVQDGQLVQLVSAPGEAVKLL YAQVSKTRSINNASLAVSFTAEKNTYGTFKFGGDDLQWSGPDVTRPNPSAWYVCTGQQ MYINLGNYAYQTPSGCADQTIHYYNDKTANN CC84DRAFT_1165347 MFSPTDSSAPLSILPQNIEIPSLSSVARNTTFNYFGLVSSPLIS RAAHFVFVEADATDEASLATALQAFLDASHADCPGTADEKSCCWFTIRLSKPHSGFDI PRWHQDGRMYAYDEGREAVARSKYALTLLGPPTLMLPVEDEVFAIMAQGEKECLWWQG KEEVESTEEEQDRAYEALRVWLAERLKDVKSVVVGKGQVVRFSWGREDSPVHSEPRMG TDRVFMTVMFGSERELRSMCEMRGAKFGEFEDV CC84DRAFT_1165348 MAEVAGLALSVVTLVGLFNNTVDCFKYVQLGRGLGKSFQTSQLK LDNARLRLS CC84DRAFT_1165349 MATTFERSTNFGLQVGGNSGRIEAHFHPAAERPETPPSPSCVLP FRRDPDFVNRGTLLDQIREKSTAPASRIALVGLGGVGKSQLAIEHCYRTAERSPETWV FWVHASNAARLEQGFRDIANRVKLAGRKDPQADVFKLAHDWLRDEKKGKWLLVLDNAD EAAVLSPVTQFLPPSRHGAVIVTSRTERATSCLVEIGDVIPVKSMRKADAHALLARKL GSEIEQDGMAELAAALEHMPLALVQAAAYIKKRAPRCSVRQYLDEFRRNDDTKTSLLD YEAGSLRRDREAKNSIIITWQISFDHVRDVRESAANLLSLMSFFDRQGIPEFLLHSYE ERHVGQRSVESSQESREREVSKRAFEDDIVMLQEFSFISLTKTADAFEMHSLVQLATR RWLGSQGQLEQWKQRYITNLCAEFPPGRYENWATCQALFPHATAVVEQRPRNKECLQK WALLLYNAAWFAWQRGSAGEAEIMCVLSMEVRKGLFGEEHIETLDSIAMVGLVKKMKG RWKEAEVRVMETSSRVLGDEHPSTLTSMNNLAFTLQGQGHYNKAIPLLEKCCRLQRQV LGPDHPNTRSSLKALQAWKVGEQTPSDY CC84DRAFT_1094691 MSAQQKKSSGAGKQNHIPDNEIKQNSAVSASMALQAMQKAYELR QAANAAGDPDAREEILAKAINKEIEAESFGKAAKYTRSGTFQGLAAGAGLGVQPGVTI GKLTGALVGGTISAVTGLLGGGIGSVYGAMHGPFWDLGQMASQGVRSIVGDFPNWKCT PAQKKALEKMVMQTKETQAPTQEELEKMQCDDGGAMPETWAQSARDM CC84DRAFT_817124 MSSNIRVFVQWKNSTVFAGEDIECTITFKNTALPEGRDKSPIRK ANGFAPGGERQRKLPPVHSSTRPSVSRNSSFTSLGGPAQHLRGHRPALSVQTASSVGD RNRSPQPYSAAFNNGSATPAHKHGHGKSLSIVSLGTDAATEGSHERPAPAARRPMRAH GRSASLQVMPGRPSPFPVTSPGHRSGSHYSPRSGGGSPPTIHEPFPSASFPPRPVRTH SGAATAPNTPALRSGPRKPSGSFSQNFKFPASPPTNDPSPERPVANDVETSARARSPL QRETSHDAPVEPLSKQPTENLSPIARILSGSSMNGTPRSSAEFYSQSNNSTETLASEY IVPQTARLLPRVFHQRRQSQLVPPNQRMQPEALMMGYAQVMGSFTLDGSLINQAPFEE VKRKGVVGGQGGGGVVGVERTKRESGLFGALGWSNIGESIGGLLGASEPSSIREMRGL ASSKTVPLITTPQSILFVDLQLAPGESRSYTYSFTLPRGLPPTHKGRSMKVVYHLTIG TQRPGSARDQQVKHVEIPFRVFGSVNSRGEILGHDLMSPYIILRDQAKTSTVDPDSRT GSPSKKTSTQTTQDTANFNDFLEYVDNLLDRPRQNSSMGLLSPTETIPGRSSLPDEPQ SMKEAIDLAIMRSNLTGAANQSANRFEIARSGRRVAVIMLARPAYRLGETVSAVIDFT NADIPCYSMHVSLETCEKVDPAIALRSNASIYRVTRKVHASFSENALFAQRLSFSPTI PPSATPEFITSGVSLEWKLRVEFITPRLVHEDGEQAYWDDLLEEVESGDRGVILAAAE RLPAESFEVMVPVRVYGAVSRAEVDEDGGEGLPV CC84DRAFT_1094777 MGADRQAGALAVTCVFPILAAIFVGARTFSRYLGQNFGWDDWLI HLSLLLLLGQTLTIYEYIIVSHTGYRTSEVPKQTLEQLVHARKWSFAVQMFYHPMMGA IRASIIMFLFRVKDQRWHIRWALHVVFWMNVGYFTSTTLVNIFQCDPIRYAYLQPLMD HTDADGNKVAGGKCINSLQFIMSSCALSIFMDLIIMPIPTAMVWNLQMKRKTKMAVVA VMSMGWIATIGSVARLIVYYYRYAPNTDRAYNIGVVSSVTEPSIAIIAACAPALRRLL TYMLPRYFSDGGTYPTYHYETNNATYANTRPRRSGSLTHAVDKNTDMDMVVREDGREE DEEMVYGLSELRGKESREPMRMQRTQSVRTGVSDGTGQPYSVNATQPFDVLDHRQ CC84DRAFT_1148087 MGRQQGNGSKPARLLALLATSAIATPIISPASWDVGSLFLSKTA PNNTSSRCKVYPGDQNWPSDEAWANLNKLVDNRLLDRPEPLASVCYNGPLYDAEECVK VTAHWNESYSHFDNAVEMMSPVAQGMTCLPPNIFDSSNCTRGGFPMYVINATKPEHVQ MGVNFARNTGVRLVVKNTGHDFLGKSGGKDALSIWTRYFKDIEYIEEYVDAKTGYSGP AFKAGVGVQAFEIYKAAHEKGHSVVGGEGETVGIFGGYIQGGGHSPLTSLYGTGADQV LSMEVVTADGDYVTANSTSNTELFWAMRGGGGSTFGIATSVTVKAHPDFPTTASRFSF TSEKVGNETFWAAIRDYVDYFIPNADAGTYAYWTLIPNVWTGIFSFGMSPFFAPNKTL EETQALLQPWLTRLEELGINVNPNITHFNSYYEAWRSSFPLETVGKINATTASRMFPR CNFETKEKRDELFQNLRQSSENNRVQVHFNIKAVDPANSDNAVNPAWRENILFAQQAV RWNPNGTAAETLKARQEFQRGDMQRWRDISPGAGSYLAEADRLEPNFGQAFWGDKYPR LLEIKAKLDPHDVFFATTSVGSERWKVESVDGLPNENGKLCRVEN CC84DRAFT_1260274 MMCQKLPRELRDLAYMHFWAQEDTMCEWLRVWYPSLLLGRTTHT PYDELCTGIRSLDVPVAAELVQWYYENRGADLGIGQSIERPLWFPGGIRTGSKWLLEA LDLQDLPKFMTTDVFGAGVTPADCKLRALTARIDLSDYIHVAQSIQIDRLLEPMRTQH KSEGFTLCVNVVGWWTDDFPFDLISGLGSKLGEVFEKLAEGNVTASARIMLVQYVPEG EGSKVNIIEGVGNMLGASEDVWEEFLKEKLGRTSRRH CC84DRAFT_1165356 MSSRFACLRTARLAARYPLRRRYATTASDFVRIVEVGPRDGLQN EKQSIPVATKIELINKLAQTGLKTIEAGSFVSPKWTPQMANSSQILEHILKTPPAASH PITYQWLLPNVKGLDNFLSIWQAKAGGNNEYPTPPPSPGGDAASGAGANIHEISIFTA ATETFTQKNTNCSIAESLQRFEPIMARAKEAGLNVRAYISVALGCPYEGPNVNPHKVA ELAVSLLEMGADEISVADTTGMGTAPKTAELLKTLSAAGIEKSDLALHFHDTYGQALV NSLVSLEHGIRTFDSAVAGLGGCPFSPGATGNVASEDLVHCFHSLGLKTGVDIEKLSE VGEWISYEIGRPNESRAGKATMAQARKRVA CC84DRAFT_1218404 MGIGHRADELIQIVGFFVSIAWIATALRLYVRVFLIRAFGWDDG WMLFAQLMHTMNATCAIGGALTGTGRLTKDLKPDGMMMALRFWWICYFSYCLCMIGAK VSVGLSLLRYTPTTQKMFRIITHAVIYTSVVMGLIYGLLATFQCKPVQFFWTRALGEK GTCISMDIIIAFTYVMSAIFAVCDFTFAILPVFLIKGLNMSRNQKFALIPILSMACVA SSAVIVRLAYVPTFRDPEFLYATVPIAIWSEIEMSLAITAGSLPTLRPLYRVAASKFS WKTSFFSARRSGHVSRATLTIGGTAISRKETGYISCSESERKIVNVESEEFALEDQSP ITEPRFMGGITKATHVQVDFEDDHKV CC84DRAFT_1094495 MAEHPSFTLAGLLSVGGTAGYLRTRSTPSLVAGLVLGASYGYAG YRIKENKDYGTELALGNSLVLLGSAVPRIIKTGGRAPVPIALGATGLLATYYYQKKVR EFRYGV CC84DRAFT_1094592 MASPLSPRDNPHIRLNSGTADILDEPSAQRPSTARQNSARSLTQ PIRPGTAPGPASPGLRSPSVPSLYKNETVAASAEHLLPPKKSRTRPFRDESPAAPMSR RTSWDTDSVASRDSRYSPFASPFDDSRAPSRAGSEEDLNTQTVSEKFNITPSAGLLLF PEDVEKDDWLHNPDPNEKNERDCDVFTKRGIVNVGALVVLTLGLLVLFIGYPILTFVH NITAPEKTPCTNNPLCILGKENEPLLQNIRKDLIDKDTPESAKTRKSANGKTQKLVFS DEFNDEGRTFYDGDDPYFQAVDIWYGATQDLEWYEPDAVSTSNGTLNLEFANYANHGL NYRSGMVQSWNKLCYKGGHLEASISLAGSGEVSGFWPGFWTMGNLARPGYLGTTEGLW PYSYHDECDVGITPNQSSYDGLSYLPGMRLPACTCAGEDHPNPGKSRSAPEIDALEGS VVFLGPGQTNPVGSVSQSLQIAPFDIWYQPNYDFVEVYDQRITEMNAYKGGPFQEAFS GLSNLNNDWYNGKAYQKYAFEYTTGDDGFITWYVGDSPTWSLQAASIGPNGNIGQRKI PEEPMSIIANLGMSHSFAAINLEELAKFLPATMRIDYIRIYQDPDDDTQALTCDPKDY PTTEYIRKHPEPYANPNLTDWKGTKYDWPKNSFMNGCKS CC84DRAFT_1218407 MSDADYEAFLNKANANSNEGRAQAQSQSEGYGTKSVNAAVPQAL KSVEATYTSDADEPFEPVALNYDGDEITEQQLKEILSHNDEVEELSVSKWDPKGQYKN VVDTVKKVVDGEVKVFRVALTGAREEYYVVGVKDGKVLGLKALSVES CC84DRAFT_1093546 MASHQQPSPTTGMPPHHGGPHLGHPQPNGHPQHMSQPKTPSQYL QQLTESVWTNLGSLSEQMQDLDGAMQCYEQALKHNQWSIPAMQGIACILRARDQFPHA VEYLRTILKVDPANGDVWGSLGHCYLMMDDLQQAYSAYQQALYHLSDPKEPKLWYGIG ILYDRYGSLEHAEEAFSQVMRMEPNFEKANEIYFRLGIIYKQQQKFNQSLDCFKYIVT NPPRPLTEEDIWFQIGHVYEQQKEFDSAKAAYRRVLERDPNHAKVLQQLGWLHHQQSS NYASQEQAIEYLEKSVASDQTDAQSWYLLGRCYMSQQKYPKAYEAYQQAVYRDGRNPT FWCSIGVLYYQINQYRDALDAYSRAIRLNPNISEVWYDLGTLYESCNNQTADALDAYQ RAADLDPSNVHIKARLQLLQNGQTTAGMPNQGSAPAPQDVHPQAYQPASIHGPAAPQW GAPQPQQPPQGPAPPALGASEWNRPLAQIREPGLPPQQLNPYDQREGIRPPAQHATQR PPSPLKQEPMRPYQEPSRPPTNGPGPSGPTGPPGPPPPGGMRRGLSPSPKTHHAAPSP YHPPPPQLTPQASQAQPHLPPHQQQQAQQQQQGPPPPPLNRISNPNYGAHANNGPPPP PPPPTGLNGPAQGPPQYPRIGSPAPEVRPIVENNRAGSPRNGYQGQFHHPDPSAVGGI ASGAPPPASALVAAEQAARDREDRPPTAPPKRHREWEDGEHPHPKPPTNDEKRQKLEE PHSRRPSPPHRMSSPQVPRHSPQDGPDPRRFNDGYHPSEAAHHPPSLPPMSTPQPMPP RVSETPKQERPEHHEPAARHVEVDENYDDDGEENKPAAAKSERSSPRGASTNGASHAP TPVESKS CC84DRAFT_817148 MQQGYQGLVAQATTAAHCSTHTDDPTTPCCRPATTCPCRPPCDG CSAHAGVEAASPLQHARCIAALELQLMALFSVYMYPRCSLARGRRRRSFHPRHAGSFS WEVAATAMAHDAFHSSQTCALADRRVVCAAVPRKAAARACSRPVETRQPATLQHQHAF ERLQNPSQTQRGATHFVLVQNNHDDLVPARPSVYISPIGALRRLAHKTPLRLAPGREH PPASPERLSPSALGAASVSLMRRFCCSATNVCQPPEGRQEHQPAGRRCPPPPDRRSGR LHSTTRTPTATHRRGQRPCGRIAQLPADQAQRTLLARATEAMPRLVCTAFGARLYKGH AGVARSSRERRRRPACHAALRQSRR CC84DRAFT_1165365 MERFEDHNPLLLYAVGVVTLYLFYRLFRIDPEAAVTYNVAPPGQ VAPGWKGEVLQELSMKVPGSTLIQCYAPATGESLGHIKPSTTASIDSAIAKAAAAQTQ WAQTSFAERRQVLKTMLKFVLENQETIARVACLDSGKTMVDASLGEILVTVEKLRWVI AHGEESLRSESRPGNLLMAYKWHEVMWQPLGVVAACVSWNYPFHNLISPVIASIFAGN AIIVKGSENTAWSSSYFASIATSALIACGHSPDIVQSVVCWPNVAEHLTSHPGIAHIT FIGSRPVAHHVCASASKALTPVCVELGGKDPAIVLDDLSNSDFHRVSSILMRGVFQSA GQNCIGIERIIALPNVYARLIEHLTPRIKALRPGSILNASCDDAPIDIGACISDAGFS RLESLIADAVTQGARLVCGGKRYVHPQHPKGHFFSPTFLIDVTPSMTIAQEELFAPVF TLMRADSVDQAIRIANSTPYALGASVYGSSSRDTERVVRGVNAGMVAVNDFAVTYMVQ LPFGGVKGSGYGRFAGQEGLRSVCNQKAVTRDRWPWLVKTSIPGPMDLPLKGKGAGAR AWKMACGVVWVGYGDLRGKIIGIKNLVGL CC84DRAFT_1092902 MSDAEEVTVERLPELLKNDNAVKVAGIDVDGILRGKLMAKKKFL SIAQEGFGFCSVIFGWDMHDQTYFRELGISNKENGYRDVIAIPDLSSFRRIPWENNVP FFLISFHDPDTGTSLSACPRSILKRTVGKLREQGYGAMAGAEYEFYQFRAPQSHDGPE RNSSSTATFLRDNPVNSLPPLTEGMFGYSITRPVHNQDYYYGIFDACAQFNCGIEGWH TESGPGVFEAALEFGEITAMADKASLFKLVVKSLGSKHGITPCFMAKPREGLPGNSGH MHVSIVDDAGKNLFYRGEEDPSPPYPDVRFLSDLGRHFLAGLIDGLPDIMPILAPNVN SYKRLVENFWAPVTVSWGLEHRAASIRLISPPTSSGKATRFEVRVPGADTNPHFVLAA ILALGWRGIEKKMEISIPPLGKGEDVGGATDKGVRLAKSLKEATQRFMAKDSVAREVF GDEFVDHFGGTREHEIRLWDEAVTDWEIKRYIETV CC84DRAFT_813533 MGRLEGKNCIVTGAAGGIGLETCILFAREGANVLLSDISGPALE RALEKLKSIVPDAKKLDTKVTDVSKEADVQAMVEHLDSWGGVDVIFNNAGIMHADDAD AVDTPEKIWDLTQAINVKGVWFGSKHAVLSFRKHGKKKASVINTASVVALVGAATPQL AYTASKGAVLAMTRELAMVHAREGFRFNALCPAPLNTPLLQDWLGDDAAKRHRREVHF PTGRFGEAIEQAQAVLFLASDESSFVNGTDFVVDGGMTRCYVTPEGPATEPPKNNAR CC84DRAFT_1092735 TGSSMAPTCVHHQSNASTQAPSLANFSEATPTETSISTGQASFF TGGVPLLEDDNGVLVRPQHTCRNPVYECAFWFLRCSYISYNMEEWHTHCLSHFHGEEP PRSVRCPLCEWEYLGDDGKIAWDRRMDHIAYEHFQRGQTLKTSRPDFGLFHHLWNKRL IDDQDLKELKGGNHNLTREPRAFSVTGTGRRDRDRRRQPQRTQHIGDLRRQVAPVRT CC84DRAFT_811627 MADIKHPSSLSDLQALFASTTYVAVDFYADWCGPCKQIAPYYAN LAKQHGVDGTLAFAKVNVDNAQDIAAAYRVQAMPTFMFFKEGKQVAVNGNKMIQGADV KSLGAAAEKLGGLAKKKAGL CC84DRAFT_1148122 MRRPSAAADPTAGRSDKSPSPRPGAVRANFSLSTHHVFSALVVL LVLVLQFLAPRLPHELLRLTPTNDSRDPTLSAFSPREHPLHREPPVCPSPSQRTASAG TFNKRINRDTARSMALAEQAKRVADEFSFGPDAVNKAVKEFIREMDEGLLQEGTELSQ IPTYVTAVPNGTEKGLYMAVDLGGTNFRVCSIQLHGNTTFSLTQSKVAIPKELMVAKT SQELFSFLAKQIENFLKAHHEEHYTGFLRRRNTGGDAKEEEIFNLGFTFSFPVNQIGI NKGLLMRWTKGFDIADAVGKDVCALLQTEIDALGLPVRVAALVNDTVGTLMARSYTSP GKTGTLLGAIFGTGTNGAYVEKLDKVTKLTKSKDSGDYDKSTGEMIVNTEWGSFDNSL RTLPNTPYDTELDEKSVNPGIQMFEKRVSGMFLGEILRLAIIGLLKDPTFPLFRDENS AQNDIHSTTQIYDNSPLYKQWGIDTSFLSICAGDTSPGYRMIRQTLDKDYGITAVSAE DAEAVRAIAAAIGRRAARLAAVAIAGIVINTGRLDGAKGTATTEQKEGINPPRGDVEP SEEDVIDVGVDGSLVEFYPNFEDHIREALREIESIGEKGEKRIRIGIAKDGSGVGAAL IALVAGRVNVDTE CC84DRAFT_1218415 MYWTSLIALALIRPSQALIRFGCSQLVVDRLDPLVNPGMEPSPH LHQIIGGNSFNASMYKEDHDLAKLSTCTSCQPSEDFSNYWTASLFFRARNGTYKRVPQ KGNVGFEAQRGGMTVYYMQASLGDFQQKAKVKAFQPGFRMLIGSPSASTKPEADKYPQ LTYTCLQDMNTRYPETKDFPAKPCPAGIMVNLRFPTCWNGVDLDSPDHISHMSYPANG TFENQGPCPASHPVRVAQVMYEVIFETKAFNKKADWPTDGSQPFVWSFGDTTGFGNHG DYVFGWKDDSLQKILDEECYVQCKTMKTQSIEEMNRCSIPKKVDEDVGDDAWIDAIPD ETAIRFWSSP CC84DRAFT_1177142 MYLPTKSALLLTTNLLSCCEAITTGQVLPVHHNLRDHGSGHTVV SSFHSSMVISSASALPLSSSTHVSAGISGIPGRTNPSPTSIHIQTSPPTLLSTKSLKL FRDHTSQLDEWPEVHRAAGGLLHREPHPKKQDKHRPTPTPTHKNHARTSQSISVGWSG DIHRASRGLRHREPATRRVQTQTQTHTHEHEHPHRHTHTHLHTHKPASETNIEISGPL ELGSAAQVKRPANYLLTWDWHERWKKRGAHPPPSSSTVPSSIASQASSSLLPTEESGA AARMHRHASHLADNLPSTVSNITIAASTPTSASSLTSVTLIPVANPGYMHKVAPGLVR PRVDEATPKTTMTSPNDVLFQPEATHRTVSELKRVHTYTDSTTRRTRTSSSYMPHKSK RYAYRIAPHLKHGGSNVTTTKSVDEEGVTFEAEATHHRATALFPRDDDENPSSTTGSI PTAIVASSSATTAQDTLATQDISAAQESNERNRLSVQEPKRKHDKGQRKRGKKGPNTP EPDQQELDSTDGQFVKKEGPSVHPNISEPNAALLEAEGVPHEVLLSDEDLDRIQKEDP GIPAGPSVHRATQHLWVRGESVLSDSYLALVESKHSPGKTSGTEEKLDDTFWEPPPNP SEHADVHHLAPSLSIRPHISPVPHPLPSTLETRIRQRTSSASGTSKASAPPETIDIPN SGSSMTLSVQDVKTTISSTSFGFPTSTSIATQQLPQAHCHAKHVACFRGAESTSTTEG DDEAGPLVPRATLTAGSHRPAKGL CC84DRAFT_1093305 MGDHTPSPSPSPRPIVYSPSQEFIGNDGQWSDFIIRVGSPAQNF RVIPSSKSSEIYVPIVDGCERDNITSCGPLRGAYDFNGRESSGFLVNSSSTWHEIGLY EMDARPDLNFTANALYGLDTLGLMLQNSGGPTLSNQVIAAMANPAIWVGMVGLGQKPA NFSEFDNPQEGLISSLKKEGKIPSKSYGYTAGAAYRKPQALASLTLGGYDQSKFDQNK IIFGFDPDDDKPLSLNVQSMVAQNTFNGSTNLLGVVNQPTYVTIDYTVPHLWLPRSVC DIFESAFHLTYDNSTDLYLVNDTVHTQLKAKSPTITIGFGKTADPAERVNIVLPYGAF DLQASHPTYPNATNYFPIRRALNESMYTFGRTLMQEAYLKVDYERSQFSIHQTLFPDT GAKQQIIPLFSPSDSAVGGDEHRDGVHLSAGAIAGIVIGDLALLLLLVVVGLCIYRWR SISKWRLNRRTHADEERPPEKKIHSDGELPNNMRHEKDGPAVFEADGSGLVELCYQGL RLNVNVERSHELSGYGSTPVRITISPSETQSQRRTDSVCPQGAEEARRFSFDSQVSTW I CC84DRAFT_1187904 MALHVAEHEPLLVETTDSEAVVAFGAHDKDDPRNWSTTRKWLMV GAIIPIDLSVSWGASGFSPAASDFARDMQVSPQVATLGLSMYILGLAFGPMSLAPLSE YFGRRPVYVVSYAVFLCMLLGTTFVESLGGFLALRVLSGYFSSVTISNFGGTIADLFH HHDTGPAMSWFLWAATGGSPTGFVLFSVIAQGRSWHSVFRIMFYICLSFWVILVVALY ALGETRHSVLLARRAKVARKTTRNDNVDVPDELKQKNPTQVFGSTLVRPFRFLATEAI VQFGALWNGYLYGASFLFNGVFHLIFGPDGYGYDTVGIGFAFLGIVFGVTAGLATNIY QERYYQRQVALAGHRDVPEARVHHARAAAIVLPISLLVFSFTAKPSIHPLVSIIASAF WGWSFYTLILMTLTYTEDAYKTYSASALAGIGLVRNIAGAGFPLLGRLLFIHVGTKIA CIILAGVSLFMAPIPFILAKHGEALRRRSPWAAAHEDEDEDAAEA CC84DRAFT_1187905 MAPAVPRLRILSVGGNAVSAFLSWRLQATNACDVTLVWKSGFES VAQYGISFKSALYGNERFKPYSVVRTPEDAAHSSKQPFDYVLLCVKALPDVYDIANII ESVVSPQHTCILMNTTNSLGVESYLEQRFPTNVVLSLVSGAEIAQIGASEFEHKGATD IWVGPANKNPSIPPQIQSDMAEALAMTLSSGQVDCKVSPNIRQQQFERMIGAIAFHPT SVLFETPNHAELLEKVGVRPLVTGIIDELLALAKAQGCKFPSDYRETTIQQMVQTGGT NSTMYMDFEAKRPMEIETYLGSPLKLAQETQVPVPRIETLYATLHHINIVNRTRPVVA PVPSPTNGDQRLPPPRLSSAPLPRGPPGALMNGNGPMKGGPRPGSRAPSMTGPPPVMR RGPPSGPVNGYPPRQMNGMPNGQRRPSFEGNDLEEFSHLMLYDNIADEGGPGAGYENG PNGPPSSNDLALRERELMLRQKELQLREQEMNMRRGPRRGPPPPPSHMGGFDEDEDED DYFDTMASRGPGPMIDPDNFDMMSVTSKRNRKVPSASQIRKNPEMAGMGPGPQGGGRS RNPFARPGLNKNRTSARMMADVPGLHDSIMNNPLMGYSSNRYGDVDRGAMGAQSRTNS LTAARLDELQQGGSYGAYPPMSRRTSQSPGNPLSPGPRPMGRPSPPNGYAPNGVPSNG MPPNGRPSPPGMRQPVPRHPPGHGNAVAPQQVEQYAGVSQNLYPPKSRPQVRSLTGSA SASAGSGSTQLDSENSAHSSQSSLGPRPPLGVR CC84DRAFT_1177146 MPCLPLSSQEYIYLIGARRPPRRPTAAASLPAAKARPPTFHQLF CALVQRRAGQPCMHILDTRGSAPAALARAQWANPRAGPWALDLRAAQGMHRREKLRGP WRSSATPRASPVPVLRLIARYRALARAHRGRRSQVASRPLPCRRPKARRARTSSRPAQ HHQCALGRLPLRNATASIPQQRRRQPIAAPPACAQARASRPDVEPFWHRRRRGPAKPS RARCWRARCRETPVHHCGCSRRHASSHGDCNLNPIRPHSFGSHPSAPVLPNQLRPRHA AAIVIVTFHTSQHLPHTLCSNADHVVVLTTPEPAPRDKTPALSVDHADVDLQQVTAPG PYNPDIWVSFPIRSLHLLYAYEYD CC84DRAFT_1148134 MSHEEDLIDYSDEEIQPTEAPANGTAGAAKGLAADDAAGDKKGS YVGIHSTGFRDFLLKDELIRAITDCGFEHPSEVQQTTIPQAILGNDVLCQAKSGLGKT AVFVLATLQQLDEKPEAGVATVLVMCHTRELAYQIKNEYNRFAKFLPDIKVGVFYGGT PIQEDIRILKDKETHPHIIVGTPGRINALVRDKVLRLSNLKHFVLDECDKMLDQNDMR NDVQTIFRATPAHKQVMMFSATLSEEIKLTCKKFMQSPLEIYIDNEKKLTLHGLQQYY MKLEEREKNRKLNDLLDTLEFNQVIIFVRSTQRCTELDKLLRECNFPSTAVHSGIGQE ERIKRYKEFKDFQTRICVSTDIFGRGIDVERINVAINYDMPDKADSYLHRVGRAGRFG TKGLSISFVSNPDDETVLKEIEKRFEVELPEFPAEGVDASTYMDN CC84DRAFT_818642 MAKDKPPKTKGVPNKHLHSRSTFLYQAATYLTLHAAALDANEAP DSAQSSGTTGSRHRLALQLASDLQNVSRKGQVRLSSELKRTICKTCNTVLIPGRTATQ TIENESKGGKKSWADVLVVMCTLCGSKKRFPIGAQRQEKKSERQPTQAQRSLSEKSAE EPTSIASPMQMTTDQDSTPG CC84DRAFT_1177148 MDFLPPYIVDPTHGVTHSQTVILLHGRMLARCGAQFSKGNARLG STVSLDDLSSSQDHQLPGLRDGHQLTAKTIENEVDKLDEKSDRVILGGFSQRLAVALW SLFTGPAGLKGCLGAFVGLSAWMPFAKEAMAFIAGDTEARVSSRYGTLREGLRRILEV GSAAVQIAVIILVHLGHGPDDVVIGIENCYWLSTIFTAGGAVIDARKYIGAGQEGTGL RSRSR CC84DRAFT_818697 MSHSYTEPAFKGKKMYPKQNTQKSTQLTNRPMANIESNSPPSTP MFEVAARTCLLRPKPRPLPITVNTTWFEDNVNEHSLFKTRRKGAKDVQKTLEASYARP ASRGSTTRQALFGLPETAELVEQFHEFQTQEHDDPPPYYEAVELILSPTELSARARTF SDVMKHGNKLEENGILKFASELDEASDTQRTFSTFLRRSGVASRQSAAGILGLPGYAY MPHKNGINLHSKETAAATTRGTDDPQTEAGLHERVAVDADVDADVEAQTKARSHNR CC84DRAFT_1218423 MAKTSEKSTEVLVQALELLDDSAVRQLLQNDFDNVAQNEYEWLH ELIEVGYSRSDIATLLMEEANDAPWIYSGPQDQLIQAMDSSSHESMLQGSLDVAFLSS PWTSRRHDIRTMVQQLCGLGGIAPISRSLQDWNGEVIFEKNFSISYFSTETCSGELL CC84DRAFT_1094391 MLLSQGQERKAEITTKDSYGQTLLYLAAANGHRLTAELLIDKGA EVNAQGGHYGNALQAASEGGHEVIVKLLLDKGAEVNAQGRHYSNALQAASSRGHEVIA RLLLNKGAEVNAPGGEHGNTLNKAS CC84DRAFT_1148141 MDPLSVPASIIAVLQLSAKVLAHICDVRDAPKERSHCEAELSNL SALLRALRDHVQTGDLSLPWYAAVAELAAPKGPFDQFKQALEGLQNKITDGSQLKKLG ETLVWKFKKGEFTSILLRIERLKSLVEIALQMDHYQLSQAIKDDTNFVRTRVSAIQSR VDEIQQEQVNAKHSKMVGRISPTDYPAQQSDIICRRQKGTGQWFLDAPEFTKWLGEPK GTLFCPGIPGAGKTMVAAIVIDHLLKSVQSSSSGVAYVYCNYKAQDEQETSNMLAAMV KQLVQGRPSIAEPVARLHKKHANRGTKPSLKGIFGVLREVVAKYSTVYIVIDALDECR DSDGARSQLLARLKDLQVGQDVRIMATARFIPEIEIEFQTAMKLEIQASDEDVRRYVA GQTHRLPRCIQRDPALQAIVQDKLVEAVDGMFLLARLHTDSLLDKRTVKEVKSTLARL SKGSAALQGAYDEAIQRIDGQLDRDKELAKRVLSWITYARRPLTTVELCCALAVEPGE TKLDPENMPDVEDLLSVCAGLIIVDQESAIVRLLHYTTQEYLERIRDTWYSGAPLDIA SACLTYLSFDLFKTGSCSSNREFEERLQESRFLNYAA CC84DRAFT_818819 MTTATTTNTITLMVPRIPKPSLTLRAGDAARSRRKSRNILPLIN ITIANEFVEETLPATTPPPQGSFISLYALNDYRFPVPSGSILTRRQPVRSITPPSIPI LCVNGLRPVSAGRFYRKPVVLRPGHSRVVSHPGRYDGASSSFDRRANGLHVHANCVPF CC84DRAFT_1148142 MPSDAQAPVKLSLPLEFQQDIFKELREDDKLVILARGLGILRIV TNLLHSYDAAGNNLIILVGADERENVWIGEALAEHAAVSQAAKARGLSLVNTDLMSVG TREKMYAQGGIFSITSRILIVDFLSGLLNPETVTGVVVLHAEKVVATSLEAFILRIYR QKNKAGFLKAFSDSPEPFTTGFAPLANMMKNLFLSKPALYPRYHVAVANSLEGRKRAE VIELEVPMTDAMRDIQNAVLECVEASISELRKSNTGIEMEDWTLESALHRNFDTIVRR QLDPVWHRTTFKTRQVVRDLTLLRTILHALLTYDAVDFNRYLDTVLAASQPPPGSTKQ NQSPWLFLDAADTIFTIAKQRVYKGKVSNADLVRSSNDAVVDALEPVLEEQPKWAQLA EILQEIEQDAYLNPTPQDSSNGAILIMCGDQSTCRQIREYLQIMYVKPESEQDDDETA NEPSAKFLMRRKLRNYLTWKRDFTNFSAALFSENQKAINTSTENKVGSGRSGKPPPNK RRRMRGGGVGAATARTEIGAVRTAGDRDAHIASLMAELQPSELEAMQKGEVGFDPLEN MEDYYELFNMNDLIVVHPYDGDLDEHVLEETKPRYVIMYEPDSAFIRRIEVYRSSHTD RTVKVFFMYYGGSVEEQRYLSGVRREKDCFTRLIKERGNMAMTINPNANLAPEESFLR TINTRIAGGGCLAATTQPPRVIVDVREFRSSLPSLLHGKSIVVVPCMLTVGDYVLTPE ICVERKSVRDLIGSFSNGRLFNQVESMMEHYKSPMLLIEFDAGKSFTLEPFSDLSAPG GLSNAPDLQSKIVMLTLAFPRLKIIWSSSPYQTSEIFVELKKQAEEPDPLRAVQLGLD PSMAGDSMRSFSQVTQDMLRALPGVTESILTTLTLEVENMIGLANMEEKEICALIGTD VGRRVYRFFNRSVYEDFTIPVL CC84DRAFT_1094079 MTKRTKKVGITGKYGTRYGASLRKQVKKMEITQHARYTCQFCGK NAVKREAVGIWKCRSCRKTTAGGAYTVSTPAAAATRSTIRRLREIAEV CC84DRAFT_1218427 MTGLAKEEAATKPAYLVRPAVAADISSISTIAAWYVRNTVLTFR YNPATEEELLQEFYSIGQERLPYLIAADKSTGTILGYCYAARFRPAKLGYKPTVELSL YCDPDHRSKGIGSLLLKEVLQALRNWEPGTDSCISSDLDKVGVKTVLATMAVDETGPG GGLTLQRFYERAGFEQAGRLKHVGYKFGRWIDSIYMQLSL CC84DRAFT_818716 MPGSLDGAWSRLAGAIVQLQTSIHAYKSCGENPSQYKVLEIRSS EYPTQHDESSFVPPTEQATASPTTRAGLDNLEAQLTYFEQQLRQRGQWRLPPHFSPDP FLLMDLSDLGDAIEHLTVAVDSPRFPQPAQSPYATFATKRWDWDFQWSEWHYADPETG DHVFLTEWEREDETSDWVMVEQGHRSVEEGLEALGSWEDWRWDEDWGEWYLPLVVDDE AVVKGAIYAGAWRKSEEGNWVYIAPKAEDT CC84DRAFT_818715 MAAIEPDVRHLEPTSIALAILAVSFLYLLGLCIYRRYFHPLAKF PGPFLNSVSELPAALSLLRGRYAFDNKLHHEHYGDVVRIGPNELTFRTSQSIQDIYGF RPGHQNMKKSFLHTGPVKVGQTTTLQYVPSDADHGRQRRALSHAFSHQALMDQEPIIQ DYMSKVVSRLRKFARDSQVVDICDWFNYFTFDTMGDLAFGESFGCLDEGKYQEWVDML FMTIKDGTLIQFSRRIGGIGTWAQTVLARILGVGDAGSYHVHHTRQKVLSRLAMQDID HRDFIWYILRQKEKGFELTQDEIIANAGLFIVAGSETTANALSGLIARLIWNPRVYEK LVTEIRGAFHDEAAIDFKAITALPYLNACIEEVLRVHPPVPAGPPRVVPPGGDTVDGV YVPGGTTVSVGQWSACHNPAHFKNPDEFLPERWIDPAYNSEVKKAVQPFSAGPRNCIG KNLAYMEMRLVVAHLLWNFDIVSVDGAPLWDPAGEMRHKRAFMVWEKQPLMLHLKDVR IG CC84DRAFT_1247598 MKFFAVAAFVAAVAAQGYGTGEETCSIQTVTETVTLPYGVHTPS APSVPSAAPTLPPYPTGQAPYPSVPAPSGTAPAQSSYAPVAPSVPAGTAPAGTGAASP SGTGSYAVPSGGYFEGAASGNQVAGFVAGVGALAAFFLIATTWQALCARSEIKAVQIV RSADASFKEIGWLGHVTPFVGLRSIGRVYYLHHINGP CC84DRAFT_819007 MSDVESAAAKLAELLRHPEDLDKIPALKAEFTRKKAAVDGQLRI GLREQLEVTQAGMSSITDGQRAVNLIKEEMMKIDKLCAESHNMIQDFPHINLVAQTHK NFEQVEKMKRDIDTFQQRIENLEYLLGQDDQDPANQPNLLEVHYGLTQLREVRDDAIR QIKASEDSSTELIDNLTLESGGTVQDLFTRLDEVIEWFDAHIGEACLNLIELVQSGNE GMVVRLALIIEEEEKSDKKAKALQDAQREYKDLASRFKSIAAGSTELRGYKEKFLKSI EFVCKTNFEQSNEKFMDDPEKVDKYFKWYFNNLFVVQQGMVNLMPKKWKIFKTYGNIY HKNMHDWLLGIADDEGLGPQYLLAIINWVDKYYAKMQKLGFAEDDLQPHVVDNRVPEL VRNYRQIIIDRVEEWMTNIANTEKKQFAERDQSDISQNVDGYLQTKTLADMWTMLGQN LAIAQNSDRTDVAEGVIESMFRALSSRQRMWQSLVVAEKAKYSSPSSSTEGSSEFQEW LIAIANDQIICIDESDESQTSFLGNFERDITPLVSPAYAPTLTEQINSLKDSYVDVAT EFIQAWCQMIFYVDFRDTLKNMFTADWYSSRDMEQMVITFADYMSDYENAVHRAIFEL LVMSLADQLLIHYLSAVRNKGAKFRRADPFTEKIKDDCFTAFEFFSRYECGPEVKEKW RVIQMFVMLLSEDKARIPIVYEQFKNGYPDLHIGWVEAVLRARDDFERSMLNAVKAKA AEMTVDPAQQYETIMSQVK CC84DRAFT_1187913 MADEDVNLYDEIEIEDCFYDETLQIYHHPCPCGDRFEISLGDMR DGEDIARCPSCSLMIRIIFDPSDLPPEPKDDAPQAAAITA CC84DRAFT_1121976 MKSLSRAGQVALRRAVRTPLRAQMSANGVIARAPVAVARASGAS TAVRGFHSSMTLKGIMPESENPAPPQSEDSEHPTVPTDISTSEFHERADEYLEELLGE LEAKQEETPDYDVEYSAGVMHVKIQSRGHEYVLNKQPPNKQIWWSSPVSGPKRFDWVV MGEGLHQKEGGGAGDWIYLRDGTSLSDLVRQELGVALGKDDSAPI CC84DRAFT_1196992 MINAVLVFNNAGQPRLTKFYTQLETSVQQRLISEIFTLVANRPN SACNFLPLPPLLANSSKSSTPTTPHNDTPSLVTYRHYATLYFIVISTSTESPLALLDL IQVFVQALDGLFENVCELDLIFNFETLHAALAEMIVGGVVVETQLDKVIEGVRSQGTV AKRPVNEGKGGMGFAGFGRGDGNIWAGR CC84DRAFT_1218435 MIEAFDLTWNDKEDMIIFDRLWQGLADLDEPLGEGCMAVLRQFV VGVRGRILFVTEEGDVGALYHPEPLTAVQPGDVVVGLFGINFPFVLRPIVEEPGDGQT YAMVNIAHVANHEYGHDFVRNAESDAEWRDFEKFGMREYTIV CC84DRAFT_1092716 MSPLTKKRPRKDQPLRASHARSQTTTSETIYTPLDPELKEIRLI QIAPGRYDDDLRIRLRVHKLEKGGLVFNALSYVWGYEKCRREAILDGHCVTIGRNLDS ALRCLRDDLIGRLLWVDALCINQEDIEERNQQVQLMRSIYSSATRVMIWLSARLPTDD FVIHHITRNTIPATTREAILLLRALKRICLRPWFERTWVVQEMALAKESPTLHLGQRS VQWDQFGYYIGVLYNMLENNELGASWPRKSLDAFVFPSHRVHKFVSIRQMMSYRNYDL MELLAATFALQATDPRDKVYGILGF CC84DRAFT_1165379 MHSLLKQVVVGSAVVGGVKGALIPRVTPAPSAELLAERQDPDAL SLAQVLLTAVPESLRQIAATNLPAASSILWEEFLDDQRPEWFEELPWEIQNYLIRKFG PQTAWPTEAPMSGGLSGSVGFTATMTASTTDMTAEPSQPTRSPETSEGASQSGTGATE STEVPASTASSDRISKSTASATSFATSYTSASRSSVPDSAATKSHTTSSSITLANPSE TSSASPTTEPDAPPAVNSHLSKEQKVGLGVGIPFGLLGIAALFFACCVLCRRRKKKHV EGSIPPASPGFIPRFAFQERSHENLEHRTSLIREANRSRHDLGAAWDDEGVDPIENMP GQNPYAWKDLGAYSNSNVPARAPAAARSTYTALDNEVHEDNYSNVPVMMMNDPKPIMA PALYHTHSSNRARGKRTSYTSLHSVAEVSEPDEFESPILGRNVRPHHSPARNLMSAPA VPADAQIRRKPVPLSPPLPPLPTSPSPVATSPAAQAASHKLLRQTMPEHSGSSSSGLA LTTSSGLSSASSLAVHAEATSPISPISDRAPSNPFHYDSYVEDYGPEFQYGYVDVDDG LYGGNTSLSHYPEPRRKNSKTEWPLRNIVGSHQRRRSSPIWERLSEE CC84DRAFT_1092706 TLRRALSLNRFPAFCATLIGGSTLLQLPLRTLACQIGGLRGLGG RYIRLFVNFSAALISASAAFSLLNSRPHNGSVTPAQTTPLSHLDIVNPSPFDEPPESG LREVDDATLNAKSLSRMDLAGKTMDLTLFAAIRAGDVLISQACNRLPSSRAGQVVSRS TPTVLFCFSAATVMHAWFYSPSRLPQTYNRWISAAANLDHRLLLALRHARYGTWIYGK DTGMASLLGSMCRDYGLAEELGDPAKTIPIPCELVHMGCGRSCEKHALIRFWRGWVFA ARMYAPLQFIVLARHVKRTGRTGDRLVGLNRSVVSKALVDTTRSSAFLGAFIAFFYYG VCLSRTRLGPKLFTLKTVTPQMWDSGLCVLGGCLLCGASILVEQPRKQLEILFFVLPR AAATWFPRRYLPEHQWKEHLTFALSTAVVLTAAQEDPKSVRGVLGNLLNAVLKTD CC84DRAFT_1165381 MDEKDPSPFGYTFSADFFGNAAGEFDNGGASLLSDMEAQQLDSF FSHANPFGSDAAPSAFAFPSDAPDLLDNFSGWSAVPPATIHNISTTIPDQALLHGFHA EQSFTPTQQTNHFASTADDLQAASTLYAQAHIPPSLPTYQHQPNGRSHSFHGAPSTGV HTNGYGSHAGLSHGLPLVPTPATSNGLVHEQLAALLPHHDVPGSVDNTLTAQLADSTA RAAHEAELRERQRPSLKRAYTYGTDSSFNESGFQPSSKHESEEVVTKRLIRELHHAQP LARPTSGTNGTILQSPTGHIHQPPFAGDSESNEDGVSDESSADDDEEKRMKKRRKRPL LATNGKRKSVSGGLSSKNRKMSVDDRVRKPRRKSMASAKPQRENLSEEQKRSNHILSE QKRRNLIKRGFDDLHDLVPEIRNGGLSKSGVLTEAANFLQQLIDDNKRYSALLGADG CC84DRAFT_1218439 MTKFRTTKLRSHGSTTIPLFWFIHADKEQRESTESALNKVVDQV GEENPELKKKQVVGVPWLRNYTGSLADVFDTARGFDMGEGHLLGIDTQSLEDGSLLVL HCVNEKEPELGRAARRDAIEVLLKDHLYKYTIAEAFNERPWTRIPFPMQEPEPAVEGD TAEYILPSHIPSDTKLQEDKIMLFSLIQLTDEEIIILRQDMGDTTDEITIYNWPRETP ASQAETYNIFQCVKPEDPITRGQTFVMFIDATHLSGPQRAPVVVVACESASEGVNDGT RESSLELMRYKHIYLHAEEAEQVRALWPLIWNPPKCGGVNDVVVNYPLFYGSKHHFNK ITPAPVINWNSPVMRYRSSFIAKPGVAICATGALSPEYLVYILCPVAPEELRALQDIL VTESGNVLQFLELNILPREARIEQEEDTSDDPSTLSSKRLDPLLEFFDTPAYHAIADP PDTFVFLDNAALDDLLSGTSANPSVPLATIHHYFHGDEMVALEKPAYEFANIQINDGL QSTLANLSTGNMWFWELAGCYSDDMDVAFWPEYRGSMTREMLEIEWEWS CC84DRAFT_1177162 MVNQTLLRHGAQAGLQAGRYRQLSAGCPSCTKTAKVVSFVDQYR NEQSLDERSRTKFDMMRKRHFIRGWASFIMFERCFWPPNSWLRTADSDAVHHIERVLS ETEIERHHGVQTRATHDWRALTAYLLSEATPQDIQDKSMGAIASKAASEVISLLHP CC84DRAFT_1206558 MNGFGTQFAGCVYVKIPRGAAGSPSRHRMPMTPFVDVGSMTPCR SSFTVVNTCDHELPLVTTSVVLATALTSMGVRVVKAQTTPASGSAIAVEPSAVVPSNH PVAASSDGSNGTAAAANSSRYTESDVPTGTPLPDNPTEVSGNVHLGHATSEDLYTWVN QPIALFPGASGEGIFSGSAVIDTNGVVAIYTLNTAEKQIQEIGCSYDNGYSFIKYSGN PVMDSNTTQFRDPKIIWYAPTDTSKPTPNIGDASSLSGTLKFTFLSSGTGEYVSRGTF IFSGDVWLDRGHTGALSDNPYFTDKSYRTALYDPASGSWNIFGIIDRSILGIVVNGGV PVATSVFFLIKPLESYDPESRESERRR CC84DRAFT_1165385 MAVVSKPAGALSSLVFLLQLLTLASAEGYGYGGAASSSVAVSTT AAGYNAAPVPSSSAAPYVPSSSVVVSVPTGGYGSGPSSSAAASAPVPTPSAGGSYGGG AGGHQGGQGGSYGGHQGGQGGSYGGHSGKPKPSGHGPKPKPWGNKPKPSGNKGHGSYG GNKGHGGYGGNKGHGSYGGQQGSGNNHGGSYGGGNSGHGSGSGSGSGYGGGNSYGGGN SYGGGNSHNGGSYGGGNSNGGGHYGGGNSNGGGNSNGGGNYGGGNSNGGGHYGGGNSN GGGHYGGGNSNGGGNYGGGKPSTTAAASQPTPPTGGSGNGYGQPPAAPSSSAAGNGYG SGPSVPPTTLQTIASSAPVSSAPATTAAAGNGYGSGSGSGSGSGYGTGNSY CC84DRAFT_820150 MLGHPGISAFEYAGAAEEHYREERAWEKATEETIITNATERHPT LSADLEREEASPQPVARPETSERQQPQTSVDEYTIAQRRRGTFVNHTPRNHELRLSSK LSHRPTSEEESGRLYASYRGSSDDNTETSKSCSGGQHSSFGSFQVEQSAKANANAPVE LDSKEIPLNPLIMHPLPTVPIIPIALPRQRRSQSSSAAASIKSSQTGISKRSLRTFLG RNASLDASFPRQQISFDEPRPSTTGSVSKLRPQAANSKRRRRQTPYKVPAPLVTDLGR HAPLEPLVLHHRREVTGSDVDPLPPHVDIDNDISEAATLLAINEYFDSQEATLTDHQH PADLNEISASTLKALKQIQPSFSPQQTPKKGDAAPNKDPSDSKESTPSLPERNPERLS RINTPTSARLPKSASSISVQSDFTSAAQGQYSPYDERCDAVSKTVHRKPLPTSPREHG RSTSSISGKLAPRIPGHEELASSRLNDFNYFLRMTGPSPTHETKAVPQKKKKGLKVMK VRSRKEAKNPISSRRFGEEHLPVPACCLEETTKAGGVKHLRIKIPTEDVDVQLAAVPP RTPWTDEMVQPLAGVDVERAINLAGETSLMFKRCSPRPVPISPMAVPVEHHPLLVNRK EKTRSRKLRDLKKAKGRTDGDAECGAEEYIGEGKVERLEWLVGELAEGLRVEAGLEEG LGPEEVLETWREGRERAE CC84DRAFT_1093152 MLTAFTCSELKALPEEQHLFCPRTSPDDGSVYFAEDIAADGAAD QDPAAVEERKMKIQEAEDRKWLTLGALQIMAFDGEDADPHKEWMLERLNALMTSCDVC VRVYHQARAQWRQNLFEQFDEEHVHVFLQTVDTQSLGRIQRGLDEAHEVLRNAEPQKR GVRILPTEATYAIFEALSCDAMIRNEELLQQHFDAPFELVQSKKRLKLQTIVPAMTRF LFSRNKNRRTWAQASWSTFKRPILQSEFDWAVRDHLVSAMMKVQMTNLDRALLPDYWA GVRLIVNKLGKDLITSSIRDLEGNFYRLLLDHLHLESAGFLDLIETMRTILEVSPADF WDAINDITTSTATVVEQVFNSPILKQMLLAATEDNEDDMANLNTAVSWITPFLTSIKP TNLPPAVRAFANALFGQLQSDKYSRAGRSFCFEEGLRVLDYAFRKMCEGKTEANFVGQ PTVNSMLEILSNHIELIVGSLKRLEHQEDRRLLLSTIQHAFTLEATSLHIEKQLIAAN KPSPKETPPSTPLWTAVLKAIDAQNIDLATHLLIAGRSLIGLEECLWKTGFENKPVEI KHFNGRFELLSKSITDVVDRMTEFKPEQLNDLFRQPRAASAMISLLFSSTEDTRSSAI ELLKIITEQEERHDALQYILKTHYKYVLVGISDSCRLVTRKRIFAPASSMVRTLTDII DVLCNSQDGLLRSRQFDREEERITMNFWENLWKTLTMFFATTEPWSNLGVYQKDMMKD FCRDVMQFADYLFDQCSVFASALDPSTQGDEEKSKTSDLLKELLQLPASTMVEAKRWL RLRDEYLSGKSVTLIGKLLVRLRAVSVEIDPDTLAFMMDIVFGKIKANLSANQQAELQ RAIETHLGHSMLKEEAPKQPRQASISNFLGSGTIKTPTTDTDARARLMKAMTPAATAF KENREFAKRKEALAAAEAKKKAAKDADAAEFKRKRQLEKERQQKEREAAIAKARKERG LTGATAEAGSALAGLGVLDRDAAPKGEGLMHSSDESEDENDAFDIDELFGATKKPGSA GPKTNITNEIVKAPMPVKKRRVQRSAKDMRARLAPDLTPLHKTILGWDFYHDGVYPPK TSQGNYAGVVDTFRTPNDYQNTFGGLLRLEAWQGFVKAREELASKPYEIRVTQRSTVD AFMEIGTTMTQAENKDIQAMEGDIVLLSKTNKPSAQDPHCLARIFQRKPKKGHIEVSY RVIPSGNPMASLLNPNMTVFGTKIQSITPLEREYGALHGLQYYDLCDEIIHAKPSPLL AYKDAQVEPLMQNYNLNKAQAKAVKSAFDNDAFTLIQGPPGSGKTKTITAIVGTILSE NLRKGGKPAPMPGQTLTDAAAKKLLVCAPSNAAVDELVMRFKAGIKTSDGVEHKVNIV RLGKSDAMNENVRDVTLDELVSKKLGVGSNDNDNDATQKLFANHKSVSAQLNEVRQQM DSGELKGEALQKIEDEFHTLRKEKAQLGRQIDNAKDDQKLAYRNKDIERRREQERVLR DAHIVCATLSGSGHDMFQNMAIEFETVIVDEAAQCVEMSALIPLKYGCAKCILVGDPK QLPPTVFSKEAAAFQYEQSLFVRMQKNHPDDVHLLDTQYRMHPEISFYPSWNFYDGRL VDGGDMAGLRKQPWHESWLLGPYRFFNVAGQHQSKGHSLINVNEINIALQLYERLITD YPNHDFRGKVGIITPYKSQLSYLKNKFSNKYGPEITKDIMFNTTDAFQGREAEIIIFS CVRAAPSGGVGFLQDIRRMNVGLTRAKSSLWVLGNSQSLMRGKYWKLLVEDAQRRDRY TTGDLQKMLCQPSRAYPARPGMFDEPVPVPMDMDVKPPIKREHINKKPIIKQEPTNNV RIKQEHRKPEPIPELYDTRIKQESYQNGSRKRVHDDSGDVEMSDADEASDTINGSAAT SNSATPEPMEGIQRQKLPKRKKPRPAVNPLVSHPPSRPRK CC84DRAFT_1092876 MAPEEEATEVSHPTKRIRWATHRATGAKAENKRMSLKERLHRRV GSNGEKKRDSMGKETGSPENGDMASSEADSDEQDGGRTVYFGVPLPAHEKDEDGYPLA HFARNKIRTAKYTPLSFIPKNLWFQFHNIANMYFLFIIILGIFPIFGASNPALNAAPL IVILSVTAVKDAVEDWRRTVLDTELNNADIHRLVHYSNVNTAGDTISAWRKFKKACTR AVVFTYRWFKSKGKSGKGKGASQQDVESRHSVDTRRASVMSYAGPHDEGDIQMTPVPS PLPGQSPRHSDGGPFADVLEGDKIREPGHNHPRKFYGSVIDPYKTVPDKARFIKDHWK NVQVGDFVRLYNNEEIPADVVVISTSSDDGACYVETKNLDGETNLKVRNALHCTRDVK HARHCERSEFWIESEAPHSNLYSYTAVIRWMQHNAKDPNAAPYEMAEPISINNLLLRG CQLRNTEWVLGVVMFTGEESKIMLNSGITPSKRPLISKELNWNVIYNFIILFGMCLVS GIVLGVFWAKPDTSHALFEFGSYGHNPATDGVIAFWAGVILFQNLVPISLYITLEIIR TLQAVFIYSDVEMYYAAIDYPCTPKSWNISDDVGQVEYIFSDKTGTLTQNVMEFKKCT INGVPYGEAYTEAQAGMQRRQGIDTDAEGARAREQIARDRVRMIADIRKMHDNPYLWD DDLTFVAPDFVEDLAGESGHEQKRANEAFMLALALCHTVVTEKTPGDPPRIEFKAQSP DEAALVATARDVGFTFVGREDDRLVINALGVESRYTVLNTLEFNSTRKRMSAIIRMPD GKIRLFCKGADSMIYSRLIAGQQRELRATTGEHLEMFAREGLRTLCIAQRDIPEQEYQ EWNKEYDLAANAVAGREEKLEEVSSRIETDLWLIGGTAIEDKLQDGVPDSISLLGRAG IKLWVLTGDKVETAINIGFSCNLLNNDMDLMVLKVTDGNIATAEAWLDEKLALFGMTG SQEELDAAQTDHVPPPATHAIVVDGDSLKLALDDALKRKFLLLCKQCRAVLCCRVSPS QKAAVVNMVKTGLDCLTLAIGDGANDVAMIQEAHVGVGIAGVEGRAAVMSSDYAIGQF RFLTRLVLVHGRWSYRRLAECIANFFYKNVVWTFSLFWYQIFTNFDSQYIFDYSYIVW FNLAFTSLPVILQGVLDQDVNDRVSLAVPQLYVRGIERKEWSQLKFWMYMVDGIYQSA IAFFFVYMVFAPGTFVTENGLDIAELKRMGIIVATIAVCAANFYVLFNTYRWDWLICL VVVISTLFIWFWTGLYTTFTGSAQFYKGGAEVYGALVFWAVLLLAVVACLLPRFCVKS LQKIYFPLDVDIIREQIKQGKFDYLNQTDAFIPPPPPEKAISKVPSDVSKYKVANAQD GDDDVRPIYPPSVAPTATTHNPRSQNGSGSTDYTFRQSGEGIPQHRISMDRPRPSYDR ARMSMDRVRPSFEASNDFTSAAMLTRMESAHSRNSLSAAPNTGPQIASRLRNVFRRPT VTREEAPEHLRDSEQPPVPSVNHTEDGARSPPRSPPR CC84DRAFT_820256 MGSRCLAGGAYSRIATCAPWCGHVWTSLQGCRSGMKRVGPFARG AAGLCPLLLTLLGRPGLRLPPRRLPVVNSVAEYIPERACTHARTLCTLRPITIVKLLG IRCENPPAPREAKNTTHLRDANFPFPPGLVLCEGRAVNGAAPAESATATGARSASLKA SDRANPRSSAIPPKPSPVNGLTPPASLPSQPHQRRVSRPSLCPML CC84DRAFT_1121995 MPPKAIALQKNKISSYFSFSTPSQPSQNAAFASSPAAPSTIQET PTNDPTTTLNDGGLEEAAPSSPSSPLASRSPSPTDPRGIASYEDTAERGLPSLPQSSG NSTNASKRTFSRGNPVVLNSDSDTDSLTDLEDLLDYRPARSKAVTRAAAVWTRGDPEA LALPQPPKRKKDDHAFKRLVQAAKKNAQREQEIAQAQAELDKPLRQESPQRGLKLSEN TVADVVHDEDDPDKAKKLYLAMQRTNAFQVDCVFHFFNQRPDDFEKPFPIDSINPGMI DMFRDETSRDQAFTSGFARLLFSRELPEELADWMIDEVCFGASEALGEKYLQILSASQ LQLRKLLDVARLEEIFNNIGADRQYTTSDSEVAPSYGSDPGERRTFPPALTNVCKLLQ LPGSLFHVDSRRRALKILFILCMDNGVQMDNAVLRTVQDTIESLICPIPNTGEIASIL EDIISHLLVRVRHPILQRNLVTALPTRYPLTASFQRHLALSFLLHPAKITHPLTSPET LKSIHDYLQTSTTFRVQKNIDYNVLAAHFMLLDIAIGPGPLTVPFQLITSPSQSQESQ EPIEPPNPTSDEVNAFNREVDALAQQIRLIGNSINVAGAITDLSRLTASDACDRLHIR LGHVVRIGGRKSRHVFGGEEESEGDKATFAKFFARKKLQLPRTSEM CC84DRAFT_821333 MPTKLMMIFVGLDFVFAGCGGLLLGFSLMSEQRMRESPRVDNVT ENLLLGQCPLTGGVVNAVFIFVTFLLSLPALFLPANRGWLRTQGWLVVICATFTLGLG LAVWVDTLQTRAHLGELWQREAPLIQSLLQQKFDCCGYFNSTAPPFVQDGTCINSLVA AQKGGCIGPFSSFANRYLDLIFTAAFGIVGVDALLVLCVAMVLQYRSEQERYRHIDEK NGLGGL CC84DRAFT_1122000 MASEITDHDQNASPSVHDDLTNNGALVQGDANRGTKRPRPAQDD DDDDDDKPGRERRKIEIKFIQDKSRRHITFSKRKAGIMKKAYELSVLTGTQVLLLVVS ETGLVYTFTTPKLQPLVTKPEGKNLIQACLNAPEPSTDNSNGVDDGPVDSPEDSHAQV PAGQPRGMAPSGGMPAAYMTPEAALHYQQYLQQQQPSTQYPGMPQGGMPRHPAQHYPQ DQKLS CC84DRAFT_1148185 MGDSDHEKALAPQQSVDMTKGDASSIDEDALKLAEMGYTQDMKR NFSVLSVLAVGFSLTNTWFGISAALVTGINSGGPLLVIYGVIIIFVVSISVGVTLSEL ASAMPNAGGQYFWANELAPKRYANLASYLTGWFAWAGAMFTSASVALAAGSAIVGSYQ LTHPDLVIDRWMVFVAYQLVNIFCFFFNCYGKTLPRVAKVALYTTLVSWFITMVTVPA IAPTHQHAKFVFATFINNTGWQEGGIAFIVGMVNVNWGFACLDCATHLAEEVHRPEKM VPIAIMGTVGIGFVTGWFWSVAMFFSIVGDFQEIVATSTGVPILELFYRAIGNKGGAI CLEAMIIATAFGCLIACHTWQSRLCWSFARDRGIPGHRWLGKVHQGLDVPVYAHFVSC VIVAIIGCLYLASLTAFNSMITACIVLLYISYAIPVVCLLARGRSTIKHGPFWLGPFG MFSNFVLLAWTLFTLIMYSFPYVQPVEAGTMNYVSAVYAVVVIIIAVDWFVRGRKSFR GAGERKNDVDHVVEVVSGRRVSSAS CC84DRAFT_1165393 MASSNLRPTLSELGAKVKELSETLERLLKESEVEAPTLASDSPV NISKFTPEIFTTKQKLQDAMNDLSIISQGPSESVFNYAHNAVPDMAALNILNRFNFWE AVPLDGSASVQSIAQQVNLPEEAVQRVIEHATTIRYFAYADPAHPSTSPIQHTSRSAA LARLPGLRALVSGCIENVGPSMLVMPEALEKFSLGKKDLPTDVSETAFKLAHSGGEVF GDYKNSWELLENDGVGEKKGWRQRTFIEWMAYLKDIFGTVDLLLGATDWEKEGSAHVV DIGGSGGHDAFALASAHPSLTITVQDLHECGPIFTSQVPATLASRVTFREHNFFNPQP VSADIYLLKMILHDWPDAQAIKIVQQLRPALRPGAKILFMDYMGKQGEIDPGLPRSIT QFGTSTDLRMMALFNARERSLEAWKGIVERADERFEIVRVDANPLTYMVVMEVVWRGE CC84DRAFT_1094734 MQAVGRSGARLAARSSRRVTAACGASRTLQTALVTTARPYTSSV DSRDATSMRSAFLSLLGSVSSRREIDQYLAQFRSVSSQQFAVVKVGGAILTDHIDTLC LALQHLYAMGLYPIIVHGAGPQMNKLLLDAGVEPEFIDGIRVTDKKTLGIARKLFLEA NMDLVLKLRQDWGIPARPITAGVLEADYLDKEKWKYVGDITNVNSRPIVDALKAKELP ILCSMAETVDGQILNVNADVVAGALARSLEPLKIVYLSEKGGLFNGETGKLISTINLD EEYDSLMKEPWVKFGTKLKIVEVKKLLDDLPKTSSVAIIHPQYLEKELFTHTGGGTLI RKGESIQTVSKFEDFEDIATLREALLRDRESLDSGDVIDRYLNNLKTRPFEAFFDDSM GAVAIVYPPSNDSEFARLSTFTTTKDAFLTNVADNIFERMKKKYPKMYWTVETQDENL GWFKEKADGTLRREKEIFCFWGSADASEVVSLLSEFSEHGRAMFGDQHLEAQLRRAAD YAASLKHGQQARAYSTMTQGARVMGQSSRPTLRSQQGRSSSRTFSTSAPQFIENTNPN PPYGIKFKSRDYPAKVALIGARGYTGQALIDLLNRHPNMDLRHVSSRELAGKKLEGYT KRNITYENLSPEDVKKMAEKGEIDCWVMALPNGVCKPFVDAINESGKEDAVIIDLSAD YRFDSSWSYGLPELVDRRKISDSNRIANPGCYATAAQLGIAPLLEFIGGQPTVFGVSG YSGAGTKPSPKNDVKNLENNLIPYSLTDHIHEKEISAQLGKEVAFIPHVAVWFQGIHH TISIPLNRTMTSRDIRNIYQDRYAGEKLVKVVGESPLVRNISGKHGVEIGGFAVHSSG KRVVVNATIDNLLKGAATQCLQNMNLALGYGEYEGIPY CC84DRAFT_1218450 MADREQPYDPYIPAGGNAPQGGAQGQSGNHRTAALQAEIDSTVG IMRDNINKVSERGARLDSLQDKTDNLATSAQGFRRGANRVRKQMWWKDMKMRMCLIVG IIILLIVIIVPSVIATKH CC84DRAFT_1177175 MKFASSALGALSASALLFSGAGAMDLNLDDPASIKKAAGIAAAG MRKLYTGDRPGDVAGNLPDPYYWWEAGAMFGALIDYWYYTGDDQYNNITVQAMQHQIG QYNAFMPTNQSKSLGNDDQAFWGMAAMSAAENKLPDLPTTGDLAGPSWLALAQAVFNT QAGRWNDKTCGGGLNWQIYQFNNGYTYRNTISNGCYFNIAARLFKYTGNDTYSKEAIK TWNWESRIGLFDKDLHFYDGSDETKNCTDINQIQWTYNLGVHLSGAAAMWNATQDDIW KTRITQMIKTMGDTFFKNDVMYEVACELNGKCNTDQRSFKAYLARWMGYTMLVAPWTK DLMWTKIRTSAAAAAKQCNAAGTNQCGLRWWQDGVNDGSTGVGEQMAAMEIIQNLLIT NVSGPVTETKGGTSKSDPSAGGEAENDPIVFSEITTGDKAGAGFLTTIVLIGILAGAW WMVSN CC84DRAFT_1148200 MCGIIAVIHADPKSIHAASEVHEALYLLQHRGQDAAGIVSGDSR GRFHQCKGNGMASRVFQDGARVADLPGFMGLGHLRYPTAGSSANSEAQPFYVNSPYGI AMSHNGNLINAPALREHLDKEAHRHINTESDSELMLNIFANELNETGKARINANDCFA ALERMYKRCVGGWACVAMLAGFGLIGFRDPYGIRPLVLGSKPSECGTGKDYMMASESV ALVQCGYKDFKDILPGQAVFIEKGEEPVFSQVHPLLKYTPDIFEYVYFARPDSVIDGI DVDESRRNMGFTLAEAIKTQLGPEKLAEIDVVMPIPETSITSAICVAEALDKPYVQGF VKNRYIFRTFIMPSQKLRQKGVRAKLNPMPKKFKDKNVLLVDDSIVRGTTSREIVLMT REAGAKKVYFASCAPPITNAHIYGIDLASSSELIAHHRDSTAIAKHIGADDVVYQNLE DLVRACASLSPRNPAEQEFEVGVFCGKYVTPVDDGYFEHLEKVRGESKKKKVVEKARE AVMHGVADQTQIRMAAKGVEVDQHGNVIPAESGSPEWGPLNGTSLTNGTVKAGSLNSS RRPSLEELRGGSGQDISLHNLNDHP CC84DRAFT_1093709 MTRAANELKMRCTELDDQGNVTMVSGEFKKSELIAKYGLLPRDL RKIDSSLLPNILIRPTAILINLLHIRALIKHNRVLVFDAYGTTDSKSQSVFMYDLDSK LRQKESAVTGNLAYEFRALEAVLISVTLSLEKEFEGVSEPVMRVLMELEEDIDRDKLR YLLVYSKKLGSFEQKARLVRDALSELLDADDDLSAMYLSEKAAGTLREEDDHTEVEML LESYHKVADEIVQAAENLVSSIRNTEEIVKAILDANRNSLMLLDLRFSILTLALTAGT FVAALYGMNLKNFIEESDLGFWGVSAWCTVFGCIVAVYGIRKLQRVQRLSMWGHGGDK HVATKGNWGVGAWGGKSRDSCGPGESMGVPRLRAGESLGDLIQRERLLARRIAKAEVH AEEAARSGAPGVGKLRF CC84DRAFT_826545 MPPTFNALIRTHHITSRKKVAKLRAAASNHNVYALLCYGGCPGI MYCQGTESGVRDWVSTVQRLRYKDFQLVKKPSEKLQEGPEGPVTYGKAEEVESVKDFG AKIQELGIWTWWRKGMGYVSED CC84DRAFT_1218455 MGMVAIRQPFSPNIRSPTLSEAEDELEAQLTAFSDDNAFIWDDA WNDIMTQQRSPLSPVGLARADSVTYDISPWVPPPQVPRKSSRRTTIVDYDGSFRLSKV HEDHIVSQLKRSRSKAKDLSIKIPESRRMTTDTFILSPIPIPPKDIKRTITPEVAEGV IFSILRNLESLDDLFACSIINRGFYRVFKRHELELMKAALRKMSPPAWEHREICYPGH DQLNEEELDRPRQEYTPTSFIQYYTRDMYIIAAIKSLIKDKCQSFMRPEISAAIVSEN PYRSARVDDALWRIWTFCKIFGSGRGREEDIVAQMDWLKGGLMVHQKTATHSALFADD FNETLASAPECFARGNEGGLSAEQLFDMMELWNCLGVLLQPMEGRTIQAREYGIYENT NVRGGDIDGEELMLDEWYYYLLTLGLSTILELTAPCTKADASVFILASQNGWANWNPP MFGATRRNFLKEAASRVYEDKIACTYAENSNKDVQRALSKQRIQKHISELRHRKNSGE RLNVVLMSQERPISEWEGVINNLTRPLPIPTSGNNLVSHIPSLHSATLSISVPELPTA RTPSPLPRPQSPPRRIVAQPLLPTPPPSTVPSTRDRSSVALSDTHPAFRQSRSGNIPE MPRLDEHPAFVNHMRRVSTKSDHESNPISAPASSTTNSQTHSQRSSPSLDSHSAFQQH PVQRMVQDLGPVENTVDRAVYRIVEMGFTPEQARQALRRTDLGDGLRVDRAVELLLRD M CC84DRAFT_1218456 MPAELRVMVYEALVVGSEPITVLREEQTNETHQLDLSLLRVNRQ IHDEAAAVFYCKNTFCIRPGKGKDVCGGVPAPRYLHLVRHLKVEGFLHPDTPGKAWAK KQGGLGQDGDGNQENERYISILTTLLPQLRNLHTIHLTITPPDRLSSKSVLAALLPLK HALPSILASLSPLVPILLSFEFDDCYCRLRVSPEFLRKDCLVLLPLTRATTRLFFNDS EIPHFTTQPSQDFVQISHMGSG CC84DRAFT_825889 MNPLMGLSITTLRIMTARAKLCVRLGWAWHGIRLAFLTTRLAVA QFTAVYAIGIAGASPTATTAESHGLETASGEETSHAAALPGLWVELRFDKGFFGIVHY NQQHQPFATYHPCCICTRKIASQVLHAESSRDGSFAGSLREAHGIPLLGRYTSASNAN ASSRVLTLLVCFQSSFRTLFTFQKNS CC84DRAFT_1218457 MSVLAFKALDYGADKLPDRIFEAIPGGFFTPDHKRHPKSKTKRR PLLDSRGKSEQRNDRGRRRSQRERTPPSEHLGYSSYDDSDYERDQRRQGRRRRVKSLG RSPSRSLSRSSSRGRHHHQRSSGFDGEGDERAQMDRERADRGPQFPPPPTSEYRQYNP QGYASPPPPATGGQYDPYRPAQSARQDQGYPPQVNTTTSRSRSATLPAGRPAPTPLQI LRSKLMSRSPSNMSTPLLPVSPLHTPPTMESLRIGTPLGASFLPSYEPPLAALLSRPA TNSPQPTSHTAARYTPGAGYAPSPVNVNSPIPPPPNNGYAPYNPADYASSNAGYPTAG NTYPSPPPFYRQSSRSQPSLAQYPYPDSQVAAYDSPPDRHGSSSSSRRHRHQDDRRHR ARSAGQHGRSRSRVTDKFRDRFESMDLHDKNLAASVGGAGIGAFGGRELEKRHDK CC84DRAFT_1165404 MGRRQRLISKSILRAIFSPKRAYGTDDRPSLLARILAFFAVFNL TLARYRAALFRRLRNEIWEIDEEEYTESFRSTSHNKRTDLVAVGDLGYSGSTFFTTPN SKFLLKSLPRHFEQSFFRDRLLNPYVEHMELNPGSLLVRITDLLYSPAPTIGAALGTA PGHHIVMENILYGKSECSTDDQEQWETYDLKPNDYFYPERDVAGGRLAPESVKDRLID EFPDKVRLTIDQKEDLVAQITKDTKILQENNAVDYSLFLVRYPASTASTYNVPVPPGK DAPWRTGLTSNDGKWVYRAILLDFFWAKDAVQAMAMTGLVNTFNFLKWGRDHGPMSIT TTSDEYRTRFLRMVDDMIEVPDSSGQPAGQLRSQPPSTGDLIGEV CC84DRAFT_1056087 MAAADIQAPPALPDYVTDPDAVLKDTNVKWRYGRAPDYSKTRQV FAATKTMNHEALSLPQLVENLVKNWEVEASFKPTLSDWRTIDHEKYSFAINGSESQDA YYMLKQGTYNAIIAPNEYYSPEYSDFASSHKTFKRMMPTFAWEVLEVYSGPPTVSFRW RHWGLMKNDYVGFNNKGEKVSAKAHGGAIDIQGVTVATVNDRLQLQSVRTWFDPMDMF RQIAPDGVVKKEAVDKSLSPGDALD CC84DRAFT_1177183 MNHTVASWDAPVAEPAEFSSTVTPSAATAATPLCCLPWRASVLP ACELQHGGSSQCWPAACSRSCSLSTTVTVLGARAWQRRQAAAVQAASQTAATLNTAPR LASPLLPGCVPGAFAAQPGSGGRQQARRERGGSSRHRAAPLHGLLFPVRLAYTGSACP PLRRRTASPARPSQLRGLSPL CC84DRAFT_1187933 MNDTCFSYLGNASPRSHPYAPSLSSSASSSTSSVFSEAASQTSN STSLSSSWGAEEWSSGSRPIISGSLASLAPISRVSTFPQYQAPCRDTARDRVLPPLRT EVPMLTEQRQHPRRSSSTIGRQPPQLVRQSDRKVNFVDCLVDSATQMVEVIWPTSVPA SRCESSSGRGVLPLRTFIQETLRRSRTSYSTLQVALYYLILIKAHVPKHDFTMEQPED VASVRALQCGRRMFLAALILASKYLQDRNYSARAWSKISGLKVCEINTNEMAFLAAVN WKLHITDPVWDKWQEIVLRHTPSNPPPSPGGCLPNTWKHVIPCLTPELDIVDVTPKTP ITPVRPTIQSYATQYPVRSPTSERSSGSQESTPTPSHYRVPRFLEPNPDLLPPTPCLP RLGPLPTPTLTPQSTVANTPAAGAMAFGMRRPSMCSAMAQAQSSSLARSCVDQYTPSI RNGLEAYHFPSRRPSLAPSTASSASSPESMITDNSRSSRASSISSVSSAGWAPNQAKL ARLATCRSARLPYPALSKCSEYAVSDVMSSPDLDSFHIDDSDATPVKVDSPAEISMPA AKANKKRGRSNTDLQQNVRHLLQDSRSNSYGPSYGSRIVLPDRSVAQSFVPTPSGAEL KGLQSPKSTVPERRVPYQKEFGKKRTCCGTEAAQGFRRSGPGMWEGVL CC84DRAFT_826439 MLDTFEILTTSGVVLWSRRYSPVGPHVINSLIRDVFIEERVPLH QDDAGSKPAYRKEGYTLKWTTAKDLGLIFVAVYQSLVHMTWIDKLLDNIRALFVGLYG EQLSSKHGSVLNCDKFAPYFERQIQDLEGQSDSGFSAPGTKLTPPSSTDNESADESVP TVPLQKLQKPLYDTSADSTPVPTPDTSRPSTPAQSHLLAGKAGPGGKLSRRNKKKFAA SSAPVSSGDEASVKRKGKGSAKKNRVWGDFGAEEDDPSVVLDYSQSDLGDEAPEGEAL AEINPESWGSRTGKGQFVLKDLDMEMDQIIAEQNAKKEAPASAASGGGLVGSSLGAIG GLFRNVVGGKTLTEEDLAKPLKGMEDHLLKKNVAREAAVRLCDSVKRDLVGLKTSSFT TVEATIRTSMEKALAKILTPTSSLDLLREIQTVNAAGRPYVLSIVGVNGVGKSTNLSK IAFFLLQNHHRVLIAAADTFRSGAVEQLRVHVRNLQELSKREGGQVDLFEKGYGKDAA NIAADAVAHAAKTDFNVVLIDTAGRRHNDQRLMSSLEKFGKLAKPDKILMVGEALVGS DSVAQARNFNQSFGPGRGLDGFVISKCDTVGDMVGTLVSMVHATGIPVVFLGVGQHYA DLRGLNVESVCRLLMS CC84DRAFT_1094713 MYQNPPPAHSPPLHHPVPQHVSTVPQLRSPPPPQPPSQPQSGYG YGQQHAMPAQQGAYGGNIPPAFGGFINDPTAQLGFQMGKSAMNAGQEYVEQNFGRFIS VSALKHYFNVSNTYVANKLWIVLFPWRHRPWSRQQRMANNPSDVFYLPPREDINSPDM YIPMMALVTYILLSTLLAGLRGAFKPELLGYTTTQAISVMLLEILIIKLGTFLLNISS SSQLLDLVAYSGYKFVGVIVSLLLTSLFSQLNFGGAWISWVVFVYCFNANAFFLLRSL RYVLLPESNPQGVGGGAAGYTSSRSQKSARTQFLFVYSYVIQLGFMLWLSKV CC84DRAFT_1218463 MDASSQSKPEQYSWPPVNTFQPPSQPVGAAEHDLGHGARKRHLV GRANGNADDEIDPAPIGKDTASGTPVPAPARSGSANNSTDTATTGRSPSTTKTYHPPG KAKYFKSRLIKDKSKIDKPWLYEKRDPREKWQTIIPMCGFLIGLGIAGFLIWEGYHSV SRNLYCEVMSDNFSSGKLNESVWTTEIGVKGFGSSFEQTTKDIENVWIGDDGILYLRP TLQDELLVNNDTVIDLRNRGCHSKDWTDCVATTNTTNGTIVNPVKSASVNTMLGGSIR YGRVEVTAKLPVGDWLWPSIIMYPKNNTYGEWPKSGQIDIAQSRGNNFTYKQGGNNII ASTLHFGPNVELDGWWRNNVKSTAYRETFSDRFHKFGVEWTDKYIFTYIDTRLLQVAY THFKKPFWEYGDFPTADRNGTRFVNPWEWGTHSAPFDREFHLVLKVGVGSTNGWFEDN RSGKPWLDRDHNAAKLFWDARREWYPTWEKQGWMEISEVKMWQLAPHQGCKPENAQRF VG CC84DRAFT_826348 MPSAMVSQTVQGAVLSVTSNILAQALTSYKEDTPFAISLPPILK FAIFSIISNPPNILWQTFLEDTFPSNVPAEPLGKQIKEKSVQTTRTSKRNLVAKFTLD QTIGAVVNTLMFLVYMAYVNDSSAKDNASWSTVQADVSERFWPMIMDGYKFWPAVSLA SFLWVPVDKRVVFGCSAGVLWGIYLSLSVAS CC84DRAFT_1094547 MPSPTSPQTAAIVVGSGLAGLSAASQLIAKNVPVRMLERGVKPG GNSIKASSGINGAPTRYQPTHDNAFFSDTVKSAGKAMVSFTQHREILIAKVTESSKDA IEWLVQEKGVDLSKVSIMGGHTHPRTHRGAGQTPPGFAITSALLKSLNSSPIFQLQTS CTVTKVLHSEHRVTGVEYTSENGHREKLLGPVIFAAGGFGGDAEGLLAQYRPDLAGYP STNDPRPGTQPLLTAVGAQLIDMEYVQVHPTGFVDPAAPSSPLKFLAAEVLRGDGGIL LLNGKRFVDELDTREKVTGAITATLPEQALPKQWSVQLVLDEGVYEAARSHVDFYIFK GLMKRSTISDLAPGALGEISRYSQVVRGEEADPFGRTQFAHWKLKEPTPESVVYVGTV TPVVHFTMGGVVINEKAEVLKKDDERIEGLWAAGEVAGGVHGANRLGGSSLLECVVFG RVAGDECVEYIKGQM CC84DRAFT_1094364 LVATLGAKSTSKKINRKAIQDVDVPEACRTIINPAAPMALRLQG NLLYGVSRVYLQQCGYVLSDAQNMYNSMHLMLRSVEGAALDPHAGKARPDQLILQDDP SFLPEFAMPPPELLAELERGPMLPPLRTTGESQSLTPFEFQQEQDPSTPGPAVGLILP TSSSVGPDGLVLQGNGRSSIGGQVAVDDMIVPDAPDFTFDENGEFVDLIETNLNVGTP AAPGGGTVHSDAGASAKVRQDHEDGLRERDQVIDNQMDIDFPMFEDDLPEGEAFPTGG GSLQDQPSEVVESSDTVVAPIRRKKRTARVLNNDTTMELRNKELADWNANYLTNMKEA SKHKNQYRINQLAKKNAEFWLWGSGIGGIAARMQGINGPTPFDRFIGNNLFELFTGVS RKGGARSKRDRDSGIDEATQEESRRVRQRTDELEEEVGRGQKDEGLFIFGGDDVELPR EEQPPLDDQRRFSEMPWNITASVRGSSAVPLSGKSLQCRNARMISESPLHRRSHPGGL DALKFLDDAEGDLGDLGEDDYGVAGPGISSDMPEAMFAGPDSRVREAPTAEGGNFLIF VADAIHEKRNRIDLDIAPGSGSHADTATVVDEVSFDEVVPLNTNNKFIASQALMMTLT LGTKGLLDVRQGEHFADINLSLTEKGKAQVEMPPQAEN CC84DRAFT_1148231 MLRPVVVKHQGKKHDVEVDPSSDGATFKLQLFSLTGVEPERQKI IVKGGQLKDDADMSKLGLKPKQTLMMMGTPSGDANTIERPKEKIRFLEDMTEAEAAQI DGATPAGLQNLGNTCYMNSTLQTLRSIPELQEELLRYSARSSNAGSSSASQLSQFGLG GLGASMDLTGSLRDLFKQMSETQQGFPPLMFLNALRTAFPQFAQKSKDGHGYAQQDAE EAWSQIVSQLRQKLKIKDEGSSNGQAAKDLSFIDKYMAGKFESVMECDEPAAKEGGEE PVQSEDTFFKLNCHITVDTNHLRDGLAAGLKEQIEKRSEALGRDALYTKTSRIARLPK YLTVHFVRFDWRRTTNKKAKIMRKVTFPEELDAVEFCTDDLKKLLIPVRDKIRDIRKE EEDVERARKRQRRMKAGEENDAGPNTKEPLQKKSEAKQAAEKAKEEDVEMPDVEYKTE AQIEEERAASILNAKKELLALVDSKMAADEGANQTGLYELRGIITHQGASADSGHYTS FVKKQGTKDPVTGKRKEEDGKWWWFNDDKVSEVDSERIQTLSGGGQSHSALILLYRAV PLATIDESNNA CC84DRAFT_1148236 MSQSLRPYLQCVRSSLTAALSLANFASQASERHNVPEIEAASSP EVLLNPLTVSRNENERVLIEPSINSVRISIKIKQADEIEHILVHKFTRFLTQRAEAFF ILRRKPVKGYDISFLITNFHTEEMLKHKLVDFIIQFMEEVDKEISEMKLFLNARARFV AESFLTPFD CC84DRAFT_827017 MSTFSRNLCSTASRSLRQRSALCARAVRPIALRTSPTSTRIAAA TSFSTMTSLKSAAPPVSGNREYDPEIKDVANYIHNVKIDSELAYDTARWVFVDTLGCG LKALEFEQCRNILGPIVEGTVVPNGTKVPGTGYQLDPVNGAFNIGAMIRWLDFNDCWL AAEWGHPSDNLGAILATADWITRTNKNGGNLGNGKIFKVRDVLEAMIRAHEIQGCMAL ENSFNKVGLDHVVLVKLASTAVVSKMMGLNETQTRDAISQAWVDGQSLRTYRHSPNTM SRKSWAAGDACQKAVNIVLKVLKGEQGLPTVLSAPVWGFYDVAFKGKPFQFQREYGSY VMENVLFKVSYPAEFHSQTAVEAAQRLNKKLKAMGKSAEDIESVVNRTHEACIRIIDK QFKPMENFADRDHCVQYMVSTMFVFNRLEATDYPDDSEAATSALVESLRQRISCVEDP QFTKDYHDPAKRTISNALTVTLKDGTVLDEEVVEAPLGHRLRREEAKPEILAKYKNHL GPHYPAEHVNKLVDLAQDGKTLDNMDIDEYVDLYVKN CC84DRAFT_1165417 MKSFVPLALAASVAALPQQSSGSDCKDSRDGTFAISVVNVTDSS TKRSVERRQLSGILNLSLEGGELKDQAGRTGYIAANHQFQFDAPVQEGAIETSGFSVC GNGTLALSGSAIWYQCYSGGFYNLYDETQGEQCNPIYIYAQSSGASESGAVSQSPDGQ PAASTQVSAPAVSQLTDGQPQAPTSQGAAPTAPVVSQISDGQPQAPTSQAAAPSAPVV SQISDGQIQAPTSQAAAPTSAPVGVPISQISDGQIQAPTAAPSAPLVSQISDGQPQAP IATPAESTVAAPQPTGGLVSQISDGQPQAPVASGTGAGNYSSNATATGSVEFPGAATT PMAAAGAMAAGLMAMVFML CC84DRAFT_1165418 MPVGQQESGVTSGVKFVTSTVGNTVGGVTNTVGGVVGALGRGVG ETLEGATGSAGRPVARGIADATTSIEDGAGKIAGGVKRAGEGK CC84DRAFT_1165419 MVVKAPKVGDHISTLDTPSMIVDLDVMEANIAKLFKQLLPTGIN IRPHSKTTKSAILAQKLVDAGAKGGCVAKLSEAEVMCEKGFTDLLITCEIIGAPKVQR LVELFRKYKSIRIVVDSEVGARAIDDALGKSGIEESITTLIDLDVGLHRTGVQPGEPA LELANVVQSCKHLNLIGVQGYEGHLQHLHGHEERKQECLKSMAILTQTAEALRKAGHN IEVVTTGGTGTAEFCVTVPGVTEVQPGSFLFMDTDYRNAVGSFYQHSLFILSTILSKQ GPKIITIDAGLKSLTTDSGFAECKTLGYTYGVLGDEHGSLSWEDDALELKIGDRVEMV PSHIDPTINLHDFYYAHRKGVIEEIWPVDARGKVQ CC84DRAFT_1218473 MECPVEIRTVSLGIYSSFSTAQAVAMSALELNLKRLQSAGFMGK YCNDIDLCRRGLITHITKNRQERPLSEYQIEALPLQDRAVRVDSSLGVFGEQNGSPNP MVSLPPKQMPPTIFKIEQDPLWAQSRIPYFRKRDDGPFRSVNEPAYATYFVMNMPEAR KFAVNVVTTNKDDKKRAVTGILKQRMTPDPNLEVQTRPDLLETKVEKLTSTGSTRPQQ GGGKDIEPIYQGRRHSISLN CC84DRAFT_1218474 MVKVLLALLLLAIFVTASPAILRGSTSCSGQYRCSYNLSGIETC DMRGWHTAATCKNTTCIVNVGNGIPYCNDP CC84DRAFT_827384 MAVDAHGGRPPAGSPRPWRLDWTRRALATPYQRVWRNEPKATVG QRRSDCTFQPTSVVGLPQSRAEGDVEFASTCPWPAGWVRGVGYLGDRMGDRPHAFPPW ASCKPCAHRCNVLSTWQEASHVHITMLAATLDQEHVAGTPQCASHQKYRRGCTNSAKL SPPSQLNLPRNDVGPDSHKRRHCVALGMPPTLRRSVLFGLGPPIYVLPDSTKLPHAIT TFPPLVRANP CC84DRAFT_1187945 MVYPINYRRPAHVSRAESSRGSLSGTDKQKSIEESVKSGSSGMS HGIPEALSFDRIISGGTCPPCTTRDFMNYLKYIELSAENLQFFLWFRSYAKRFNELPG NEKALSPEWFEDSETEAPARPKQLSPETAAVFKGTDFATDFRATEAEKSNPFFTPPRT PNSDLRREGGESLDSYDASLASGAKTDHAQRATGAFESAGLKWKPLSVQPYREEITRI ISIYVADGGNRQLNLSSKERTALLHALQNTTHPSAFRDVIATVEWSLRCQAHPNFIRW TICNGNRPRVIFARGLGVGGIVGGFIAALLITLSSAGRAWRVLSLIGFMIGISTLIAA WKGMCVVLHGMHHRHLRPWELFASDDEPAASIDLKGDSFDSIISHASSNSYEDEPWVA RYEKRNVVRKIFDREVWIQEPALRQIQDTIFLQAILGATVLSFIIVGIFCAVPHGNFY CC84DRAFT_1197022 MSGPTGKWRCLWGPDDQLGTLNHLTAERVQGTCRKGFRTGEWAT LDDEWLFNTQCSSQWDRCRHYVYQDERLFYMGHKASVFAASPKSSGMQHMPKKGIAGR AFFKDEVDAFSSHAIPFLEPEAVAEIIPLASIQPGAILMIQSGYLKQYNSMADAKRTE LDGIYQHTNRSNVGVQASQEFLSFLWQNQVAAVAGDSRSLEWQLHQWLLTGWGMPMGE LFGLEELSKIYAEQDGGRGDGHSS CC84DRAFT_1165423 MGMGAAHSRASNALWARRSRKAERGYVVLHYRARADRDLPATIL HQRVDWQQPRPSWKRSIGLKWTLAAGNIGSLIGTNILPIKESPR CC84DRAFT_1165425 MASSIPVILCGRTEGIGAGVIEALKPEIEVIHFILTPEAGKNQI PALLKGDKNVLSDSGLGSKNYERTAEALLLGAGYSEEEIEEMREASKEVKGVPWLRPD TEKPAPPLGPEYGKALVARIKETMNGLAEKGEMGQDAVVWY CC84DRAFT_1218478 MPEESPVTDRPDEKTNDRKKPKKLGGGGNETEGALQKTAPAQEN SEDDEDAETEPEPQPMPKRRGGRRMVPVHQSRIADRPPKVGEKDSSLKIKIELDLEVE VEIYARVKGDVTIGLL CC84DRAFT_1148252 MVLGILTAIAACPAIIGTTEAVRQGQRQSAKEKHRGLKTKLIVN CSTASRTGKEVDGCEVVLSNDKLYLAVASPEYEDVSEDDHPFAGYFLPYPDQNWGRQG EGLVSTISDDPPQLNWIYVDRDTHEVKYGNREVSESHVIGPWDVTKGPDKRVTLEGWE GFMAVKYGPGEWALYFDRDDDGLAGIIDPEMETIEVVLVRRERKQEKPHDDE CC84DRAFT_827406 MWEIQELGEGEAQRGHATRSLWMVSILIVLTQDLVVLVQSASNS SVHMCRLVLCLMFYLLSSGVTQSLLS CC84DRAFT_1165426 MASSEGEPTPGISGSDIRDEPISESETKISSEVIASNEQAATED TAECERSTTTAAADTAGIFSKLSQFHDPAVPLAAGFVDGPRLTKEWPTRAEEYNELHK ISKESLEKEAEREKKLEEATEAARKFQKEACDIREEFEAFKVTMEESNRTTLAKDRIF AQLDNASLRLAATSILFGEIALDTIPPSVQVVSAKGEVTVLEDGEIRRAVVQKGVEKA KDLLGEVAADLLMLAAPLAGGYRNPFDIPPEAVEAARKKEGGLSVENLKTAYIELTTA NGPEERETIDVEGMLADDSGVQEIVRPRMNSPARSGNLRTAFVKKESTPVSGISVESD RAKKAPRAKREIKNEEDAKTRSSNAMEERDKKRRRAISTVIVEPTPQRIRLTVKKPTT AMLWWKHGHVVPEHADTALEKHQGESAMRCKTEHGTGWVTYGSKAEGSKQVNCPECNK KMTEPAPFYLPPNRIVYQLRLGWGIDERGNVLNEHGVTIDFPFNNITNYVDEGKNAVD GWKEGSTYMKIPVQEQPSGGKKNTSLGPKASEKVSSADSVMPNFFPGTRRSVTGVRGF KGYADNIVNVADFHVKRFKKRAPKFEEEIGGAGVLQVLLQGLLKEVQKNTSGLFDICK DWFIERDIREWNDDQSITKLQQDLGLRVRPKKRKAKEMKAEDDDTGFLGYQPRKNRKQ SYGGEDDTEIDNGLNEYSFGGYIGYDEMPPDQYGL CC84DRAFT_827420 MSLHTAQMNPKTFKRLSNAKMRDEMKKIGLDETGRKVTLVERYE AWWIATSEGQESELAQEPRTAGSSKKSDDSVGGRAGILSVLLFPHATKISAATASCLT LLEYGKKGEFKLKDTTEDLSSFLIDVKIFVENWVGAQREVTPATSSNIARNIYELEAQ LSKRLSLSPVDPDIVGLLTRWGLRDVEPAISPPNYDEVSTTQKPREQLTALRKELHIV ENAMRFRLSRWLTSFPGESVDQVSWPTSFSIEEKNAMREFIRRQMSVEMWIEERSNED IAIDVTEIRNSEEFEKFPVVKGKAASIVTQILKELRNTKTRSESRSENRRARKSDSSI TSSTNSTVSTNPSSTISSGASDSTKRARDEDDDALGEAADSRALHAHSRKRAQN CC84DRAFT_1260348 MSAGLSRAPPRAKLSANDKRKWDRLKADSEKVKELRAAVQASEF YDYSSPTVVDSRARARARFEEYVEEQFNVSDIEGIWNSATITDRTKEWLGALAASQTG TIEAKMKASTLWIAKQAIYWWCTRFILDFSTVFHAWHNKTSAHIHYIAVTEGLGTTFL EKNNLSDVELSLFFQHIMRQSYGVQNYKQHYVAMLLAWTTSARPGTFTVSKRYHKGAR TGIEGTVREVAQTLYWKDVAFRRLEDGDIAVRVTLRYHKGYRNPHATGAHFDPSKTFT FLPTLGSRFEFDLALMLTGLAWNRGLFVGYQSLEAVLDGEEEFLRTNAEIEEQAVFVQ ATRAGGIDVSKPMRMDALNTKLQELCISIGLMVRYTYYSFRRTAIIETLRKSGLDAAK RLANHAPGTNSVNDSYDNEGLADTDITADRLDISETRMTSKEIRHWAGQARLRYDPAA SEDFVDLRGALKARVAEAKQDDAQYVEIELALANLYQQMLFKLDHLKETGRMDVDCVV PHIYASHAGTRLREMARQYGLHTEVAELEIFLKERKIAHKGIRNRLTNDIKKQLLEEH KQILKINKTQSSRAIPGKGYQPKAATIGLERVSTDMDRRSAAVVDTVNVDWTEDDESE QDLDEETVERHETHEGGRSEPACWDGLADEITIRVDRSDGNYVEERKQQNQSVEMTHQ ERRNEFLVMWAMKSDCEMPNAKLQCRLCLADPTQPQKAKDTLYSQKRLNMHLRSGTHS RKSQLMRAINLELKAQERNSMLRCPICNKIPRGLVGKLSTKMMAHIEDAHPEELWNPE STTAEEDDEEEEEEQMIAGPSRRFSRPSRFVVDSDADDSDEPSSTTGYATRRRPVVHE DEDTDEDFVPEKKPPRFSGKGKAAIRD CC84DRAFT_827437 MAYSTQGHCPSGALFRTSIISPERAIACSTSFHTRLEYFPRPST TNCPLSDSKFYATSHQLLTLSLNIGSLPMAQRKYLLHMFPSLPYPSPSLSVASDVTFC IYSLCFLALSQHIASLPMARRKYLLHLFPSLLYPSRHIASLSIVERWHILRLFPVLLA LPHYTTILSIASDVTFSTCSSPSWPFLVTSLASPSLSDGTFCICS CC84DRAFT_1165430 MLDFLSVVRLLSIVHLLPWLHSSSPGPRHAADSVLGQLRDADAM PWRLLAASRYHGYASAAESVPRLSPSSPITSLVASQIPRRRLELVGHLDSTLGQSDLR LRGRADVLDGSSPLVVRYSTYHTVRRG CC84DRAFT_1165431 MACPDCFRGGVVTGDPKGTIETLYGVTTYVAKPQGTSSSPSTIV YFTDAFGLDLVNNKVLADAYASATGIRVLVPDIIPGGPMPAWVLDTMENAMGSVGLFD ISGQINRILMFASLLRQFVPFMYRARPTIPATFNICLDYARKVKADLPAGGKLGLAGF CWGGYLSVNLCAQADKEGGGQRLVDASFAAHPSFLSAPGQIVDAVLKFKSPLAIAHAE KDFNLKTPAVEEAEAALRQKAGNGDGENGYSYQLTTYKGVDHGFAVRAKSGDQTAAAA ADGAKEQAIEWFKKFL CC84DRAFT_1148258 MSLVDADAGTERFSGYEADLKLVQADLNQQIEVIKESTGEPRKA AISKAERALEEAEELIDQMRLEKSNIPANLKSKFNARFRNLEHDLDEAKRKVQSYSSD RSKLFGDRYTDNPDTDAQLEQRQQLLSGTDRLQRSSGRLTAAQRMALETEEIGAGTLS DLSRQREQIVNTRERLLESEGYTDRSIKTLKGMARRMATNRIITIAIITVLVLLIIAV IYSKFR CC84DRAFT_1122081 MERKRIAIVGSGISALSTLWTLRNTPHDVTLFEKADRLGGHTNT ATWTSPLNALETTPVDTGFIVLNVATYPNFIAFLKELGVKTIASEMTFGVSRDNGAFE WSGTSLGALFAQRGNTLRPSFWRMIFDIVRFNMFSLDLLSSPAAPSNDLTIGEYLERE GYSDAFRDDYLIPMTACVWSTGPDKCALEFPALTLVRFMWNHHLLSTVAERPPWLTVE GGSKRYIDAALKECTGAKIKLSTPVESLKRVNGRVELVLGGKGEGRKEVFDEVVLACH GDQARSIVGDAATLEERDILGAFETTPNIAYLHSDLSLMPKRREAWAAWNYLTHSQPP SKSTDSSSGNLQSVCLTYNMNILQSLPKSTFDDVLVTLNPATPPSPALTQATYEYRHP LYNSRMVAAQEHLEQIQGKRGVWYAGAWTGYGFHEDGFSSGMKVGLRLGGNVPWEAKN AKFSRGTAPVLGWKDFVLRAVVMILQLYVSLIDLAVGGRGRTLRPQAVLQPIGNGVAN GKKNRMANGKKKAC CC84DRAFT_1165435 MLGNGRLEAQCFDGTRRLGHIRGKLRKKVWINQGDIILLSLRDY QDEKGDVILKYSADEARSLKAYGELPENAKINETDTYGDQGDEGIGFEFDEDRDDSDD SDDKDGKELDIDDI CC84DRAFT_1165436 MKSIFNRFSRFQPTTFKVSYSPEYRTYLQHHAASDWLHPLHILR RRELAERKREALWWHVTSGIDLSRSSVVRSWCRRRLRGAFTEGLKERGFDEFGRLLNV AKLREQKGFKSLSERNADLSLDGSVRLHITPALVTAKYADVRKETGGIIDILVEALRD NLQASHLSNPRPQPRSQPKTLPQSKAPSRPRETASQVLPSNQSQEGQLSQLNLPSHTK AQSPVIRYRSTPSNSHPPKISTKRKTQQPPDNAKPQRHSRDTQ CC84DRAFT_827500 MCGRYPPANMSTAAANRQYFDAITDTYDSKPFFAKVNQEVTDDL RNRLDWIGIPFANIESSSDTQTVRQLDYACGTGLMSRVFGPYVTETRGIDVSPNMVAA YNARARAAGLSDSIINAVVGDLFDRDNPTPTGAEWDGFDLATASFAFHHFEDVVHAAK CLKERLRPGGVLMINDFLEGGDLKADENGEPIEGTEGSWHHHHSHHGHGHGHGHGHGH GHGHANKEHGHEHHEPMKVEDEPGWDRAKMSASIVVPSFTVDGVKKFFTDAGLVDVDV VTMEKRVYMEFGGQKLWRTILFARGRRALEAKSEL CC84DRAFT_827499 MLNRFQTRKQKILEQLTVPDEEYQDLSPKGSVDAPIRALIGDLN VLEGLVTTSSCSGRISVFLEGRKADPTEATPQDESHAGPGGKGGGGQWLYISHDPIAI PLDESSDYMQTFGLVKGESGAGSFNLACRYIHLKFEPMILHILTASLEDCQRVLTAAL SAGFRESGAMSLAPSRSGELNPMVAVRSTGYSFDSIIGYHDSSGQNIALVDGNYLRTL VHVANERFQINTERIARFRVALLQQYQQNERHRGAISEWEDAGARKARKRAEGLARQQ ALKVQIESDSTNNVSAATQDGDLSNVFD CC84DRAFT_1165439 MPRKSTKPAEPTLSRGPIKRAAPATPSRQSKRAKSGTRTSYAEP DSDDDLDKPTASPSDEDSTVQSDYEHESVADSPSDSDQLEAASEEDSKAAKTLRGRSA KRSTLPMHTKKDGGEELWKSGAKLELGTQVIIKKPKARDAGDTPFTNETIHPNTMLFL SDLAAHNDRQWLKAHDADYRTSLQDFTTFLESLSEKVIEADETIPELPVKDIIFRIYR DVRFSKDQTPYKTHFSAAWSRTGRKGPYAAYYVQIQPNGSSFVGGGLWMPDARSLSAL RQDIDRKPHKIKRVLTDANIRKSFLGGIPEDEKKAVKAFTNQSSNRSNALKKHPKGYD SDHKDIDLLRLRNFTLGTKLSDNEVVGANGLDRIAEIIGCMVPFITYLNSVVMPDEDS SDSSEENGEESASEVDGNDA CC84DRAFT_1177210 MRRAVERSSAPFQARKAPPPLLSPTSPADSSVITAGGTGGTDVL RERVPEQATRPETLLQSVARDHGPARGSDSGLWMCEPGSAVSAGAVRLTTICATQGRE DWGWPNFIPRSSALYRETPEAQYRGRTKGAYRLDRIPRSVSRQYSPNKNGPRDVAPRR SSAIPGVPRACSGMIWEPVPLGDAPNLWKAGRHLLSSSNGCNSSACVARIGQVITIRP VIPA CC84DRAFT_1177211 MNQGRRVGGVVGWGAVVVVREIVWRGGRGRTGSSGPRRRLQVRK AGSAVQTRGLGAAGQGMGGLGAASDSRRGAVRCGAALADVLEGAWRMLRVEKEARMGD PEDWKRKGGEGCGGRAITLLFRARTPKAAHGLGFVPALARCGLLGPRWAEADRRASRA LARGRGRRRRLWRGAARERGRPDGSQGRTQAQTPTQHDEQPRAAKRAPARGACVQRRT VPLCCAAAAALCFGRRRAGVRDWAGMGSAAAQLQQLEWRFVRAERAKLSGKTLLMRES PPPLATCTREACTIRLASLRQTAHRPPHASAHRSNPGLPPPTSPSTCWIHPPPRLPAG SPATTRRRCAPCALPRCCSTPHPFAQRCAHRPGPTPAGRSHAAALLPCCRPPSFCPTS QPAVRVVAWCRRPACSVHSARLGAVSARPFAHAHPDPQDEDARRPPGPPGLRGQPAAS RSLGGG CC84DRAFT_1218491 MFPHQGGQGQLPTHWQPPTSQQPAHGSYPPPPHMNTSLPPPPAQ VPRDHRPDMAAHHHQPQQPYRLPGPGEWNQAPPQPDPYRAQQPPPGYMNQHHQPPAPR QRTAIACRYCRRRKIRCSGFEATDDGRCSNCMRFNQDCIFTPVSAQTQAFVPAHTVWR GVGQPPPMYGAYGQPLPPSQHGEAYAQPPRQQQSAPPPPPNYLPSPTGPPPGPYQAHS AYGPPAPYDDASRDALNGRKRPNPEPHTPTLPPPRPGSAASQPAHRGAGPEYSYPEPP NAASPATSSASYSSAPPPHGQQPYYAPQPPRRTSPPSAYPYEAGRASSSPHASQAPTT PGGTAYYPPPQTNGSLQPLPPRSDGTTPPPNSQPASRPGMRINDLVSDSGNGRSSTDS NMLNMLNRRPM CC84DRAFT_1218492 MATTLVMRSLLAARNPLDDAKDTLSSWDKCMAKNYCKWPVIVAI IVGGIIVLSVVLCIARCVCCGAELACCCFKCCTCCCPSGGRSGHKRVKTPPPRPYDAS AYSGGLPPAPVPVPMDTRPPQQQYRSHAAPTFSPAPAPTFNPAPAPSVSSYNTAPKAE APQFARFDAHAPPANEDALPAMPSWRDARTTHVEEEYIPEKRDDVEMDRLDQNGSVAG TSMAAVAAGGAARRSPGPARSPVQRLQAEEGYGDPQGYQDGSYANNAPRRSPSNAPSG YSSAYSQQADGYRGASPVDQSLSPAPLYGAGAGYAQNNQQHDRRSPGPGYNGSSRRSP GPGYDQYGQYGQQQQQQPQQYGQQPQQYGQQNTRQSPFPLNTNNYGYTTNNPQYSPRD VSPVTPSNDMYNTGVPAALAPGRDPSPVYAPSGSTRFEPPAPSGSPAPAYPGQQTYGA TEAAQPAQTPYRAYTPAQTQDPYAGGVPRRPVDGSWKEV CC84DRAFT_1092743 MSSPGMHHYPNAQKEFKPPLIANENAYLGDVFSSDKINPDKPIS AGFYRLEKGTPLVYTYTYDEMKIIVEGSFHISDESGKKVHAKPGDVFFFPNGSKITFT TDDYGLAFFTGQRKEGAS CC84DRAFT_1187957 MDVDSNASVNPVYGDKIDGNSIRLLKFSQSQDGRLTGRLKKFAL AHAPHFYSASYTWGAKAYSGTVIELKTGQLPVLQGLLPFLRMVSRHEDFHDEDWWWID SLSINLADGQEREQQVQIMADIYKKARRAMVWLGEEVEDGSDCTGAIDFLHYLQNLQP LFSGKNAEYVRNNLRSSGMSVQWASVSNLLSRPWWTRVWTLQEMILPREVKFFCGNTS ISRGKFKAAMYSIFLCSVGDRDMEVELIPRQAFDTAFNRRRVHQWHVHPKARGIALVA VLAYLGNHSATDSRDRVYSVLGIITARDRKLIGKPEYTTTVQHQYSKLVRSFYDEYQN LDILCFSHLFSRYSGPSDPGYENAVPSWAPDWRVYTEFASPVPLMASQSASEQHIGNF RPLHGQKWDAMYDAPGQRLRDKADVRFHDNLKELWCNGVILDKIESVGALGGCDPRCR SFVCARDEPLHGLVQGDISMHKRTLESADLVSILTKIARSLVLGRQDKYLRFVAPQYY TSDFLVLCNACLESEILPEDPGETAISTFATWFRGNRHLRLGTHTLEELVENIISSSN PPLFDSLPVPSIHPGSRSDRLPMYPPNTSADANSDYADNFLSRFLDTIRKKSRRLMVT NGGLVGMAPCRARPGDAVVALFACSIPLVLRKAGARDGWQVVGEAYVDGYMNGEAGQL IKRGTSNIHRFRLV CC84DRAFT_1093312 MSQIHALSDDQVAGELKKMTAFIKQEAMEKANEIRLKADEEFAI EKSKLVRQETAAIDSSYEKKFKQASMSQQITRSTVSNKSRIRILSARQELLDRLFEDA SKKLGDVSKNKSKYQGILKNLILEGAYALNEDKLQVTARKADYDVVKKAIEDAQTEYK KKVNKEVAITINESDPLPEGSSGGVIIAGTGGKIDINNTFDERLKILETDALPSIRVT LFGENQNRKFRD CC84DRAFT_1260362 MVELSAGASIAVGVIVGLASTCIQSIGLTLQRKSHLLEEEKDDD YERRPPYRRRRWQLGMLMFVVANIVGSTIQITTLPLPVLSTLQASGLVFNSICASVVL SEPFTQHSLAGTLLVAGGALLIGIFGAISEPSHNLDQLLQLLGRTQFLLWMVVTLVIV LALIIANWLLKRLYPRPTPRVKLVRGIFFGCVSGILSAHSLLIAKSAVELLVRTIVDR HNQFNRWESWMILIGLVAFALTQLYYMHRGLKLCSTSVLYPLIFCVYNVIAIIDGLIY FHQSARLTSLHAGLLALGTAILLAGVVCLSWRLEESDEEPSSPVVGRHKGHVPMPQTA LTPGIGLVHGILEDEPASPDLEEQQPGLHGAIVDQRKRQSIDERTPLLARAPTGPAVT VTHRPDKRPSIHSKQSHSPSASPSSRRPPRRRRMTISEETNEIWNELNDRDTLPSPLR RSADLGRRPRSGTLPARRKNNPATWLNQMRRRSWFDGAGLGMSRDRSVSRGKRPAHSE AALLDYPDLEDETYSDTDAPPSPDVRRRGTWGFGERRDQEGLGDWLKLKWWRKKWRDG EEEAGAGAGQGGV CC84DRAFT_1122112 MLVSLTVGKVDAGVAVLLTEDKRLIEFPSILLPPDISSGSIVDI EVTRNAEKESDEAAKFHALQSNIFRTFGQREPTPPVLRCRNATQTSVVLEWDPIDIAT AELRSLTLYRNGSKAGTIPRPTEVHSTKLSGLAVDTEYVFHLVLRTSAGTFSSDKLKV QTHKMTDLSGITITPGHMPAALRESLQRDVEEIGAKLVDAVRIDTTHFVCTEGRGPAW EKAVENNIPVVVPDWVKGCKRDGRIVGVRGYYLNADPRLRSVGGGASQSHSSQQQQAP SSVTSPRTEITPPTPDRPRHEPDNDNSAPPPPPPKDVEEKREVSPSPSASPEPKVATD EQKVRTEDLKPTADESEDDSEDDGHASSNEKAVEAGLPSREKQPPPPTAEDDDDDQAG FQDVQL CC84DRAFT_1197037 MFFISVRHSIHIHAWKEVFLYQEADALRAWGHAQRLQPSPVVNI TNNLALTPPMGWSSWNNFGPDINETVVRDTIDAMERLGLKDAGYMYINIDDGWQRYKG SREEHPLEVDPVKFPNGMKAVADYAHSKGFKLGIYSGPGVDTCAGYTGSEGHAAEDAK LFASWGIDHLKYDACCSHVDEPQAVVQQVMLDMSTALIATNRSIVFHACHCGWANIWE WAAEEGANQWRIGQDISDDFNYPGNREKYYFDVLDMLDRGNDVAKWTGPGHWNDYDML IVGLDGESKQLVGTGLSDLEYRTHFSLWALAASPLLIGANITKLSQYDLQTLTNKEII AANQDPLGLPITLQWSAPDNKTQIYSKGMQDESLVVALLNRGTETASITVDMRAHLTV PWDVYRVRDLWKKVDRGPYDIPFTTEVQAHEAKVFRVWKVACTVGC CC84DRAFT_1260365 MADQVQVSEEDILLAKRIISAAERHDIPALKVLLKEGSANVMDP TATTATSPLHAAILSCGEAKDGKEAGDDAYQTVDLLLSNGAIWNDLNKEDETPGCVAL RLGQRKIYENIVEAGVRAELLFAKMQALGLGDDDEEEEEEGEEVLEEQPAVKKQKVDE ETAQAVTQAVEEEILDDVSKDNKAYLRSQLRYKPGILLDESDNAVMMDWETEIMQRHA ETLIPKKGLKVMNVGHGMGIVDTAIQTHEPAEHHIIEGHPQVHQRLREQGWYDKPNVI IHEGRWQDILPKLVEQGVVLDAIYYDTFAEDYAALKEFFSEYVVALLAPDGKFGWYNG LGADRQVCYDVYTKVSEIDLHEAGFDTEWEDIKVPAGLHGSKQWEGTRRPYWTIDLYK LPVNTFVK CC84DRAFT_1148301 MSIKNVVIIGAGGNLGPSVLNAFLKSSFNVTVLSREGSDKTFPD GVKVVRANFESFDSLKSAFQGQDAVVSLVASHVLASQTQLIDAAIAAGVKRYIPSEFG SNTADPRNLEAVPLFKGKVDVQDYLKSKENEISRTVIVTGPFFDWGLKTGFLGVDVQN KTVSLVDQGTATFSTTNLRQIGIATVKVLEHAEETKNKVVYVSSFETSQKELLEKAEK LTGEKYKVEHLESAQLRQGGLDKIQAGDFSGFVPLIQAAVAGKAGLGDHRPFGLWNEK LGLEKEDFDESIKAGLSGKDYGQ CC84DRAFT_1197040 MVIPGVCNRSRCEHPRAHFVSHWRLCEPIEYTTALADHGITYSD YSRLLSALVNVLDDTPSNIKKGQRDTPWWPIRRRKASNAVDSPDRDGQRRPSSTRRHN IFGVEPEGQHGGDRQQAEDLNRLLEHITSHWQRRSLPVVVCVGSFSLFTPNRISEAFI QILHVPLEPRPAKTYELRDAARLSFIDPFAVARSEECSVARPRPVMKRRSASPQSAIS NDSHLYHHQQLQFRDRTRPWPLWPNAIPSRKLEQMDANADRYGADPHFRAWIRAGINS RTKCTSYAKYMIEKDDNPFINTRLEYVNPPAGESLMMGLLGMGSQDKSPGSVNRKYYE HNRRLECRKTIENGSRLRIVRFGFRNALHPPHTAEMEALGLTREKYDTIVRKIEDIRQ STKTPYYSTFLNPCNKIRCRNTVDALKKIGEYIRQINGEGRCVVWTIEKIPGVYDQGI GCDKQEWEISAWNCEDPLELLIQLERWGIIEKKLDLEDDD CC84DRAFT_1206614 MTSTRHPQPLSSSPSEVYVIPRQPHAVTSSQARILSPMIIIPGN SPITTTLTPSQLHELLPTLHIHIEPSLPGMALFPASSVSHPGLEQALRWREADNGSYT GIQANLIEIVQIYQALSFLGNRPTGQFLRPLERVIRGAFEKGFVLEECQALWDLRHLP FTEKWIDLMVRRLAANFETMVADGTSEFVFEGRLEADEVLRAQYAEYLSIFAWIDAEM ELKLRWRCVQERMAKDAERARRITKMMKATRRRAVARLETVFE CC84DRAFT_1247798 MTDVPFSGIFKAASKLDTSIDAPPYTPGLEKCGFHTNFGKTFYG HLEANPERALKFSKAMSGWSLVTLFLLLSFDLDDTDSALNTKVVDIGGGNGNISVDLV QHYASLTFTVQDISFHQLYSAQPADVKDRVAFQQYDYTTPQPIRDAGVYIFRIAFHNN DEEAMKMLRAIILTLESRSDDHVLLIND CC84DRAFT_1093505 MSDEFREAFRSVQWATPFLDDPNWHVYPHRRPLQPGATDVAHNN FGSMALRRDDCIQHWLELSQKPAPGSHAVTRSISLFKYGKGLTGYQTICHGGAVMSMM DESLINIVFATQAKAAGLSPHAWVQQADETWGTPMKDGETLLSRMKGAFVVTRLNFNF MKPVPSPGVVGVECTLVEHTGNKMSITGVMKDDHGTPLVKVDSLWTRLGREKL CC84DRAFT_827977 MAPKKAAPSISVRPDALSDEKCIITGEIDGFKRKEAEQILINAG ATIEKSLNKKVTLVVLGADAGPQKLEKIEKLGIETKDWDELIEEIKGDGGAAPADDDE EDDEDEEVDEPEEPKPKKKAAPKEKAAPKPKANTKAKADPAPAAKPAASGSGDDFLSA KHVIITGTITGHDRKDAQAILEKEGAIFEKSLNKKVELVVLGQNPGPDKLAKIKELGA ETIEWKEVAEKLGLELAPEKKVANVEAGDAPDSIDGKTLLITGEIDGFTRSKLGIDAE ASEPPKKKAKKN CC84DRAFT_1148310 MSRNTVSFSFASSRSTSNESSSEDTWSSFLLWDVDREFQHAHEA YERWMTKNQRKRSTWECISGSSKEDPLDRSLRLGSRVQRAIQDGQRKFGQKFDKGDAT CHTTLSAQLLRLQQEVCVPLLDATSSRWLCPIPYDEILRAARGVRRACFDALQDQMTR LSTRRIEPFLPPPRFSVTFCPFALQLQTEQQTSNSTKHHTRKLNLRDRYDDRESCPHC HAIVSVAAHSGLPQYRCILFASHALSQTQRHDERATFACTSCYKTFDDSYAFLDHVFQ KELGSEKSCLKRYSARFSLDARFLESDPRLVEQCLKNCLERERTRTKRMSIGKEMLRL CC84DRAFT_827993 MSHAHSHDGASHSHGAPDHGHTHEILDGPGSFLGREMPIIEGRD WQDRSFTIGIGGPVGSGKTALMLALCKALRTRFSIAAVTNDIFTREDCEFLTKNNALP AERIVAVETGGCPHAAVREDISTNLLTLTQLHHKFDTDLLLIESGGDNLAANYSRELA DFIIYVIDVSGGDKIPRKGGPGITQSDLLIVNKCDLAEAVGADLGVMERDSRRMREGG PTIFAVIKKEEGLMNIVDLILSAWKASGASQARKERFTPVVME CC84DRAFT_1165459 MDEVRQQYKMNNRTTMNYVFSIILGTVALSYGSVPMYKMICQTT GWGGQPIKSAAHGDDSRDPSERLRPVEDHPRIRITFHGSTSDVLPWKFVPQQREVRVL PGETALAFYTATNTSKEDIIGVATYSVTPGQVAPYFSKIQCFCFEEQRLNAGETVDMP VFFYIDPEFASDINMKGIETVTLSYTFFKAKYDAEGHLYPVAK CC84DRAFT_1148319 MADSTCGVCNSAPKKYKCPTCALPYCSIPCFREHKQTHPEGASA APAPQPVVEVELPSATPETIPTYIRTKRDFSRLATDTHFQTLLKSNPTLLSTLQRVYA ATIKPEDGDAPQRRGMRGRGGSRGRGGRGRGRGRGGWGGGDGTPRWTQKQGDNDALTI LKSLREGNTTEGTSGMGEFVRLVEEMYGDAKQTEGEGDAMDTL CC84DRAFT_1177228 MGQTTTTTAASTIFNATMPTPVEGRPSLEIDVFPRANTTYNYTE YLPVVFAFQNMNATLALGNVYFQWAIMPYGTEDDWILGGIWIEDPGYSGLETHNVPDF FNPDGSPYFMVNSTNTTNWNTNSVPSNWPGEEFLYSLRWYLRWSAFGYAREDETCVYL HGDLKGDMFFTMHHTRSSGGYWQGDSTTYPNPRELGNVTGNCAQFGGMREINVNSTDL CEKARVVSSGNPCTVKPDAALVSSMSSVAALRAQPTPTRIEEPSSSSAARAMSMPGRA VLVLAGIIGGPALPI CC84DRAFT_1247813 MTEESHDIETASSKQAFTHLSNAAAAILSRQVHSTTTVVRYKSL FRYATNYDICFLAVSGFSAIAAGASLPLMTIIYGSLAGTFQGFFLGTLSGSQFMHEVN RLTLYFVYLAIGQFATIYIATVGFNYVGEHIAAKVRVNYLAGLLRQNIAYFDLLGAGE ITTRITADTNLFQDGISGKLALTLQGFATFITAYIISYIRYWKLALILTSTIVAIVLT GGVLGRLSIGWSSLSLASYAQGGSIVEETISSIRNTIAAGTQDRLVKAYDKHLADAET PAFRAKATTTATVGFMMCYIYLSYALAFWLGSKYVASGDCSLSDVLTILLAIIMGAFA LGGVAPNMQAFATAIAVASKIFSTIDRPSPLDPNDKKGHRIDRSELKGLVEFRQIKLI YPSRPHVVTLDSVSFNARPNETTALVGVSGSGKSSIVGLLERFYEPLEGDILLDGVKI EELSLQWLRQQVSIVSQEPVLFATTIAHNIRHGLIGTKYEHLPDDDEQVLALITEAAK TAHAHDFICDLSQGYQTNVGIRGLLLSGGQKQRIAIARAIIGDPKILLLDEATSALDT KSEGIVQAALDRASHGRTTIVIAHRLSTIKHAHNIIVMSHGKIVEQGTHNALLEAKGV YHTLVEAQGIVDHNISTCSDLFPVTDPSNPNEKVRLSGNTEEEKRATQTEAASLTSTT GPEAQQYPLWRLITTVASFNIPDWPYGLAGILCAFATGGAIPAQSVLFAKSIASLALP QDDNAKSQVAFWSWMFFLLAIAQLFAYLVQAYVVAWCSEKLVRRARNACFRAILRQDT AFFDRAENSAGALTSFLSTTANNLAGISGSTLSTILSAIVTLFSGFIIALAIGWKLAL VCISTVPVVLGCGFCRVWVLARFQALSKQSYEGSASYACESTSAIRTVASLTREDDVL CNYRQQLHEQGIRSLTSILQSSALYAASQSLGFAVNALAFWYGGQLIAQHEYSIFQFF VCFSATVFGAQSAGIIFSFAPDLGKGKQAATDMKTLLDRQPIIDSSSMEGQYLKSTKG DIEFRDVYFEYPVRSQLALRGLNIHIKSGQYAALVGASGSGKSTAISLLERFYDPTRG AVYLDGINVSTLNVATYRRHFALVAQEPTLYQGTIRDNIMMGTKDEETISDEAFMQAC KDANILDFIQSLPDGFNTDVRVKGSMLSGGQKQRVCIARALIRNPRILLLDESSSALD SESEQVVQAALDVAAQGRTTIAVAHRLSSIQRADIIFVLDSGRVIQKGTHRELMARQG RYSELVHLQNLLQT CC84DRAFT_1247827 MHSFSRAIQRQRFGARRSFLRKGPGIPIHDRGFATSQDIKGLTI IDHHYDAIVVGAGGAGLRAAVGLTEAGLKTACISKLFPTRSHTVAAQGAGINAALGNM TEDDWRWHMYDTVKGSDWLGDQDAIHYMTKEAIPAVVELENYGMPFSRTSDGTIYQRA LGGQSLKYGKGGQAYRTACAADRTGHAMLHTLYGQSLKHGVQFFIEYFALDLMMEDGK CVGITALNMEDGTCHRMFARNTVLATGGYGRAYFSATSAHTSTGDGNAMVARAGLPLQ DMEFVQFHPSGIYGAGVLITEGARGEGGYLLNAEGERFMERYAPTAKDLASRDVVSRS MNLEIIQGRGCGPEKDHIHLQLSHLPKEIIMERLPGIAETAAIFAGIDITKEPIPVLP TVHYCMGGVPTNFKGQVLDVREGKETIVDGLYAAGEVACVSVHGANRLGANSLLDIVV FGRATALHIAETFAPSAPHHAAPAEIGLDSIHEIRTILNSAGSSSTASLRDEMQRTMQ STTAVFRTQDSLATGNAQLQDIEKRFDSDLKVTDKSLIWNSDLVETLELRNLLTNAVQ TSKAALTRTESRGAHARDDFKDRDDEKWMKHSLTWQNGVCKEVGWATRAVTMHTLNEA ECKSVPPVQRSY CC84DRAFT_1206621 MTYSRKQVVTTISAVLSLPIPNGLAYATTILPIVSGLLLEAGYD LTRRQERRKRLNRGDIQRPPLVIIANTLIFIYSTVVITLLGTHAAPPSGLDCGLHERW MTIYRNKNVEAVRTIQDAFKCCGLKNSRDMAWPFPDKTHKATACEEAFGRTNGCLDSW KGEERRMAGILMAVVALVFVWQFAIIAIPTQRESWLHSVVPDRVSRIIADEDHNNDGP RRAIDYLPDFNRYSDRIEEDNSGGDVENGARKTIKSGTEQVENIFAGRSDDAGQERGP LENEWLRSSD CC84DRAFT_1165463 MRFTATFALPLLLSSVTAFVIPQGQADGAYSVQLDSRGNEIHAR LSDAPEISVREASPLVARAVQSYCGCGIGLNAGDTDAANADLVSQLGRGSNIGAHLAY YSKRGGVVAFACNLSGGTKLFAGDAIGQNNAQITASCGRYIAGSRGEPGNWATGYMRT SENGGNFCGAALSSGAHNC CC84DRAFT_1206622 MRFIAVAAVLGSMLPLTLAYCCGGDGSGICGDGTTQGAGCCGYG PCNIFCCNCDPSGGTCRGGRGNKRMSELPAAFKRDVDAGTEATFNEIDKSGSGSFSLQ EYLEWMNVSADNSQVHEHWVSWFKQHDTNDDGVITLEEAA CC84DRAFT_1165465 MTSSTTAAKLLALLIPLALLYAGYLNLYALTVIHAGYLIQLSFR QPSTKTVKTPSESWIMWMVASPLGTSFARIVTLIIAIHHATVSLAKFSNALPRSDNVL LYSCATPAYLDPELFTWSPHTFATLALLYTGSYVRFSAYAELGTNFTYRLAKPDQLVT SGLYAYVRHPSYTGLLAVLMAMYSLFFRQRGLPSCSTPLVSERLFEEAWVGYAIAVIG FSVPVWLFMVKRVKEEEKMMEMEFGQKWKEYCERTKKFIPFVY CC84DRAFT_1165466 MAPLKVGDSFPDGVKFEWAPITDGDATACGIPQEYDASKEWKDK KVVLFSVPGAFTPGCQARHLPPYIEKLSEFKNKGVDVVATIASNDSWVMNAWGKVNGV KGDDILFLSDTKTFFSREHGWPAGMGDRNGRWAMVFDHGKVIYAENEPSPKDVSVSGA EAVLAKL CC84DRAFT_828055 MSLTPPFTKEGAEAKVKKAQDLWNTQDPKKISAAYTPACIWRNR DVFFTGTAAIEEFLTKKWEKEKNYRLRKELFAFTDNKIAVQFWYEYQDAHDGMKWKRC YGLEDWTFADDGRMRKRQMSANDVEIRPSERWFSGNVDVNSVLIGEKHW CC84DRAFT_1165468 MAEKTSDETLAHLTRISQKPGVQSTLILSRENGAIVRTSGLISN STSANPNSALPASNDATPDNYTNGKKEGGIQNAEDVASKVWSFLTAAGALVDGLYEED EVKLLRLRTKKNELVIIPDTKFILVLVHDTPPA CC84DRAFT_1218519 MGSSAKKKKEKKKDFQKVKLKVGKTKAKAANHTDTSFKAKAIAL KQQSLSTHAPTVEAQCAHQLSLLNHKSDTQRRDSLAYLTTVMSTHPPGLPLPQPASVI VPAVQRLVLDGSNSVRQQMLKLIQSLPKADIASHTDSLLLHTRAAMTHLSTDIRTFAL EVLEWLLSVAGDEVVSCAGGWVKTLKCFLSLLGWQAEVGSKWSAMRTYGRGASDAKVQ VKQMNTLAAFLRAGLVQPTATAAVGAGTDAFPLWQTEHHVLSERSNAFAHLNLFGSTR DEEAEMYEDREDRQRVFHELAEGAIAAGIEQSTKGGGELGRAGAYLRKAMKEGMVDFH RVDIL CC84DRAFT_1050756 MSQLIDSLMENYYIPPIIFNRQTDNETGETTLVCVDGKQRLSSV QAFVRGIIPCTDHQGEKWWFENAQVQGKNKNLFSETERGDFLNKDFVTFEYVNLEPQQ EEDLFARVQMGMPLSVAEKMRATSGSWQDLARHFISDFPVIYSLQKDRMRAKDFQLTL SCFSQILEVQHPTPSDGLPMHKSGHTHLAKLVGRDSFLDDDLKSHLACVWKTFKDLIE LEPNVFTNADKRLKGVQTFAPVEMIAVTVLISVHIDTSHNRLLEVIRSLRENLRENFS DLRVNGYMWKAVWSFIDNLNKNR CC84DRAFT_1165469 MHQLSLLAALLGTTLAQAPNTRTVFQFPKPTWLENIATTRTGHL LTSVLNRAPAELHIVDPSAIYNASTANATLLHAFPGVNTVFGISEYAPDLFAVAVGNY SPATGATKGTYAVWSVDVSSLASSSSSSAKPTSKVRKLASLPNASVINGIAALNKDAI LIADSFAGSILRLDISSGRTHTAINDSTTAFASPLGVNGVKVSHATDPPTLYYTNSGL NATFAAPVDPRSGNLVGKPVIVAANEATPDDLAVTDDGTAFFARPYAGTLTRARAGVE AVIVAGAEGSMELGGATSATLGRAWGDRGVVYVATMGGFDSEGGYAEGGKIVAVNVGR CC84DRAFT_1260385 MDAIKKTFAQCKKEGRSALVTYVTAGFPTAQETPDIMLGMEAGG ADIIELGMPFTDPIADGPTIQKANTQALKNGVNTIDVLQMVRDARKRGLKAPVMLMGY YNPLLSYGEERMLQDAKEAGANGFIIVDLPPEEALRFRNYCRTYGLSYVPLIAPATSE SRMRVLCKIADSFIYVVSRMGVTGASGTMNAALPQLLERVHRFSGNIPAAVGFGVSTR DHFVSVGKIAEGVVIGSQIVNIIAESAPGEGAKAVEKYCDEICGKSTRATSTTREVGI IETLDAAKEPTEVHVDKVITDADVPDGPGLADQMEALNADLGSANESALPARFGEFGG QYVPESLMDCLAELERGFNDAINDPKFWEEYRSYFDYIGRPGHLHLAERLTEHAGGAN IWLKREDLNHTGSHKINNALGQILVAKRLGKTEIIAETGAGQHGVATATVCAKFGLKC TIYMGAEDVRRQALNVFRIKLLGAQVVAVEAGSKTLRDAVNEAMRAWVVNLDTTHYLI GSAIGPHPFPTIVRTFQSIIGNETKLQMQEKRGKLPDAVVACVGGGSNAVGMFYPFSK DPSVKLLGVEAGGEGIDTDRHSATLSGGTKGVLHGVRTYILQNKHGQITDTHSVSAGL DYPGVGPELASWKDSERAKFIAATDAEAFMGFRLISQLEGIIPALETAHAIWGALELA KTMEKGQDIVICLSGRGDKDVQSVADELPKLGPQIGWDLRSNTLDRIAQHLDGPHDNA FELQELPRRTDSDRKDVKRARKRREKLEQLRAADEMKFSHSLQFNAVPDWSNHYIAYS NLKKLIYTLEARLNQQAPAVDAESSPLLNGAPDDPDKVFTRALDVELEKICSFYQIKE SEVYAEVHDILQDEESYEEEQEVYEHERETMPRKGRSGSIFKAIGFNRARGGSTASKT STITEEDEDSDDGANETSLLRRRSEDGHRQRRHSGDNYEVASSRRRTSQVFDDYNDMS FSVLYDRGVSLKQRIVSIYVSLCELRSFLQLNKTGFEKVLKKYDKIMDRKLKSAYLEE HVYPAEPFQKGTMDKLTQYLSRMETIYSRICTKGDVEEAKRELRLHLREHVVWERNTV WREMIGIERKAQSANIGLTQLLGRDSDPNQVRRQGDEVNAQLTEVKTPIGKYRCPAWL LSSQFWTGIFILAIFVVLLVVPIMEKPEQQNCLAMVVFVSLLWATETIPLFVTSLLVP FLAVTLRVVRNDDTGRRLETKPATTYVFAAMWTPVIMLLLGGFTIAAALSKYNIAKIM ATFVLSKAGTRPRTVLLTNMFVAMFASMWISNVAAPVLCFSIIQPILRNLPSESNMTK ALLLGIALASNMGGAASPIASPQNLIALQNMSPEPSWGIWFFIALPVCIISILLIWLL LLVTFQPGRNTTIVPIKPVNDKFTVTQWFICVVTLATIMLWCVSHQLEPIFGDMGVIA IIPIVLFFGTGILNKEDFNNFLWTIIILAAGGLSLGKAVNSSGLLHTIAESITSNVEG MSLYGVLVVFAALILVVATFISHTVAALIVLPLVQQVGQSMAEPHPNLLVMGSVLMAS AAMGLPTSGFPNMTAIMMEDQRTGQRYLNVKHFLTRGVPASLIAFVVVITAGYGLMLA AGF CC84DRAFT_828624 MAVGVERRAEIVAAKLDHALLLQPVSNAMSYNPLAQPSGAGFPI SETTSPEILDERPDYYSAQTDQAAETSPQQQLLKKRRASKDKATVIRRSSSTPHMRNL ALGAASELSPTGDKRRNKLGYHRTSVACGHCRRRKIRCLVANDEVTGRCANCIRLKKE CNFYPVDQTPEQSRAQAGAAKEANGVAPSPSNISSPRHAVSVTGSKVDEFRPPFPGNL STNSGSRYDVSSESDSDAHHITPSSGMPVQQPGYGYPQPIDTQWPPSTTFLPSSSVSE SPSSSTGYWRPSPTTASTVFGSESNVSSVHTPATLQSASSTMSFGNHQEHQNWAPQNF QPPSRSMSYGNIEGLPQHFQNQPLGVPSHEYRRAAPYPFPTTLDTSPAAIHSTTLGPH SSAPLSAPIISGNPYNYPPPWNPYHGGQNPGPEGPVQSRPIGGHWYTEPGHLGQVQEE GAPPMTYSHHGMSHF CC84DRAFT_828658 MPPNQVVVDYAERPRGEGNASDLTLRCSHPRRSRAQRQTVFGAS VSQDRRQTRSKDFAPAPRLAYCTRFGRLCPTCPPIPNQQYRRPASNLEAVCTPLHSSA ITIMQPRGGIDPRNSAGVTGAAGRDRAPGHANGATELSPKAQDERRNKRLGKTALKMY VKFRRPDTAHCCAFQNPLAIVQAPMIPIPLAAPEHVLLVHRSPKVAGKAVFHARRHLM QLAAEKPRKKLQGPLANQCRASRNLISPGVSRHYNDDFENDANVCVRPEMLR CC84DRAFT_828673 MCCRRSQYPAAGIQFKSFDHAFGILLLVLKYVFLFVHAAIKLPS CCPSTSDSGWAQFKVLFPCIVQTQRKMINGCLPWSWASLPIPGSCRYGDSIMRHLRAK ERPIASITQVVRSAVCYRIFAFAKTE CC84DRAFT_1165474 MAPSAQFNPATDIPSLAGKVVLVTGGNAGLGKQTIAYLAAHSPA RIYLAARTASKAESAIADIQREAPSAVIEYLPLDLTSFSSIAEAASTFKAREQRLDIL VNNAGIMMTPFSFTKEGYEIQFGTNHVGHALFTKLLMPVLLQTAEQPGADVRIINVSS MGMFAAPSGGIIFNQAALEKEYTWRRYGQSKLANILFTRELSARYPQITSVSVHPGVI ITDLYNAFVSGPIMKGMFWFYKQLVPILPGHFKDVTGGALAQTHLATADRSTIQNGEF YRPVGIVTKGSSYSQDLGLARKLWEWTEEEFGKHGY CC84DRAFT_1165476 MRSSGLFTVATVSGMSLAATVRTIHQFPNPTWVENVASTRNGSL LVGILGQVPAQLHILDPFSNTTQDTLLHTFAPSNSIFGITEYETDVFAVAAGNFSFTT ANGTGDANIWSVDLRSGTTKSSIKVHKLVHLPDAQINGIAALNSKALLFADSWAGNIR RVDIKTGKHEVVLEDATTANNVSSPLPLGANGLKILRPNAVPSSRDTPTLYFSNLQLS KMYSVPIDGNTGRPQGRVTTLASGLGSVIDDLAVTDDGTVFLTRDAANDVVRISGDGE AATLGGGNATVLGPTAAALGRTYRDRGVLYVSAMGGQQADGTFTEGGRVVAIDLDA CC84DRAFT_1148358 MSLEERLVKVRDSPKGSTQYQNALVLTAIEDTLKQQNSEPTPTA YFAALLALLVRQVSSDGIANKETATSVIYLLDLVTPHVPAPLLRSKFAGILPSLAPAL THPDAEAPLLRSAIGCLESLLIAQDARAWELPASQAGPRAAVGGLLQIAVDPRPKVRK RAQDALAKVLNNAPPSPSLDHPAADMCAETALKMLKDIAHAAGRSANQKKRQAVEQSK EPDLMHALQLIKTIANTSGGWPSRKIDVLCELLLNISRSSSEYLTMAAFEIFELIFAG MTDEVSSAKLPRLLEVIADLQPSKTDTQLLPPWIAVISRGYEVSAAIEPEDTFAKLPE LFNMVASFLESPSHNIRISASECLISFFANIIPDSVILEPSIYDEKILEKLAQAAQNL LSVKYQAAWMEVFNMLGAMFDALRWRSDPILKPVLRTVGELRSNDSFAGKKEADNVIS KAIGAMGPEVVLEVLPLNLPRPPPGQQGRVWMLPLLRDSVHNTKLAHFRQEMVPLSEQ MFQRVIDHGNQEKTMEIKVFETVVQQIWSILPGYCDLPLDLVEAFDQGLAEMLANLLY SQADLRTDVCRALQNLVDGNKAIVELEGEEDLVTQARISKAQAQKNLEHLASFASNML AVLFNVYSQTLPQYRGTILRTINAYLSIIPAKELMETFERVATSLEAAVPAEGSQTQA DKQKQGKKQDKMPPMSHTLMDLIITIAPYLPRDSYPALFKMASIMINRKEDPQLQKKA YKMIPRLGESETGKAALQDRTGELQQLLLQGAEQASGPARRDRLLALHQILEYLPQTD LHFIPSVLSEVVIATKEVNEKAREAAYELLVAMGEKMALGGTVLQNKVPNMPADAPSV EASLDEYFTMVSAGLAASTPHMISASITAVTRILFEFHARVSKEAIQNLVEIMDMFLQ NPNREIVQSVLGFVKVEVISLPESIVKPRLNTLLTNLLVWSHEHKAHFKAKVKHIVER MIRKFGIEEVERACPEADRKLITNIRKTREHRKKKKLQAAEDGEEVEEKPKGRFESEY DQAVYGSESEEEDGDSEDEFIKKQSTQRQGKAKRGETYIVEDEDEPLDLLSKRALGNI SSTKPLRKKEAPQKKTKARTNEDGKLILGDSDSDDDTGTRKKGGKRQAADDEGDVLMD VDEGVSLEAGINAYVDAIRGRDSAQRGQKGKLKFSNKQSNGGDDMDVDSDDEKEDRRA KSGSGMGRGRGSAQNQRRGLGVEKARGGGQGGGRVDKGNRSPKMRGWGGQRARGGGRG RGRN CC84DRAFT_828720 MPGWRPLPRIAFAICTYPFQPSSPADLPLEIGDELYIIEQGGKD GAWFRGYLVAPPSLLAGLTSVKGQTLEARVFSGIFPRCCVEVREVLGEGKTTPTPTPT ADTTEGAEQRSNGAHSHDTNGLITPTGSTSATPPSKASASHARRASRSDAVSLLPSSA ARPLSRRRSTKNRAGSHGSQWSARADHLQQLALPLSPVSPTSHDPTAPRPPAPVPMLK IGDETPTSTQEPLVDEIASCLREWHSTKLHELLLARKYSALDKMSGLVNRLDQARRQL LHKVLTHKELDQVREATVWDLVAGNKMLCGDVIVRSPSQRGRILTGDDSAIEVTKLQS MMSLLDGRPLPHADEHNLHHLFVSLKRVLGDVAHNGAQISMHLCHKAPGAAPVPLSEA YSFDLTARDGTPVTLSGDKLRSLFVDLSSTDIGEGAGSGSSLYLIFKLLTNEPIRLAV SPKDSLSRDGTPPGTNGIQPSQVGSIKGGRRSVMFGSKRKESTHSSTSSVDLRTTPSV DTTRSESRDGRPGSAAVTKPRSNSKDQKTLKRVVAVGVHRVDQLLRSKSEADQNIDLW SVPQALDEITEEDVGWDDFLNEIYPSPNGKYTKHSSVKRMTVHLKAFAHSDAEVLIEK TPTLLHSIKPSRKIGFSGAPTKPRSDIYLTLSEAFLPKNAFLAHPKTGTVPLTTTSSM NNLQLTIEVRKSSGERIEGCIYPAGNSAGHTAWRTTAVERGEKWNLTVRLAIKPEEVP GSHIVMSIADAPGFPFALCWMPLWTQDAFARDGDHALSLYRYDEYTSSMIAGKGAYLG LPWSARKKDDQVMGPLASLHLRTFLCSTKYSQDPNLLGLLKWRDQAGGDLVGLLRRFP FVPEIEVVKLLNEVFEALFEILVEYAGSDEYEDLVFNALVIILGIVHDRRFNLAPLVD QYAETKFNYPFATACLVRSYTRLLTSPSDPDSSRRLRATFKVGRHILKFIMNARQQQK EKEAGIGITTRNPTFAKDIYAIFKSLELLMGNPSAVLIGTKTILVQNFHSWLPELTVS MTPMEILKLTSSFIDSCVAASGKLILYKLLLIHHIAGLDIFKTPDTRKVLLSNIQKWL APHWGKVEQVTDQWKDQVRLCCSVVASLVEELGHDACEYIPKLVDSYRAIQATPRPTK KTLSLLFPTAYPFPTRPTAVQTPFDEALIEISAVLAALSSLPINIDLDWPKEDLASFL FSTLQVYISILDCEAFPSSWLSVHIFHHRATMRALEKLSGVLMDSFLPHPDEADSFNT DLWRAFFDALLKLVGSDALALETFPEQKRRAVWKIAGDIRELGADLLQRSWESIGWEA SSEDKKQYGLEKMGGFQVQYVPGLVAPVVELCLSVHEGLRSVAIEVLQTMIVSEWTLS EDLSLIQAEMIDCLDRLFKTRPLTEVVLQKHFIQELVDLFEPMAQTSDENLFTAVKNL ITTIDELLDLLVAVHSTEATGEVFHIMDTLHLMEFLKDMQKEDMYIRYVHQLVQLQVD AQNLTEAGLALRLHAELYEWDPTTIVDPLVDPAMPAQSSFDRKEQLYFQMIDHYENGQ SWDNALGSYMELATQYEHNVFDFAKLARTQHAMAKIYESIARGERSNARYFRVVYKGL GFPVSLRDKHFVFEGSPNDRLATFTDRMQQQHPAAQILTAGMEQDVEGQYLQIYPVSA QKDMTHPVYQRAKVAQSIRDYYLLSRPSHFTTASRRTEGPSKDVTVEKTVYTTAETFP TILRRSEIVAVGTVTLTPLQTAIERTTRKTVELASLEKKIAGGEELLFTELTRELMFA VDTTQDTSVARYHELLPEPRRNSFESDEEDAEEVKEPNLLESALKVALVDYALVIRRC LALYTRPAQQATRADLNQRLEMAFQDELANLMPVVVPVQQHVRSPTGSWLVSATATSP MTSPSLGTTPKMNGTRVTSPIPDARPARQDKKRMSLSFFGIGAPVGEEDTKQSEKERK QEKSIADDSVDAASTSASSRSRSKDRSKHRLSRSLVSPGSPVPEALPHFPSQPASSQN SLAKVRSRASSRGQSVDSRPPTSKSIKSERSEGQSMSSSVKKRLSNFYLGKKSSKASV RGRVDDTLEEE CC84DRAFT_1247861 MHVFLFLLAFCVKQLVAVELVVDLGYAKYRGLDFDNGVTRWAGM RYARSPSRLDGLRFTAPQDPLTDNGDITSATEFGPLCIGTNNPVLYEVGGKWSEDCLF ANVFAPTNATTKSKLPVYVFIQGGGFNNNGNANFNGTDLVRASGKRIVVVNFNYRVGP YGFLASQEIVANKTLSLNNGLKDQRQLLKWVNKHIDQFGGDPGHVTLGGASAGAGSVV FQLTAYGGRDDKLFQAATIESPATPPLRNVTESQFQYNALLKQTGCKNLQCLTTMNVV DFQNAVRSMNIPFPGAKNPPIYPFNPTIDYDFVQDYTYNEFKNGHFVRVPTIIGDSTN EGLIFTTKSVSSLQKAYTFVADQFVNLDTKDQTSIEGVWQGPSDVSSDSRWRNVAADI YGHIRYICPGLNFSSAYADSASVSTWQYRWNVGPALHVGELGSIWYNGTQASQVFVQQ YWISFIRSYNPNKYTTNYWTSDGAKMTSPKWETFGKTGNGRRLLFDDTNVVKMENVTD KEVNRCNVLSGMGLQLRQ CC84DRAFT_828888 MQSTRTLLRLPRHLSRRAIPFRALSTTTPRLSAPFPTTPAPPRL PKEEQEIFDRLQKQSTGAFSTPRDESAATPATPTSVSFSAADVRARINQSPDSSAGEV RRIPEGEEVHPNLRRGAPPEFEGDVNPRTGEVGGPKVEPQRWGATGDYSYNGRVTDF CC84DRAFT_1093580 MTATKVIDQKPDLQQSDAASYGNGKLEPVLTLDDDDRLAQIGHA QELRRDFSVWSVMNLILCLMATWEALSTVISTALTTGGAPCLFWNLLVSVICSIAIAL SLGEIASIYPTAGGQYHWVAALSPPATRSVASWSVGWINVGGQIVLSAAAAFAGSLQI LGVVILNDDTYALKRWQGLLLYWAILIYGAAINIWGSRLLPATNNISGVIHLVGLVVI MIVLGVMSEKNTSSFVFLEVTNSSGWSNDGVSWLVGLLSTVYPLLGYDAACHLSEEIP HASRNVPLAMIGSVTVNGIMGLGYCILLLYCTGPLDELLSTPTGFPFIQIFYNATNSY AGATILSLFVIIIAIAATAAIITSASRTFWAFARDKGTPFSGYFAHVNQSSQIPVRAV VAVTVVQMLLGFIYLGNTTAFNAIMSMSILAVYASYLVPIIYFMIYGRPKLAKHQLGH FKLPKTLGLCLNILSCCWLVLAMVFSTFPGVMPVTPQNMNYSSVVMVGWMFIGYVYYA IKGRKQFEVPVVTNEARGL CC84DRAFT_830554 MASWSGTSLIDERTKVKDDHISPEDSHAATCALPTGVMSEALTD LPGTGFITNFEPQPSQPAPANDEFGSGTSTIISVHPPPPEVPSAYMSSVFKSPKNTRA TLLNLPPELRQEIYDHLYPSQLTHVIFSHTNHKGSSGPHYVFQGCKAPDPLLPQLCSR PPFSGSFPDPERCTQVEHADAYDLRLAETCVVLRAEVLRRICYRMSIAAAERFFKHYG NEWKSNIHHLTLTCEGHSDSKYWDLGSAIGLVQSIVLPNLESLNTQTRRYTSEERVRD LFLPDGEFSKRNWLQMEFNRAVRSRTRKPSLLCGHYYQWEVFPRRTLSPTPLISICVE WWYRVCPRRWTHSHIEDHEMLKVRTAVPAGGFTDPELQQFWDTISEMSMEKLHTAAGW RAWQSYYDYVHFSLAVWSLIGRGVLTKYRMYRSGFQALRSKSSCMHTRMLLYRRLDRE NLMTDLRRTVRRSQFKYPLLC CC84DRAFT_1093699 MSTSIPDNQLGLSQSEVQLLRQHQQIALSQQGSSSSRAASHASS QGRLLLDPTSLQALSAHFDRLMYSIQQRWHYSTRAGAAIQNADAEIARFRAILREIDE LQVEFDKVRRIGEIVKGFRARVQHLERRI CC84DRAFT_829239 MTMRRRLGRADAYMLHRGPQRCAGQAQTMVRTSAHPHFTGTLTW WLHAALPQSPACVAPLEQPCRLCTAQSLLDAHPALHAARCSTRAFLLDAPRSPRDAHQ NCTLPPAVGSLRRRRASPAAHLQLLPPGRVQGGTSIYPSSSHSTARGAYEGLLPNAEG ASRAVSHTVPTGLAAVSVRPRVRLPRGFMPGNTQTWHRPLSAEERKAAVSSCAGPTER KRGSSCIGSRPW CC84DRAFT_828968 MPALPETLVSGAGVALEHLEAAISLAEREVAGSLSNLVRRINLP VNSTSPPGLIEANTRDPWNKSGKYALAYVYFCLPLLVVAGLMRYYHLFTDKIRTALHQ EEVLKTAKIASPDSDYEMSVLYTDKSTVKFFPREGPLPSPPKSQSSVSSLGFINKTVA CFRFIFYRPIREIQIRKGWRPLVFPSLAACVIVTGALALGICYTFIPQPLFWKSIAFG SPPVAIRSGMMAVALMPWIVGLSMKANIITLITGIGHERLNVLHRWAAYLCLLLSIIH TVPFYITPIWEKGARHAFESFFKQDGFYAYGTGVAALVPLCFLCVHSLEPLRHWMYEL FVSLHVPVAIVFLGMVFWHCHNYLTSWNYLFATLGIWVMSYFMRVFYLNWANPFKMSW LIGDEAAVTLMPENAIKITIPTQMKWRPGQYVYLRMPGISVFENHPFTIASLCDDDFP SEYGEGYRDMVLVFRPFGGFTNKVLRSALEHGPWHTYRAFIDGPYGGMQRRLEAFDDV VLIAGGSGITAIVSQLLSLIKKMRDGKAVTRKVHVIWALKRPETLEWFKEELRICREF APPEAVECQFYITTAKRNAGGTLVSAKTPTRPVSMFFHDKVNDAFQNIADHRVSGISS KRHSALIRDEAQGDAEKEKELRAENEDSITALPQGRMVPVSRTGSHLSVPQYNPSNPD LITSVPQPIVARRQDRNLSLDISTALHSHPDASVYQHNIASPTAAHSAQGFDFGFPST PTEFQKNLMRFAFLPAAVKKKDGWSTEYGRPDLKFLLREMGRNFGRRTCVFVCGPPGM RTAVSETVADLQRSVWSGNSRDEIFLHAENYAL CC84DRAFT_830205 MLNTTYVAASPTRITIHPPRAPLRNPGTTPSYALCGCNCSSSRA CRTCSCSLTLFPNHHRRRALRSGLLKARTLQADRGSSPTSVQSTIRRSVVSTSARSGS CNCLQSAFDTSFISRLALRRSSQSPTPKCLALSILIPKSGTGPRPFRPAATRVLAAST APQDNVATARPNVHRACLLQHSLEVNLSSRGRVLRYCGNDRLTRCRQRRVETCLQSHC RVKIGYAVRPYWISLPCGASSMCSA CC84DRAFT_1052501 TSARRRREHTKSRLGCISCKKRKVKYDYLMHGMLAIAGSHLDMF SDDPGNHRALLYRQKAIVGLEEAFTRWPTSADEAHVMLATSYLLAFQSSYLADGLLDH ILSLRGCALLSQLILTNQIKGVFTIDTGMYGAWLEWRMESFPVFDQELAREALRSLAQ FAPFLASSKAQAIERAMVAQLVEILRPLLVSTDLETRPENAQPAAPESNALSQPPQDE HISTSFPKAATNPLFPARLALNFEDITSWKTIAEVPLNPNPDPRRSFKALMLSLVILT TWPRAQVLALFSPTRTLGSVLIAHFCCVRFITSPLWAPEAAMRTTPMRAMVEWCEKVV EAVADDGEVEWTRFVRWPRAILRTMRCLLDQRRGLTLGMLHEALRRDPGAFREGRAVR L CC84DRAFT_1165487 MPASMRIELFPASLDVFLDFYTRILNFALLQRKGKYAYLRRDAI YIGAIESPTPDTLADRASYRQPNRGVEIVLEVDDVMAERDRVVAAGYKLDADIAKQEW GLMDFRVTDPDGYYIRITSRGGN CC84DRAFT_830941 MIHRGSASKMMQVESYGGQARAPFSIDVAIPSYPASYPSTKPPP ALENIAAARAKKHYHNWENTFRRAQQHHHDEEYIFAERYYHKAVLEHELIYNLGGDRE GVLEIATYLCASLNSQKKYTETVQIVTQWLECAESQQKDDDALALRFHWVIALYGQND MNQARDEFMNWKSAILAWRGKNDKRTTEDLYVIAYTLFNIGAFSEAEYMLRDIVPSLG KWPDAGADASAWYHCLSLLGVVYWHTNELQDAEKALRTAVARQEENTLGLSPWDVSYT VLWLTSTLARQSKYEDARTLSESVTQNVVSATDDTILTNHRDIMANVEDAIQSSQSVT NARWQLDTSGTEFETVLKEYTSHILQENIPSIPFNTLSTRMEPLERLDIFFHSRRVGS YSYAEVAEISRLLEICSIPVAAKAPRTYIVLRHMECLDMLERLVDAGFSDYRFPVSEN NLPDILSTAQKEGFNRLQRLILDPAMSLERAENGTHQIIADEESVPFRRQKLLGKGGF GEVDKVFSLITFREYARKCVSRPSVFRKKSIECMRQLEEEIQILKRLKHRHTVKYVGS YTSPANLGLIMTPIADLDLAEYLQVANAGHHIELRTFFGCLASGLAYLHQSGIRHKDI KPRNILVHHGNILFADFGLSFDFSGADGDTTVSMVNGMTPRYCAAEVADCRPRNKSAD IWSLGVVYLEMTTILAGKDVEFMRRFLETHGTEVEHVQKNVDGLTDYLIELHKLVRKQ DHSPLLWIENMLQMDPRKRPHAASLVETILDARGESGVSDFCGMCCSGEIETGG CC84DRAFT_829649 MTYVKVFRDVPVKMYLFGPCRVHCGTDARHSRWVVQSSCAVIGV ENPRFATAVKNLQIPRSTVGARPLPSVCDSRGLHSMAECAGKDVGGSACVVYSEANNN SASSGTASPPRHPQVTMVPASEAVRGGVSCEVSARGLWYICKDVSRRWLLGGDTTSRF PLLGSL CC84DRAFT_1076741 MPSILSDEDKQTVKRTVPKQNNKIFAVAVAKLYVAYPDKHTWTY TGLQGAAVLANDLVGNTLWIKLVDISPTSRGVIWDQEIYDTFTYNQDRVFFHTFELED CLAGLSFA CC84DRAFT_829648 MDDREKNAHKNTKNKPFGAAVGTGGGAPTNGHKSHGLLGGIFGH RHSSHAHQPQSIIPPTVTSPTTTSQSNVSSARSSAIDTSDPSWQPLLKELLAMGITED QIEENADFIKLYIEQRKANEKVQAEEKEKKARAPPPPPPSAPPSKVSLSPQNTGTSSK RGPAPAPPPARRTRTDASSINRASSGSPAPPSPPRQATPPPPPGPPQPKFRAPPPFAD AGKLANEPPPPPARARASSNVANPGPLPPPRPPKTPVEDGDKPSAPRFGVPPPFAGNR VPSGPPPPPNRGPVPPPPPARDTPAGASGAPPPLPPKTAAAPVPPPPRPAHNAPPPLP PSVSRPVPAAPTNAAPPPPPLPSSSAPPLPPPLPPMNNAPPPPPLPPTNNAPPPPPLP PTNNIPPPPPMPGKAPPPPSGAAPPPPPLPPGSGPPPPPPMPSGSGPPPPPPMPAGAG LPKVPADRGGLLADIRGGARLKKVSDQEKRDRSAAAVPGAEPSTGASGGSGPATPGPE AGGLAGALASALAARKAKVSHSGKCMILCNRC CC84DRAFT_1046137 IKHTREPGRTTAYLAPFPKPQLKGVKPEDIPSRFLIYTPPLPPL SKPAPGEKESQWHKTQRLWQEDVRRATMTNASRVTWKGMKAKGTSLINKGVSKTRSST VEFLDRVPRALDHLTLIHPPSLTLSPEEIRTEFVSTLLRTREQSRNQALVASALLPIA ATIDVALVVTFGGLTQTTGVWAYQNTRGAIASKKMTRGLARSESYHVSENGAQEEDKG IAMNMQSSLALEPFARYLERACLQKSFSMFPHIEAEQSSHAGIPTEDEILEAMGWAPT RRYGRDLEIAEHDGRTQKLTAEQDEDWQRKEAREDVERVVRKAAAEWVAACKGFGK CC84DRAFT_830457 MAPGLVSPQPAVSNDAVRSLEEVTASYDDTLRFYLNGTKVVLDT ADPEVTLLEYLRGIGLTGTKLGCAEGGCGACTVVVSQYNPTTKKIYHASVNACLAPLV SVDGKHVITVEGIGNVKRPHPAQERIAKGNGSQCGFCTPGIVMSLYALLRNNEAPSEH EVEEAFDGNLCRCTGYRPILDAAQSFSIKTGCGKAKANGGGGCCMEKNGGGGGGCCKS GGDDDAQPIKRFTPPGFIEYKPDTELIFPPQLRKHEYKALAFGNKRKKWYRPVTVEQL LEIKSAYPSAKIIGGSTETQIEVKFKAQNYSASVFVGDIAELRQFSFHDDYLEIGGNV VLTDLEDICRKALEHYGEAKGQTFAAILKQIRYFAGRQIRNVGTPAGNLATASPISDL NPVFVASNATLVAKTLKETTEIPMSTFFKGYRLTALPPDGIIASLKIPVAKEKGEFMR AYKQAKRKDDDIAIVNAALRLSLSEDEVVESVDLVYGGMAPTTIPAKKAMEFLQGKKF TDLATLEGVMGALEEDFDLRFGVPGGMATYRKTLALGFFYKFYHEVLAELHTEATEVD TQAVGEIERDISSGEKDHKAAEAYEQKILGTEKPHVAAMKQCTGEAQYTDDIPVQKNE LYGCLVLSTRAHAKLLNVNPEAALDLPGVAAWVDHTDVATPEANWWGAPVCDETFFAI DEVFTAGQPIGMILADTAKHAEQAARAVKIEYEDLPAIFTIEEAIEKESFFNHFRHIQ KGDTEKAFAEADHVFTGVARMGGQEHFYLETNACVAVPKPEDGEMEIFSSTQNPAETQ AYVAKVCGVEANKIVTRVKRMGGGFGGKETRSVQLAGIVACAANKTRRPVRCMLNRDE DILTSGQRHPFLGRWKVAVNKDGKIQALDADVFCNGGWSQDLSGAVVERSLSHIDNCY SIPNIHVRGRVAKTNTVSNTAFRGFGGPQGMFIAESYMEEIADQLKIPVEKLREINFY SPETNMVTHFNQEIKDWYVPLMYKQVQESMDYANRRTAIEEYNKTHKWNKRGLALIPT KFGISFTALFLNQAGALVHIYHDGSILVAHGGTEMGQGLHTKMTMIAAEALGVPLSSV FISETATNTVANSSSTAASASSDLNGYAIYNACQQLNERLAPIRARLGPDASMKQVAH AAYFDRINLSAQGFYKTPDIGYVWGENTGQMFFYFTQGVAAAEVEIDTLTGDWTCRRA DIKMDVGRSINPAIDYGQIEGAFVQGQGLFTTEESLWMRSTGGIFTKGPGAYKIPGFR DIPQVFNVSLLKDVNWENLRTIQRSRGVGEPPLFMGSAVFFAIRDALKAARAQFGETS LLSLQSPATPERIRISCADPILKRAWVEPKEGEKSFFVSI CC84DRAFT_1218542 MAATVPVSEVKSNTRESRTAAHSHIKGLGLKGPDGRADTSGGGF VGQAAAREACGLVVDLVKAKKMSGRAVLLAGGPGTGKTALALAVSQELGTKVPFCPIV GSEIYSAEVKKTEALMENFRRAIGLRVKETKEVYEGEVTELTPEEAENPLGGYGRTIS HLLITLKSARGTKKLRLDPSIYEAIQKERVRLGDVIYIEANTGAVKRVGRSDAYATEF DLEAEEYVPIPKGDVHKKKEIVQDVTLHDLDVANARPQGGQDIMSMMGQLMKPKKTEI TEKLRLEINKVVSKYIDQGVADLVPGVLFIDEVHMLDLEAFTFLNRALESPLSPLVIL ASNRGLTHIRGAPNLPPSAHGIPSDLLARLLIIPTHPYTPAEIKSIVSTRSRTEGLQL APAALDKISSLGEKVSLRYGLQLLAPASILAKVAGRQGGVIEVGDVEECEGLFLDAGR SAKNLASTEGFIA CC84DRAFT_1094049 SFVYGPMGVMTSPAFDEAPLDLKATAEPLADAMAVDTETNGVHA VSLDTQKDSNVNGNGEHHPSADAAPALDPTTNVSSGIDGISQFLPDSQADNNSLFGDS EMPSVTDALEAPTSDVRDEAGPETAQAQASGASTQKVPETQLPEAEESLGGPVQSTDS QANQEPDSTAASADSTGAPTKPLNDLKIETQQSTTQASASPTALDQEMEDAPTSGKVR PREDDADMEDAPDAKRTKTLDEGSESAEFKVPNLPAHSEQPNGAAPAVPAAAQATPTP PPGQESSSVQQAVPYKTWPSAPMTEAQKKFLLERIRNTKKIKVSNAFKVPVDHEALNI PTYPTIVTKPMDLGTMEHKLKTSAYTYVADFMADLDQIVTNSELFNSTTHPVTHDAYN MRAYFLKGTDRLPKDGDEAAKPFKPAKKPTVSAAPKARRESRVGPLAKSPPASAPATA SAPASAWPLNADGLPMIRRDSSSANDRPKREIHRPPSKDLPYSAAKPRKKKYQLELKF CENVLSELLKPKYAKFSWPFMTPVDPVALNIPSYLKIIKKPMDFGTVKKNLDAGVYQS AKDFYNDAQLVFLNCFKFNPETDEVNKMGKQLNEVFNSLWSEKADWLAQNAPDAEPQS AGSGYSDEEEDEDEEEDPAQAQFLAIQKQIAALNETAQQLLQQQQRKGTSPKAPGKKK SKTAQPKSKAGPLKVPPPAKPMKSKPKKAAAPLSFAQKQEISEGISTLGDADMRRAVQ IIRNGCPHLANTNDDEMELDMDEINDDTLRELFAFIKKVRGPKGIAAEDDDYEMPRPA APSRQNTARPKKNKPMGKSEQEDSLRRIQEKMQSFNGAVSGSSQSPPANQESSESEDE DSASESEEE CC84DRAFT_1148394 MPAPLSSRARAPSATPKAARDAPPTKKAKLSQSAGLKSLLNGAP SRKPAVTAKTNGVRNDRKSRVDEATAVVSGGFAGHGDVDMEDAAPEVIDISSADEDSD ESDADDDAAPAVAAQNGAERPIEDGTAEPEELSFGERLKAQEPETAPLRESRVVDVES AFVGADAGARELATASVRRPLAAPSATSLSTVLSQALRTNDQDLLDSCLRVNDVDTIY STIERLPSPLVGALLQKLAERLHKRPGRPGMLMVWVQWSLATHGGYLATQSNLIKQTA TLTKVLTQRSTGLQPLLSLKGRLDMLQTQLELRRRNQEKAAKDDMDEAVIYVEGEEDP SSDEDEADGSDVALEESRKRSRRNVDEDDESSVDGMPTTMEVDELSEEGSEDSEALLD DEAEETDDDSGEDMSEPDEDEVDGEEVSESEEEPKPERRSTAARAGLSRRR CC84DRAFT_1148396 MRLLKYLPDGGFGLTSFDDDSTPPYAILSHTWTEGQEVTYHELL EGTDPKKDGYTKIRFCGERAAKDGLEYFWVDTCCIDKSRSDELSTAINSMFRWYKRAT KCYVYLSDVLVPDEVIDAQAFRKSWEQSFRRSRWFTRGWTLQELLAPHSVEFFSRNSR YLGTRVSLEQEIQHATGIPCRALRDPKLSNFSVDERMTWAAERTTTLKEDKVYCLLGI FGIFLPLIYGEGEEYATLRLKDEIQKRHQGQGKMDLQDLPISSSLPFPRNELFVGREN QLQAIERTFFSSNTHRRMTIYGLGGCGKSALALEFAYRALARHARRLVFWVPAMSHES FDLAFREIGTHLRIPGVNDDDANVKQLVKETLSQSDNWLMIVDNADDSRVLLDSDSQK QSTRLVDYIPYSSGGLVLFTTRSRKAATELTQTCILGLEDMDQTEARQLLAQRISRQA LLNDKVAIDELLKSLTGLPLAIVQATAFINENDISITNYMSLLQHTSTKAELFSERFE DSSRYQGLDSTVAKTWHISFKQIQRQDPLAVEYLSFISCIDRINIPQSLLPSGTSQLQ HIKALGTLTAYAFLTERQQTVPGANKERFFDMHRLVHMALSWWLEGHGQRKTWAGTAA ARIEELVPYGGHERREIWMAYLPHALHVVEVEDGLDSTTSARLLERIGRCQESSGQYA LAELAHRQAWSFRKDLLGLEHFHTLTSVSNLGLVLERQGKYKEAEAMHRRALEGREKV LGREHPDTLTSVSQLGLVLLRQGKYEEAEATEHQALEGREKVLGRVHPDTLTSVSNLG LVLSSQGKYKEAEAMHQQALEGREKVLGREHPDTLISVSNLGSVLSRQGKYKEGEAMR HRALEGYEKVLGREHPDTLTSVYHLAHLLTHRHRISESLLLYQRACAGYSAALGNNHP TTRACLKQYSKLSG CC84DRAFT_1247950 MGFPRNFREHSRNVSAPAKAPNMPLRHGKRPSVVDGKVLEPEWD RVPQTYGSFNQDTLARISQVQAEHARTARPTTQLPHSQRPPPENPPQSSCLNYSACMD SASPRQPFKRYYSSALSDEDRTALAVGELNHNLRGWTGDKLVQYHVSRIELVPYSEER QEIIAEILDEKRGGERFVLQSARQAKRFVSSPLSKLQTFKEVKTHNEQMQVEASRSMV DLRAANTSSLLLSPISPISPVSPPSSTSQEGFPFPVLETQNIRQSTHSGASSNGDHFS RQSVSSSMMQFPHTSDSSRDSSHNRGHAPDRRPLVRRADRNTSRFRSSMALEAIHYVV NADITFDTFLAPHASGKGETHCDSVHIKKEVQPRNFSRRLSKMPSMPQLKKRAS CC84DRAFT_831538 MPYPETTDAFAVTDIKNGNWSNFTRKELPLKKFEDYDVDIAIEA CGVCASDVHTITGGWGEEIPLPLCVGHEVIGKVVKVGSKVKNLKVGDRAGVGAQIGAD LTCNNCKADQENYCPNAIDTYGAPHPDGTIAQGGYSSHIRAHEYFTFKIPENLETSIA APMLCAGLTTFSPLKRLGTGKGKKVAIVGLGGLGHFGVLWSVALGAETYVISHSDSKK DDALKLGAKEFISSNKDKWFEPYAFQFDIILNCADATDKFNLPDYFSTLKVNGTFHMV GFPDNKLQPLDAKAFAPNGCYMGASHIGNRPEMEEMFELASKQNIKSWVETIDISEEG CKQAVERVYKNDNVRFRLTLVNFDKVFGKRT CC84DRAFT_1122226 MKAVRFHGQHDLRYEDIAVPTLKQGQVKIKPAWVGICGSDLHEY LGGPGLCPTTPHPITGETVPLTFGHEFSGTIEEVGEGVDDWVVGDRVSVQPIIYDGTC GACQDNLHNCCWSNGFIGLSGWGGGLSEHIVVPTSALYRLPKNVPLQIGALVEPLSVG WHAVKASPFKKGDAVLILGSGPIGIAVMLALQAKGADKIIISEVSRKRQEYAKNFGAH HILDPTKDDVVKRCRELCDGQGVDVVFDCAGVQVALDAAIEATRARGTIVNVAIWEKP CTITPNLFTFKERRYMGVATFQVGDFQEVIDAISAGSMKPERMITGRIELDEVEEKGF KTLINDKDNQVKILVKVGGDD CC84DRAFT_1122228 MALGGAALKLFQTILYAIEFCCAAIILGIYSYFLATLADRDLTI YTWAKAVEGMSGAAVLYTIFAVLLTCFLGGKSFFALIAILLDLAFCGAFIAIAVLTRD GAHSCSGFVRTPLGDGQSNDKQGFDDSNGKQQYTYAVSLGTACRLNTACFAVAIAGAL LFLISALVQIALARHHKKEKRFGPSPANNYTSGRGRGGFFGRRKNKTTGLRDPEVAAG TGTLAAGPAHDVRPSHDTAYTGSTVAGAGPYEHNKPLTGGYHTAPVTYPNHTPAPATN Y CC84DRAFT_1062314 ANAPQRLQSALWYSIGQFVDNEGAEDFNATPQFIGALTELVYTQ IENSAKDLELFSKHAGRKVINVDDVMLLTRRNEALEETLKRELDRMRAAEGR CC84DRAFT_831766 MENVQEFFDSRFRNFMASFSRLKPEGWIRLIWIVGAYMLLRPYL MKLGARAQERQHEKESAEAADTGAELHPNDLRGGKKVAIPGVDSDDEEEKSEAKPGQW GKKARVRQRKLIRETLEKEEKRLQDEQEQEDLKDIADLLED CC84DRAFT_1148414 MSLRTLCALPLLLPGALAHMQMQEPSPLRDPHSNRTAEPKDYNI LTPLHPDGSDFACKGYQWNTPLTAVAQYQAGRTYPLRLMGGATHGGGSCQISLSCDYT HFNVIKSMEGNCPINKEYEFTIPDDVPTAEKCLLAWTWFNKIGNREMYMNCAVVDLIG AGSSSSSRQRASTKVSSGAVAQAALSRYPDLYVANLKGVNDCVTKETVDVVFDNPGSD VIYGDGLS CC84DRAFT_1218555 MKRPVSLEDHIIRFILVKQSENSHRLVLRLSHAQYDRISLPSIL QVIKAAYKGTTLSSSKPTPPSSTVSAHKTPANPTHTRPRYSKTRTCTTFATTVKAAWA LVLSSLAYTPDTVFGQVISGRIGSLSAIHDVVEPSLNILTVRVPLQHTWTNADLLRFI QNQHVASMPHENYSMRSIIERCTAWPEATRFSSIRQHTNFGKQFFGEVLSASRESEMM GSSPPHDVADVWIWTRPVRGGVLG CC84DRAFT_1165505 MYSGFLGQSGWYVHSELIALTSSITDTLPAYMVPTMFILVDSMP LAALGQIAEETSQPTKDIRDVLEATDYQ CC84DRAFT_1165506 MPVHPAEENATGSITDQVAKESSDSNASTHQHHDVDTGASSGGS TASAMAHKGAPGPVQAENIGEPASKEELKKRAEELNK CC84DRAFT_1148416 MADRYSFSLTTFSPSGKLVQIEYALNAVNQGVTSLGIKATNGIV LATEKKSSSPLIDSSSSSKVSLITPNIGMVYSGMGPDYRVLVDKARKVSHTGYKRIYN EYPPTRILVQDVARVMQEATQSGGVRPYGVSLLIAGWDDGIEPESETKQTEEEADAER KKITGKTGGILKGGPSLYQVDPSGSYFPWKATAIGKSATSAKTFLEKRYTEGLELEDA IHIALLTLKETIEGEMNGDTVEIGIVGPPEERLLGVEGVEGAVGPRFRKLSPQEVEDY LTNL CC84DRAFT_1197073 MELANGPQHKDAPIGQDVEHAGIRLRTYQAEMLEASLRENVIVV QDTGSGKTHIALARTAAELETCDSNQLVWFLAPNIALCEQQFKVFKSSLPAYETQLLT GNDNVDHWTDQRTWDAVLANVRIVVSTHKVLLDACDHGFVRMSKLALLIFDEAHHCTG NHPANVLLAGHYLPRLREGVYLPKILGLTASPVMKAKVNDEALEVIERNLNAITKTPK IHRSELLRFVHKPQLIRISYAPTVEMVSPIFAELSSQLMNYDIHSDPYVLDLLDNPIK YHNAKKKLEYAYFKHETYSFNELKTLKTKYEATAAELGPSVADWWLQQSIARFRKRSR EIHSSGQLLDWTHAEMEHLQKILGRLPLPTDYAFRPMSLNKLSNKVEALVDLLASEAN PGLTGLIFVEQRVWVAALAEIISIHPKLQGKLNTGTFVGSSVSSKRKSSIATMIEPKN QQDTLDKLRSGDINLIIATTVLEEGIDVSACHLVICFESPKNLKSFVQRRGRARRMES KYIIFSPGGVGDRAPSSWEKLEQEMRNAYEDDLRRVKTAEQREMVHEVGERFYRVAST GALLTLENAIPHLYHFCALLSSDPYVDTRPQFGFEDDKGNIAAEVTLPLAVDPALRVV RSLQTWRTERMAAKDVAFEAYKMLHESGLVSNNLLPVKEEEDAVAAEFQKSDRTASMI EVAPTLDPWHRIATSWEQDQHRYYRTTLEFQGMQEQTFYMNFYLTVQLPVVPDFTLFW NESKRITVRSHRQPEVALSDNELSTMRYITQKILNSAHGTRIDQSRCDFLWLLVPTDA SQSSWGLDKLLKWKFDTDGCLEASIVLSQRTTDLNQWGQVKVQGDERNWLPRSIDLPT ADSTSGQTAKLRVSRVPKRRDFLYPVPKSQRQNEAYTRIEEFPLSECLVDMVPVAYLV CALLMPAILYRYEMYMTTNTLRQGLLAPLAFDEVEDLPLLVQALTSSSTGDIAHYQRL EFFGDCIVKFISSVHLMASHLRKPESFLTGRKGKIVSNGFFARATLACSLDKFIIRKR FTGAKWRPRYAGEVLYDDTPPAQSNISSKNLADVIESLVGASYLIGDFPKALTCMQTL LPLEPWTPVPDANRILYEAVPAGVIITSLTTVEMLIGYTFNKKLALLEALTHASYKGP LVNCSYERLEFLGDAVLDYIISRRLYSHTPELGHAKMHGIRTAMANAAFLTFRMFETT VPEERTIPPDMHKEVHHRCLWQFLRSDTAALVATRDAAIAQYDAAKDAIRHGLQHEVV FPWHILALTDSPKFLSDIVESVLGAIYVDSQGDIDACEVFVGNFGILGCLERILRDGV DCLHPKERLGILAVEKDVQYVAVSEDEAVSGAKRKHRCQIRVGGVDVGGVVEGVKRLN AETVAAWEACKVLKERKEMVGDANEDVGMEGVAEPSEDEEWFDAEEGGINLSDE CC84DRAFT_1148422 MKLIHVLAAVTSVQACQKEIIARHPHRHSKRQDTNVTFPPVLDH NEQIIVNSFDNTTISTWSYYYGKHGAHLAGTNETVAQWTADRWAENGFTSRLDEYYVF LNYPVSHSLTLTYANGSTWEASLEEAVLAADDTTSYPNRIPTFHGYSPTGNASAEYIY VGRGQQVDFERLKELGVELEGKIALAKYGGPFRGLKVKNAQDNGMIGVITFTDPGDDG NITEANGYAAYPDGPARNPTSVQRGSVQFLSTYPGDPTTPGYASKKDSPRADTSINLP YIPSLPVSWLEAQPLLQALNGHGTSGEEVNRTKWVGAIPGADYSTGVGSGASLSLSNV MKENYTTIWDAIGIINGTNPDEVVILGNHRDAWIVGGASDPNSGSAVLVELSKAFGKL LQTGWKPTRTIILASWDAEEYGSIGSTEWVEEYIPWLKTAAVSYLNVDIAVSGPYPYT DATPDLHQLAMSVMKKVIYPIPGSASQTLYDVWQNVSGEFGVLGAGSDFVPFVHTGGI ASLDLGAGGGATDPIYHYHSNYDTYHWMSVFGDPGFHTHKAIGQYIALLAYHLSSDAV LPLEPADYVPELESYLSDLNDTIAEANATIDLSALSSAISTFASAAQEFNALRSQAVL TNDTGLISVVNHKARDFSRGFVSQGGLAGREYYKNLLFAPGLDTGYAPVTFPGVTESV TFAKDFEAAQEWVGKTADAVLVAAGILKT CC84DRAFT_1165509 MLSNPPRLLAPESPYRRPSSPTMSPGVRKGRALGSADESHLRDL SPDTTLRAFTQRPMPYDVTRDEYKIFSCIENLTPAERDLGTRVAKAAQRLKSWCDEIS QWGWSGSFEQPSEELMERRRKSLQLRIEEHLKHVPASDDLAQLEYWGSLLSVEVQAHE ARLDEISDELIALDVEELKGHVLDMHPGSRSRPSSAGYGASRISYKPMDDFNFLITHT LISALPQHFRLKERLQTWTARVTVLREAPRYLDDLKTAQKAMRLGWEALGPPKDPSDD AFETWKKTVDTISGVMRDRVSDLGRRLDKMLDALEGHEDCLPDRWIDVFEGVEGDFGR WTQESRRKVIEFGVRRHASPSTDTREQGGPVAQPDNVVATARADNIGRLVEEHISTSS KGHKNDLRSITAFRPDINIDQPRLVLDTAVDETPNYAETEEVIQDDESMFEEGDTVIH NEIEEDHAEVVQSSSESISPTESQIIITSEDGAQTPLRPHTPRSRRESMESIASDMSY SSSPPRAFSPSPSVRNTTNRTARGPRPALNASMPKRRKNGAEPSAESTPWPPTQFSHT TPTTADDFERKISDVLSTIPAHIRLTSRYGADARNPKASRVVSHKGSKGYLRAARSVS NLKTPELTLSPVKEDFDSANVISGRRSMASYRVDTDIRMYHLTQPGKEHPVKLFIRRV GENGERVMVRVGGGWADLGEYLRQYAEHHGRRTASNGQFEILGLEVGAEPSPRPESVM SARNRRVSSGSQIITPSTTPNRPSTRAGISTNEAPPPMPHLSPTPTPTSSNETSATPS SSESRRSWRGQEVGLAGPKSKKIDLDNEKAEWVEGMMKQARSVSGNLVHQFSPQAPPH GARGESRSESRSESRTSVRGQSSFGDLGKVGGTKRIFMRGSNAVQEH CC84DRAFT_1165510 MDDAEAQLQAEYAQAANTQYGRGQKISTKSVKDKKLRANLRALE QKNKQATLQAKQAEILLDNEAGLIEPEHELERTFKVRQDDIRNEVSIETAKKGFELRL DALGPYDACDYTRNGRELLIASRKGHVANFDWREGKVGCELQLGETVRDAKWLNNNQR FAVAQKKCVYIYAGDGVEIHQLKKHQEATHLEYLPYHFLLASISTAGMIRYTDISTGQ MLSDLPTKLGQPTAFAQNPYNAILHAGHQKGLVTLWSPNSQTPLVKLLPHRSPVRAIA VDKSGRYMVSASQDRQMSIWDIRMFRELHSHHLKAPAHSISISDRNLTAVGWGSHVSV YKPDLFSLSPESSVVVPSPYLNWQGDGMGVSMVKYCPFEDVLGISHAKGFSSILVPGA GEPNPDSLEPGTNPFETTKQRQEAEVHALLEKLQPQMISLDPNFVGNLDLASHEQRAA EKDLDARKEDKVEKLKKKARGRNSALKKYLRRHGQKNIIDEEKVRAREALARNDAKLA AKLKKDQESYGPALARFARKA CC84DRAFT_1061266 YVILSHTWGDDEVTFDDIHQEHAESMQGYSKIVKCGEQANHDGY EWVWIDTCC CC84DRAFT_831923 MHPTVLLSLLSAAVLAAPIDPRIESRAPCPSGAKRAQAYCLFDN NIPRESEAKRAEAVEAYHDIFLVTELDTRSDASRLTRGGGRCRGGISFISLEILEIGG GGDDDGAIVTHLAMYLDFWATALSLVKIVCVCGNDFIASQKVSIVLFRTS CC84DRAFT_1197077 MRITLSSYTPLLDKLNFTFINKKINGSLFNDPWSVYKAEPSKEV DAAWEEISKIRALVVEADEIRKMGKDPEFAVQVPLEWGYGSTKYFAELDSQHLLHCLN TVRKYSHYSHYFYPKWGDESNMPALAVAHRSHCIGILLDALTCQPSLNLLHFNWMETQ ENPFPDFGINRKCVDHSAVLEWQDRVGIREDLVVDAKIERPEDAKVIPALPQLLELGN GEGLIM CC84DRAFT_1165512 MDALGITTSPSPYNLFGIPDLSETILVLSTFPIQPKKIFIASEV AAHNTSESLYLSIHAKVYDLTDFQHSHPGGRQSAFSPSQISSI CC84DRAFT_1206659 MCHSPSSPATRKLQAHHPSSLQTQDGQLEPRNPPTHGLDTLNFN NSFLSPEFAPTEMRTVVQGAILIGVGGTAVLLQMAMPGIGARVGYSDDDSGLELWAAA TLHSATPVVLEICARLGPYFRWDAQRRREYGVWRLNSMQMSFVRLIAVEWMPILLREP FWVEEDSRGRRVGYWVVSVMV CC84DRAFT_1092940 MSKWRVKGFVQDSDEEEEDIEFSNANSARSSQHAHNVGDTAKHY RSENRSNTASKDALVRETSVRTIAPPDEPVASPKASAKDLDARERSESPDPLLGSPTP KAKRVGNHSYSSQILGVPSISQGTQESAFGNTGNGRAKNAYLLDFSDDSDLSDPPSDL DELHPEEFRRDAETRPEQTIFASPTHRTTVQVVIPPFTNRERQPQVFGRYVDRSFRQR KPIQLHPYLLEGEKYRRDLQGRGVKPVIRVLSPKRKPHHSDQESQEQEFDPKKDLPPN SSPEIPVSTLIVRRRTEGDQGGSGQRILDRPRYTKPTSTIHSSAKRRKPGRSPRPSSA SRQLSTIQNNTSTADVWAVPQSPPYSSSPPIDRSVRNQVRRTMVTPIPNLPTPSNSSS VLAAGDMNSDDEPVRSVHRLGQGANQPIPILSDSSSSDTEASENETQGSDHEIQKVGK RIRGVLPASWLRLDLQAQEKRDKQRRDRLHTLQSPGQAEPQRGVAQKVVRRQAMPSGV AGPISTNNRVIELSDESDGDADAPAPQISNAQDSTRAAFETAAMLDRRYADENLDTME NDRLHLFTLGGGKKRKKQSMLTDMFEASKRRKVKERGGKHSKATFGSYATSKARKRTP PPALSILDVEQSPSKEQRLPQFLRIARRQAHRRPDHARQSPTNKYIRLHTAQDTQDAN ATLREWRRGAIRPRANIAQSSRRPTARRPFVDLDHNQRLLLGDADIALQDSESARQPS SPTGPTQLREKQRLSIRHTNQPKAPTPTRKKLSQHSRPRPLPFRDAQLEGLETDQGSS ARRAAFQRGLKRVDRLFDLHQPLINPRNPQLARFLADDDVILPPLPSVESAENTAPSS PIPEPPIIRKRLVRKPRAQRVDVEIREYRQPSEPVVDDYLRGVPDPPILDPDPGQADQ PSLQGLGPHGTRYPTTFDVSPLPDGTYFHVTTFVGSGVLRRALALNQSNARNLDEPAG YCNVDCLRTSVRCGPWEDSIAARIADSTNDIWNSITRRNEDDDSLWSDRSCFENASNV TRSLIVYFSSHLSFHDPIDRNPFIIKMGQWATSMYERIMSHGDEGRKSDSLAKARSLG LLLVLTFQIYKIAHHSVVEQSSKSDLLVLITSIGKSLVGDILDHISDLSDFLENNQRY NVRESGIRDSDAVVEGLVICLHTLSQAGLPGITFWDLVGQSLSPAAKRATQLMEFESI WGTIFTLLPFIEFNDLGIPSRGRRTSFREDNWTTVRALLQRTFALYPATSKVNNSSLD DYIRAVMTRCHELVHFWHWNRCDPALYTMFDFFVAKNGLKHLKREQGNGLASFLEQIN DGSFSRIEPNTRSFHIFLKCLYIGIRGMQDCYPEKKLRSVVLRLIPNHGRSYPKDQPL EVESLEALQNHHDILCTLYRASPLPCRPNLELIKNLVHHENSHREACRIGIRSWANLA KFQLSSDEPYNSLQPFAQWHQDIKTQTLKQYLLAKTEAEEYLKSDVLNKSEATSLMVR RTMEKNQDQVIASLRDCIAGLLKALAVRQTQVLLKEFLVDAGLVQLLELPHLQDRRLV VVIRDALGVLRAFASLPRQITTETVIPEPEEESQDYGDSFDLADFMEVDHELQQPYDF LQSPLWHLLSNAFGAEASPDDSLLMDCIDTWCLIARCQVSLGARSWTYYLDSFSQVSW QQLRRTEQTQKFRPYFLASVLGYDSTSYSQHRLDFLNALLVSLVDRESLLRFQHRLLS AIITTIPDEPLLKDLPFYDEKGNGSLDIHADTVRARRLALISVILANMRGDFLNAIHE GAARLGEVKRGYAALLKDLMNAMKSNYQQLGSGTTVTGTYVEFVQRVVQFLQQYTTDI HPVLDFFTNSIAFPLPAADPTYVVGRLCGYAPKLSQAGIPKQLSVFVQTIAQQAATGG HQSYLVHQLQTVLCRDEAPPKDRDALRDALLQGIFPAYVEAAFTSAVGFVIAQPILQS LPPIIEAMRFSIRIYDDANVQAICDCLLAISHAFFRGIAKIKDGDVLLRSPYILQAPS LMFEIATPIALLLDYICGRCSESAMKPRIVQYFQSLALFIGEMLHEVTPQSIPSYSGD VSQPKTKITGLLPFSVDGLQSGIKANWSASGDYIYFGQGHAKREVLIDWSTVDEAKTN LIVTMEEFLATASLLNDEARDDEHLEMDASIGELDV CC84DRAFT_1093173 MAGRILPIALATFAGVAIGVSTFGEEFKEQQRRRLQQEYNRDLA SAGASALPTDGPSPMASSTIPAPQPEQLPAQTEPANQSKLSSMLGFWAWSSERSVAKE KSPARTSSDGSKPQP CC84DRAFT_832034 MATHGITRAAGTAMRTEEARQQQLRDIDAYKELVDLVNTKITER QYTLEVLGLATKLLKQNPEYYTIWNHRRRVLNALFSGETADESSKHAVNDLLQNDLQL TFALLRQYPKCYWIWNHRNWLLETAELQQGADVGRQLWSGELLLVNKMLGADSRNFHA WSYRRSVTAQIERLTPRAEGQMTRSMTESEFDYTSNMVKSNLSNFSAWHHRSKIIPRL LNERDADTEARRALLDSELALICEAINTDPFDQSIWFYHQYLMTTLSPDCPSQDRIVL DLNNSDRQRYYENEMQYMREILEDEEDCKWIYEGLLFLAGAYLEFDNGTKIFTTADMR SWLEQLRRLDPLRRGRWEDLGRSLNL CC84DRAFT_832184 MNDSEDDVQSCDSMYATPPLSPTKTISTEGWLSPQTPTSPHKSW NELASRRCGSDGSFESPPESPTKPKVCKRLNALRLADAPVFSSDDVTLLPYKDSPSKM PFLHVVESDTSHIAPLTLRNARFSGGEGHPGQSCEPQPDTLSVPPSDDHTSTISCYLS AEEETTSPVPRRPKSPDSSPGPAQPLELLFDIPAPSPSVFARLLIRSASSPLRPSQWV ARGGSLKTPRHPSHAPDRFIPPRRPPNSTKQSFDLNKPEERLIAEERNLPGGSSAPDP FSRRLRRSARMNEELRGLRETHAMLTGRSSLSRQRINPSLRHGTAPMGTRQISVGAVW NVGGSSAASNTVLGVSNGNGGLLGSGTNAPLYTSTFLSRSDPHAELEAYERRVALALD IDQVTRVLDHSTSSSSPNRVGSQKTPPSAEPTVHVWRDNVWIKDSNSLSVKRSRSVAK KAVPVLPFRVLDAPQLRDDYYCSLLAYSHNARCLAVGLGNFVHLWSERKGVDTPESLN ILSTTGMVDVQHVTSLSFSSNAGGQAILAVARADGRIALWSPFDAEPRFDATQPKPIS CIAFRPTTVKRPSLRDNNMTVHTEELLVGDESGHIYFYSIEWPTETESAIFGWNGAMT LLARMTVHSQQICGIAWSPDGELFASGGNDNACYLFETKEALSSPVPDNNAPDTVNVR RGPDGESIYTVAPGRSTVLQISGTQARHNWELNAAVKAIAFCPWQRGLLAIGGGSNDR CIHFYHTMTGACLATIDCAAQVTSLIWSQTRREIAATFGFAQPEHPFRIAVFAWPSCE QVVAVPWFDENRALYAIPYPGCPNAGADARSPGEDGVWWRRGVEEGCIVVAASDAAIR FHEIWAGGKKGVGSAAGLLGGSDILEALHGIEREGGPTIR CC84DRAFT_832123 MKLNISYPNNGTQKLIEIEDERKLRVFMDRRMGHEVPGDSVGDE FKGYIFRITGGNDKQGFPMKQGVMHPTRVRLLLADGHSCYRPRRTGERKRKSVRGCIV GMDLSVLALSIVKKGDADIPGVTDTVHPKRLGPKRATKLRRFFGLSKDDDVRKFVIRR EVQPKKEGAKPYTKAPKIQRLVTPQRLQHKRHRVALKRRRAEASKDAANEYAQILHKR ITEAKSAHDEAKKRRASSMRH CC84DRAFT_1247977 MTTTIPSPQRSRASSRSKSPLSLDLSDLPPLVQPSPPSNTLIIT NLVEPEIFHPSNLVQIRETINTYAPIHTWAPLKSLKRVVVSFFDVDSAITIRQKVDGE QIMGCRVRVYFGVNTPLNPSDQHLPLPKSDKLFFISPPPSPPMGWEMRNEDAPNQIVH AEDLAEALAKLHADHRELPSPIDDELSPRVKRRRTGSSAIIYHPEDHGDSPHLPAIAV EDTTASPTIMTPDEMEGIEGPIAVQKAQGNFVSTSRPPVELMH CC84DRAFT_832060 MWYADAHCHFLICAQLLVLCSTDLSDENPIHRPIACDYQKKKRR LGGLLPRSTKQSHLMQRNASSRREQWKAMIRPCITQTCSCKPLALSCRVLVLGGLSRR TAPGPKTLRVAIPVLITQGIRFEAEDGEAVMTACGISQTGSPRGRSEISRSSTIHWRQ PRGPPSPGTPCAVTVSVGNQLGQCKGRECGRHSRRGKPVERRRQPWRVLRLSTGSTLA ARATAATYTYLRHTTT CC84DRAFT_1093290 QANDKRAYFIVRDWNLETANSLRRVMLAEVPTLAIDTVEVLDNT SVLADEFIAHRLGLIPLIAEDVDKLVDFRDCDCEDSRCDNCSVTLRLKAKCTGNDVMK VYARDLVIESMPPSDRLGQPVITDAEGNGSCIVKLRKGQAIDMRCIAKKGIAKEHAKW APSAAIGFEYDPANKLHHLDLWYEDDDPKKEPVDETRVDLDGGPEKTDEPFNYDAVPE RFFFDVETVGGLSPDSIVNNGIKVLQQKLASVIKELTGVDEANAGLEGAQSPTMEGGF GNDGYGNDNGYTTPGYGGGNAYPGGTTPYGATPYGGASGW CC84DRAFT_1148449 MLQRTLLRASRQAARPVQRIQPAFAPITRATPAVRWYSDAAPPE GKKDGEAAEAPKDAAAQLKEQLEKKDKEVIELKDKYLRSVADYRNLQERTKREIQAAK DFALQRFARDLVESVDNLDRALTTVEPAKLTSENPDLVTLHDGLKMTDSILISTLKKH GLERFDPSVESEKFNPNVHEAVFQTPMPDKEDGVCFHTQQKGFLLNGRVLRAAKVGVV KNS CC84DRAFT_1093878 MGNICLVEPYHQTKEDDATSPHVDYTYVSVDAKYGDLDVEANSG NLLSAVGPYAYNARLLPPDLYAIKDGEITITIRNTNTMMLVESTFSVVGGQAAVTGSH KVDGVNGKGAKISLSFRDPTCSTTGKRFPTGRAVDMVEGYEVTCVDGAVPVVFIRADA VGVPGTILPHELTQNKDAMELLENIRRAAAVEMGIADTKKTALRTIPKIAIVSQSCRH STVSGSPLVSSQMDLVVRFISDTEPHPAIPLTGALTTAVAARMPRTVVEQLLAPEEVM PGTLTIAHPSGRIQVKLDYEAGTKPSVWVAKVSSTAQRLFQGNAFWT CC84DRAFT_1197084 MDLENGLVGWDSADDPENPQNWPWRKKWTLMLLIASICTFSPMA SSLCAPGTSLTLAEFNTDDKTIGSLMISIFVLGYAVGPLFLAPLSELYGRTLVINVSS AFFNAFILGCSFAPNMSSLIVMRLLAGIGGSACMTIVSAIIGDVFKVHERAAASAIVV GTPSLAPIIGPIAGGFISQYLGWRWAYWILLMGSGPLNIAMIFCMRESNHPTILSRKT IRLRKSLHRPDLRSHLEIHLPPRQLLARSIVRPAKFLFRSPIAFLISLYVSIVYGTLY LLFTTIPDVFQNTYDFEIQYTGLAYLGLGLGMFLALALVVKFNDRTVLRLRNKNGGVF EPEMRLATTPYFAPFMPASLLLYAWTARSSVHWIVPCLSFIPYGFGLLGIFVPCQTYM VDAFLSTAASAVAALVCLRCIFGAVLPLVGPVMYARLGLGWGNTVLGLITVAVTPVPF AFLRWGGWVRRRWPVEL CC84DRAFT_1165517 MSSNNSKKRKPGPEPAPSAKRPRFPQRAHAHHISTKPTAAAYPN GEINLKAFLNSHQNEVKSLENAMRAAKKGLSRRAFQDVPREMRRRTASHNPQRVPKRL RTRARQEATEDNTPVSRGTSGSGVGKGKKKWLRKEGIEQRRKQWEARKKRRKEMGHGG ASEAMEGIELREGATAASKEMVRTAKRKFAVLATPETPPSRFRRRQKNKTWLPTHVWH TKRARMTPPTEPLWRFAIPLAPAVKAYRLTHRAATLRGAVAWDMSYMCTISLEGVAAS ITGLLRGLRFSSESEEDPWQDRGKAKKWKDGSRVWGGWLYEREGNNPRGIASVTIIWS VAEEGRAKRKAFIRVHPCAFLQLWNEVIRIAKVQKPAVTVEDLRFEIGSIEIMGPGAA ETLCSILHPSSSPDEGSPRSMWPALADVTDPGVLPPGALLSFPICDPRLRDPPRTSQR AQDAESQTAFMEILANWPIDASQTASALFDRNARLAAARSLPSQKSINRRKSTDGDFG AYPSPRATDPQIPMLVYASKKSKSWTVLLPWKCVMPVWRGIMRYPLSTGGNPRFGGLK ERRQVDFERSVPSFPFDFPGCDAGWSWELQERAARQHDWKRRPKGKRIEWSTIDLGKG REGELGDPWACDWERLLEKDGEDADMAGTEDQPPTSPFHQLPSRDARAVLAGRIVPSL SASAPLLFTVRLSMATRGTPTACSRIYRLPTTDPALKTAWLSLLPSSTRNKKTPVRST PPDQPLPSRNLALSLLQPQTTPSGTPKAGDPDYPLVPGEEDLIGFVTTGNYNLAEGQP TAVANLAMHRVLQGSKLGEGKGIGKEDRLCIVRRPGETTGRLAVWEVV CC84DRAFT_1165518 MPALEKQVSAAELRKSQDVKKKPIHYPFWFGGSASCFAACFTHP LDLGAHGFQVRLQMQSAQGPRLNMIQMFGHVAKTDGIMGLYRGLSASQLRQLTYSMTR FGVYEALKDRVTTADTKPSFLTLVAMASVSGFLGGVAGNPGDILNVRMQHDAALPPEQ RRKYKHVFDGLIRTAREEGVGRLWRGVWPNSSRAVLMTVGQLASYDGFKRVLLEKTPL QDNLTTHFTASFLAGFVATTICSPVDVIKTKVMGSTESKSIMKIVTETTRAEGLRWMF KGWVPSFIRMGPHTILTFVFLEQHKKMYRKLKDLD CC84DRAFT_1165519 MRIPPLSAVLYRQLGARASTGAHRSQWSPWVRSCQLRYNSSGTP QKEVTVEGKTYTTDSWMNTPSTILSAIPRRLHTQPDHPLTITRQLIESRFPGYQTHNN LFPIVTTHQNFDSLGFPPDHVGRSRTDTYYLNASTVLRTHTSAHQADTFRKDEADGFL ISADVYRRDAIDRSHYPVFHQMEGARTWDRRLAEKQGKTLAQLIWDDVDKIPKHSVEV SDPNPTIHPERNPLQDGHAADETEAIAAHLKRSLEDVVVAIFNAARSATTDAAASEEP LKIRWVEAYFPFTSPSWELEVFWQGDWLEVLGSGIVQQSILDNAGVPHRVGWAFGLGL ERIAMLLYGIPDIRLFWSTDARFLGQFSASAAPKRFTPFSKYPACFKDVSFWLPARSS SSAAGGASPPPTAAGSTATTGAGFHENDVMEIAREVCGDVVEDVRLTDEFVHPKTGRR SMCYRVNYRHLERTLTNDEANDLHARFRRELVDKLGVELR CC84DRAFT_832303 MALCVRYNRSAVDPWIGAPLRIDLSISLCRRPAPSFHHAIQPQV HHHPRAQEAPSPCSKNGWIVHPPRFPRGYHTHTAKHLHSHRSYASHPSTPKARSIPQP GRGRRRWSICRHPAANRPCTTRSKQFSGAALSASQTASRFLPLRLLCRPHMTALPACT YTTYTYMHMSIAAQRSSCDEVEHHSPSNLLTCKLLQGVVIAIAVPVVLGRFLKERLDR SRLRCVGRPPLSVELGVLSLQPLLYALQRGFPAKTHDGEWPGGRYGDLDN CC84DRAFT_1165520 MPRPRANGFGSLSGFAPSSIASSRCPWPILRCCPSPSLAGARRT EGKRAAAGHQVHRSLAHFRALQPLERCPHKLARCDLQHAYQKTNFC CC84DRAFT_1094636 MTHIANCMRPAAAPSSPVYITMETSFLSPVNSLPLLPDRSPSIL SPQSQSGSASRYQLWPSKSPATAQPRTTFASDKLSALSVGRSSSSLSDTVVSQETVPF WQRSGSLARRRKVSVPELGSTMTTVQEMPIDSPTIPGRPPLRKASTEVFGHERSSSAP GTNWRAGPFGDALMSCVTGPSPAQEDQSFFFSSPEQAKRAPEQTNRLEPSVTFGKPLS PILSPGVASKPTLKVDTDVIQEDVESPPEVPPKSPAVERKGSPSPLKLNTKTSRSQLT TPATATSGGMTPMSAFENRRSPNVIGPLPTPLSAISNPFSAGSPGGGFDHRASPKIER RDPLASHNTHNRNLSESSVMDRGRPVRRASKRSRSRTASEANEEPAPDTWQLPKGMRV ADASRRMQEAEKETLYKQASEQAEKFEVMNKRDVASMSRELRALDERCDYLRKTYKSL RAGRQKLHSRMISYLKRGNTVIFSRESLLKQEEALAELDVSIDEFILKLEQAENRRLR LRQKLLEHVAAALVLNPAALHEGSETTPPRSPVKMESPLRNERKEVESIKIYADGHVL NLFSDIEHAIGKMCEQAY CC84DRAFT_1165523 MATNSIKLLTGNSHPQLANLVASRLGIELTKILVLQYSNQETSV TIGESVRDEDVFILQSTPPGDINDALMELLIMINACKTASARRITAVLPNFPYARQDK KDKSRAPITAKLMANMLQTAGCNHVITMDLHASQIQGFFNVPVDNLYAEPSTLRWIKD NLNVKECIIVSPDAGGAKRATSIADGLDLPFALIHKERARPNEVSKMVLVGDVSDRTA IIVDDMADTCGTLAKAADVVIQHGAKDVIAIVTHGILSGNAINALNGSKLKKIVVTNT VPHEDKKELCDRIETIDISPTLAEACRRTHNGESVSFLFKHAPVD CC84DRAFT_1218578 MFVPPISNAASHPSPPRFPAQPKPLQPVFTFAQSQSCMYFGMDM FEQADRGDIRDAWAGIGGGVSLLSPQTAYKSPLQRAGKALRTQHAGTSSASSTKNDAA GAVFPAVSTKLFDQMRLPRQRVKQCSTPVYQNYSHKLLPAVQGSSLQLETSGNGYNGS GKGREDCGGIDLVSSPETKAQTEQTEDMGLLSSLSSNPRTQRQPFKPQKSGRGTSSWQ LKQYAEATLGSGSLRKAVKLPEGEDKDEWLAVNVVDFYNQINLLYGSITEFCSPQTCP EMKATDEFEYLWQDNEAFKKPTKMSAPEYIEHLMAWIQSNVDNEANFPSRIGVPFPKS FAALIRNMFKRLYRVYAHIYCHHYPVIIELGLEPHLNTSFKHYVLFIDEHGLASGSKD FWGPLGDLVESMLRSD CC84DRAFT_832415 MATNYYQQWAPQSYQNISYQPVYQAEPVQGAPAKWGPTPEHFIP QADQHPKPKQTWFQAHFGGLKRTLRLFLAVAIVVLVVNVSWLVYAKTHYSGIASGFGT IQRGDCNIAKSTNTWLHLLINVLSTSLLTGSNAFMSAYCCPSRKEVDKAHARRKWLHV GILSLRNLSKIAKRKSLVVLLLCVSSVPFHLLYNSLVFVSLSANNYYYTIGAADFLEG ASYNLTGPLQTSSGSPYWTLSALPGINYDTSTTQVYYRDKTRVDNLYKYYDQIQKNAS AWERLSNSDCIQAYSNVFMTGRRNVVLISSEKNTTNSVLDYGISELDNGGMDNNWWIC SKVQDGGNVKCQPDDLKAKADNWTVWGYPIDYCLSEKIEDVCEVEFSMDIMVVVIIFN IIKVIAMTWVLLRYNAEDILTTVGDSVSSFLEREDVTTRGMCLALWRDIDHAWRSPGN GVLYQPSRQCWAKAVSAGKWTFFILMMLASFALIAFFGGWGFVHTKDRGNSLSPSSLW ELGFGRAHQDAVVIYDTVTSLVGVALLANIPQILLASVWLLYMGILTSMFLAADWAAF GTKGQPLMVKNARGEQRGTWLLGAPLAWGLPLLILQVILHWLISQSIFLISLNIHDPD GTLTKYRKEGPRFLNCGYSPIAIIFCIVASLLLMLSAIALAFRRFPQGAPPVAATCSA AISAACHLPYGMLKHDSLYGNMKWGQCGQPQYGVGHCALMPEGAFKAGYAQTPVPGWA YA CC84DRAFT_1218580 MSLPRTFCLSSASAALTPSTILTSFPNHYEVMKLSPSASTEDVK AQFRTLRGEYFASDAGKYRQLQTAYAVLVDSEARREYDELYRASMGLPVQPVANDPLE SSGSASPSLRKSALVKAAVSRIDAQSQEPSREEQQRLAGEELRLRQEKENEEERRRRA EEQRQREADPNYGLKHFTPVYVPLIGSQPYHSYVPVAAEHEGMERKRKSRRPMYVGKL AAKAVP CC84DRAFT_1218581 MEDPSPRVLLYILRRDARLSDNPIFHAASANLKTNTFTKPVYAS DTHIRNDSFISDQDVPSFTHLLPVYIFPSNQVEVSGFLPDPTMESPYPKAKSLVAGFW RTGHHRAKFMAEGAWDLKEKLQSLGCGSDLQIRVGKTSEVVENILQWYIDEKRAGRST VDVAGIWMTADEGQEEKDDEADVQRIASEREVAFKAWADEKYYIDNRDLPLNDITDLP NVFTTYRKSLEPLRSRPRPSIPAPTQLPPSPPQIPPQQRPFEIPTSREGLIKALLQPL EDDPSHNLPHPPQWPPETKSSHPFPGGETAAHERLRYLISDGAMRTYKETRNGMVGVD YSTKLSGFLAQGHITARQIHWAMMDFEEGRGPGEHIPGYGKGENDGTAGVRFELLWRD YMHLCARKFGNKMFCLNGIQDLSKTRKSSDVEPDSHHEQRPPRKRWKGVHHTSGLGDT PERTREVFGRFRSGRTGIGLIDAANREVFLTGYTSNRARQNVASFLSNSSHLGIDWRV GAEWYEYLLIDYDMASNWGNWQYVAGVGNDPRQGRVFNPVKQALDYDPQGEYIKAWVP ELRQLPLTKSVALNKQEIDEQKLMGLYQAWRLGDWDKDRLGLRGVEWVEWPLVKIQFS ISRGRGRGGSDGRGRGRAWRGRSRGNDRGKARLAVEKQMENSQIVDGTGG CC84DRAFT_1165529 MSLSRHVNPDELLQSMHDHPIHKASHNKGRFASHITPYSSRYAS STELSKYQIPHDGAPAEVVHQLLKDELDLDGRPQLNLASFVGTYMEKEAEQLMIENLS KNMSDADEYPAMMDMHGRCVSILAHLWGVQKGEKAIGSATTGSSEAIHLGGLAMKRRW QEKREKEGKDKSKPNILMGSNAQVALEKFARYFEVEARILPVSAKSNYRLDPELVKEN IDENTIGVFVILGSTYTGHYEPVEEISNILDEYEKKTGVDIPIHVDGASGAFIAPFTH AKAGQKWNFELPRVKSINVSGHKFGLVYAGVGWIIWRDENYLPKHLVFELHYLGGTEQ SYTLNFSRPGAQIIAQYYNLIHLGFTGYQSIMENTLANARLLSRALEYTGWYRCISDI HRKKGDHTYEKGKAPYDSGETSADYNAGLPVVAFTFTDEFKKQYPYLKEDAVQNLMRA KQYIIPSYPLPPNEEKTQILRVVVRESLSLDMIDRLVTDLCNVTESMMNSNAVDLAAW QPPPRSVEKQHTSAGLPAHQRDQSKQPMKEGVHRSVC CC84DRAFT_1165530 MKIARVLALLPLASAFVITETDVFQNIEKTGREVIDQTQGVFEK TQHVLDDAFAKAVESVKEVGDKAYHRIHETGCDVQSWLEGSSFEEFMDVSENPDHPHH RPHDKPPHHGPPHHGRPHHPPHHEKPNQTVYELISKSKYTTKLAKLIGDYPDLVDRLN STKSNYTVFAPTDEAFERIPKHGKKPSKEFLEKVLLYHISPDFYPAGRVLHSYTIPTL LEPESLGHKQRLTVRVTLKGPTINFYSKLVAVNIFGTNGVIHGVDHIIVPPPQVATII DLLPGEFSTLELALVKTDLLDKMNSTDYPHQGGTFFAPSNFAFKKLGPRANAFLFSQY GLKYLKALLEYHIVVDQTLYSDAFFDQSGDDDEKTDDYRHFHVDLPTVLKDKYLSVDS ARYGPFVEIRINAFSRVTIHDGVASDGVIQIVSDVLIPPKTEGTKQMYWTGNELSVED LKERLAPFVDESPEL CC84DRAFT_1218584 MASSPAGMAQTMLNTVVLVFTLMAGLIMFLRLFTRGLLLHKAGA EDAWISLAMILSIGLTVTIALQVQNGLGKHMQELTPAMMTDSLKAFWASVWIYNLSLT ATKMSILTQYLRVFPIPRYRVACYVVMAFVALYGLWTLLGSIFLCFPVAFFWDKTIAD GRCLNQEAIWFSNATINIVQDVVILILPIAVLKSLPIPSRQKKALIAVFGLGGVVCLV SIIRLQTLVSISNSTDPTFDNPPAAMLSAIETNVGIICACLPSMRPLLTSMMPVYFPE GSQLRGSQYHNTSRFDVEQPKHMRCHSGSSRPHTADSFGKPDHPRSGSAASHGYKPSS QTSRSHSRSGSTGPIYTPTAHGRSQSTSANQSRTGSRNGHSRTPSNSMNVPTSTELQP LSNSYVVPQTTHNNPRQLNLSNGHQHPMNPLRMSPFSPDIPRLPRLPENMAVLGPLDS QERPIRMPLFHKPLPITPLPGHQNAQYLTKAQDQAMLPMMLSPTLSQSPGLMPEPLNL GVKSPAPSHLDRRSAYRT CC84DRAFT_1122305 MATSNPTQASFPKPHACRHCSTFVVHLPDVSGEDAGGAECITHP TNPSQKRLAVQLFSEDFWTEEWAEYRNYFFQRTSGISFFDATKNDLERFASDGCLLAQ RYVASLGDELPEKYAIGARVLKASHWSVELGRVDLEDLRWEMLLAEEEEEPDNTYSLL AREGSAVAQVLDNHEINLQPNAAPALQEAKRWLEACLHNHQECSRPDSTFTPTRLVQI SPECRLCEMGTQVEPYAALSYCWGGDQVFKTTMQMRPRYLDTIDSTQLPQTLQDAIYV AQHLGLNYIWIDALCIIQDSIPDKAVEIGRMAQVYGNATITIGATRASAVWNGFLSTR SPLAAATPNMVFALPCQLNGRYTGSVTLLPFTSEGIQPLDTRGWTFQERVLSPRIIDF GTLRTQYTCQTPLKRVPSDGWSHLPLDRAYGTGLDARLIANLLAGLHPPEEMLHHWMT IVEGFTSRGLAFATDKLPAIAGMAEHYGTLLDDQYCAGLWRRGMPANLLWTAGDRIQQ IYKDFEPRLSGADVAAPSWSWAAVSRVVTHRSLYTAFQNTIRWSIEIEDCAITLADAR TPYGAVSDASLILRAYAKKASWNQRDARILMSAPDTPNVEDFLHSLHGTGSTGIPFGP DALEKEFQEDPKCEMEVWALLFGTDEPDKRTGLNSSFFGLVLRRARDGEGFQRVGDFH KTVAWEGFGAYMAWFEQGGKQQFVVN CC84DRAFT_1165534 MSSQAPKTTYHLYTFYSSSCAARIRIAFNLKNLTYVPHYLDMAK DDHESEEYRKLNPSASIPTLIVQTEGQEEFKICQSVAILEYLEELHPTPALLPSPSAP LARARVRELAYIITSDIFPPTNSRVAQMVKGVRGERDDAISFVHAIMRKGFTAYESML STYFPDGPYSAGETVTLADVVLIPQVEQARFYRLDFSAWPLLSGVIARLEALDAFKRA GWRAQGDTPEKDRVAV CC84DRAFT_1054313 MENNQSASRAAQRFLMDRVRTDWSWPDTPDAWSQSDEEVRGVTS FRERFYGTSSPSETEAEGADGSDPYKFDSPDSIGDAVEQKAQRKKRQRRIALEEEMKV NEGLRTFVERRDAWTGASAAAAHDESFRTAVATTDEPLVPVAPRLLADNAVRKSITPR AYPDIFNKIVVSSRTPSVPINLADMTKALVAGWKENGEWPPKAAPLDPLAGKKRAALA AVRAENGEPFLAHHPHLQKGMDSVRKIFHLNG CC84DRAFT_1206682 MVLIENQEYLCEEEKRLKQDRERTAYWKKWGTYLAERQWATVRE DYSHDGDAWSHFTHDMARSRAFRWGEDGIAGISDSHGLQNIGFAFWNEKDDFLKERLF GLSNPQGNHGESLKECHFHLDNTPTHSYTKYLYKYPQRAFPYEDLIKENAKRTRLERE YNMIDTGIFDDNKYWDIFIETAKEADNPEEILCRVTAYNRGPEPAPLHIVPHVWFRNT WSWGHEEPEKRPSIRKLGETTAVSKHHKLGERYFQLSPSPGVGPSGDDVQPKLLFTEN ETNYKTLWGGENKTPYVKDGIHRHICDNEKDAVNPENFGTKTAAWYAFDEGDGVAPGE CAVVRFRFSQRYDGYIDEELFDDIMEQRRAEADEFYYRISPMPMADDLRNIQRQALSG MLWCKQYYHFIWDQWANGDPGMPPPPPGRKAIRNKEWKHMFLDDILSMPDSWEYPFFA AWDSAFHCLPLAMIDPDFAKKQLDLFTREWYMHPNGQLPAYEWNFGDVNPPVHAWALY RVFKIERKMYGREDYDCLERVFQKLLLNFTWWVNRKDTDGKNIFEGGFLGLDNIGIFN RSDPLPTGGVLEQADSTGWMGFFCLNMLNICLELAKRRRIYEDMASKFFEHFIMISDA MQYRSGGEAKPLWNDEDGFYYDAISWGGPWSHQMPVRSLVGLIPLYSTLTLEPEVINQ FPSFKKRVNWFIENRKETADRNIASMKKRGEGDRLLLSLVNEDRLKKIMKRMLDESEF LADHGIRSMSKYHKDHPYSMDVNGQRYEVAYLPGDSDSGLFGGNSNWRGPIWMAVNTL LIESCQRFFLYYGNNLKVECPTGSGDYMHLGHVAEELQHRLQHLFARNDEGERAVNDG NEMLNFDPNWKDYLWFHEFFDGDTGRGLGASHQCGWTGLIAKMIHDTGMTCRLPQTPR TPTAAAAHYFDDIWSTAGKPKKPHHLRRSSTSRSIGFRSDWGGSANGDDVEPERANFN RTNSIGYLDADALRKKQEMDQHVASYVSDQLSRIKSGDSNEFDSGELEASLDGGLEMK PNGRKKGRENYFSK CC84DRAFT_1122312 MDVFLHSRTILLVCISACIYAIVGAVYRLFYSPIARYPGPRLAA LTFWYEFYHDVVCKGRYSWKIQELHQIYGPIVRINPKELHVADPAFYDSVYVGPGRRT EKWEYSARMFGTTTAAVGTTGHELHRIRRGALNGFFSKRTVADLGHTVQDLVDHGCDL LRRRGVASRVLNLRDFFAAFSADVIGIVAFGSNYGLLDREDFEPAWHKLMMDLSSGTH IMKQFPWTYPLLKFIPPSIVSLFHPPGTRLFNIRNDIKLKIEKTRSSLGSSSPEEKES IRDLASQPTALHTLLTSTLPAQELLTPRLEDEAFTLLGAGTLTTAHTLTTLLYHILAN PLIKSHLQTELSSLYNNISPGTSSMPLAGLEHSPYLQALVAEGLRLSFGVSHRLARIS PDTALRYEAVYNGRAYDYTIPAGVPVSMTPMFMHLDPSAFPSPHTFDPSRWLTSSDSS EDEIVELKRRKAYLVPFSKGTRACAGMWLAYAELYVMLGALFGPG CC84DRAFT_1218590 MPRRRDNNPKDEEEYEQGDFDDFYEVEGEGEEEDEEMRWDESAY DDGQTAEQGQQQGEEEEEEGETDTRARRIKLPTIFRQPRNGGVTAPFVSRLKPGARDP KRHYMRYVRIRPL CC84DRAFT_1165538 MSEDRPADGNEGDGTGAATLAPGMLEDLTRDILESLIHNIIYQT ALSCHRSEKQLRMQSAATSAESLALANIEPPSIKNSQSQPSLPTAETDAALYENGRVF LKGNPLKTTPEIICPHCKLPRLMHPIMGKGMQQPDLTREYCMLYPWVQRSGHDVYGNP FPTDMAKSKKERELLKQQQKQQDKESVGTPGSQDTDMNAGDGTQGKEIKLNTGGKPAS YIPWHTCPKCKRSLLITRFAHHLDKCLGISGRQSSRAAMAKISGVNGSGSGNTPLGSR MGTPAPGSQGDGPIPKSKAKGISPVKNLRDNADASDGENDTPERKKKKTKSSYVKKAD REKLSKEGTPNGSSVKIKLKTGNPTERRDSNMSEKREREPSESVDEGAPKAKKLKLSM GKAGSPPAPTPLAQGSP CC84DRAFT_1177308 MLNPSLAVAVTLPLVISAKPVVAHTSIDDPVFNAPVLTHFLHMN ALTSFPVNISDIDGIRGRYPNVGGNLTGAITGTIVNMGAATEWFPILLNETASFYTNI WTINATVPSLTQSKPISVPKQNRKQRPGQYGARPGDNTPSPPSSSPANEYTTIYLTAS AHLTYANEFLHGFGPVTVSSSHPAFLDLNVRSFYAEIEAGYRTGLGRIDVFEVKTEGK RDGSPIEALLPPGVEA CC84DRAFT_1094648 MARQVQLFLIRHGETVDNVAQLYAGSRDSMLTNHGYQQAIRLGS HFSSLGHSFTHLFSSHLQRAAKTAGLIREAQAKRDTNGSQQKVPDVVELSCLMEQDFG FYEGKKFYERPADGKLSGKDNHRQAHKDSEGFVDIESKASLARRADAFLDGHLLPLVN SPTGPSDLVVGIVSHGIMLSSLWKRILLRLPEKSVKLAPGLAASGPVTLEHLGGWSNT GFLELRMSRSVPVPLAPAPSATTDEISPEVAPLILIAPPRSEESSNSEAVSTEDPKVV TRTLLQAPTTSGLRKLDSDWSTVILTINGKEHLKNLKRTGGGVGSSRHDASQKNIDSF FKRRKVE CC84DRAFT_1165540 MAMCDECLEADNLDCKARPRRGYRSDRIDLDRVHYGEDRKYLSC THCREEKKRCSLKKKTDRPPCKRCKKQKIGCHFYDVAPPEKENPAKNKKETEEEATGS EKPKDPKRTLLEEIAPETSIPGSDFFSPEDLAYMEADSSADESDAQDDEASENELIED AEGHRGFLATIKTSFAHPMVFSINPPGHPKAVLDCDFCYIPVFGFVGHFEREVHAIQW SNGQGYTEFGNGHREEKDATTMCHECTFHRLQIMVCSDHVIRHIELHEDQLDYHAASE VLISVEGGTPQMQYELQRWCSLCFSLARHQCCSLQPFVGADDEGDGAVMMEGCGLRLC DRCAQELGQVYQNNLHDMVTALDKKAKPKQVETDDGEEAEEQGTIRADVGLLKLDGLL MKCVQGGADGGDVE CC84DRAFT_1165541 MAPALVEVPLPEATNYAKSGTGAYKDAFAAGPKHFKADAELKGT EKHPPAKYPNYLPTWTDKKYPPLEPFEHYEHGKDADSSFSNLLKDATKVDDLTANIGA EVHGVQLSKLTDAGKDELALFVAQKKVVAFRDQDFADLPISDALKIGGYFGRHHIHPT SGGPEGHPEVHLVHRSADDTTSRDFFEERTNSVTWHSDVTYEKQPPGTTFLYLLDGPA AGGDTLFANQALAYRRLSPEFQKRLHGLKVVHSAVEQADNSRNRGGIVRREPVTSIHP LVRTHPTTGEKALFINPQFSRRIVGYKKEESDYLLNFLYDHLAKGQDFQARVKWAPGT VVVWDNRVTAHSALLDWEDGARRHLARITPQAEAPYETPFEG CC84DRAFT_1260449 MAKSPLTAAPPIAVVPDVPKSDYSSDNASEAEHQTTGATFSGAG LKARFYKPMDQYEGRHRYDPDFVWEPVEERKVVRKIDKRICTWVCLMFFALQLDRGNI SQALSDNFLKDLNMNTNDYNYGQTIFLGSFLAAELPSQLISKKLGPDNWIPIQMVSWS LVASMQAFLSGRKSFFACRALLGLIEGGFIPDNILYLSYFYTGLELPARLSWFWVSYQ STQIISAFFAFGILRLRGHNGMAGWRWLFALEGMLTGLIGIISYFYLPPSPTQTASKF RGKDGWFTEREEKIMVNRILRDDPSKGDMHNRQALSPKMFWECLKDYHMWPVFILGLT WLIPTQPMTSYLTLNLKSLGFGTFETNLLTIPAYVLFIFQLLFWTWLSERINQRFLIG LCSQFWVFPLLVALECLSKSASPWVRWALSTMIVGHPYVHAIIVAITSRNAGTVRTRT VASAMYNMCVQASSMISQNIYREHDKPLYRRGNKVLIGITVLNFFLFVGAKFYYVYVN KRRDKIWDAMSKEEKEAYLETTTDKGNKRLDFRFAH CC84DRAFT_1206688 MATQTPEEEISFWPAATPTINMIEHPWQLPPDRETVQVFIPLVL STPYIVSDFHIRTPKSSRYIHSRRFMETHDVMTLTRATCRFRQQPGLPIDEKLLAELL YWGIGNPTWNHSDQKELLRPRKLWLKGCLIEVYEGEMPLPSLPPPLPDSYAPSLDSNK HVSSSSSSDFSSLLSFQTASSLASYWSARTTVRYDAIVEADETPSAEPHGPLQRLAID YQAELKERQLIQTFDKELNWSGRGQHVVFEPKEQVPLEVISSLGASATARVEMVRCRR VALARKTMRCKGDWSQNNVLSEVQHLQKFKHFHVVQLVGTYLQGRDFSLLMYPAADCH LGIFMEDTQDLRSELKNGRSEEATTRWPEYNSRILFLHRSLYCLASAVTSVHQQLARH MDIKPQNILVKWSDNSLWTPWQIYLSDFGLSRTFADQCHSQTDGPTPLTPKYCAPEVY EYESRGRSSDIFSLGCVYSEMLTVVAGTALSEFAEFRQDEDGDQSFHRNLGKVAVWMN KNFMSPTKVPPEVISLVWNMLHTNPSQRPKAYKVMQSIKAQDPNFPLFGGCCRRDPEP YIAYSGPPGAAIFPRELGVKSLTDLIEHA CC84DRAFT_1165542 MAGLDPRMMKVSPRLRYNTIGGVNGPLVILDNVKFPKYNEIVSL TLPDGTERSGQVLEARGNRAVVQVFEGTSGIDVKKTKVEFTGHSLKLGVSEDMLGRIF DGSGRAIDKGPKVLAEDYLDINGSPINPYSRVYPEEMISTGISAIDTMNSIARGQKIP IFSASGLPHNEIAAQICRQASLVGKPTKGVHDDHDDNFSIVFGAMGVNLETSRFFTRD FEENGSMERVTLFLNLANDPTIERIITPRLALTTAEYYAYQLEKHVLVILTDLSSYCD ALREVSAAREEVPGRRGYPGYMYTDLSTIYERAGRVEGRNGSITQIPILTMPNDDITH PIPDLTGYITEGQIFIDRQLDNKGIYPPINVLPSLSRLMKSAIGEGRTRKDHGDVSNQ LYAKYAIGRDAAAMKAVVGEEALSSEDKLSLEFLEKFERTFIAQSAYESRTIHESLDL AWSLLRIFPKELLNRIPAKVLDQFYARSRDREGKGEEKKKGSKDTRDNSGEGQQDGEN LIDV CC84DRAFT_833045 MMQGPFPPQPGMQMQQGHIMGAPGPGHPMQQGMMHQGVSGPGGH VSQAGPMGMQSGAPNAHALSHLQPQQAHMFQQQQQQQQQHPGMMNQQMNPALVQQHNA QRAALLHQQHQQAMLQNHQNGMGMGFPQQLNPQQMAALQRQMAGGPIVNLPPHLRQQF QQQQAMQQQQAQQQHATSNPQAAAMAQAHAAQMSQQAAQAQAQAAAQQAHQQQQQQMQ QAQQQQAIAMQHAVSQQSNHSQSGSQVGPATSQGPQQGPLRPPSAMSHQGQASPAPQP TPQQQPQPAPQPRQQTPAPNQTPNMPNQPNPQQPTPAQIQQRQAQAMQQGGMQQGQVN PAAAQAQAARMAMMRQSQNQQNQNQNSNSQGGPGILKLMNFVDQLGKYSASRAEHLNQ LSTWQAFVEKFFSETGAFLHVAYNKNTHRTKMFEVVYAALPRYFLSHFSTDVENLQVT IDGAIERNIGTETKVECDRAKFIYTYKNQCQVICHGKLTAFWTGGNNKMEWLQFETQG HHQMIPRGLLETLFQQEEINPMNAQQSPRMSKKNQKNQQQRQPQEATMPLSKLPNVGM SEWGLPPGLFHYLEIYETMNNMTSLMTHYHENPDLTPAAAMENWTREMSAGNPMAQPN AARMQGGMPPGQGMQQPQPGMPAGSRTPSGMGQPGLPPNQFMSPAMQNGLLPNGHMSS PSLMQQNHTPSPASHAMAHQQSQSSNTASVNTSPNVSNKRRRSTAKIDVDDGGGDANG VPKVKPSPRIGGNKRVKNNN CC84DRAFT_1165544 MAQAAAPAQMHSGAVKATLSALDPELSSANTLKIENTEKRDALI KEEKKYQQQWADAHVFEPEAPSLDEEPFDTTTPDQLHEKYPKWLGNFAFPYMNGTLHA GHGFTASKIEFTAGFSRMQGKRTLFPLGYHLTGMPIKACSDKLVREVEMFGKNFERCP VDDIVEEGPADSAVPPAPTQSENRTDLSKFSAKKGKAASKTVKAKYQFQIMLAQGIPL EEIHKFADPYHWIEYFPPLAKRDLTSFGARMDWRRQFTTTDANAYFDSFVRWQMRKLK EMGKIIFAKRYTVYSPKDGQACLDHDRASGEGVTVQEYTALKMKVKEWSETAQKELDG KLPADANVFFIPATLRPETMYGQTSCFVGPTLKYGVFQCGSEYFVCSPRAARNMAYQG IFPEWGVFPQVATLLGKELIGTIVNAPLSILTEGVRILPMETVKDTKGTAVVTCVPSD SPDDYITSLDLAKKAEYYGIQKEWVHFDDILPIISTPSFGDLTAKHLVETLKIQSPKD TKKLEEAKEKAYKEGFYKGTMIYGDFKGKKVEDAKNLVRKQLIEQGLAFGYGEPDGKV MSRSGDECTAALLDQWFMNYGTTENGGDGEWAAQVLQQIEDMELYYPEAKHAFEQVIN WLANWACARSYGLGTRLPWDESVMVESLSDSTIYQSYYSFAHLLHKDMFGKQVGPLGI KPEQMSDDVWDYVLGRRDRGDIPETSIPKKEIQTLRRHFDYWYPLDMRGSGKDLIQNH LTFNLYIHTALFPKENRPRSFRVNGHLMLNGEKMSKSTGNFLTIQGAVEKFGADATRL ALADAGDGIEDANFEEAVANANILKLFELRKWCEEMVRDAIIVEDGNKYKQAIKERIK NIDVVQRKSGSPRVLLDNLFDNELNSLVTEARKHYETTMYKSALKAAYYDFTAARDFY REATKAAGIGMHEDLAKRYIELQALLITPIAPHWSEYIWLEVLKKPSTIQNALYPTVP DTDAGLTAAREFVRNTQSNITSAEGQAVKRLAKGKAALFDPKKEKKITIFSAHSFPEW QKKYVDLLRKEYPNIDIKTISKSVDKAESKKAMPFINGLKRRLDNGESVDAVLNRQLP FDELVTLQAMVPGLKQTVQKCVAVEIVAVEEGGKAGTIINEDGSKGERKEELPPHAGS AEPGSPSFAFENVESLPVR CC84DRAFT_1057382 QLLARLLGRAPRSDLPPFNFAANRYKAKKHWPPNLRVLTEKQQF RFERKFKRRLRLKSIKPQWQRWTKIVQWNLIGFVVVYGVLFHDFAHDPMNPRPGEQPF KTLREGMWGIWDGMWTHTSTAGEPSRAAGRTQSEAAGAASRTPA CC84DRAFT_1218602 MPTPAATTGVAWHPSLTSEDDRTWVQDLVERHREHSLVYAWSEA CEKLIGSCWQIPVWTHVQVMKGRHGNARDQHASFRLWVAPAVPGYTAMWKHWCSVHLR ESGWETWVIAGSRGKHSLAMRPRSGSVGFEDVAFKMVLGASLTYTQTSASTGPGPGHR SDR CC84DRAFT_1122338 MAPQIRRLQIAVSGLGRMGARHANHFLHRTPRAELVAAFTPDPK ELAWAKENLEPWGVKTFSDYDEMLKHSGLEAVCVATATTVHAEQTIKAIEAGKHVLCE KPLSTKLEISEQVLRVAKAHPNQKVMCGFSRRFDASYLAGYQNALSGSIGRPTILRSQ TCDKYDPSGFFVAYAEFSGGIFVDCNIHDIDLALWFFSEQKQLPRVKSVMAVGVTALE PDLAKHGDVDNGVGVVEFHDGQIAYFYSSRMNAPGQHDMTEIIGTNGKLTVNANPTLS LTEAHTPTGISREIPQNYYERFENAFVEEARQFTDAVLDNKAVPIDMESSVEAVRIGV ALQESLRSGRKIFFDRQGNKVEDGTRAKL CC84DRAFT_1148519 MAAERTPDVQQKESQIRASVLHGAGDLRIENRSIFPPGPTDVQV AVRATGLCGSDLHYYRHYRNGDIIVREPMSLGHESAGVVVAVGSEVEKSQKFKVGDKV ALEVGQPCEQCDRCKEGRYNICKEMKFRSSAKAFPHAQGTLQDRINHPAAWCHKLPED VSLDLGALLEPLGVAIQATKRAQLPVGSTVLVFGAGAVGLLVAAMAKISGASTVVIAD IDSGRVNFAVENSFAHRSFTVPLKRGQTIEENLEIAKETAAEIAKVTRVSGGAVGEVD AVFECTGVPSCVQASIYATRPGGKVLLIGMGTPIQTLPISAAALREVDIMGVFRYANT YPTGVEVVSKKGPDYPDFSKLVTHRYKGLESAEEAFNMAGKTKDDKGNLVIKVVIETG DGDKANL CC84DRAFT_1122344 MTNVKDLFSLEGQTALVTGGTRGIGQSMAIALAEAGADILLVQR DTSNQSTKQEIEKLGRKVTIYTADLSSAESVSALLPKILKDGHRIHILLNCGGIQKRH PAHQFPDDDWQSVLQVNLTSVFTLCRDVGAHMLQQPTDLAPNSTRRGAIINIASLLSF QGGLTVPAYAASKGGVAQLTKALSNEWASKGINVNAIAPGYIATEMNTALMQDEKRAK SILERIPAGRWGSPEDFKGAVVWLASPASAYVSGEVVTVDGGWMGR CC84DRAFT_1122346 MNPSLANFILKLSPKDILNPTPTMNTAIPLNTGATIPALGLGTW QSAPGEVKKAVIHAIEGGYRHIDCAFCYQNEDEVGEALQDVISRGIVKREDLFITSKL WCTFHTRAEEGLQKSLDLLKTPYVDLYLMHWPVPMNPKGNHPLFPKLEDGSRDIDHSI THIDTWKNLEALYKAHPEKVKAIGVANYSVKYLEKLLAQSTITPAANQIENHPLLPQQ EVVDFCRSKNIHITAYSPLGSTGSPLFKDAGVLDVAKKHDVGPGTVLLSYHLARGSSV LAKSVTPSRIDENRKLITLDEGDMQALEAIHKKNGVQRFVYPPFGVNAGFPDKTEGID LSG CC84DRAFT_1148525 MAPGALVEQPNIAEPFANPKDGLALEATSDAIDDVNVLKAALKV KNGTATQEEKDIYEQSQFDAEKDKTQFRQYEEACDRVKNFYREQHEKQTVAYNLRARN SFYATTRASMTVWEAMEKLNTLIDESDPDTSLSQIEHLLQSAEAIRRDGKPRWMQLVG LIHDLGKLLFFYGAEGQWDVVGDTFPVGCAFDKRIIYPDTFKGNPDHDNYIYGTEHGI YTPGCGLDNVMLSWGHDEYLYHIMKAQSTIPDEGLAMIRYHSFYPWHSQGAYRWMMNE KDERMLEAVKAFNPYDLYSKSDDVPKVEDLKEYYKDIIDEFIGWDKKVQW CC84DRAFT_1197112 MGSSPVTSGITPVESDLVKTCLIIPGQADASFQLLEPKDRVPLI KNNDGTFGPIPALRSEAEFNAQPPVEDIKFMSFALISSDNGLFDLVTNDSPRQYVAKK RDGSVVLTDGPTNNAQMATSIFDVTCEGRIAVRVGSQYYTWTVSGKKTVMRRASGTPD TMFALPDSPAAQKRRRRNKSQEGVAPRCPSYPRELEARVFPGARGNNPNQCGSSSFNV PDLSFGKCCDQHDNDYDDCGMTFEEGNNRFHSCMRGSGCDYLNHWYYWPAYVGCLKTA DFYYSVVSSIAGQIAFLQNCGACGVVSPSGYCVGGQPYTPPAFCSRGNGFRNGNFANS GNDWNAQIVSGGGNSNFGVGFDGGAEDADAMVGIFSLYSPNPSASLKTSVKMCPGIAY TLGFQIRRPYGNDNCQYSVYVARDLYNSGNVPLPADRGAGWMWVSGLRVGPFSGERQG VTTAGPELWAEFQLDVRCSGGAPYDFIRVDQFSINPA CC84DRAFT_833117 MAGHHKTWCHRSIVLFNLYKSSGKLTIVLLLTFVVFCACDDPVM DLLLCRFQGYAILD CC84DRAFT_1055019 LPRTINDAISVTEALSIYYLWIDALCIQQDAGEDKDTVIANMHN IYENSFITIAAASA CC84DRAFT_1218609 MEPHLPPAPSAAHHHPPAASRAPVDIGYQYNEKDVFDHRTQYAP RVERGSKGSSNSRAHDSVISYPRSPNVATASPPSPVSSVSSGRKHRSQQSARHSHDAI ARVAQPPRAYIDPEKAGSSPYRSRSPTRASASNPDATRQTIAYDPDDFDEKGPEDKPV QLLLYLSLPCALLSFLIMLWTMVALLISLLLQPFRLFSTRSTLPTQLTTFLAPPLNLQ LHLIYSFSAADSYSAPMLVVIHLFSPFVAVGVAIAAWTAACFWFFSAILGDPAGQDGH NDGKESILGVRNWWDRWLSRALR CC84DRAFT_1165554 MAVVNGTDNDNDTATMTRSSVIEVEDIPDAILDPAPENIIHFKG NLVLVVGSSDRQRSILVQAETIEHFGQPWQEVIKDSNRERLLSVRRTSHLPDDDADMM LVLMYLSHSWHVGKVPKEVSFQQLLAMTRICEKYDMNAQVSPFVRRWIVPHQDKLLSP GREEWLFIAHQFGLERHYITLA CC84DRAFT_833205 MRVLLGDGWKVPLNAPIHPAPRYSYTSTHSFSCPSTCATIRLAR SCLVTYTLPSVTLTSPSMPEHAEVFAHCPIAAREHVSPPPGAHHTSPYTNQIMEEIHA RQAQEALEAQEQAQAYHQQARAPTHTQSAPSRVQSPMHPRTQTPMQSYNAYGPPRGSS RDEVKAREIRQDEWEVREVEGGLVFFMEFPTFFAVMNCSRTLRIVTRIVDFRVSDVGI CC84DRAFT_1218612 MRSTSSTALPGRPWTPPEDVFPDEHASDSTPPLDQNDDSQRLTR PHAEIVQPKRPRKLQIRATKPVMLESPELSATGYSSRADAQTPTHEYGVENMPAQNPH PFMADPITPIRTPWSSGLLPSKDIPQYPYTPESIRTTKSHEIRSLSPLPSMPWDTKDS CHSPMQDALLSCASNLESLIMSREPTDEQMEYLVSKFEEMAGFLTAPDSQSKQTDDHL FSEPEEPSEATGLGIIPQEPANDHVNADDLALGQSYILEVGKYIESVKCHVRDLTTRM DEVKQLNSIQLDIIGDLRRDLRNKTFQTNRTALVKHEDDELMQNPENVRKPPLPRNSF WSAVGEALDKVGELFHEW CC84DRAFT_833211 MAQFAVQPSLDTVREVLAAAIESPNPPNLVPVFSSISAEFLTPG LIYLKIAEQAKSKLSFLFESAATTETIGRYSFVGADPRKILKTGKGHGPETDPLPLLE KELAKSRVATVPSIQLPPMTGGAVGYVGYDCVQYFEPKTKRDDMKDVLGVPESLFMLF DTVVALDHFSQVVKVITYVKVPGSLDDLEKAYNEAKETLKKYTALLKKRDRIPEPEQQ PIRKGQEYKSNIGQDGYEGHVKRLKEHISVGDIIQAVPSQRFARPTSLHPFNIYRHLR NVNPSPYLFYVDCEDFQIVGASPELLVKEEQGRIITHPIAGTVKRGKTLQEDAALAEE LSNSIKDRAEHVMLVDLARNDVNRVCDPLTTRVDKLMVVQKFSHVQHLVSEVSGVLRP GKTRFDAFRSIFPAGTVSGAPKVRAMELIAELEREKRGVYAGAVGYFGYSSVDGEREI EGAMDTCIALRTMLVKDGIAYLQAGGGIVFDSDPYDEWMETINKLGANMQCITTAEQK HLDEQAEAEDAATAQSAPGTDAHISLAA CC84DRAFT_1093842 MKSFAILAVVGVALAQDAGSLPQCGQTCVNNMIAIAQSSFGCSS GDVACYCSNQDFGYGVRDCAREACGSDAVAAQVISYGATYCAGE CC84DRAFT_1165558 MASPKTNRLILGLMTIGPDTELGARITSLDTYKQCLDYLSKKGY HELDTAGSYVGGKQEAFTRDADFRSRGFEIASKVYPVQPGDHEPEKLRSKWLASLEKL GVDSTDILYLHAPDRATPFETTLECVNQLYQEGRFKKLGLSNYAAWEVAEIVGICERR GFVKPAIYQGMYNAITRALEAELLPCLRKFNIDLVIYNPLVGGLFSGKYSTLDAPAEG RFSDKVNYGKMYRERYFKESVIDALALVEPVAKEHGVPLIEVALRWCVHHSKLKMRSE GGNDGVIIGISSYEQLEQNVEAFEKGPLPQPLVDVLDKAWERTRGDAVTYWR CC84DRAFT_1248069 MLFRYTTRSRPACLAYRQFSSSYPYRVLARLRGHQYANVGTGTC HEKNYSSKAIVDGIVSKAKPFQLPRHLDEKHYGWLDDRRFRHGISDYQAERLTVREIA MLALMNSITDKPMWHVKIFDETIVHKWHEEVQAMPDGMISDKTFDWCINELRDKSKDF EQQMFVPTLDAQTRCVKSDILISESLAVDLRQAVEPLLAVGDDQKDWHPNSESKVLNL VHPSLYPLVSGRSRSTTAGKVGRETCFEFLGEGSIVEKGGEIPRGYRWSRSQDLSQLC STCFQWLPSEVRFVSDKGTDVNITSYINNLHPIEYRKLYEIIERIIAKSVPLWNSVLV KGFNRSSCLRIKTMEGVTEPREAPFLDEINEDEGRIPLLAPQIKEYLAQPDSPQLMAH WRKHRIPLDQRRVIPEDLNEMIDWDLLDAIEEVFQRTRTVIHPEPGDFKNYETWKSSQ TKQCIEDEFREHGLQVIVKLSSIELTPEKPDYAGGSWHLEGMLNESIVATSIYYYDVD NVTESRITFSQEASLDEANLVYEQSDHVPLSITFGTEAMHEELAAQEIGSIATRHGRI IAFPNTMQHKVEPFSLADKTKPGHRRYLVLWLVDPHMRIVSTADVPPQQMSWAEGAHA DEVTKRGLMTLDEAKTYRLELMKERTKCNHAVESNFDTYNLCEH CC84DRAFT_1165559 MRFNFQHVLAASTLPTCFATLGGLTVSLDGYGSFFGTTINQTLT QQPLPAGVDAWLGIDYATQPTGKDRFAPVTFAKSFSGIKNATQYGYTCVQDPTTNPYP MDEACLNFNVYRPQGFNFRERLPVFVWIHGGAFLTGSARSFDGASFVANSKEPLIVVT FNYRVNSLGFLPTPAFERLGLLNLGLLDQQLLLQFLQKHISTFGGDAERITIGGRSAG AHSVGIHLFHNYNKTRGPSPLFSQALLQSGSVTSRSFPNASYPLYQAQFSRYLDLIGC AGSANGTDEELLGCLRAAPIDAIQNASSLLFTESEYAITWPFQPTKGGPLLEQAGSVS GKNGQFYHIPTITTNVPDEAKYYSPGDLVSNDDFLAYVHNLIPGLTTQDLSDLEELYP DPTNSTNSNYSNSPNSTQYNRVSAALTDFMYVCPGQETAVRMSTAGVPVYKLVFATNN SFPTWRGIPHTSDTKYTWAESLQGGSKGIQYPEVGKLLHAYFADFVVSGSPNGKQSQD APAWEKYVDGGKTKPGLQLRIEPFGNSRVEGDGIRRVQCEWWRDATRAARLEK CC84DRAFT_1248076 MKISKAIGYLSTLVQLAFAASPRTKYNFNSDWRLFVGDPKGAQD PSFEDKDWKSITTPHAWNEDDAFHVSIDNLSTGVAWYRKHFKLPSSANGKKVFLEFEG IRHGGEFYLNGNWIGRSENGVMAFGFDISNATFQNDNVIAARIDNAWNYHEVSTGSTF QWSDKNFYANYGGINKNVYLHVTDRLYQTLPLYSNLGTTGVYVYPSDIDIDARNANVT VEVQVRNDYNSSKTFSFRVEISDPHRSIVKSFPAAQYTLQPNETRTIAASSVVSDLEF WSWGYGYLYDIQTILSINGTNIDSVNTRTGFRKTKFSNGMFQLNDRVLHLKGYAQRTT NEWPALGSAVPAWLSDFSNKLITSSNGNLVRWMHVTPWKQDVESCDRLGLLQAMPAGD SEGDVTGRRWEQRVELMRDAIIYNRNNPSIVFYEGGNSGISEDHQQQLKDVRDKYDPK GGRAMGAREMLASKVAEYGGEMLYINKGSRIPFWQMEYSRDEGLRKYWDNYSPPYHPD GEGEGDGPTYNRNQDSHAIENAERWFDYYEQRPGTGKRVNAGGVNIIFSDSNTHHRGT ENYRRSGEVDAVRLPKDGWYAHRVMWDNWVDLEQSATYIIGHWNYNVSTTKTVTVIST ADRVELKLNGESLGSATPRKRFLFAFTNVTWQEGTLEAIGYTDGKQSSSDVRRTAGKP VAIKLTPRTSPLGFLADGADIALVDIEVVDADGERNPISLNIIDFTLSGEAEWRGGIA QGPENYILSKTLPVENGINRILLRSTTKSGAVTLKASADGLKSASVTLTSEVFNVESG LSTQIPASGLSNDLSRGPTPRGSPYTLSRNALTVISVTATSNSANASLSYDDNEYSTW SSSGSATNSTITYELAKTSNVEQVVLKLSNFRTKQYPLTVKVDDVEVWSGTTATSLGY VTLKFEGTKGKSISLVRRGTGEIGITEAEIYAAI CC84DRAFT_1206703 MKVGAYQTSLLALASLRSIFALPVLERDRPYRHPIARIPISSAL TTTPGITPNLHILDKANLLSEGTVVPGLVGPDLNPTPARRQKRSVIGGESRYLQTKTG NPWDYVGRLEWAVGTSGYRCSGALVGPRHLATARHCFNTTNTAITYTFRPNYNQGANG YTAAQVTNILYVSGSLTDTCTYGDDWAVMILNQRLGDKYGYFGAKQFTGTTATFWHEG YPYDLGNSERPYVEQSISGKNVSTCNSGTTGPILTKADASDGQSGGPLWLLPEADGVR YTYGVLSAGSTTDTLFAGRTAFVNAVAQARSQYP CC84DRAFT_1248080 MRFAATFTSLLSLMGVISASPFTKRQSTVTQVYKFTGSPLKAEG IAVRSNGQILVTFFDKGEAWLVDPPTKKASKVATFTDTTCSAAIAEVAPDVFAVVAGK YSNSNTPGSWGVWKVDFSAGGATPTTTLVKKVPESGMWNGLSAFNNDTVLVGDASKGA VFKVNVNTGNYSIAIQDSTMAPASGMPMGIDGIRYANGNIYYTNIFAQKFYKMPVDAE GKKTGSAVQIWGNHMADDMYVSPEGVAYVAASSGIQKVTADGKVSTVASVRSGTAVTI GADKATLFVAGSDGVISSFKI CC84DRAFT_1260472 MTSSGVSSVSSVSSTAAPESSTTLSTALSSFSSSMMSSSSFLSS SSTLSSMNTSYSTSMYSKSTVSSSSSSSSPANSTSSAGPSCPTGSGLEINDDEGDDWS DDDFSSCPAGVGLSQDDDEGDNENPDAGTFCPHKSLELNDEEDFQLGDWHFDNSSNIP IPEISFKPSSTSSTSTPHLFGPSLPHHTTSKIPAAPTLPTSANRPHETPHITITASPT PEAVDKTEYVCTDMRAYAGPNAKENCQNDEYCKSFEWYGPLGKDPMQMMPPDPNMVNA WNGWERTCEPYKETPDSYYCKSCTRSPAVCKIIRDYNKNNWRPDKEGAPIEECWADEM CESDRTHTRICHHRSPRDAGQHIDQPDAAVFCMECPRNSLVEPDSKGCMAQMDFKNDG RQDLLGTDNGRNLYDCSPHCVPDVYANRYCLGTQCMSCPYMFI CC84DRAFT_833323 MAQNHAQISNWREELIPNIIDHLAKVAPSAPYALYPNSPTTYDE GYRTVTYKDFANAVNGLAWWLSDNLGPSKNFDVLAYFGPNDVRYTALLLGAVKAGYVA FFTSPRNSVAAHGALFDKLQCQVLLTPEPAPPATEQITSAHPMKHLHVPSVTDLLEKE YPHYAYDKTYAAAHTDPLVVVHTSGSTGIPKPLIWTHETAARHHNYCALEPPSGYESI DKLHQGKRMLNTFPPFHAAGIAIHLVHSPPFGTVSIAPLSGALPTAESIVQALKTTKA DVAFLVPSIIADISQKPELLDFCAENLELILYAGGDLPQAIGDKIAAKMPIQNNHGAS EFGLIAQLRTPEMTRLDWHYVLPHPELGCVFEENSPGLYELVFKKNPKYEKHMMPFTI GPVLKGQDEYRTRDLFVKHPTIPDCWAWKARADDIIVFLNGEKTNPVSMEQSIISSNE EVVQALAFGMQRFQAGLLVEPSPKLGDLNEVDQAKFVDKIWPSIDRANQSAPAHARVE KAMVILTRPDKLMIRSGKGTIQRQGTLAMYAAEIDDIYVKADTVLTGIEHASLDTKDA GQVAEWLKKVISQIEPALLEDEGKTFFDKGMDSLMGVRLVRALRHGLGQPDLDLSIVY NNPSLEMLTKYIVSGKETPGAPNGAPNGTVKVTDADPEIDSLLKEYEPVITQIGQQAR TPGERKGEVAVLTGSTGSLGIHLLEALLATPAISHIYCLNRRENAKEIHANNIKGWDS KFPHQSDRVTFLQAALHDPNLGLEQKTYETIRSTATIIIHNAWTVNFMLPLKAFRSQF DGLVNFFRLAGSRSDPPKLLYISSISSVAQLPRVTSALSIPEEVVRDSNAPYEIGYAK SKLVSELLCDAAAQTLDIPVSFARVGQIAGPVGEGVNATWSTAEWLPGLVVTSISLGL LPEDLGAELNQVDWIPVDLLSKVLLEFGAGSSAQAPNGAGTNGNPHGAEVFNLLNPKT TSWKELILAIVASVEKHTGKVLNVVSAREWLEKLQEVVDNFSGEDAVDLVRTYPAVRL QEFYEARLLDSKNAIKWDLDRARSQSATLNGMPAIGRDWIERWIEQWLKEMKTAVE CC84DRAFT_1206706 MSTDSANIGWRLQLFTGFFTPLQVIAVGLRFYARWLVVGQSHPL EDALVVVALVSQLILGAVGLASVTFAGVGQHLAYLEEHEPEKVLNWGRYLLTLATLYF VDVNIPKLAVLALYRRLFPQRTARITVYIIAGILIAGSIANTIVSLAACKPFAANFNP ALPGAKCIDKEAFYVWTSVPNIATDVVMLALPLPIVWSLHNTKRIKVALTFTFLVGSL GLVASILRFHTFFVTNSFTDGTYDAVELIIWTVAEPGIYLISACLLTYRPLLERVGKS RLFGSFRTSVKSSNPSGYGPGKRDGPIDGGGVPLRNVKRMGNGFTEIDDDDSSGNVHI LRASSERNIPGSPVSGPGGITVTTEIQNSWAAK CC84DRAFT_1206707 MDHMRNSPIAVIGFQCRFPGGSISSEKLWETLVSGSNTWSKVPT DRFNEEAFFHDDPDDTNGTNNHRGGHFLKEDIRDFDHDFFNISAQEAAAMDPQQRLLL EVVYEALESAGQQHRIRGSATSVHVALFTRDYDRNLYKDTLNIPRYQVTGTGDAIASN RISYVFDCTGPSVTLDTGCSGGLVAVHQACNSLRLGESDMAIAAAANLIIGPDQQIGL SNLHMLSEDGRSYPFDSRGSGYGRGEGVAALILKPLHKAIADRDPIRGVILGSAVNQD GRTIEGITHPSTSAQITLQRRLYRQLNLDPASVSYVEAHGTGTAAGDKVEIDALAEVF CAGKRSNTLHVGSIKSNLGHLECASGLAGLIKSLLILENRCIPPNADFKSAKEALRLD ERNITVPSSLIPWPDLKTARVSINSFGYGGTNAHVVVESAPHETTTVLASSEEVPRLF VFSAKSEISLIGALRRYQSWTAAQGADLSMSTLSYTLSTRRTHHPWRIGCIAKSRQEL VDQLAQAARAFVPAVSSDDVALNFVFTGQGASWPTMGRELLVANSASAFAESMRRSTD VLFHLGAGWNLVDEILREADTSRLHIAELAQPATTAIQIALVDLLRSNGVIPDAVIGH SSGEIAAAYAAGYISQATALRVAYCRGLATTKQSSKASCRGAMLAVGLDEDDVSVHIQ SLTKGTVSVACANSSQNTTVSGDEEAIDELAAALTALDVFNRKLRVDAAYHSHHMRDV VEDYKSLMGLLESEVPLTAPAFFSTVDATEKDGGFNAGYWCDNLVSKVRFKEGIRKLC KRRTSARHVFVEIGPHNALAGPTRQCIAELSVPIKYDYTSPLRRGTDAVQSVLEVAGL LFERGILSDFSLLSVLDPAQRHANVIHNLPSYSWNHSKKHWHESRISRDHRFRRHAYH DLVGLRSSEATTFEPRWRYMIGLASLPWLADHVVDGVIVFPGSGYICMAIEAISQLAQ EHLPSGQRYQVVLRDIEFLKAIIVPNSPQRTEAQLSFSPISSGTDKNKELAYHFRVAA YNQSCTWDEHCRGYVEVRTIEAEKGLTALNGYSHEKTLVPEQLYQQLSDNGNSYGPMF NGIEHMSMGDIHALATVAIPHVAEVMPANHMQPHIIHPTTLDIIMHTSLPLALQKFGS GSIMPIHINELVVSTGIDSAPGSKISVHTKLASVEARTAEVGIEAVNDRDGISSLSFS GVKLRFLPTTTPIQNPEKAARSTCWDVIWDVDENNLSAADFKPAALVDKSTLSLDHKV RAMNQATENYVRICIDAVNRGQLQVTDEHRLLFDWMQREYPSIDNFPSKVASSTISTP DFEEMKIVARTGENLTEIISGSTDALQLVTEDGLLYGSYQDHSSVLCYDLLRQYVRKL AFKKSKLRILEIGGGTGGATLPFLETLKASGCFAATYDFTDVSANFFDRAAAKFKGYP INFRKLDIEQDPAHQGFDLQSYDVVLAFNCLHVTSSIRTTLRNARSLLRTDGRLVLIE IVNSQPYHHISYGTLPGYWKGSVDDRPNGPFMPVEQWRQAMHDTNLNMQMCVKDDEKA HISSLMVAQLQGELPNSAQIPIRVIPVAGAPKAVATLLQEGLQNRGYSVSTGQFEEEI DESASIKFIVDNGDSPLLAGLTPETFKTLKHMLNKQTKVMWITVGTTVGNTTDSRRNL ITGLARSAQAENESLKMVTVDVQRFQPDLSPELSHKLYNVLQQTFFQSCERPEREYII RDNAVLIPRLLPSDALNNWMTRSSRIVDQLRDGLDVNASFIIAGGLGDLGQKLLGFLV QRGAKHVVLLSRRPPKEQNGKHVVRMLEGCEVYHIKCDISLEADVEALAATIAARRLP TVRGIIQSAHTLQDRSIDSMTLDDFTIPLAPKLNGTLNLQKAFASPDLDFFIMLSSAA NIVGTRGQANYNAGNAVQDALAQNQSLTPGKTHYMSFSPSMVEGTGAVRDMEIRKALQ RSGLDAIKEEDINAIFDYMLSPAARKDRIAHITAGFDATSIAGATTVNGNIRSPFFTH VQVTESSSPEVSKLPATSQVGKATIFNMATASPEEALTYTTVAVSKKLSALAYVDAET MDLEKPISDFGLDSLIAIELRNWIKREFKASLQSLEILNEQGVKMLAKKILSRAGGVK VGGTATP CC84DRAFT_1218625 MAQWEKKRTQEIEKMISETNDEPTQIDRIAEMRGWFRPPEDGIV YPAVQDYVNGTADLEATVSKITKPIDEALAKNSGKDQLDDAWYPIIHSAKRIPYSDAD RHSKLVELVKALKEHPEPSATQDNPKYMTLAGLPMAVREAWNDSPRHDIGSVPQEIRA YTNFNYFIARLTDDGLFTASHVIIWAMREALENTPQPLPWSYDAHVPAAAMWAIVLGK KLYEREEDLTPRNANEGNPARGGDLWNGGPVFSKERWAFWKKRFGDVVGQEGISEETK NIAKAAFEAMDKAESS CC84DRAFT_1093518 MGLVDGERLWQLAIWTPVAFAVYFVAVAIYRITLHPLAKYPGPL LWRISPIPSIISLLRGRISFDYKVHHDKYGPVVRVMPNELSFNTAKAWEDIYGHRVGM ANMDKDPIHVGAVEAIPGATNLTMAPDVHHARQRRALAHAFSKQALLEQEPILKGYVD LFVKRLREMAHKGEAANMVSWFNFCTFDIIGDLSFGEPFGCLQEGEGGESANWVVLVY ESIKAGALEQATRRFASPGSLGQRFMMWCIPSIIRERRFKHLRNSTEKTNRRMATKTD HRDFIWYILKQREKKNEVSDDEVIMNAALFIVAGSETTATELCGLTNYLLRNPEKYAR IKDELRSACKTEADLNMDVLGSLPYMNACIEEGLRIFPPVPIGLLRTVPKGGSLIDGH MVPENTSVCVASWAAAHSANNFADPDSFIPERFLDTPESKARYGSDIKKAAQPFSLGP RGCIGRNLTYVELRLILGALLWHFDMEFADGAPLWNPKDEFKGLRAYNTWEKSPLKVK LTDIRKTPA CC84DRAFT_1177336 MLNILSKLSVPVALLSLFTPAIVSAPLATPDLSAAAFSTPLRIL PLGDSITFGYIKGGGSNGYREELRKKLVAAGASVDFVGTLTSGNMADNQNEGHSGWTI SQVRNVLGPALNFKPNVVLLHLATNDLNGAETAAEPFSKAPERLGNLIDDVLAKLPNA VVFVAKIIPTTNSWSKDRFQTYNAALPAVVKARADKGFSVVLVDQSVIPTSELSDSLH PSAAGYSHMGDIWADAVVVNQALIKPVPS CC84DRAFT_833446 MGNHALIYGASGISGWAIVNSILNGYPSKDAFTKVSALVNRPLT REMALWPDDPRLQIVSGIDLLKGSQEELEKTLKEKVPDVDSVTQVYFYSYKQIDDNDA ECKTNEAMLERAVTAIEKLSTKLSYVVLPSGTKIYGCQMLDKFPFANDLPLRETLPPI PEPALSELFYYNQIDCLKRISKGKNWNWCEVRPDNIIGFVPNNNAYCLAQTLALYLSL YRSVEGEGAKVPFPGTEKSWVNKYNESPQDMVAHFSIYASLHPEKTASQSFNVGGQED NWKGKWPVICDYFGLKGTGPEENAPQPGAYIAAHRKEWDELEQKENLKKGSVDSDITH PGFQYYIMTMFDFDRQMSMEASHKVGYTEEIKTPETWKIAFDRMRQAKVIP CC84DRAFT_1148563 MTSDASDNPYVSEYPPTCTIDPSIKALISHYYQQVDTKGKHVEY SECWIEDGTLVVPNGQEFTGREAIRNLHSGMWDGVPRRLHRPRKVFPFGDNADEVVII GTVEYWPEDGPYKKQDMAARAKYRKDSNRGEVKIVRLQVWLTG CC84DRAFT_833502 MRHRHRCWLSSDWPAGRNFLPGCRIALSVRIRRFYGSDFLIYFG WLFAHCESVRTTPAAHFIRWPFLSFTILSGHCSRLYVCGSVHLSPHRCIYSCHASFLQ YNTIIPSSNALRSLFVVMPNVPSYTCICSS CC84DRAFT_833967 MDYIDYSSFPMLASTDCSPTTENFSYPTAFDKAFVGDPFTGNDA PLTAFDYFGRPVSSATATESLNATSSVAPTGPQLESPLAHHPFGQLDVFSSGFPWDTN PLPPTALDLNLSPLSDDSRTPSLCGDAPQPSVLASPPPSPQPQSHSRRQSTSSSLLKF EDPSAAPPKRRRGRPRLDRSSSSLSSTNSKNPRAQRLPHNQVERKYREGLNATLERLR QTVPALCVEDKFGLGGVVGHPKPSKAMILEGAIEYIREIERERDAFRGEVERLRRASG AWDSAAQ CC84DRAFT_1148567 MNTSLTAYTHLESLLVFQSLHAYGVNPQVFSRISELLKANPDIT SDQRFQSSRLSPDALRNFYLRILKDELRNERDLPDGDATNGEVKSRKRKAPSPSLPTV QESLQHQHLIPKLVNKLYAHYRAAITEQIRQEEDRYERLERELQAIERGEWDNVKERA NGKSASRSPSLPKKSPLLAQTQDPATTPAAPSPPPQKKQASADKKGKQSTPQPPSASQ PPQTSRSQGSTPAQQGPSHGNAPYSPALGTQPSHLQTHPSTPGSQNAPTPGSHHTPYQ GFQQHLQGGHASAPQLQHPIQPHMANGVPQLAPSPGPQQTQHSPTHQRLPGPVQSNPQ SPSVHTPQHQRGYVPIAGQPPYLPQHPQPGQPPPQGGFMLPPFQVAPQDPSRLQQQVT HQPQQHQVSTPVNARQSKITGHAARGVTHTRPTQSQPSNFATPISLQRAILSALSTPT TPKPGSSFKPEARSLGSTPVKRPDADPLDFNEVRQHQQQSSPKSKSTRKSRAKGKTKQ KEQEPEPEPEPEPHPDSDHQAEEAVPEMETRQGRPRRKGPIKKGRPGSIASSHAGTSA RGRSRSHSILSHTETVAADTESQAGSRIKSEDDDELVATPSQMSTRGRSAAKPNNKRK RNLREISPEESEDRSGTPSLPRTVVATRHFSRMCAPLMNDIGSHKHASTFTTAVKAKD AEGYYDIIKRPTDIKTIQKAIAAGAKQVAAAASGDTPSGSPGGAGGVVELPHTADNIP PKAIVNSAQLEKELMRMFVNAVMFNPGEEGVVEDAREMFESVQHSVSSWRSVEPSSGR MEVEETPPVLDEDVPMATKRRKL CC84DRAFT_1248106 MSSTQAFQHTAVEDPDAGWKPNNRPQSTIARNFMSELDDLFRLD GGIDLLDKTVHQKKQAVSTHTQELEALEAKLRETEERLNQARNSPPRRKDSQRRTPVE GTFSAQDKARLESSGSPRPVRQDKENMPGALSELTHSDTSTEYILVDRPHSARTDDGN KA CC84DRAFT_833814 MSPPQNLLNLPTPYAEVHELENLQGAGDARPTALQILKDQDLVG KLGGKVALVTGVSSGLGIETARALKAAGMHVFGAVRNLEKARTALKDDLEPGKLDLIH LDNNSLSSVRAGAADFLSKSSTLNILVNNAGVMQTPEGRTEDGFETQFGVNHLAHFLL FQLLKPMLLASATPTFASRVVNVASSGHHDGPRIFFDNINLEGIYDPRLAYGQSKLAN IQMATELERRYGSQHLHAFSVMPGGIATGLGKFLPQELIQSWLADEEFMRAWKSPEQG AATQVWAAVGKELEGKGGAYLEDCHVAGPAVEGNPHFGYTRAAYDEASEKRLWEVSCE MVGFKEG CC84DRAFT_1177343 MKISGTECTPPAPRTFNTDQGYRLPASRIGLKRENRVSTSSVAL PQTITLAPARAHTIPSFASFISHNQPSSMFALFSKIQDDQPEEDESAPATPPTRDDTS KTSLPGRVASPKKATAQIDPVDGKTKTCDRGRTFETFVDVGKLTADLGVTEAVGSSSD ARRGLGKVRGWVGRRKGPGC CC84DRAFT_1177344 MSTSMRIQTPQTFLSSFATLSIPSFSAVLADSYKHNYAPASLVA ELNRGGYDGKEAFLEHIRSVAKLMTGFPVTPLRMIQDEAENAVWAWCRSWAEWRESVV DGDAEWGYEGEYVFMFWMDGEGKIEKCVEMLDTWATREKLLVLSARARENIKRRTGEE FKWLERE CC84DRAFT_1165571 MALSTWALPQLSKLLPLDEDSLRQVIAYTESLPNREAADHLQNL LGDSPQALEFITSFNNRRKPAASAGASQLQPSNDLSEVPKAKPRNKKKPLNKLPPPRV PENYGNTTGAYLKRDEEDYMAGASKPRAQKHNAFALSDKLDAVQAPMTSSGKSTPKSR GISPAPPPPKLPPSAAGQLISDTKSSRNSSPKPKTKVTVAGGTPMHGASTALNDLESA IRALEIQTNPTLSAAQENAKRKCNCMATRHPLLEAAPNCMNCGKIICVKEGIGPCTFC GQPILSADEIQSMVRVLREERGKEKMAANNAGQRRADVSLAPRPFSTPRSATPLSSNP GSDVESENERLAKAKQHRDKLLAYQAQNARRTHVHDEAADFETTHAGLSQWATPQERA MQLKKQQKALREQEWNARPEYEKRQVVASLNLVGGKIKKKYETKAMERPQTPESDDEL PEPAALGDFGARTSGGGAFSRNPLLGGLIRPTIKIDNKEKEVEGERKQTWRRVQDDND DNEQWILDGGVYGGRGDAQDVTQQQEQCG CC84DRAFT_834076 MATEVALETTLGTITVELYTTHAPKTCTNFSTLAQRSYFDGLIF HRIIPNFMIQGGDPTGTGRGGASIYGEKFEDEISPALKHTGAGILSMANSGPNTNGSQ FFITLAPTPWLDGKHTIFGRVKHGLQVVKKLGLLKTDKEDRPVEEVKILRAYVVEKAD TI CC84DRAFT_1188059 MGEKMKAVHYEGPFKVSVREVETPRIQHPDDAIIKVTTAAICGS DLHMYQGRTAAEAGLVFGHENMGVIIETGPGVTILEKGDRVVLPFNVADGRCRNCEEG RTAFCTGVNPGFAGGAYGYVAMGPYQGGQAQYLRVPFADFNALKLPKGTEHEADFALL ADIFPTGWHGLNLSGFQSGETVAVFGAGPVGLMAAYSGILRGASKVFVVDTVPERLKQ AEKIGCVPIDFKKSDPVEQIIKANGGMVDRAVDAVGYQAVDASGSKEKPNIVLDQLIM VTRPTGGLGIPGLYVPADPGAPDEQSKKGQILISFGKLFEKETYNRYLRDLIVSGKAK PSFVVSHEIDIDDASVAYEKFDKRIEGYTKVLIHPNGPLDKFTAS CC84DRAFT_1248130 MDKIHSCSSLTLIACVGSDPHHGLTGISLPRANIPCIRGYQLIP SQHDIKSSIWASRGWTLQEALLSRRLLYFTDRQVVFESKNFVESELTDGSDVDFSSYF DCIFSFERFLTSRLGPLVFIKEYSTRKLARPESD CC84DRAFT_1206720 MKLTTVLGSSIALIGATRASPLLAARQGSFKFECNGQQFPKLAD CDALFQTFTDGTKTYTHPDGGNILSLYLSDQSDCQIDVSFWDQSYTVTENQIYNGING VKTTCFPAGTGGAANEVGGRFSIAVRNNPNYDPPDNKKRTVARSAARTISVPMGEKGS IIEVVAKRADGDTSFSYGVHTTNAKPGDMKHNIGDGLPGGSTWEWSSEKSVTDHVSFS TSVSAGIEGIVSASVGTEISHDETFTSGQTTTITIKCDDNQYGQVYFQGYAEVWQGVL LPSGDQLTVTKPQVNSDGSTAGFYQYDCISK CC84DRAFT_1206721 MLFTKVITALSLAAPVLSIGVRIAQFSDGNCVSSIDPAINILRD GCSTWSTPVGKSMSVSTYAASGDSDFSCLAVIVQLRQHSGRYCWTSGHGSAGRGVHHH AHQRSGLNLMAVEDIGSIYAGKSRTPEAFRSAGLFTKLQAAGYEVKGYSALTEPAVWS SSTREPSGARNESATVAACHRVSKAITDVLNEDRQPSFHFILSGECLYTPAILSAYWN YLRPTTHKIGIIYFDADADLYTPSDSGGSGNIAGMTLTHLTLRDGALDSMKIFCKPDG SGVVDETNIVLFGMNSQCEANKREHLGHLFDNNFRVLTSITVQRTPEESAETGLAYMR EQGVDHIIVHLDVDVIDPGEFPLCNVPNWTGLGYAQTMRALDVFLGSRKCVGLSIAEV NPDHDPGLVMTRRLVDDVVNGLVRRSVHATLPWVGEEE CC84DRAFT_834123 MTKRRPAKIGPGSAHLGESSPSQITSHRLSLHEIVISPSLKREL TSPYENGGTGYFPIVDSPFDGDTKVATILKEGEKKEHDAEAEPDPRKRQHHLSEALRI YNAGCNAKELYERISDVYGARIWLFDRSDWANVFFSAARVCTRFSKNPPVDRNGQTIS PPQFKCNGSVLSAPDWDHQALHYLEQGRCRSLLHSISYGSAVTYKERWVLNKAVKNDM SVVVDAAMRSMRTATSHTPSPPIATNVALPEIVTEIEHLRESPASILQHPTTHSPSSG VLGDALSGSGHRSKIRERLSLQVSESMHSSTSSVTESPSSAISTSEIDEVLLARWKIQ MRWRKAFLFARTGNPNLGDVLLGDIGKLRESIPQDTIVVEYALASTPPCGIMTIVAAS DGIRVAEWKQTDAIAIQKSIEDLRKSMEFAQARPGSTRAYPFSISTMSRSADRPSVPQ RNASALCQERLSNLLYDSVAGPVKPHLKGMKKLIIVPSGDLANVPWSIFFNLPITVVP SLNIWNRLQTQAASAGKRHPKISVVSNAPIDHEKKRKNLPAIRDIPYSRMEALAIARA HHKGMSPFIADGKDREDFKEETKGTQILHLCAHSTFDPKFPSNSSIQLFHEPLTMSDW RELSISANLVVFSSCLSGISKAYDSGSAIGFAHTLLATGTGAFIGSLWPVNDAATFLL MIMFYEELRKSSPPADALFAAQMRMRYLTEGDLLDIIDEVQQHFLHGDADEFVLEPMD LIEELKEQKVMELREERYWAAFVLTGYGSRELYHHSVGVHEGN CC84DRAFT_1165574 MALGGRQKRTFLPYETAVLPEDLRLGSLYLNPLEPVNGLARDRF EYRRDSSLVEQSDYETHISNFTRKAKAVKGYSHGFQRSHMNSAGLSFTSFLGLGSKRE NSVHITLTGTSGRRVQIRDPEVFLEEVLKQPGVDEWLRMHATPKYKSRYSASTWTAPE LWLVTGLQYVTGGEYHFEDNAAKELLAHGGLDVGAAAGGPAGVAKLKAEARHERANGA QNGGVQEDECVWAAQFMPVMIEFGPQAPDAKLTKRGWFPKTIKTIYLEDVKDLEFQGV RAGDKPVGEETPELVARITTAAEARDHDASEEKDSESEGSEEFVIDDGPYVQSLQNAN FEMHNKYTNYLAKKAGQRTQRIAN CC84DRAFT_1165575 MTYNRVGVVTGANKGIGLAIVRQLALQYPKSPLNSGSFLIYLTA RDRGRGEAALEDLRNDAQLKQAQALKSDSGQTEIQYHQLDITDQKSLETFAAHIKQMH GDGIDFIINNAGIAMNGFNAELAKITLGCNYYNTMEVCHTFVPLLKPQGRIVNLASMA GHLSKYSDDVRKRFLAAKTEGDISGIMKDFISAVEAGNEKEAGYPSAAYAVSKAGLIG ASKALSRAEQEKGSKILVNACCPGYVNTDMTKGNGTKSPDEGAQTPVLLALADIKGAT GEFWQNEKPIEW CC84DRAFT_1122408 MPSPVWIQKLLPFPRLLWPSLLLGMGIYHFVMTILEALFLDRQP AKVFNLTYVRYKAFARMWKKNGAAMSQEMPGPLDALVGKCRGLILDVGPGSGELLKRF NPEGITAIYGAEPAGDLHEGLLKNARTAGFGEKYHALLCGGEPESLIPALHKSGLLGS SGEGAIAEDGVFDEICCLRVLCGVPRPRETIKGLYTLLKPGGRMVICEHVVNPWRTEG SMAARVMQFVYAAMGWSFFLGGCEMQRDTREYLQDAGEWETFQLQYVQPKNVVPYVVG ELIKKR CC84DRAFT_834181 MAGQRKRYALITGCTPGGIGHYLALEFAAKGFHVLATVRNPAKY TPPNDQITYLPLELPGDDSISNLRDRVADITGGRLHVLYNNAGRNYTVAATDIDMREV QETFDANVFSVMRLCQIFTPMLIEAKGTIVQTGSLAGVMPYVFASVYAASKAALHAYS DTLRVELAPLGVRVITIVTGGVKSNIARTHRELPKGSYYVPIADQYEKRLTLSQQMGM DTQQYARSCVRHVIGGESWLGLVTKRWVWEGKMSWVVWFGWTYLPRGVLDWYFKSKFQ LGRLRGTVGPDKKRV CC84DRAFT_1248156 MDPLTAVGLGANILQFVQLAATLVGTAQDIYQSVEGATAHNVDL EAVAETIRSRYRRLQLMAAKRNLNADPDAVPETDKELARLLPDCDVVARQLLAALENV KLKYPNRRRGWGSALQAFRTVWSAAEINALHERLKGCESRVQTALLVCLKEKIDMITE APHGTQRRDLRVFKDAIIREVQELRTENLDYAFGARLLALTDGALRDHVLGRLRFNHQ YDREYKIAEAHAETFRWVFEPPRDPAKPFTNFQDWLKQDSQHVYWITGKAGSGKSTLM KYIVHDRHCDEFLRSWAGPLPLLTTRFYFWNSGSQIQMSQEGLLRSILHEALTKRPDM IPKVLPDLWTAFQTLRFKDSAWLLTDLMIAFDRLVACATENHFKICFFVDGLDEFDGD LEALAILFRKAAKSPNVKACLSSRPWEVFEEAFLTLPSLRLQHLTFFDIRSYVTSHLH GHSGFQGLARREPAFATSLIENIATKASGVFLWVFLTVKSLLIGFTNGDRISDLQGRL DEIPDDLQLFYLKIFDSIDPVYTKHAYQIFHLVEAAEGSLTAMGLYYADQDMDGTQLI KSAQAAKITPLSAQEYSDRYEAINKRLNSRWKGLFEIEAPLSDILGRSGNRKVSYLHR TARDFLRSPEIFTRMKPSIRDTDLQLTLAGSCIFLMK CC84DRAFT_1165578 MAGTGAESIWDVITQEPQIFPGFCEREVLDIPSISVVQYMHSEI LDPPSLLAHKNDFLTKGLRKLLDLGDSNRHTNNKSLRCDHWAKIAVFCIEFGADPHAN PVLTSEVRLALKSLPNPTSIVKKLIQLIDGGGKKTAVVPKLSKFLNSIACWKA CC84DRAFT_1248166 MKKSAETCGLCWSLIEGTEEHLESFPQRYPHDRTMTLSGYELGG GGYTLPFCNKEAIANAGIHEFTLYMGGCLDDPKFRFLVMTEDGTGSVPQRAMWSDRVP LQLGIRMPVVNEWLQACGSQHEQCNKRISDRGSLPTRLIDLFANSHTGSFDPHLITTS TLDPQNVEYTTLSHRWGDIQPVRTLKTNLQVHMTSIFPLYSRTKRRIPRTFNDAMKIT RALGLRYIWIDSLCIIQDDAQDWQHEAMRMAEVYCGSYLNIAAIDGRNCHDGCGLEMG WGAMSLSGPNMGGRRVRVRTTPADPVEVYSSPLNMRGWVFQELTLAPRVLYCGRRQMY WQCTEKVYSEDGYIDHTVALNIPGERYSLRSNQYDWNAWVSDFSQRQFTKQSDRLPAL HGVVRHFAERTKHTFLLGLWKETLVQDLAWRTRQIYRSFSSYPTETSELAGVPSWSWL NLHVDKYPGGIVYFSSAAESTATTQVKEVEVLWESIPLFSKIASTKLVLQGPLKKHRF QWDCMRRRLFGKEGEDSPDTDYYVVRLDEMLPEDVEYDEKREYWCLQLAFMEEDGEMV STFLVLEEAEMALSKDTRIFRRIGCGRMKDDYVGFSGAERPIIELT CC84DRAFT_834252 MDSKAFLSTRDPQLVSDLSQGLYPKIRGAQPYTTLFSSLERLWD CRAKLCTERRDDIFSLLSVSSNGHEMAMDYSISREELAIRFLHMDEESFCLCHVAMVF EHIEAEILRSIESTWPNYLSDFIEVQSPVTLSYHDRVLL CC84DRAFT_1218647 MLAKALITLTLAALSTAHAVIKTPTPRTAGPTHTSLCGSPVASK LASDPAGPIESAVAKADASYKCDAYLCRGYQWGDNTGRAQSYVAGQVVPFYVDLVAAH KPGWANVSVIDLQTNKAVGTPLKTWSVWPDDVSGGGDDVDFNVTIPGTLGSACNVGGK CALQWYWWSSSNKQTYEGCVDFYVK CC84DRAFT_1165582 MNALVGASASPFTAKRRASPNKTSSAATTVIATAPTTTGFLDPI SIDLPVCGDGANPFYQPANQSTLYVDKTYYMAGNPKFFSQMDSTVQVKCSG CC84DRAFT_1092905 MRPSSALFFAFAASAVAQDQIPLLDKLKGFLNQATAAISSAVPA APSNPVKAASGKVAESIQHELTLENWKDVLTVDPTVSTPATQDWLVYITGGNTTCFGL CGNTTKAWNASLPLLAARPNAPKFAIVDCDKEQLLCNSWSVGPPSLYYFQIPKPLADQ SAPATTVRFLPLNRTSTTTETFKKLFVDGEYEKTAPYEGYFHPFDGELQKYGLAIPLA YVFWGFSKMPSWLPMILISFISRSFM CC84DRAFT_1165584 MVNMLKRMRKLRELLWIRNGPGAIQLPKEVTKISVEFHKKLIGS QHRGARHFWHDILPRIKYRNPTLPISVIRHADPAGPAYLNIYTSTPTPQTSTTPPPST TTAPEALNDTPTHTIEIKDLHESEILEALVEKTGAVVLRTPPEEAAEMAEIEEFKERA EVDRVEVREKLMKVRREEELLKLARGEISAQE CC84DRAFT_1177359 MADTSAAATATGAVPAESPAQKQARLRRERRAAKLQDGENRLQA ITALQGGTHRDVKKDLPAKPSPSASPKPGLSGTATPDPAEIDISEHHYTPAAQARLPS PFAFDDGASPQFGAGQGPPGQDDMMAMLQQMMGGGGPGGMPGAPGQSGDLPPGLANLM SAMGGGGAAPEPSPEQSSAWLWRLVHALFSFGLAVYIVLRTPFTGSKLSREFHGDNDD WASDTSAAETFAHFFYLFATFEVVLQTSRYYIERGRLQGSGILSTIGGLLPEPYAGYV RVIGRYSVIYSTVVSDAMVVVFVLGAASWWKGGAAA CC84DRAFT_1148604 MAQGLRKARHALRNGLLRNPASPAVGNYGVNNVFIRAALISGSL NDHAQAGHQVRRLVSTADLASVAVSEQLREQDQPVLTRITNAAAPVNHVDSIVQSSAT PPLSPQTPSSPRNRLKITLEEADKPRYWTHVPQWDTITRKEFISNAFQTRNTVKSARD LERFLLTVLPERLPTSKNPFLQHLKTREDFIRDARAGLEIASMEVRLTPEILSLVDWT SPLDDPIRMQFISLKSSLLPDHQALTLDSLGEKDDSPVPGLVHRYPGRALFLATSNCP VYCRYCTRAYMVGPATGSTQKQPQKPSLKRWEVMFRHIEQHSEIQDIVVSGGDVYQLA PDHLRMIGERLLSIPHIRRFRIASKGLSVNPGRIIDPADSWGNTLIDLSNQGRKMGKQ VCWHTHFNHPKEVTWIAREAAHHLFKNGVIVRNQSVLQRGINDDKDTMSALLKSLADI NVQPYYVYQHDLTRSVEDLRTPLETILELDKHLRGTLSGFMMPAFVVDLPGGGGKRLA STYDMYNPDTGESVWSAPGLPGAKGKKVYKYYDPRPLPATVEELQQLRVQQDLMHKHK STRPADFMKDHDEANAVGQADCKVPAAASELRQAVGMKEKRPSISSHPTKAFLPIYTP TAQPNGTISLHAAPPSGYPSG CC84DRAFT_834351 MARECVICNEVDSEESPLIELPCFRHWVCQEGCVASYFENATAN ESLYPPQCCKEPIPLERFDEFVPAEVKAAFLAKEQGEYTILPKYRVYCANTACSKFLH PNGHIHDADSNMTYAVCDSDDCFQATCCSCKMLLADGTESHVCEINDEDQLFKETVAK RGFKECFVCGATIELAEACNHITCECGNNFCYICGKEWAGEHGCPQYGPANYDEDGFN VRGYHRESGLNRDGRTFHEQMQIDRGEGAIGDSDEDDEQEGDDGEDGDEFGNDLWHQI LSHVDPARRAMLESVDPDEREDALIQLQVELIDQGVVFNVQAPPAPQQQQVEGDGGDE LGGNDDGEGSDDSEDDDGDEENDVLDQEVGIQEEDTQEERAQEGQIENAEVIQGIVPS MGGEEPMFDFDEDDGNLYTADIAPPNRQVNNDNPLLAGAWVDSEPPSPDNLSSGTTST IESPVTSPEAFAELSDQGERGSRYDL CC84DRAFT_1197133 MRFFATLLGFYGATVSVVGQFAPVVTETGVSAHPFDINQVSLTS SRFMANQNRTLSYLKFVDTNRLLYNFRLNHKLSTNGATANGGWDAPTFPFRTHMQGHF LTAWAQCYAQLGDTTCRDRATSFVAELLKCQNNNAAAGFATGYLSGFPESEFTKLESG TLTSGNVPYYAIHKTLAGLLDVYRWIGDANAKTVLLAFAGWVDTRTAKLSYDQMQKVM QTEFGGMNEVLADIYHQTGDKKWLNVAQRFDHAAIFDPLASNQDQLNGLHANTQVPKW IGAAREYKATGITKYRDIAKNAWAYTINAHTYAIGGNSQAEHFRAPNAISTFLTNDTA EGCNTYNMLKLTRELFTMNPTDASYFDFYERALINHMLGQQNPATDHGHITYFTSLNA GGHRGLGPAWGGGTWSTDYDSHWCCQGTGLETNTKMQDSIYFYDDSSLYVNLFTPSKL NWKARSVTITQSTTFPASDTTTLTVSGSGSWAMKIRIPSWTSGATIAVNGATQSITAT PGSYATLSRSWTSEDVVTIKLPMKLRVVPANDNKAVAALAFGPTVLCGNYGSSTLSAN PKLDLASVKRSGTSGLTFTGTADGKSVNIGPFYEAQGFNYAVYWAVSGTLPA CC84DRAFT_1260501 MPSTRVSLVVAIAQIVAAQTDYSKYVNPFIGSEGPIAGYAYGGG DIFVGGAVPYGVVKLGIDTWEDRIDIATLNGGYTPKGTVTGISMMHESGTGGCAKYGV VSQMPLATVTSPVNILNDTTYWKKRVGKDEATVGYFHTDLEDGIGISLAGARHAGIMK YDFPAVGEQHVLVDVSHFLPDPPGGFCQQYYVDGSISISDDGKNYTGHGTYAGGFNLG APYTLYFCGEFDNAPVEAKTFTGVNTTRIVTGSPPEPTFGGKSAEAGREGYRVGALFS WGNTNTSSKTSTILSKVGISFISKEKACTFKDTEIPSWDQQETVKAAQNEWNTNIFST IQVDTGPEANQTLLTLLYSSLYFMHLMPSDRSGENPLWQSEEPSWDDFYCIWDTFRNT FSLSHLIQPHAYESQIRGLIDIWRHQGYMPDGRSGNDNGLTQGGSNADNVLADAYVKG LRGKINWQDGYAAMVKDAEVSTNGSNKEGRGALDDWLTYGYLTTNSERSISRTVEYSA NDFALSQVAKGEKPDDVEKFLQRSAGWQLLWDPDVPSVNTTPVFKGFLTPKSANGTFE SAGYNPATCGVCSWPSITYEGTPFEYSFVIPHDMETLVGLMGGSDGFESRLDYIFLPN TSQANLGANGVGITSIMNIGNEPDFATPYLYNYINKQWKSVNQSRALGNQYFRNAPFG VPGNSDSGALNSWLIWQMLGMYPVVTQSVYLLGSPWFSGINMTVNGNTLRITADGLGK DSYFVQSVKINGETWDQNWFEHDTPGQRLMVDGGTIEFVLGGEPVVWEKGDVPPSPGH IVLNGTDANSL CC84DRAFT_1148615 MEVQGFQSLVHSAQTVAIAALIFVACYYLPRLNFKAQVAKLPAF AEGGEKQRNEFLKNGKSMYLQGYEKFKNQVFRMTGSDGDETIVISPQFLPELRKLPDT VVSFPKAVADLMEVKYTGLLADEPLGVHAVRADLTPALARLNPVVYSEVLQALEEIMP TCEDWTAVNIYSKLVSMVAMITGRVLVGPDLCRDEEYQRLSIEYTMQLITAQLEIKKI RPLFKPWSAPRLDSVKKLQASRKNLANFLEPIIQARRDAEKNEPEWQKPDDMVTWLMS REGEFGVKSTHHLAQIVLGLIFASIHTTTLTATNILYTLAVQTEYIEPIREEVRQVMN ENGGTISTRALQQMMKLDSYMKESMRFYPPGFTSFQRKVTRGFTLSNGQYIPAGVKIE VASHAVYQDPANYSNPEPADVFDGFRAYKLRQGGTATNHARNQFVTTNEQNLMFGYGK HACPGRFFAANEIKMILANLILNYDFKNEDGSKERYAQLDVGRQSSPDARKNLLFKAV TV CC84DRAFT_1148618 MLQSILILLVASWCVPSFATSTLRLPVVGSNPSDIVITSMSLTD QRRADPFAKDGRARAIMVSGFSPVSACRRSHLEAYMPPSTAIFEDDKFAAYGLPNGSF QSLGLQSCTNTPRLCSSGALPLVVFSGALGTSRIIYHSMLQSVAAAGYFVVSVDHPYD ADIVEFPDNATIIGVDISDAELGDALATRVEDITFLYRELANPSLRNMLLPTHRHSGG IPKTAIVGHSFGGAAAALTLKQLPYLRGGVNIDGSMFGSVLETGLDRPFMLIGHENKT QETDPSWKTVWPQLKSWKKEIEVKGAAHYSFSDLPLITSVLGLQEKLPADIEQVLGSI EGHRMMKLTVTYVTAFLNMVLKSGSEKEFSRADTIFSEVVKAV CC84DRAFT_834392 MPSAIGPLDGRKARRKRCNACVRRKIKCHGGIPCDYCRRMKQTC ESPTLPTTFAPVFVGENANGNPRGKQIVHTATERTGFSFLRFTAPTMYDSSVPYFFMS FLAMNNLSNDRLPVVADLVNLMADAPALRDAISAVAVHHRKQQSPEAVPLDGYQALQS YGRSIRHVKDLITSKTFLHDPSALWTTFFLGLFELMRDSTGTTWLSHFLHGTCAMLKL LEPETLSRAGPRNSHRRIFFLQTRIFEISRSLIYSSPTFLWDAKWTAAIANLWDGDSA ALWHPKEALFDLLPSFSELSICVLQFALNETQLPPMVHHNLAQSLADEGFALQEKLQG WYEETQSWEQMCKADQASSISTSWPDTELMIGYAYYHAINIYLSGTYDYHKPWTGPGA PRAPILTRDEIDLHVSRILHHSRSLLAHGISGIILFFPLRVAGARAIDLSLRQEILSL FQLTSQRGFVVAEALVDDLLELWGSKNYHFFN CC84DRAFT_1248190 MAHLQPKNIVIVGGSLGGLFLGVALKQLRKNLNIRILEKNPTQL LHDQGAGVVAAGDVQEFFQRHDRTHTPLAVTSHQRLYLDRKGNVIDREDKQQHMTSWD LLYHVLRANFDTIDSEYAKAPEPMDNEGTAVYRHGHQVGDVDVDAGTPLVIHTTTADG QSESFEADLLIGADGPSSTIRKLIDPSVKRTYAGYVAWRGTVPETSVSSSARDVFVEK FPFFHTSGCQILAYTIPGPNGTVEPGKRLLNWVWYVNYAADSPEHVDLMTDKEGKRHH ITLPPGGIRDGVWTKQKERAREILPPQFAELVEKTEVPFVQAITDVISPRAVLERDGR VVLSGDALAGFRPHTAASTNQAALDAMLLAEAVGRIVDGEDREQVLGEWERRVVQYAR EGQRSGVEMGQRSQFGEHPLRG CC84DRAFT_1218659 MPPRPKSKSKSATPTPSQGLRYPYTIRRIYLCGHPEEYVQIERA YHTRPPRILHTLVPQPQVVAGRSVGAYGQTRRQCTACASSLGQGSFSRSRDEVEWGRN RVKNRFLHVLMRERFSGQGERSIPWPKEKERGLGSEEYRGLQDIYEAIGTGRDVRPHS AVSYQDRVARKMVEGPAREGHAKVAYVLQTAVHAALAEGLGHGNFEDTELEREQLVEE KHELLSPKATNPRSLVSTNDKRAQSPKEGTGSNMRGSPSSPSAPAPISPRSPQRATPR SPTTNPPQPRRPPAPRQPRTSPEHTHRPVRPKLPADSQQEIAHHRALALAALEGRVPN VPTPGERHSDTTPTSAPRVPIPRPNPATVPSYISRQRPFGPTSASGGTTLSYGDGPRR YDGVPIAAVMETLYPENRDGKGEGRKDGEGVRLERGGYASFRSFESQRHPGPSAGSTR SRGSVGSAKSHGSGGRRRGDGESVRSFGSRKSDGRRGDARSPRRGWRR CC84DRAFT_1188072 MHRTRYFLALVHFFLSLASLIDSAPSDSPRDADIAQSGYVGGDH NIGPESLSRFQHLWNFTFKPDEKHYARPLVHTLASGKQIVFTASTENVVRTIDATTGE IMYERQVAPPWPMAGAYCETVSKNLGIMGTPVIYPEYDVAFFFVKSYIDARDYRVPGG ANPPLNSVYYFYAVYLDGLGDVYKFPLFIDDVPADNDERKLFLGGLVLQRPSLLSVGD VVYAGFGGLCDAFNYTGTLVAININTRTINRWVTQGGPTSPWTSNWTKWHGGGAGGIW QSGMGLASDGHDVFFSIDNGGTTDTNASSIPVQGKSHQDILSESIARVSLNDSGVQLL DFFRPADYLSDAGQDIGSGGVSILDPMTFNTSAVPHIGVSTGRNAKVYVQNLDELGGY RTGANGTDTVLQTIHLRGEVFGGIGSYPLEGGYIYVNPGNASLVAYRFSASAGNGSTE LFALAGTAETENTHWGGGGIPTVTSKNGDPGSGIVWVTDVQRGLLAYKAVPVDGKLVE LALPKVDGAVRFGRPIFGDGKVYLFDGKGRLIALGASGNITAAA CC84DRAFT_834466 MRFITRLHVCAAAALVTILYFSWRRPSTAYLKDNLQRVWKNSPR RVVVFGDDWSDTGEYRMFPPPKGSTRDRNAAQGDIWVETLCKELTCDWVDNFARSVPD NVGLEAVGSMVDSNIFLDVTSESRKETLAVFDFKTQVQHFIEFDKKKWRLPGRHTADE QTVFTVLFGTWDLLQFSPLDKDAAIRAIDRSVKELFHNLDILADHVGTLKVTVPNLMD VTFLPRYSDRKNESATEFAQDQHQAVFLWSYWNTALSQAASEWARGDVFVPNVHDIIM EEVRAKQMFTNRIADAKGNGRQEPLFEEVEKPCLVQDPNAQNLQAAAHPCTDPSTHLF WDDMHLSGPAHELIGKEAARLVRGNEAVNSDARQRAKLQSATDKKSEGDKGANFDLKF PPGY CC84DRAFT_1094566 MLASRVLPPIHPRPSSPPLATFYNIPRRYARSNMQAIEHTPSHS LMDDVKPVRSLRKTKRSYECRSAPTSDPAQKADMELPSPASSNASLAHGNKRSAASRD VGNGVDSGELSDPENSRGTRSASSSPGEHVCLCQPEPKIPRPRNAFILYRQHHQHAIA AANPGLPNPDISKIIGEQWKAESDAVKKVWQDLAQEEKDRHHEQYPDYRYQPRRLGKP LNPAVAHTTVDKYRCPKCGGRSIKTPSPSSPYPSSADTPTLPPPRYSESLTPSVRLPS IMNSLSMDSPARRRGTSGPSGLSNIQIPSTVREDGYGYTPNTPDSKRRRFNPYPMSSN GGRRLDGAYYHHSRRDSLPPLQMRTTPPQTATMPQMRTPRDVGRGSVDLNLIVPSAND QSRSVEAMVMSVPYTVKIKVLGRITPPLKEPGPSSPAVQVRGSIIAVEGEDQAAVAEL AAWLNDFLARDKEYAPRVAEPPKEPAGKVDVSFEDYFGLIQEWHGRSKEMVKYITTPV ALSSPPIPSVKSPASASDTDKDETMKETTSNTTPPTSPAPSAKPVLILPSYQLRASDV YASRIPIQDVYSPMDHWQWMATLWRGTVGPDLTIYIKSADKESREGGKLVEVNEEVRC MTVVKEGGGEFREAALRRVGFEVGEWVRGLGGGKV CC84DRAFT_1165593 MIDHVVGRPSVKFRKIQVLAVVSFWSFYLYRGDRHGPPLIRRLS SLVSRKLTAWQTVLVTLLYLYLARNFGKLVGLESPEPLANLYSRSYFRATWVTTALDA GFWTAMRIRQKPLRDILSIVFSAYYLICAEQADDKVRKVRATLTVDHLRVGWEKGTTP YLAALTSLLRPRLMWYPPRKIRIPRPKDSSYKDPVIAWLYFNGPLSALKKQHKIVLDI PGGGFVAMNPRNHEDKLMGWAGKTGLPVLSLDYRKAPEWPYPYALNECYDVYHSIVAT KGRCMGLSGELEPKIVVSGDSAGGNLAVGMTLMILQAASTETRRWQGERSLPPPVGVV LMYPALDMNIGNWMTDEQMALIRDRKARKQNRPILRHKSDDYRHLAPNTPGASDHSDS DDESAPKKQEAASPPVPTSEKVLTSPRTAIHLSAAHTGHDSHGLPPPTILTNLNGLLS SQPVQSPEPVRPLSPELSRQSPHSQTHPPTPAAPPATAIKTRLAMSSMISYFGDRILT PEMMRAMIILYIGPHNRPDFSTDYLLSPLLAPESLLAKFPRCWMLTGERDPLVDDTVI FAGRLRQAKRADFQYAKEMGLDWARGEWAEHEWVNVDLIPGISHGFLQFVSVFPEGWK YIMRCGKWMVEAFDMDERNGLETAAPTPGVEYDVLHHRRRESERSDYFGSHPGLVEAV NGLANSKKGQENGSARHHRRQGTAESSADDDRPLEMTVLPNRKSPPGVGKVGGQSGGR GWEAKHIKGGRAVGPPQKERSKAKAKRGRRKSLVSLASEDDLLGRRMKGLTSGLRGAE ED CC84DRAFT_1206740 MAELDNDFWPFDAYPGYKLMAPCMRDCDHILLFNGCDNSGCWCK KNNLDRRTSSLERCASTSCTFADMNTATDVSILSSIQLQYCVDRNLSPEGMTMPSTAL AQPTESSSSESPLETGGSQTGSSASVPGVTGGTGLATRTRPSSGPTDTGAPASSSSST ATPNSSPSNNASLSTAAKAGIAIGAIFCVLLAVLIAILLVRRRKRTPAYPPPQYSSAP PGGHTVPAPLHVQTNNGAGAGSGFIAPAPVREDVSPISEKTPAFSQAREVRPGVVRKE VPLGSASPPVQAASPAPTEVLGSTAPERYEVHGHAAAGGNGGRWVYEMQGGGTGRAGA VELGGAGRDRASRHCAYVYMLHFILFSSCKSYGYSASNHGTQMASPPRHTTHARDVMG IEKDFVAGSLHLLERPAGAPRGAAPLFASSRLRSRRQYEVRSPSSNSTNRSHQVALTC IGVTEASTARTLRVS CC84DRAFT_1093527 MSATTRREDPFKPAARVAGQKQDVWSIVNEAAAASPIKPIVNMG QGFFGYNPPKFVLDAAKSALDSVECNQYSPTKGRPRLKKAIADAYSPFFGRTLDPEKE VTITTGANEGMLSAFMAFLEQGDEVIVFEPFFDQYISNIEMPGGKVVYVPMHPPKEGA TKTTSAAEWTLDIAEFEKAITPKTRMIVLNSPHNPIGKVFSKDELKAIADICVKHNII ILSDEVYDRLYYVPFTRVATLSPEVAKLTLTVGSGGKNFYATGWRVGWLIGPEHLIKY VSAAHTRICYSSVSPLQEATAIGFEQADAHNFWEESKREMKAKMDKFNTIWDELGLPY SDPEGGYFVLVNMSKVKLPEDYDFPPHVAERPRDFKLSWFLIKEVGVAAIPPTEFFTP GNAHIVEDWLRFAVCKNDDVLETAQERLRGLKKYIQ CC84DRAFT_1177372 MVACPLCNKQVSQALINEHIDSGCADHVVDQIDTLSAQKTHSFF TSGARKPGSSARNGNPTSSPIAPPAIPQRPKTPPPGTKRLFDEAAENHPNGEPPSPAA AKRKKLKAVEDAQPLAERMRPMKLDDVFGQELIGPNGILRGMLESNKIPSMVLWGKSG TGKTTIARIIANASGSKFVEINSTSTKLEEVRRIFFEALKDLQLTGRRTIVFCDEIHR FSKTQQDAFLGPVESGTITLIAATTENPSFKVISALLSRCRTFTLDELSDDDLLKILQ RAMATEDCTSPLLDTEMLRYFASFSDGDARTALNLLELAMSLSSTPNITKEDLKKRLT KTLVYDRAGDQHYENISAMHKSIRGSDPNAAVYYLTRMLKSGEDPRYIARRLVVVASE DVGLADNALLSLATATYSACEKIGMPECAINLSHCVVALALAPKSTRAYRALRAAEAA LQEPGVAALPIPLHLRNAPTRLMKEMGYSEGYKYNPDYLDGKVKQEYLPEGLRGRTFL EDVDLGTKIDEDLIDDEMLRPELED CC84DRAFT_1094151 MVSSSFLLFLASTASAAVLESLNAVPAGWQYSGAAKMDEPIRLQ IALKQGDVEKFEQAVIDMSTPDHPTYGQHFQDHEEMKRMLLPSETTLSTVQKWLKTGG VSNVEVDSDWVNVRTTVGVANELLSTKFAWYTSEAFGKRLRTLEYSVPDEVAGEVLTI QPTVRFGQGIPEHATHHAIDEANIMSLSAAAANTTNCNKYITPQCLQSLYKIDYKPDA KSGSKVAFNSYLEESARYSDLSLFISNIAPYAAGENYTVITFEGGVDDQTSSADSGEA NLDGQYIVGIAAPLPVTEYITAGRGELVPDLTQPDPANNGNEPYLAFLQDVLKRPQHE LPQVMSTSYGEGEQSVPEKYAKSVCNLYAQLGSRGVSVIFSSGDSGVGSACQTNDGKN TTRFEPQFPASCPWVTSVGGTQYLDEEATFFSSGGFSNYWSRPIWQTLAVEGYLAKLG KKFAPYFNRYGRGFPDVAAQSVGYAVYDKGKLGHFEGTSASTPAFAAIVALLNDARLR NNLPPMGFLNPWVYTVGPAVLNDIQKGGSTGCDGLSRFHGDPNGSPVIPYASWNATKG WDPVTGWGTPNFPKMLKAAVPFRYRA CC84DRAFT_1248224 MALTSLSLLIATLALVRATQAAREALFRVHWLRSAFYDTKEMTM EVCLNYCTAGGYPYAGLEYSQECCCGLVNRSPREANATDCNQLCSRSNQEICGGPERL SLCARPIPEPSANPGINGYQSLGCWTDNIINHVLSHFTIMPGGPKISAIVESLIATIV APGTTRRLMGLRPPVAEMSSSTPILTATRSDVVPKPALSAVPVLTLVPSIVSMVNSAL LNSTSSATISTSPPPMLTPTPITRVPLLPTILLSTSTALPLPLVPALTNLLTNSIFTH PSWQSVINPLYGDYFNLLGSVVTSGPVSACIMATKPVRPPAPRSLCLQQPISIASAGT YKLTLAIGRQILHGTCKPIPSTDHLQYNVYYDSTLLGGLRGGWRVWEV CC84DRAFT_1206744 MLGRVLLLTASLLSLASAEWVDYDRPSIYSKSTQYTVKVNGTFA NTVNYADYDYVQLSMSEGSNTEFRIATASGSKITGTPVISPKQLPIDYKVDGNELIFN LKKVHYIILKLNNLKELVLMIDRMEDGAPPSKGTGIMNVLNHGADNKGSAVTDGIQKA LDAAGKKPGTTVYVPPGLYLVGNLIIPSQTSLYLAGGSVLRMTGKKADYKVLYTKSDL GDGTWWIRTAFDSKDIRIFGRGTIDGNAEAMKKDKLIASMVVPVGTTNFKMDGVLVRD SSFWAVIPTQVTGAKLTNIKILNNINGKQNDGIDVQESSDVKVWRAIAIANDDSFSTK TWLKDVGTTVPFPYDPRPLRDVSFDQCLAWTRCYGYKVGQGVYTDQTNVVFKNSVVYY GGVGLGIDHKFGSGTARNISFVNMDLERLAGEPGGTGTWLAVFVENVKKGVGPIEDIT VQNVRARSTGKYHGKLAGYNESSYLDGVVISDVYMLGNKTAAKTLAELDIKWTQFSKN VQIKNSK CC84DRAFT_1148649 MMSDTSPTETAQAAEKQLELPAEGGTQAWLCVLGAFLSIFCTFG FLNAIGVFQVTYQATTLRNYTPSDISWIFAVQLALMWAPGPIFGRLVDTYGPACVLYP CSLLCVFSLCMTSLADKYYQIFLAQGLGFGVGAGGVFTTSMVCLGQWHVRRRSLATGI ATTGSSLGGVIFPIFFPRVMDQVGFYGAIRYTALMIGVLMLASCFLITSRLPRKKWDW GVQWFDLTLFKEKQFAIFTVGAFLGMWVVFAPLDYLPSMAQEHGFSSTLALYLISIVN ASSIFGRTIPPYFADYIGSFNIVTMSAGLSGVCMLALWLPFNYHSNHTGTIVFAVAYG FTSGAFVSLLMPCVAKSGSIETLGRRFGTFQIIMSIANVTGLPIMGAILDRQRGTNYS GLILFGGIGSIVGAAFLIVATYLLGKKLGTWKV CC84DRAFT_834635 MPMVAPQVCLTCKGRKKRCDKQLPSCGYCEQNNKTCCYEYGGTP HSLSPTQATESPVAHTPPQIASPASIGSIHDARFLDATIPREVMQIIDATQQSIHDIS SRYFNGIHLWVPFLCPDRFGKDLFQFQAVPTAQFSLLLLCMCLVTYDPPEDQPPPIDQ NVLYFHAKTLFTQIQLLTIPSTHHIQAGLLISVYEYAHGRPGSALLSTDICARMAYRI GMNKKHDSLGWSEAWNTWWAIVIFERIFYCESTLTDIPLVTVAPDETALLPHEVGDCT RGSSLNPGFRVAPVSQSGIGCLGRAAQAAYLLDRVIHTIKATSVPTTDRIAHLIYLDG ELQSLLSIVMKKCHGLRGGHCGAVGSSIRALSMLHQHILHLEATAIDSRWRNHSQAAL DTVAQMIVDISRSHRGITSSKIDIISPVCNYVIRHTLQYIYVKRYEDSQAWFDDSDAL RESLAKLDRRWSFEMGTFANTETKGSVTSIDVQS CC84DRAFT_834641 MVLLPTLLSACLAIVATTTAAPAGTCAAPKPPTDSDTPTPGHEM TGIPMPDDFHRFQRSYQKNIRASLPSGNCTKKTIGKRRSWDELDDATRIDYLRAVNCL AAKPALTDKNLAPGAVNRLDDFTYIHINQTNIIHGSGYLLPWHRMFLWQFEEAMRNEC GYKGYLPYWDNPRFSEDPTSSAVWSGSETGFGSNGVDLHLEPKTLVFPGLTKPFKLPM PGGTGGGCVTDGPFKNLTLSLGPVVKPFVDKNNTYGYEPNPRCLARNFDPASSKGVLT WANATTIVSSHSIGQLRGTIEGLWHKNSHTYIGGEGADPFSTTNDPAFYLLHAQIDRL WAIWQGQDLKNREYTIDGNRTFLGIPLTDAPDVPVSLATLDDEMDMGLGFKPKAKEGM AMTTGNFCFIYE CC84DRAFT_1248230 MYCRNSLLLAFLALLATTLACTKDDDCSLNGICKTNTTTTICHC DPGWTGPDCGRLDLAPATRWTGYNHTNYTDPAYYGAYGNSSWGGRIIQDRDDPKLFHL LVDQFSHGCGLGGWRPTSFIVRAESRSGPQGPYKWVQNVTSSFRHNADVLWSPADRKY LLWAIGAAVEDPKTCKSIPGSQFPNNVSVSSASSIRGPWTPFHITINGTNPAPWPLYS PANKTSQIALAVEDFKIFTAPDWNGAFTRVNEDVAWNTTDYSPTWTEDPFIWRDKRGN WHALAHWMIDIVEKNGTKYPRVGAHMFSRRLESGWTFKVQEAFSSTVEFTDGAVETFN RRERSKIFFDEDMRPLYLVSGVQAMGVKSSFTLVQPIGKRWREYEKELGF CC84DRAFT_834674 MLIWPHTCRLCRKLRLAGLACALVRPTPYRGASELDLESKSYAY ILKIESSNQLALSLRRCVRGLPHPMLGGGVHIIVVLLARLLNTGTGN CC84DRAFT_1218673 MKLRGTLREKPDKQWPSPPINAGRDPVNKSAPSPPPAANKNEGQ EKQQEPPSGDKGSSGDGAESTQEGAKDQ CC84DRAFT_1206748 METSTTTTPVSTPMTSGSSNASELEFKLHDLQKYIAVGCLHFDH QLPLDVKESDNRWAELIHSELPEEMKILIGNEATRLLEAHWIRLFVHQISNMAANSLV RVYLLPEDWGRKYIDRNSRSLKTAIRTLLLRIDVSPEAWAGNRSAITECRFDPWASAE NVSLFYLFNKLPSPAPEPEKVKSRFSRRAIEDLLDSVAIEPTEDPEVTPLPGLKSRLH PYQARSASLMVQREAAPKLQLDPRFDIRQSPNGEPFYFGAKDGSFVKEPKYYEANRGG ILAETMGLGKTIICLSVILATKGHLPQIPAVYHTPPRIRSRVGSLKDMAASTLGRYAV PAKVEVERFEAYDDSDLSSMKSALERNTPYYKIPPEITRMNRNTIIPPPRQLALCSGT IVVVPRNLLHQWQAEIRKHLTKDALKILIVDTIPKRAKKKNALPVEEEYGMEFVSELP PPTKLMEYHIVLFTRNRFEQEIQDGADEKGRRLGPGAPRSCNCPYIGSSNIPDCTCKG QIYESPLKKVHWLRIIIDEGHSFSSSVSNAVLVAKQLQIERRWIVSGTPVKDLVGAEV DLAAHDDDSTDAKLSRELVIEQRKAFNLDDENTKAAKALGTLASHFLMVRPWADSSSE GRLDWEDYIYRHEHLHKKTYSGFSACFRRTLEGLVVKTRPDDVERDITLPPKRHRVVY LKPCWFDKMTQNLFVQVLRANAITSERSDVDYLFHKNSLKARHSLIRNLRQSNFTWTG FSVDDVATTLETSAKYLAKEDKKCSLEDANALLESCQTISKLVASDCWRALSQAHEVG IAVDHWPQESEALFALSSPDKPSMIGITQLLQGQSHVDSHILLDDPSEGLSIVGQVAK AKLAEMQEAETQTKKKKEEKATANDELHKVGVPSSAVGASPLTSRRASAMATKSSPKN SDPSQPKQELATPTAREQSSIEPNNTPAPDPDRPKSPTIPRKRKLTLSDEIAQLPPDS PLRQTQITGTTSAKLSYLLTKVVEHASTSKILIFYDGDNTAFYLAQALEMLYINHRIY ARTLDNATRSAYVALFNADPDIRVLLIDVACGALGLNLNAANIVLIVNPINRPSIEAQ AIKRAHRIGQTKEVLVETLVLEGTIEEAIFRQAKTMSRQQHDAAKELEDDAGIVDIIQ HARILPIEEGEGEGARKFAQLEEPQQVFGRPGRERYHHYLGRKEKGEKVVKKARTKRS EKATKEESGSTGTPKGPIPEAFTLPEHLATPLGPPMTLSIFGGPPAV CC84DRAFT_1260519 MATSVVTGTATPTAHKSTGSESILDGYDPSTYMPSNPIMIFMIQ AIIILLVCRAMHFPLGYLRQPRVVGEVLGGIILGPSVIGRIPGFTDTVFPKESIPNLS NVANLGLILFLFIIGLEVDMRVFFSNWKIAVSVAAAGMTFPFALGCAVAHGLYNQFSS EPGTVPIAYGTFLLFIGVAMSITAFPVLCRILTELKLLGTPVGMITLASGVGDDVVGW VLLALCVALVNAANGLTALYIILVTAGYTLFLFFAVRPGFMWVLRRTHSLQDGPTQGV MVLTMLMMLGSAFFTGAIGVHPIFGAFLAGLICPHEGGFAIKVTEKIEDLMGALFLPL YFTLSGLNTNLGLLNNGITWGYLIAVTIIAFTAKFVGCACAARLNGLVWRESFSIGSL MACKGLVELIVLNIGLQAKILSTRVFTVFVVMALITTFATTPLASALYPPAYQKKIEA WKRGEIDWETGKPLKDGKPDMDDNVEMRRQRRGPSEVRSLLVYLRLDNMPNTLAFVSL FGGKIGDSKTKIHPHHEDKATVRQSTEEIRSMVAIHGVRIAQLTARLGSVMTSHEVTE LSAFDPVLNAFRVLGQLYNLAVSGEVAVVPEDSYAETLVGRAQDEQSDLLLVPWTETG SLSEAPMVSKNTMEHKLRSDAYTAFVAETLNTSSCTTAVFVNRAFSGSLEQSPLALTR RMIAKGQQRKTKRDQVAVSDRSHHLFVPFFGGADGQAAAGLALQLAENPDITITMVHY QIRHEGTASSDQIMREEGLYTHEGKTRVSTSAPTDDDFFITMQHALPTTQRHRVTFRT VISYDPVEDAVKDAQLEVGQQGNGGDLVLLGRNAELIESNSACLGLVADVLLEHDVKA SIVVVQARKD CC84DRAFT_1248238 MDSGPEILRATGAIVSAFQTAADTVDFIKDRKEKKRRRKDKETE ELLEIRILHRSLVEGGTRIRRHCENRNQQYKPAFEAGDDISIQALRDVVIALQTEIIQ PLHVARAVENAALDFTALHESSVTNRNDTTKAMDQLCQRIMASSQYLPQHSDHSFGAV GSSNMSMLSSAASVPLSDLVDAYNLPSSHPSSVGSPPTLERQRAFPQRTMSFPQPDQE VAAEQFDARIPRKSPLSFISSQIGSSNSHQPERTQAEPMRDYRNPDEMQLQPKSYFTP KLVVSKNDRGSIRFQPAPVRSASTIRHSQDNSSRVSSFSEATPYQQGERTPSTEWDSA EKEKQKQKFGGYFGGDTETLSIRSKQPSSTLVPSLYRTESAEHQNYGGHDAPEVVPDD SANVLLSPEFSEKFPSPRFEPDYNARLQRLSPGLENIWVPLTRPAMHNRYHGFCKGAW QIRKTVHEGLEVQITPALKEPVLHWACKECKFKSRAPNADTLPDVVMSNQKYNIRFRW LFLAKSHHRADVSIDIMENYKFGCIFCAAEGKTGDTYDRLDHLMVHIISKHKPITLTP EIRTKTKCVVGNVAHHLQDWDIHVPEATQKNTGVATDQFLISASKFFSRKKGKR CC84DRAFT_1218677 MAHVIEQPPSRYAGHDAEASVPIRYNAAYDPEVVPMRGMNNSDR PPSIEMSRTAYSNGFPNSYANGGYFPDDLPERPAPPPLATASWKQRWHKFFVFSLSSY SLIHTPDGKVRLRHLAMLTVLALRTGMSALSILSAVIKGSIAQIVIYSLLTLLGFWFT ATCLAIIGDAEGEKPLWRFQITRLHFDIFLGACVVIHAVLVVTWFFGLSGWGLELTSI GMWLAILGVASIAGWQPELPTYQTWAK CC84DRAFT_1218678 MYLPSILGSISTLVATALADQNGICLDPFHSRPNWCGHFTNYSN ADRMGCLYANKKCENFDWPFPGDERPEQEKGLPVRLIGSWNCGLCFVFEEKDCQAKLT RMLGQNEIPEGYPTEVIPILGYPMINTTDSLSYFCESPGWYLELSQVECDWWAS CC84DRAFT_1165601 MPKPGVLECHHWLQHKDLYGPISSVTVLGQTFVIINDSQIAFEL LRDRSAIHSSRPGLVFSCDMVGWRHAMAMCPYDDFWKTQRKNVTKISSTTASISVFDR VQEAEAVHFLLNVLDAPEKLFDHIRKEAGSVILKITYGYNTVPRGNDPFVDLATKTMV QFADATTPGRWMVDILPFLRYLPEWVPGTGFKDTARRMAVQLAQCTNQPYQFVKQQMR EKRHKTSFLSQCIQDIGSDTEKEFVHKWAALALYLGGADTTVSALMTFFLAMTVFPDV QKKAQEELGRVIGPSRLPVTTDKPSLPYIEACMLETHRWHQVLPMGLPHMSTEEDICR GYRIPKGAILLSNNWHFTHDPAVYPDPMTFRPERFITTATHKAETDPRSFIFGYGRRI CPGRYVADNALFITIAQSLAVFEVRKAMEGGKEVEPRVEFEPGAISHPMPFKCDIRPR SERHERLIREAEREYPLEESDAKELENIKW CC84DRAFT_1148664 MDCLCTDVSFQTAFSTCISSTCTVIESLQSTNTSRAACGIPVRD ATPVMIGMTASFGSLAMVMVIMRLVNRGLSRDRTLGWDDLMIGASGLCSFFQNVPVYV AGHLGFGKDMWGIPPENITTSLKWLYVTYFAYQLVEGFTQLSILAFYLRLVTSRRTKA IIWALMAVVSSFAIGNTFAMVLQCRPISFFWDGWRGDTPGKCTVNIRLFGFIRGGIEI VLDLVILALPLPMLSKLQMSTRKKVQIMSMFCVGFVITVVSCLRLWALVQFAQTNNPT YDNVSGLYWCVTEANLFIIVACMPAMRPIFQTVLPALFGSSHFSSQHSNYQNNSVTIN GSGHDTYSRRGKRSGGMPLNVMVSRTLDVRVHREDRSDSDIELVDKRDGERK CC84DRAFT_1165606 MGSRWTLGFMSILSAFFLGVSSCLAVPPRKYQKRRTQPAGWGAF KNPTFTLLFMVNLMNPLTVAIPTTFGPEFSEALGYHVNMASVILSLGSITSIPARLVT GYAADRLGHNNVFLLATLTYAISTLAMWLPSAHTNSKAVWIAFNVVYGCVFGVFNTVI NSVQRGHFGDELYYSYNGALASIRGVGYLMGVPIAGSLVSRVEDANLQGTDFTRPIVY TGTLLMVSVFCQAGVRWLDSRKNGWRWLE CC84DRAFT_1165607 MSLTPNNPRIMSPAWGSGLKLTPSPSPFLKNTPLRSPVKAHRAE ASLALRQVIGTSASSANAFDSLPNARSFAFCAGAAAVLATVDDEQHVSQRFYRARPTA NPINPSSSAYGGPSTPTQNESRNRTAASLRESGIGASPLGSPANDWSASPGGTSWSAR DRIKAATCVAFSPDGKYLAVGETGHKPRVLIFSTAPDAPSETPLTALSDHTFGVRCLA FSPDSQYLASLGSPNDGFLYIWSINARTGAASLYASNKCTSTINRMAWMGNTVVTVGT RHVKVWRLEDSHPASRVSKTRQSDVSFLSTSAHKTLPGRNCILESLLEANFTSVVAVA PSKAIVATDKGQLCLIDDSDGTQRFFKVAEAAIAVTSMAVDSQGRLHIASSQGGLKTL NISDTIGVLTPPPSPPPRVESPTVTLSTDSNKIEAVGSLLDYLVTVDSQNSIRLSHLR APDDDTMVGDVLQKLPAHGDPVLGVAPLAESNALGASFYTWSTGGAVLYWNQVGTYKQ ILDVPLEQIPGVDEVNELKTVASSADASVLVTGDKYGVLRIIDRQTRSSLVDMKAHSN EITGIAMFESKDMAYIASSARDRHIQILQKKSESWDLLQTLDEHVGAVTGVIFSRNGE RLISMSSDRTIVVRELVSRQDTESTDRAFIILRTIILKSSPVSMTLDADQDDVILVST VDRHVHKYDLRNGQCLSSFRASDAEGGDAVVLSSLAHIPRTWGSPLIAGVSSTDKSIR IYEENGTLVARDWGHTEGVRDITLLKSGSEPDDDSSERSLVTVAADGTIFVWGLLLIP SRQHMSHSMDLLGPSTPSNKDLLASKPPLRRVFSQSELARFQRSEDDQTTPTGKRSPT LRKKLSKFSLASTPKLEPSPMSSMPKDRGTGSAQSMRRINRNRSPSPPSPRHPQVAKR RLSVDVRLRSKQPPATDFGSLTSSTESLCRTLRAYRKRIANSNDSLGADVVREVEREL ALTARVVGEKAKSNVGLNETTIQKILDQYSERLVSILDEKISASVALHARHNSESSGG LVSPMLPQEVDVTTSKEADRAREESATSTTDSPTEIAFSQ CC84DRAFT_834741 MGGRRGAEQVNVPAAGRRALMKHHQHHSIIAPVPSGHGRPVCGD ERLCGRGLCLAPHRSTLGGSRSWHQSACPGWLLESRGTRAANRISAPRTPLVVAAVRF GASRATAAADAPWSAPCVCICSEASSSASLSACSSSYLLVPASGACSRCTSPARTDPT AR CC84DRAFT_1165608 MSDGDTKPPVVHEAHEVDTFHVPKAFYDKHPHTKPHLDGLEHYQ KLYKESIQDPHKFWGNLARELLTWERDFQTVHAGSFENGDNAWFVEGRLNASYNCVDR HAFKNPDKPAIIYEADDAADGRIITYGELLREVSKLAYTLKEMGVRKGDTVALYLPMI PEAVISFLACSRIGAVHSVVFAGFSSDSLRDRIIDAESKVVITTDEGKRGGKTISTKK IVDDALKQCPNVSHCLVYKRTGTDVPWTKGRDYWWHEEVEKYPNYIAPESMSSEDPLF LLYTSGSTGKPKGVMHTTGGYLIGAAATGKYVFDIHDKDVFFCGGDVGWITGHTYVVY APLLLGVATVVFEGTPAYPNFSRYWDIVDKYNVSQFYVAPTALRLLKRAGDEHVKHKM KNLRVLGSVGEPIAAEVWKWYFEVVGKEEAHVVDTYWQTETGSHVITPLGGVTPTKPG SASLPFFGIEPAIIDPVSGEEIHGNDVEGVLAFKQPWPSMARTVWGAHKRYMDTYLNV YKGYYFTGDGAGRDHEGYYWIRGRVDDVVNVSGHRLSTAEIEAALIEHHGVAEAAVVG VHDELTGQAVNAFVALKDGNDNSDQTKKDLILQVRKSIGPFAAPKAIFVVPDLPKTRS GKIMRRILRKILAGEEDQLGDTTTLSDPSVVDKIINVVHSAKKK CC84DRAFT_1165609 MRLRSTLGACLASLLAVATASSSARDPLQRIGLAKNADILTPNH RVTALSSFDLAFDFTTTSQRIRLSLEPNHDLFPDGAKISYLDADGQVHAEEPVDRLQH KIYKGTAWLKRGARWDRAGWARIDVRRDGLDPVFEGTFTIDHDHHHVLVGENYVSTMV RGDPELDLGSGEEKMVVFRDSDMGRVEEHSELRRRDAGPACVSDELKFNTHEDHPVYA AMRARSAEENFFAMPFSAMLGKRQSDIPGGGNGAGVNLVSSIGSTAGCPTTRKVALVG VATDCTYIKAFSSDKTKTRDNIIKQMNSASELFESTFNISLGLANVVITDPSCPTTEQ TATPWNQDCSASVDIQKRLNLFSAWRGGQNDGFSHWTLLSTCNTGSAVGLAWLGQACV AGSQANGGQNETVSGANVVIRTSTEWQVIAHETGHTFGAVHDCTSDTCQNQNAVSASQ CCPLSASTCDAGEQFIMNPSTAQGITRFSACSIGNICSALGRTSVKSTCLSNNRNVNL TTTQTCGNGIVEGDEQCDCGGESCGDNACCDASTCKFKSNAVCDDSNEDCCRGCQFAS ASTVCRASNGQCDPQEKCTGDSPYCPEDKTQPDGTDCGGGLKCASGQCTSRDQQCKTI MGSYTQGNDTYACDNSNCMLSCASPEFGNTCYGLQQNFLDGTSCVGGGKCQNGQCTGG SVGNEVKDWILTHKPLVIGLAAGIGGLILLSILGCCWRCVKRRRLQKKYAAGPPVAPY YAGGPGGRSGSGGSRSRSRGGPSGAMQQVPPPPPTWAPQTAPQWAPQTGHAPHGAAGG PVPPPPLFRSGSVRYA CC84DRAFT_1260526 MSARHQVKLHRYDSACNMSLRPSTPSGSIKSAKAPPSGTSSASV KSVNSTRALPSASSAAYTLTSRFSAPSGPIWSSRAARPPSTGSGGPWRPSIKDSAYGR RCQMRSDPQADDVVEEMREQEREEVEIARVEKRKKVEKKRLEYVEEKAGKEEVEKVWR GCWW CC84DRAFT_1218686 MPEQKDNTNSSDVPSELRFTQDGQHSVGSKPTMKQEEPQDPVEN SIRERPTYASFGDEGTTASVNAFGRLMQISRYLGHGSSGCYCVDCPDTHEPWYVERRA QELDGMINDPDAGFGLIAKDVFGNPWRHSGTKPTLEFKNDRWPCFVYHDPEASSQFSI QYFCVDDTVFQRYSWKPSGNAKGRSDPHGFEFDYGMLIRDLDFVNSAYHANETEWQSE PRHATSVSEVLGYADSEYWVLCPKDERSLVFGHKIIADRPEMTGGKDERLEHQRENNE NQDNQKNQESQSNQGSQDHQENQDSQEKQEHQSNQNDQSRNSHDDQGEHQDNSEEVQG IRRSDGANNSKEQVIEKKPVVCLIISAFSNGVSQPIKPCDRQNFRGKIDLTKDENASD SSKEDGPLEVTLAYRLQTVPEDDQWAPSEVMQKSFEEYSQMYSSDKETEVKIRFSTHP RLDFMIRRNLEHILSICSIPVLLNEHGRRLAQDEDQQIAITCGDIGGHRVWQLSSL CC84DRAFT_1260527 MISGWSYAQGNYNDYSFSHISKVVIKRFTCENPVAKQRMLATRR KPNETRFLFHSKDTVLLYAFNDGPFKSEKQKNQVEGDRKYWRDTTRRWNGLLDAQLHH MEQSDMRWDKPLWYGMAILMSAQKKRINNWTPERVFTTASSTLFRSSSLNGLFPGLLD EDLQPTPLQDEYQDDVYWHTTFEIPYILWSYARSQLEKLAMGPESGAKGSMPEEAGKD SSSSSVQASKTTAFSSFGKLVDQKVLVEISDDWLQKQPATLQFGYDPPMRDRPTESTQ RRLPHPHVLLRGPRIPKGASKLTSTAQAGFASLVGGTALIGFSTALMPDPQGIVKGSS QVTRDGALVGLIVDVKGGSKAARKILGSENWSTNLVSVTELRNVLQVPRTAANAKKRI IWLPYQSRDPQTEERIHERPEEQMKAQTQDQSLQNETRDACRLASPQPEKENLLAFFQ RHDNHDKYFFDSTSAALNEWETELHLSFFRSTEGSYPGPRTVSRVSMGFRFVGDFFDR YWTCHIVENKSPRENLLQDNSHSGADDQKDSQSTEQSEDQSGHNSEDRRKDQQVAEHA LGALGARLSRLKDIRGHVPTEEELLEGEIEAEGEQQHRSVTRPWQQRKILELLIFNEI LDALKTNTNVIWKSTRQRVLNLGSLDIHHESLDQPTLTLSELDVTFMEGEDPIPKALS DEIAFIRLANRNNYLNLAKLWRPHEQFIQALEDDLSANLEKIREWDRREQDRQYERPR WTRNDEVAFRHAITHLLVLNNRVVRDLERLQLDMRTFRTSLNSRLASIRDDMSFGTAD KLAVFTYVTVVFLPLSFATSIFSMSQAPSGITIASMAVTAVTALSLTVFALANSNDLN VALVGPLFDRAKVVGRPLTVLLLFALYGIWFALLPVRFIVRPISPIISEIIKPRGGNG KAEKKVDDEFIVQEWKQILKRRDQEIGVKRPWRRTEDVETLSTATHDSRGRIKVSQNG TFTKSVLGKGKAG CC84DRAFT_1165612 MYRALRSGLRASHRRPPARFISHRRYPLPPARARAFHASRSVAQ DNNPPVDPDSKPVAPKDAARASEEGAAAADAAEDADVLAQKLQRSREMTRRYSSALRR TQRRNRAQDLPPVVVPSWFAHECVRLSDEVPANTRLRQFVLELQRRGTDESGTCSVPI HAPGAAVQLLSEFLFQAWGGGLGNDERRRFVRQWAATLGLPDTEEVLLDLEVGGDGIA SMPDRPYWLQKEHLQQDPVRITRKLDIDSTEIRAAIQHLALARKAGRLTDGAMLKVER VIGRLESTKNAEGSDPFGLQKLGFVPPFVRSEVEATIAASLSLPQPASSDSFPAAKTN LILHCPSNGKENELAGLVGGIARLRGADVVTLRAQDLAEIAGDYLGDSPDPSPHSIRS LAYETYRLSSDLESDPYDDAGREDFGEEQEDATPPRSSFSSMFPEPPKLPSSDIFKMP ISIVLPNAFSNALKALQSPNQDSHSNTDTQPRSQSSAESQLEDLKIANVLEHLIDASE TKRSQVSSLLTSESADSDPTTEERSARPPKAGFFSSLLTSPSTSTPTHDGINIDSSLP SKAIMGFSLAVKINTVTSSTDSGTPGNAKIICVPDFKELNATHYGGRILQKLEEIVRK RRLAGERIMIVGFTSSADLTPEFSKSGVQGLQSEGEAGFYRTIVVPVERAGNMHDLVG TLSSTVQSRDASKYRRINVHHIFDMLRRLDPIAAQNLSARATDDSSAGPALASLAKAH ISRILTYDEVHRIALTALGLHSLDPASERLTSAHVALAIGMLQSSDQVKFDHIRRQAM LPRPGDDSSAREFRRKLNETLRSRSATEIHDRELEIKLNNIAQTATKHEKRLMPGIAN PSKIKTTFDQIHVPTDTVDSIRTITSLSLLRPDAFNYGILATEKISGALLYGPPGTGK TLLAKAVAKESGSTVLEVSGSQIMDKYVGEGEKNVTAIFSLARKLSPCIVFLDEADAV FSSRDVGRERSSHRDILNQFLKEWDGLNDLSVFVMVATNRPFDLDDAVIRRLPRRLLV DLPTEKDRAAILKIHLRGEQLDESVNLDAIAKKTPFYSGSDLKNLAVSAALACVKEEN ETAAKAAAASVPPANPSEPSPADSTSAHTPKPSHLIRGLDYIFPDKRILSARHFDAAL SEISASISENMSSLNAIKKFDEKYGDKKGKKTKHVFGIGVRGETSEDVVRVRP CC84DRAFT_1092781 MLERQRELIAVGILFLILTWLTVSLRVYTRAILLKRWGHDDTAM AVTLGLFTLSVVLQILSVVHGVGRHPSDLSETERRTALFYVYLYEILYVLVGVNLKIA LGIFYLRIAVERWHILVIKFIVFGTVIFGVVCLFLVVFQCIPVSTFWIIHPANDQCIP IAPQSGLTFTLNAMNACTDWILGTLPFFMVRNLNLSFSTQMLVAGILAFAAVGSTGTI VRMKYVKNLTNGPEFLYVIIDVSIWSTVEPGIGITAASIATLRPLLQTLLWRLGFAPP PSYTATRRIHSREGRFNIQHEFGWFRSTHRVRDLAHIDSSASTATVASPPNPKKKRWF SSSKSRTNDFEQDLADDRLQLPAPCV CC84DRAFT_1188090 MKFTIAALSLAAVAAANPVEPRQSCPKVYVFGARETTAPAGYGT AGGLVNQVVSAYPGTGSEAIVYPACGGQSSCGGASYDSSASQGTAAVVKAVTAYNQKC PSTQIVLIGYSQGGQIMDNALCGGAGSTLSGNALNAVKAAIFMGDPHNRAGLPYNVGT CTAQGFAARPQGFQCSPASTSIIKSYCDSTDPYCCNGNDANSHQQYVNKYGSQALAFI KSKIS CC84DRAFT_1206758 MPKAKRKTVARSKASTKSEAPTKSKNPTNSRAVPHIKSPSQSDR VYFHEPSEEHGFLSPWYTSHFTIKTEDYESAAQYILAEKARVFGDKKARQRILEEHDV EVLMTLGENIKGFDEKSWRRRVSRIAKTANMHKFLSDDAESRDLLRQLKKLGTRELVF ADPADSDLGIGFSAAEATEVGHAQWGANVFGKAIDEVRKTKAWKDQKASEQRVFDTSI FDVGGRLSVYW CC84DRAFT_1165616 MTCAAIDSRVFRNLFGTEEIRNVFSDEAYVRYLIDTEAALARAE SKVGVIPEDVGEALTEALAGVKIDFERISRETDIVGYPVLPLINQLVEQVPADIAKYI HWGATTQDIMDCASVLQIKQGLKIVKRQLHQLIDCLRGLSERYRDTPMAGRTHLQHAL PCTFGYKCAVYLSGLLRHAERIEEIERRCLLVQFGGAAGTLASLGNDRTGLHVRAQLA HELDLKNPSITWHTARDTIAEILNFLALIGGSLGKIAYDIMIMCSNEFSEVAEPFVPH RGASSTMPQKRNPISSEVVLAASKLLRANASLGLDAMVVDFERATGPWHLEWVAIPEA FVLCVGALHQTNFAMGGLVVNTDNMDTLLCSTKGLIVGEAVMMGLAPSIGRQPAHDVV YAACKTAIENGRPLLDVLAENEEITAKVSTAQLAQFCNPINYLGASQHMVDDILKIAG GKGKFAGTLSPTEERAG CC84DRAFT_1165617 MSPESIERAPCRSSAVQKFHPGLEGEIADEGYESFDQSAPESFS DERNNAVRRKLYLHLLPLMCGLYGLNYVDKVAIVWAVPFS CC84DRAFT_1093499 MSPIEGDTSSQINGLATPTHDRPSLSKLRVPGVRIEDDERPRGH YVTLSHCWGKAKFTKLTKENLEEFKEGISFSALPSTFRQAIHFARRLSPSIRYIWIDS LCIIQDDKDDWNRESVQMYSVYRNSYCNISATAASDSTHGMHFSRDPHHLWEDEINLN TEGIPRPLDERIPKHHLGLEPLIRRCKIQDASFWDRQVDDAPVNRRAWVLQERLLAPR VLHFCKDQIAWECPHIDAAESHPYGRTRLKALIPGEYGPKTLAIGRTENVYAAHEDWK SIVERYSRTSLTKSNDKLIALAGIAELTSKRMGDRLIYVAGMWEKYLASQLLW CC84DRAFT_1165618 MDDTTAGATPLSDSGVQTFFLPGWDTAIPVNHDSGITQAQILNF KGFDTWQKTLKSSLKRQKFSDHEFNADPYELKAIEIQSYDLVGSSNYKRPLFIKLKAR VENARGEDIPAVVFLRGGSVAVLIIVRPTDSLDERYVIMTEQARIPAGSLSFMEIPAG MIDPKDDSFGGTAARELEEEVGLKLKEEDLINMTELALKGHETEEPLQNAMYPSPGGC DEFISIYLWEREMDRMQIDGLRGKLGGERSEREHIRIRLLNYEKLLQVGARDGKTLAA WSLYEYLKRTRQIK CC84DRAFT_1188093 MPESSQPSMANVATACAIIGLVTGYLLGQAKSLGLFGGSPISAP AERKQKALELDESSDEDDDNAPSEFPGHNEECKLVLIVRTDLGMTKGKIGAQCGHATL ACYKQFLRRSPNSAVLKRWERSGQMKVALQVKSEEELEVLQAQALSLGVVAQIIYDAG RTQIASGSATVLGIGPAPKGVIDQVTGNLKLL CC84DRAFT_834934 MADLSIEEANKIRLSMGLAPLPVPGAAAPSGPVFKPAHAGDDSD EEPASTIESRQAAGFDNWKKLQEEEAAKKKREDRLAAIKKEREKAARFAKLEGKGLAD AAEDEDDMAWLKGSKKRQKKIAKAEQMQKELEERERQAREALQYTEADLAGVRVGHEV DQFEDGEDQVLVLKDTAVDAEEDDELEAVSLKERERLQEKLDSKKRKRAYDPNDDGQK SILAQYDEEIDGKKRNAFTLDGQGRTVEQVQAEAAGATQPKRVAISLDILQEDAPIND YMDISEVKMKKPKKKKSKSSKRRRVEDDEDMIALPDEPAEEMEVDESETKFAPRKAKK NLFDESFVDDDDLQAQLAAQRRQALKKRKKMRPEDLARQLREDGTSAPQTPGEVVDST ELAEEDGALIIDETTEFVHNLGANAEDEAEEARRRQQRKSSQIPKGVGSPAVEVDDEG DVDMAQSYAEAAEAEDRERSASRAKSPDLTGTGLDDEKLVSGGIGATLALLKQRGLVA NTEGPDKNSLWRSREKFLADKLRAEEEVERERREDRERQRNSARWATMSARDREENNR QANAKSEKEVARKLADIYNKEYKPTFQLTHTDEHGRQLNEKEAFKLLSHQFHGKGSGN TKTKKHLDKIEQEKRKIAENSLDTRAGGLSNAQDQQAKKNKFAGVRLQ CC84DRAFT_1197159 MQLPSMYLNALFLLASSVLAAPNHDLAPRQSTQKVTVDLTKRYQ TIDGFGFSGAFQRANLVVNLKEPKQSEVLNLLFNTTTGAGFSIVRNGIGSSKSSQNDW MNTILPNCPSTPAYVWDGKDSGQLWLSQKAWSYGVRTFYNDAWSAPGCMKTNGQDTNG GQLCGVSGTNCKSGDWKQAYANYLVKNIQLYGENGVTVTHVGFLNEPDYSTSYASMQS SGTQAADFIKVLRPTLDAANLTAVGINCCEATGWSVASQHASQMASAGVIPMLYAVTS HEYTSRISGVMNTKAKVWQTEYSDLNGGWSTSWYSNGGTGDGYTWANTVFNGVVNSNL SAYIFWEGVQDRATNNNNNEKLILVDGQSYTVSKRLWAFAQFRVVRPGAVRIGASGGS NLKSGAFVNADGSVAVVIINTGTGAQTVGVSLTGSTLVNPTVRAWYTDNTHDMSETTA TVGSDGTASASVPGRGMISFLVSGAAANATVVAH CC84DRAFT_1122524 MPVAVVTGANSGIGHAFAKLLIDDGFTVIAADITNVGPIQDLGC EAHHLDVTSPTSITTFKSALGRRPIDLLLNIAGVMSPPSKDALDTITLQTLEHTFAVN TYGPLLLTQALLPYLLLAKEPKVAVMSSRMGSISDNASGGQYAYRASKAAVNAVFKSL AVDLKGKGVAVVLLHPGIVKTKLTAGNEGLGSQAVEPREAAGDLWRVLQVKGMEESGR WWHRSGEELPW CC84DRAFT_1248276 MLLFHAVTAVHLLAARFVAAVPVDAAESPAIEIDPTAEPLDALA QLQQQAVGLLEQNESVTKRAPKGCSLATTALRRDWEYMTKVERKAYISAVQCLQKLPS KSDPTWAPAAKTRYDDFVAIHVNQTMYIHGNGLFLTWHRYFVWAYEQALRNECGYTGY QPYWNWFAHTDNIYKSPVFDGSDTSLGGDGEFFSHNGSLAGASTIPIPSGQGGGCIKS GPFKNMQANIGPIRPGMQGITDLVPDITVQNHRCLRRDLSSYIPKKWFTTANLLNVTI GAGSKTHKLFWTEIQGRYPDGFLGLHTSGHYTMGGDATDLYSSVNDPAFWLHHAMLDR MYWIWQTLHPSEANKVAGTLTLTNKPPTRDATIDEPLNLGVNGDTKLIKDMFNTLGGT PLCYIYA CC84DRAFT_1218700 MAPKNTDPARAAESNLGYLLGVTATFHVVALLFVSMRMYARISL VKAFGRDDALMLASTACVLLGGIVTYILAAQHGLGHHTDTLEKADYHEYLKMTFIQAI VSTIGGMSFLKLSIGCSLLRIGTPPLYTRVLWGLIAFVCLYTVISWGEWAAVCTPVAG FWTKDPKAKCLPTKTHKGFALMNTTCNIFTDICFATIPIPIILGLQMKRKTRIYLISI LSLGYVAVVAGIVKTVMQNTKRGDPDQSFTNDIQFWGFIQVNLGIIAACAPALKPLVG GVLRLPSTHLSESRSNNYGPGINGQSKSVSRVRVSTHGLGHNHGWERADSDIELDNAS FSSQAGITKPGDKKDDPRVG CC84DRAFT_1206765 MVNLVSLHDSYDPFKLTFTMEFCTKCQTIISKLFIKSRYHRLEL QSHDQPSRQLVQHLQLGRDYREVYSIDEGCKLCTFIKRGLPDEAVARRVSESWRHSRA VSLRATGGNALCHASDDGGLQIFEIKVSIWTVELIPSAEFYFDFSVTAAKGSSAATAG DVFGTNPLLQLPVSDILAKLTGWFNECASYHDKCRRHTDTGITEATKPELPTRVLDIR SSQDDAVIHLRAPHGLRANYAALSHCWGPPAKQPLCTTKVTLDQHLAGIQVSHLPKTF QDAVSIARAVNLQYLWIDSLCIIQDDPEDWNKEAPCMGQLYSHAKLVIAASGANDSSE GCFLPRLAPEPSIDIPYMKEDSNRHGHVQLNMRRSMVTSQDGTPVMPIYEPLGGRGWV LQEWALARRLVHFTTRGMMWSCRALDNWAMCEDGHVVAGTTARDWDDVIEAYSLRKLT YLSDKLAAVEGIARKMQHRRQGQYISGLWTGEMPQQLFWVARMTTRPRELQRFPSWSW ASTQGPCVMLVPKRIRWDKITIRSTVEAKDSSTLMIQCQAGKHAIGKDSLTLREATQL PPFVEFVGANTIPNLWILLIGGLAHRLLDPETQKTVGLAVLDDNEDSKDDDTLCTSLL LMEEISDTYTQGRLPIFLALLVREAGAPVHTYQRIGVAFIVDEKVFETQNEKKFTLI CC84DRAFT_1165622 MPPRCLALSGTLAKPPTLARSPQSLARTFTSTASVLAANPLKRR KGGDLGSHLPKYVIPQNIAIPEYPYGPARLFKQQDKGLYGGKSIHFGNNVSKKTETTT RRYWKPNVLDKALYSVGLKKKIKLRVTSSVIKTIDREGGLDEYLLKQSDSRVKELGPL GWALRWTLLQRPSIIRRMRAEAAALGVPQDEIDAQWPEPASEHMTPEEFEAFDEAALD ALEYLEEGPTARDELKAVESLNRTKISLATGASRFYKKTINAAKRYVANEEVENTEQG IKLALLREHQRAERAKRNFEQFKARLEEKYETTFENNTDLEKAIRKYRKHVQREVADN YAGDSNAYRKKMNPDGAAKFEEAVAEAGSEALLKAKRKAGVLKEMNEAERALESEDTS ADERLRIASALERAQQIIDAENKANYIEQSLAKWEQQNQGNWPLNSVPADDVLGEVHE NVAQVGDAQGWDALAQKESTGEQPRV CC84DRAFT_1260540 MPKETRIITRDNIERALKKHDNEITRLFRKLMGTANNPQSQKVK NYKTATDKSRVTMVALQHKFGRNVNDIPSLENKWTKPENKSKTEALQSLVNIVNDNDT LRTLLTNSLKVARNRAVDYYQPVATQRTDTGSTCIKESELEDDSSAENIVEKVMQAKG SLMALPCPDVGGFLEDEIRAKEEKLAQTHIATELPLYSVLPPGYLCGANFTLETHDTY ATVLRGEVVWIFWPRTAQNLRVMEQAYQKIIDGTPEEPEALANQLNDGVIFGQPAGRC LRLPPLCIFMCVATEFTVLSRYQLMSASYIVDSLDVPAGFYRSCLGTHGYVRAEQLFR GHSESRREMIERILKCEFETYEPHEWAEHTEIAGPINDLMRKWDEVKGNVAKLLTLKD AAQLRHSWIGLLKVFRSDQCAICGASGKHFSDVGLHFDTAHGFHGDHAALALPDLPAY RVLGDGQSQDPVGDALDDANETPGGDDGGYEYETEDVIHRY CC84DRAFT_1177404 MATASKSTMPVVLDVMTIGKAGVEGVRVSDLKDVNLMLDVSQSI GHNEIDDARLYGGESSELYPNEGKGMNMGDNVYSHRPEDVRRGLLASLKAIETEKVEK WYLHGPDHTTSLQETLRGENSLCKEGYFECFILATTCPGKQPLSPLAGGFPTSMYKRD MKEDDDESGSRVDPKQWQCRMIIRVKRKEFDLILSGGNVVDYRRGQECSGSGLMRREM KF CC84DRAFT_1188099 MHRDIQVTSRFAWKQAAKYGSIKPDIIRADWHAAPIMCGGITLY SPLKKYGAEGKHVGIVGLGRLGHFGVLFAKALGALKITVVSRWRAKEDDAKKLRADHF IAADEEGWEQGDNASSLDLIVSTVLSDKLPLDLFPAFPAVALIMKAVHITGSAIGSPK DIKEMLQLVSEKGIKPWTQEVSLKHTNKAILDFENGKPRYRFVLKNENYSG CC84DRAFT_1206767 MSWMRTSGSRSQSTVISKQYTDLASRLLPLGFQDLEKEVYNPDG LEAPNLAKLSTTTTTSTAAVGTILDYQVMIRRGMWTCRREFERHGRPSRRRILGDISI VPVLQHVHTLAHHVHSHGTTKRSCTYERTIETLQDTAILYNASNGIPLPSGIMTGGNG NQGFTRLEADGSLILRDNYHTLLGIFDTQNADGWLSADVPQEDKSGDSSMRSADSSGE VMADMMLSPTKSESKVAPTSGDTRAPTSSPSAELGIGAKAGIGTGVGVVGTITIVGAG LFHFRRRKSKGRNHPTSMAAATSRNDDSDGTGILYNFDEAELATGPDVEAQPPAELPD KSFRTPVEVAWNEYPGMGVPAELPVNEPAVEIGTAKPLERQFGRL CC84DRAFT_1206768 MSEFNKADAQDVIDHKTEVVEVKTLKGSEAYHEANALEPLPRFH PRTIALAGCLLLGFFCQTVNGFDGSLFGGLTANDDFLDHFHGTKDGEWAALTSAMYQI GAVVALPFAGPIIDSLGRRMGMIVGAVLIVVGTIINGLTMNAASVEHLNGQLKGGRFV LGFGVTIISAAGPIYVVETAHPAMRSVITAYCNTFWFVGSILASGAVRGGLNLAGNTS WALPLWLQLVFPALIIIFVWFAPESPRWLYVHGKTTQAKDALAKWHGYGNPESAWVKL QLSEYEEFLELDGADKRWWDYSALFKTSASRYRLACNVCFSIFAQWAGNGVLTYFLPA VLEKSGYTESVEQADINLGYACFQFFFALVGAAFVEKVGRRPMMLGSMAACTIVWVGM TIASAQFNNSGETNTDAARATVAMIFIFGMVYSIGITPLQALYPVEVLSFEMRAKGMA FSALAVNAGNLLNQFAWPISLSKIGWKTYIVFTVWDGIMVGIWYFLLPETKKRTLEEL DLVFEAKNPVKESLKKKKIDFSAEGQIVAVEKL CC84DRAFT_1206769 MPAFVLYGSRTSTNTMRVRLTLAEGNITDYELVLLNLLKGEQKS EEYKMRHPWGKVPTITFPDGFTLHESRAICKYLATKYSIPLLPPSSDAEATARFDEAQ SVEIFYFADPVQKLAFEKFIKKFMTGGAPDEKVVADSLQALETYFDAAENLLQKRSYM ACENYTLIDLYYIPLMLRLFAVGHGDLITSHKAVNAWYDRCLSRPATQRILAADKEAA AAADGKK CC84DRAFT_835182 MLLSQQATGAQFDYQTFKSEIQDADLTPSQLAPLNQRVASLESF MPRMENRAKGRKLRVSKGTLWENKPGLLTIVDLSCPYISPEQLTRCLIFV CC84DRAFT_1260544 MAFRIMRKRKADDSSPPSNSARNVRPRSEDSFPPPSPPVIPETC QYQLKVLANIPESLPFFTVTALTISKSCALESQAAPYLVNTLTEALRDEGADQNKVDT ARAIWENSHNLCKAKEIGATLGTVDSLRKGLYFLIEGAKECSSSQVTAQLQRRLNAAI AECLAGKDDGIRVEEAFSESATPTNREGSPGASRSINKGQKPSSEATKLFPNYLSHND DSSASYLDGPQSRASNEGNQGSVAREATSACLDTIDQLISDGAKAAEARLSAAPYGGE QQDSGNSDSQSKADILALLEAGLSGPIVPFATNWLRQESSSTPNSEVSSSEALLNKQP ADGAKDFLTPSDTKSLKAVPSINGAPPIRVARIPYIDMGYNEALKQEPRVKREYMSLD SNRVFSDQAGPNLAYGTASTGNAGYKLVIGGHTQSKRRLPDRPLSEHISSGNIHDKGS SQSIPDRLTCFFWFSQGFCKRGDRCKFRHELQDYVASYPKSGDMPIKVIPMSTMEETR KATLASDPNHVSVREGRNDPKPNSDAALTDTPILDLLLDDCIPIEPGSASRVISSIIS NIRARRNCSQGDFVTELYDHKGKLSSFDLDRHSGLPSKLGGAMTKVSYNPDHTRRTLD EILKPFVEANRNKFPDLPERTFKKQREGGGRSQANWP CC84DRAFT_1248304 MGSAPDNHHDALVVGTGFGGLYTLHLLKQLGLNVRAIESAPDVG GTWYWNSYPGARSDIHSHVYRYSWDKELLEKHPWPNNYLHQPELQAYFQEVAQKHKLY PLIHFNTELRGADWDDQTGLWIVSTNTGQEYTTRYLVTAIGILHNKNVPEIPGLDSFK GQTVHSSNWNPHIQWEGKRVAVIGSGASGIQIVSSLSEKAKSLTHFIRHAQYVIPSRF RAVTPEERKGINERYDKIWEDVFLSNTAFGFVEPGRPTLSLSPEDREIVFERLWREGN GFHFLFGGFSDLATNEAANKEAIKFIHRKIKETVQDPQKAEVLTSSDWFARRPLTDDH YYERFNQDNVFAVDLKKAPITEITPDGINTADGKTHAVDLIVFATGFDAIDGSYTRLN MRGRNGVELKKHWQAEGPKSHIGAATSEFPNLLFVNGPGSVFANNPPVAEVAAEFARD LIARAEEVRYREGGIGIIEALQEAENRWREQTEQVAELTLFSKTPSWFFGENIPGKKV APRLYFGGIGRFRAAIAEEKAAGFKGFRFR CC84DRAFT_1165626 MAAPKSTFRSAVHTRLDKPLVSLFRVIQFAFALGSGISYAIELS HEHTSSEFIFAQLEFAITIITQIIDAFTLRSYRLTFLVESTICVLWLALFGVFYQIYP NGEELQSQYDDMTLGRAKTAVWLDLINSLLWLGSAVFSTVMCCAGTNGAIKWNLEQSR GKNSRKDREGAAEMESGVFHERPQGSR CC84DRAFT_1206771 MSDALPTPSEPADSPEGSDGVARRPSPEEGSARGAENKAIKRRA HRKSRFGCKNCKLRRIKCDERKPECLNCRNRQVRCDYLPSSQAAVTTAAPSPAEAVVA PSLFGALNVEDLELMYHWTTSTSATLSSQTSGAIFWRTQVTELALSHHHILHLILSIT ASHLARFRPQRRDEYVALADHHYATALPVVTAELSHLHQDNCDPVLLSVQLICTFTWA RGPQPGEYLAFGEKGRSDWLVMFRGIRTTLETVGYDNFTRSMAPNIRAHAKPLPNVAG TLAYEEPLAELSDYIQYASKPAQLSQNVYAHQVLLECYSNRYGGVDGEYHIVFAWLFR MHEEFLEALQRHQTVPLVIYAHFAVLMNDMESFWYMKGWTTHVLAGIWNILRDEDRVH IRWPVSVVGWIPP CC84DRAFT_835234 MSGQIPRNTSQPPQYGSDNQNPYSNPWGSESQAQNTAQSHGQPT QAQDHNPFHTQDQPQSYDSFVQEQSYNPPSQPPLGQHNEQYTPPPGLPPRRIGTTSEQ ALPQGQDRSHQVEVMQSYEMSRPQTEDEQNQAILEREFPKIDGSLIAAIYGDSGSLSA TREMLQELSSTEGQGS CC84DRAFT_835304 MLTRRSVLSSSAASTTADDDNNNDVTPSKITEDLSARTRPVENG SDNSARHKARMQEHRSASTQQPSGPTSKPSFSSLHTVKSRTEAPLRNMTVETETVPSV AQTTIGNQDRSASGRGDGSLRLKPSNETIRPRKERKAPKRKAPSINSGTASSKADVFE QKVASEVNEADSSDSDATFIYESNPPEQQPHRSRHHHSRTPSMTSIASLVDPRLAIRN EHKNPDKKPSMKFPNPYNNANADYDGDRGDGTVRLGSGRTNGSRHHHHIGRHGQGRGA LHHLVMDSDSSLVGSSRARAPSSRHPSQPNSPRFQTFSVANSNGNGNGNGVKKHGELS SYDIDTEHAADDERTPLISTRSPRARTSRHGRPNSATLRQLERRNRRGEGNWCRRFAG CLVASIIILVVVFTAVGVVFASTKQLTDLKIREIQNVVASQEEIIMDLVVGAVNPNIV GITVSDMDVIMFAKSKHVGSDKWWREHGNGRPQNEDGWLPVQPSTADDLKGASTDGVD EGTDPIEEPRTMSLGRIFHFDSPLTFDGTFFNHRQVESVGEIRLSNPGNKTEAGGTER WEEVLQYPFELIVRGTFKYELPLSTHVHKVSVTASYDYDPDAEKKKLKTIGSEDTKQK RRDSSSLPVPSAPVGRFDRRMLPLLDWDAQ CC84DRAFT_1248322 MFIEIVRSSNIVPPPPKPHYSFKNFPLLSGSREVALYPSLGTGS HSHKIQYGPSSSTPAGPAQNVSCFIEYIGVNGKLPQEIREAIWEMAMRGGKYKADARV HWYFGATINRHLKDPVQRRRFYDARIERPNFYSPTLLPHQADIPRDVRCIIRCSKVMV ASFPANQYLRAWLATVPHGGLRHVRHLQFDFFGYFPTNIPVNSDLALAGACQGLKTIR MSFRAQDLTHFSRVDYVARGTDELLNRYKLERLLNCNDLNKIFWHLRYDQVEAALQAL QALGSWFRTEFAKQKQSVVCVYL CC84DRAFT_1095848 MASHRVDDFHSWRQYDTSGSIGPQYQLAVNASNATSWISYAGDP SLWTLRIDDQAIIPIRLLDNEERHCQDWIQKRYPEMNQIRLNGSYFNKTWLSSPAINR VPTDELFHFSHCILAVKRYIKAKDTGKHVCGRDIDKKHVQHCLDALDWWAFPEGRSGE DIPNSNRTFWWRTKVCFD CC84DRAFT_1094846 MAPTQSLVNKLKVQLKLSISRLRMVQQKDSAKAKQQRREMAQLL EAGKLQSARIRVENIIRSDITTELHEILELYCELLLARSQLLDPPSSPSTPANTIPLD PALEEAVRSIIYAAPRTEIKELHAVRALLVDKFGKEVALPSMEGEGVAERVEKKLRAE TPKPELVDAYLTEIARFYGVPYGEPEPSQDDEEEDDDEPSGGIAEENPKEREPALEAD PKASRKEEEEEELVKATPPKKFGPQSPLRVVPPSPSTDNLAPKLKLPGSAAAKLSASK LEAKKPAAKKEDSLGKIPDVDELAKRFAALKR CC84DRAFT_1148726 MASRPELKEEDESSFCKFFRTLPPKDDTVRIFDRGDYYSSHGED AKFVANHVYRTTAVIRKLGRDPGLESVTMTVTVFRNFLRDSLFRLSKRIEIWQSSGRM AWKVAKQASPGNLQDIEEELGGQVENAPIMLAVKVSAKAGEARNVGVCFADASVRELG VTEFLDNDLYSNFESLLIQLGVKECLIQVDNTKKDVELSKLRTIADNCGCAIAERSAA DFGTKDIDQDLPRLLKDERAAGTLPLTDLKLAMGAAAALIKYLGVMADSSNFGQYQLY QHDLSQYMKLDSAALKALNLMPGPRDGAKTMSLYGLLNHCKTPTGSRLLAQWLKQPLM NVADIERRQQLVEAFVNDTELRQTMQEEHLRSIPDLYRLAKKFQRKVANLEDVVRTYQ VVIRLPGFIQAFESVMDDQYRDPLDAEYTKKLQEYDASFAKLQEMVETTVDLDALDNH EFIIKSEFDEGLGAIRKRLDKLKREMNDEHASVGRDLNQDTEKKLFLENHRVHGWCFR LTRTEAGCIRGKKQYQECSTQKNGVYFTTSSLQAKRREFDQLSETYNRTQSGLVNEVV TVASSYIPVVEKLAAVLAHLDVIVAFAHVSVHAPTSYTRPTMHPRGTGNTILKEARHP CLEMQDDVSFITNDVSLVRGSSEFCIITGPNMGGKSTYIRQIGVIALMAQIGCFVPAS EAELTIFDCILARVGASDSQIKGVSTFMAEMLETANILKSATKESLIIIDELGRGTST YDGFGLAWAISEYIVKEIGAFALFATHFHELTALVKTYPQVQNLHVVAHISEGTEDSG SGVQKKREVTLLYKVDEGVCDQSFGIHVAELVRFPQKVINMAKRKADELEDFSGKHED GYVQASKEEVEEGGRLLKKVLLTWKEEVESKGLTKTQQVQRMKELVLGEDALLTNPFF KSVQAL CC84DRAFT_1218719 MPPPAPTPMSANTTHFSLHHPSKLVNLRPNTWLALETLIEFIVL SYLTWALFYLIYLWICGAVWSVKGLRELTGRRKRGKEGKEQRRIEEITEEVTETNEGH YAPTVQQTEDNGVEDNNIRETPNDAGTHEHTTGRRRRMTL CC84DRAFT_1165633 MASNPPGRCCAIGVKHEGEAQGEIKKIGDVRTYFSYPENKSTQN AIVIFTDILGIDFINVQLVADQFAANGYFTVVPDLFNGNVVPVNPPADFDLMKWVQTE MPHPPKVDPIVEATIRHLRGELGVKRLGGVGYCFGGKYVCRWLKEGKLDVGYTAHPSF VSTEELEGIKGPLSIAAAETDQIFPAEKRRESEDILQKMNVPYQMNLFSDVEHGFAVR ADLSKKPAKFAKEQAFLQAVAWFDEYIKQ CC84DRAFT_1206777 MPLHLLGKKSWNVYNADNIARVKADEAAAAARKAAEEQRIQEID AARRAAILRGETPPPLPEENPPHKDETSRPVRRDGHDKKRRRLAGEDDTDRDIRLAAS AEGDGGDELGDIDARLVRLRKPTSDAPLIDHAGNINLFPVDIKEQMKRERNEEVEKEK RKKEKALEDQHTMRFSNAAGRGGLGQQPWYASRSCRTAEQDEPISKALTFPDLENKNV WGNEDPLRKKREESRITSNDPFTFMQRAQVQLKMSKEGKKKWAAERDRELMELRAAQE RSSCRENHDKKKKRAHKDDDRKDRGARNKERSSLHKHQPMGRSRSRERSYEHSSSHRR TERRQSLSREEERRPRDQTGSTNRRRERRTSDRG CC84DRAFT_1165635 MPTWLVHGFRWPRVAIRIHIILENLEDCAAEWLMAPATAAQLTQ NFRKQFPEQLAHLPSLRFIEQYDPDDEITKDQPYAYVCDQVTEIKLGVDIDEVRGAGV QSDTWSALVELRDKVAPGEKVGWFVVVNGDVERWAPPLSDEEDDEDTETELSVNENGV QDSQVSPVSQPSSVAKSDEDDMGKKRGLKKWFGKIRRARSIKDLKIADLPIQTAPPSP SVPPVPPIPQAVAESKTATNGKAPVFAGTA CC84DRAFT_1148735 MSGRRDFLSMPAPENYVAGLGRGATGFTTRSDLGPAREGPSEEQ MKEMLAKRAASLGQAAPSAYGVVEKKPEEDEDEERFQDPDNEVGLFSSGMNYDKDDDE ADRIYQDVDEKMDKRRRVRREAREKKEREEYERNNPKIQLQFADLKRALGNVSEEEWA ALPEVGDMTGKAKRAREARMANARSYAVPDSVIQAAHSGGELQTSISTDDGGGTITNF ASIGAAQKSALQVRLDSAANSGSNGAGTQTATTSGTATSVDPRGYITALDKKQAMGED VPVEDINRARVLLESAVKTNVHNGPGYVALARLEEVAGKIHTAKKIIQKGCDMCPKSI VVWEEAIRLNKENIHNAKIIAASGIKQNPRAIKLWIAAIDLEQTPAARKKVTRQALDH NPQSVELWKILINDTEDIESVKLLFAKATDTVKLSEELWVSYARVSGPEEAQQILNAA RKAIPTSWAIWVHACRLQEELGKVNLCDKIMERAVKALIKENAMPSREDWITQAEICE EEGDIVTAGAIIKATIGWKLDEDDDRRDVWLEDAKNSQARGRYEVARAILANAVSKFP TSTTVWHASADLEKHHGSTEALLQILERAVNACPTSESLWLLYAREMYASGDGEGARK VLGRSFEQLPGNENLYTRAVDFEVDDENYEQARIFLQIARQTAATDRIFMKAAVLERQ LGAYEDALDICNQGLQTWPGSWKLHAIKGQIYESLSKLKEAQEAYSIGTRAAPKSPIL YVLLSRIQEKQGAVVKARSTLDRGRQQNPKNDTLLVEAVRLERRQNNVPAAQKIMAAA LQECPTSGLLWAEKIMHLESRTQRKPRALEAIKKVEKDPQLFIVVARIFWAERRLDKA ATWFTKALVLDPDFGDGWVWYWKFLEQHGTEEKKAEVIERCIASEPRHGEIWQSVRKD PRNFRKGVGEVLKIAAAKAE CC84DRAFT_1095836 MPAADGNAPVPVPGGPHGRMGSNGHAAHGANLRDMGFGGPRSPP SSKSTSHVPCKFYRQGACQAGKACPFLHSDEPLTERAPCKYFTKGNCKFGHKCMLAHI LPNGHVVNRNNISGHAHAHGHGGGGPFGRMNIPHHPDPAPNSSLLSMAHLAPAGAQFQ YPSQDEYLANQKDQYDMIPTIDTTFSSHPGSNYGSPPNDSGRMPISPVQKGLSVMDVQ LPASFDSQGVSHMARYGPVASSVPAKFLTGSPPSSFLGESPALRNLHDSAFGENSRSM AAALGSSPPESIEQPTGRRIMHSEMGAHRSRGIMSSSVGARPPLAMNDEWDDLENLGK FEEDLIPSSLNDLLTPQEKMRRFSRDHGDNEGNSLSHRTSFSRLGASPTASDAKAGSS PSRFQSIWGKRPTQESGFESGSLGSQFGHVGSPLRNSTLHPGASPSLRAMARPHNDVN SFVSSPPRQASMSMISQQLQRTRLSSRASEEGGPPSVPIHPGMNRLTSAPSIGSPSGR LGMDRTVSSSSINRERIEEEQGLFSMEEEEGLDAKGRDGASTTSSTSNKRLSGLSWGS GGKASPNLNPIGGHRAAKFS CC84DRAFT_1096588 TATQTQGKIAARATEEDEAGPTSCGEAGSFTLSFDDSTVSGEDG DRLLVSGMRNPYHHLFYANGFAYLPDKWEPYAARSQPNVAVFLPLGSSLLPNSPFAGT MLPGELGAGPRASVDAYWFNARSGYFGCALNGITPCTLRISGYRFDEGLQGEVLVAEQ NVTIPPCWGYIDCQLSRVVFKEQFQGLSGIQFNAFTYNLGIAQMFMMDDLTMDWYNNS CSAGILRIGHS CC84DRAFT_835468 MSICPGASEQPASSGMSSWLSVLESQEELFSKHPPADYQHQHRQ RHNWLPQPSRWWLCRAFRWIRDFTTVFLRLLMSEHWVDQVYTLVLLVGFLCGCWAAIE GVLHIVKGAAAQDIDCSIVYVTIPGPIVTVSLVGQTPTDPNHGTYYYSVINGTTRWLD SIQPPTRSRSPTLPASGQNPISPGITTSPPPSQVPTPGLPPQPGGSTATRPSALLNIP FQSANSSAALPSAVPLPPARPGASSATTSPITSLPIYVPLPSSALSSVAVPIEIPPRS SPLVTSTTVPPGAITSFLPPASSQGAQAPPLGPPPVVVTSTLKSSAETSTVVTTLSNG PNV CC84DRAFT_1197175 MAPSNKAAQKANGPKRHLSSQTKESARSKPLFDPEPSDTEVATL QPANGPAAPDWEGFDGEDGTSEEEDEEGEEQSSSGESVETATKKKEKPLLPKDAEEEE LERIIFGDSAGFREGLDTFSLAPAGAALDAGSEADEDDGNDYGNVADQDLFFFDAGPT AQPAGPLALAKAGDTEDDDDDDKPAWEDSDDERLVVSLASVPQLRKLRDTEDDDMVNG KEYVRRLRRQYERLYPVPDWAVHATGKAKRKRRHIEDDESDIESASDMDMDDDDLSTL PLARLLKDADILSRTSKSSAKRRKLQAGTVEIARLKDVTGAGPSAITSLSFHPTYPLL LSSGPSSTLSLHHVHPSDPHNPNPLLTALHIKRTPLHTTAFHPSPSDSRIFLSARRRY FHVWDLTTGTVEKVSRVYGHQHEQRTMEHFALSPNGRYLALRGSSKKGGGVVNVLDAR TLQWVAQARVESKGGIADFAWWGNGNGLVIAGKSGEVTEWSVQDGVIGRWIDEGAVGN TTIAVGGKSGRDGWIGGDRWVAIGSSSGIVNIYDRRAWSEDDPATTGNTDVVNGGIPK TPKPLRALDNLTTPVSHLQFSPDGQILAMASRWKTAALRLVHLPSATVFRNWPTQKTA LGRITSIAWGSPSEEEIKEGSFALLAVGMETGRIRMWEVRA CC84DRAFT_1197176 MPGPKLAASLLTPNEAEQYMRIRHAAFAHDVNKIFYFHQHEPSQ ATLESVTQSIRDGIAKGILYLKCVDTSNGEIIAGARWRYIRPHDPNATSRTWDEVNAE LAIPEMYTESHPDVWRAFYELFNQSKRKHMGTRPYWVLDTLVTHPDHHRRGAGGLLLR WGCDKADEAGMETYLEASEMGEPLYKKYGFEPVESIALDLRNWGGPEEIRWTLMIRPA KA CC84DRAFT_1206782 MPITQIFHSLQRLSDELKSRIVAHAYEDCLANSPQTRNVLEKIE VQRDASSNKREAKLAVFSYREGNRPCDSVWSIYLANQSVRAELDRLFFESVEWILSIL RSTRCSPRSAGLRIMQFLFLHRDSPAHIPDPPNPTARATVPTRMWSARALGFKTLADA VFRGCLECNPSYTRPSANSSWKKFAMPKTPASWVRWVFTPVAWWYMSSDVALWGPTPT PRHLDVCKMEDVFKTQGDSAIENWDPTEGVNGYRRWVVCMCRDVCMCASTQLDSLCAI TACTQRIDIPAQKRVRPRYIKVKRGVFFPVYKQGQR CC84DRAFT_1095684 MNVIKLQRKYPQFDQGEIFGLQDAFRKLDVDDKGYLDEATVIKA TQQTEHQPYDVVRQALKEVELDSSRRVELDDYVDLISKLRQSSPAQQRMNTGPSRPAG GSVPSTPGHAHKTSTGGGGRIHVQGSSANVTHTINEDERTEFTRHINAVLAGDPDIGH RLPFPTDTFEMFDECKDGLVLSKLINDSVPDTIDERVLNRPGKKIKTLNAFHMTENNN IVIESAKGIGCSVVNIGSGDIIEVREHLILGLIWQIIRRGLLGKIDIKLHPELYRLLE DDETLEQFLRLPPEQILLRWFNYHLKNAKWNRKVTNFSTDVKDGENYTVLLNQLKPDI CSRSPLQTHDLHQRAEQVLQNADALGCRKFLTPTSLVAGNPKLNLAFVANLFNTHPGL DPITEEEKADIDDFDAEGEREARVFTLWLNSLDVQPVVQSFFEDLKDGTVLLQAYDKV IPNSVNWRHVNKPREGQELMRFKALENTNYAVELGKANSFSLPGIQGADITDGQRTLT LGLVWQLMRRDIVSTLKGLAQRLGKREISDPDMIRWANDMAKKGSGKGTQIRSFKDSG LTNAIFLLDVLSGMKSSYVDYELVMPGRNDDECYQNAKLAISIARKMGATIWLVPEDI VAVQSRLITTFIGSLMATSERMGGQ CC84DRAFT_835682 MVHTYKHRVHAARTRRRWTCMSPLAAAAAAAAAATARLLSIDEP RSEPVHFAARAMLVHRRALPQTAAWKSTWPANTVFPSPWVQRTTPLHGTAVAQCHPVT TACLHVHSPRSTHTRSNTTTRCAPRSERTAFDSSLSVIIVRRRLS CC84DRAFT_1248333 RLTRGISARNFSIPLLHSTVSVNTVKLAQPLPSISSVHARGPVD AWYNSHYSAKPAASTDRLPSLPQIQPHASGSSTSSSPRGGSFSASSIDNGSASSNTSY SASVNGHTAGFKTPSPEQVPQPLNRNGQPLNVQSHQGSPYGTQQSYGYPSEGYNSMNQ MQSYPDVHQPQMSAATAHAPGTAAPGGLSHYAYPPQPSMPLPGPGYGSAPQGYTSYGY PSGVPSQLPVSSSMNNPMVPQQSIQLPAMSSTAPAASLPGSQSYGNPHTFDHTGQIAP PGMKPRVTATLWEDEGSLCFQVEAKGVCVARREDNHMINGTKLLNVAGMTRGRRDGIL KSEKTRHVVKIGPMHLKGVWIPFERALEFANKEKITEQLYPLFVHDIGALLYHPSNQT RASVGGAAMAAVDRNRRPDSMQTNRYLTGGPTTSQPPALHHHHSMSNPVGAAMSQPPH SIQPHPASGRPGLDRAHTFPTPPTSASSIMGMGNSGSSYEWSNANVQGVQGNQPLSID TGLSNNRSVPTTPASTPPGPVQQGMSYQTAQSYDASRPMYSAPPSQPPQYHTQSQPMM GYPKTEMAPPARGPDQGDVKPTDAILAQNDDSVNHNAGGDEGGEHEYTHSSAPYNGNR APYAYNPAPGSGPITGEHPHLSPEMTGSPHQNGSGRATPRTTTTGQTQWNSGYPTPQR QNGPSSNLYSVMSDTRTTNGNAQQDSYPPPGSQYPSQGYATNGVAPGKRGRDDDEDPY GRPGSVQSEDIDGLKRRKTMDGGAVAPPYGPDPNAGLQRSRTMQTQRTRR CC84DRAFT_835739 MERAPTPAPPFPASASPDPGCAAWGVRSPHAHARAMRTVSVAAA GQPHVASPRSMARPQPHAAPRGRDSHHHAR CC84DRAFT_1148755 MASFTSLLTVTFLLGIIPILRRIRRIYINYQLAKPTGLHIIIVP LDPYGIVWQAGSRLFTPILQHFDWCRILDLSWTWEDGSIRHEKYGDNFIVVSPAMNVL YTSDKFAIEEVLAKRKIFVKPKLYETLDMFGKNVDTVNGEEWSRHRKITAPCFNERVS GFVWNESIRQSQAMLAQWLSQPGGRISKMVDDTRIVALHVLTAAGFGVQHDFVSGARV TAPGHKLSHRDTLMILLNNIITTMIVTPHESFFDKTAMLLGPRLKGILLALKEFRQYT NEAMASERKLLSEERGSLKHNLMSTLIRTSDQAKTDGVQSAAKLSDDEIRGNIFIFNV AGHDTVANTLAYAFALLAIHPEVQTWVVEEIDQVTRDANTPEYERAYPHLKRVMAVMY ETLRMFGPVPQIPRGITTPNIPLTISCPDSTASSSSTTVLLIPPNTQVNLNIHAMHTS PSAYPSPKVWNPKRWITSTAPATQPASSLSSILQNEKLVHMERGFAPWASGPRVCPGM KFAQVEFSAALATVLRRAWIAPSIKGGGDAEETAARKEVEALLRDSHHIGATISMKRP EDLWLKVTRR CC84DRAFT_1260567 MTAALCSNYCSQFAYFGLENSSECWCGPFLKNSTQTPLSECSFL CSGDHTASCGAFGHISVYHSSDPSKVSNDPAVPASPIDNYTYANCQVDSTMPRLLSNG GAAANMSVEGCLLLAEAMQYTYAGLEYSNECWLGNALANNGEPEGARERLQSQLCWS CC84DRAFT_1197180 MAFHLKPISISIPPTYALGATDTITWGGDNSRTSYQNNHNMNPS EVASSGFDQIFRTTLPGNFQGLANEQIFAAPLVYTGNDGIQYIYVATTQNNLYKLDAK TGVIVASRNLHVPFLQVELESCVDIKPLIGITSTGTIDPSTNIWYVTSKTYAERFQNG NFSVSNPPGRLNGRYWQHAVHTEELSEVTGWPIPLDGTVFRNNPNRMFLGGNQHSRTG AIIVGDYLYTGYASHCIQYNYTGAIIGFNKKTGKIVEAFATEGGPEPNIVKGGGIWMS GGGLSYDGRGSMYFATGNGYASQLKASGNAVPGRSPPSSLEEAAVNAKINDDGTLTII DFFMPYEKVALDGADRDLGTTPLVLLPSTTFNCPNHRRIGVVTGKSGKTYFLNLDDLG GYQQGPNNGDNVIQVYQNENSVYAGAGMIPLGGGYVYVPVTQFKTHVFKFSCDELGNA TFSLVSDTPDLNAYILGTGAATVTTMNDQDGTGLLWISDVQGYGLRIYDPIPPANGGP LTSLRNFSIPGVTKFSHPVFGDGRVYISTNQGYLYGFGSPVKSVLNCSSPYNFGAIPI NTVTQPATITCTALNRTTVQSISLLDSINFHVENVPALPLNLSTSQNFSFSVASSPAN VGTLLKDVTINVQNAFPGYSSTSLVTLRATGRSAAPLLAISPRSISFNVIANQLPSQQ PTLLWNLGDSSLSFANFSFSLVSPNGPWIQPNTTSSGQLQVGDFTFSVLPVTMAPGTS AVLTVTYAPHTPGNDTVFLTGFTNGGSASFTASGFAGTQPKAIVEFQTPDGSGWVPFT NSTPFDFGTVYEGQTKNLLFRLTNGGGPRAVPLSVAVSKPPYGIPDIIGKTNNIDLAE GSIISAGDSQTAQIYNGSTVWTLNTNDPDQGKLFIQFSCQAATDQYGYTGCFKEGNPG RQLAIQAYTDTVNNTNTKCISTCSSLGYIFAGTEFSSECWCGIDIPISKGDDANCNYG CSGDVDQTCGGNGLTPSVGQYGFIGCYAEPSGKTVSLNATTSNIMTVEWCATWCGSAY TYFGLEYSQECTCGNALNPKSTLVDPSQCNYPCKGSNSEYCGGLNRMQVYQMSSVVSS TASSVLPTLVTTSTMSSTSTVLVTPSSSSVSTGIITPSTTPSSISSFTSSSTSQVVVV STTIINPTTSRTTASAISVTITIISSNSTSGSVISPGSTLSSTTGSSPQVVVVPTTIL SSTVSIATGSASSTKKSSSLSSTSATTSSSFPSTSVTTSSGLSSPSILPTTSPIPGSP LSAYTYVGCFIDVPQRCLRARSVANSSVSLDFCAAFCAGYTFFGTEYTNECYCGDGIL ITTDLATDGRCDLPCAANHSQICGGNWGLSIYQLTPSYFGNPSPVKGFASMGCYAEKP NDRALRNVYYSDIMTTELCSARAAANGYSYFGLEYGRECWMDNVLDDAVPVPQAKCAT SCTGSTFQNCGGGNFMQLYGNGSVVKMPSGTSSIAATSPMTTSSSTTTSLMSTFVAVA TTSSMSSTFSIVLLPSSGLVVSSTGTLTSTDSVPSSGGLSPPSTTSLSSSSTLRFPTT PTTSTSNSSVIFSSRSVPVLPTTAIHVD CC84DRAFT_1218736 MAALKFAPWQSDVDVQFYAALAHIKINHDKLDDSARKVLGLYEV RANDAPGRSNRIQIHPNALTSDDTPPNYCRAEGIIKNCNTIEDYKNLDRTQVLERCAK TIWEAIHDGSVYECPSLLAAFTLITFANLKKYKFTYHFGFPAIQSDPPWKQVGDLQRL SSRETVQLVDAVQTWKYRSDARQRGFFLAKKVRSSPPDDDPEGPPPTPIDDLGYRWVI GSLSSYEKDFFEGVDKEDRFVCFADPSTYEENPSWILRNLLVLIRYRWKLNQAQVLCY RDTHIRRDEARSLILQLESPAIEPDVSTEEATTRVPKLPKVTGWERNEYNKLTSRIVD LSEYMDERRLADQAVDLNLKLIKWRIAPTIDLDVIKNCKCLLLGAGTLGSYVSRILMG WGVRKITFIDNATVSFSNPVRQPLFDFKDCLQGGAKKAERAAEALEEIYPGVDAKGYV MEVPMAGHPITDETQTKSNFDQLEQLMDEHDAVFLLMDTRESRWLPTVMGKAKGKIVL NAALGFDTFVVMRHGLKTTEDAEGEEELGCYFCNDVVAPADSLSNATLDQQCTVTRPG VAPLASSLLVELLVSVLQHPSKARAPVDPSNNPSVQPLSSSHPSLPAPFVHPLGVVPH TIRGTLYDFHNRQVTGKPYDSCSACSDKVLELYQKDPWNFVQKALNEKGWVEEMSGLA EVQRKADEAAAEVDWDEEGGEFGEEGEGELI CC84DRAFT_835783 MGHFPPYFRLRTLSCCSRLLLTDVKLVSPNGDCGSSALISRRAT HLDTQLHAVPSSIAGPGQRIIGPVGVGLTWYRGSLDLGLVTDDERAADVPTSAAACQG GVIGTSTQTSGFSRHRLCVCTSAHRSGDHSSSPKESLTSKLVLHSLRRPQHQLHSAVA CC84DRAFT_1095370 MKFVTIGAALLGLSLSANASPIQAERMDAPHALTKKQSCTNGPT SRNCWLPGFDSNTDMYNSWPNTGRVVKYDLAVTNTTCNPDGSRERVCLLIDNSMPGPT IIGNWGDTFEITIRNKMQHNGTSFHWHGLRQLHSNTEDGVNGVTECALAPGDTKTYRF RATEYGTSWYHSHFSAQYGDGTLGTIIINGPATANYDVDLGTYTVQDWYNITAYHASS IALMTGRGPAGTNILVNGTNKKTEGSVTTGKYNKVKIQTGKKYRLRLVNPSIDAAIRV SLDGHPFTVIANDFVPIVPYNTNWVLVGIGQRYDVIFTANQTAGNYWFRAEAHAPCIS FNAGVGRSIFTYDGVAVADPNSAALSGAPTTCEDPKTVPKIAKNVPKDTFANQAQQLP VSFDNRTVATNNQSIVLWTVNGTSMIIDPAEPTLEYMAKGQSNYPKNYNLINISDSAA WTYWIIQQAANNPAGVLAHPIHLHGHDAYVLGTGSGQFNIDTHLSSLTFTNPTRRDVY HLPANGWLVIAYPTDNPGAWLMHCHIAFHVAMGLSVQFVERQSEINLPAQNSEWFNTC NNYGKPSAILYDSNKLINFTENYIRNKPIYPQDDSGLRKRWPPLEWPSSPAQIW CC84DRAFT_1260572 MAKVRRIRIPYDSHPLYSLRRSILIAGTVGVLLNTAILLALSSS YGGMYRLPNFVLSTALLCGSIAFVSYDLVTYGTRTAVILASQAKDDDTLLPQVAHAQP TWPSKVLLVGDFIFAVALQWLFWGLFFVVASSGYYDRYGGSETLEAYANLTNFAASVL HGVAFWKELLARKKSQWQRDLDTRPCGHCGHVTSIQDEASHSEAVITALNEQAGPSSE PGPSTHPTHTNFGRIRKLVLPKWARPASVRRHDSTDDRDVEKEAAGDGAGEPLLVTPD ESTEFAGQPSGTYGSMSQSVESLSSVPETIVKKKDKGKKRMVDVDEC CC84DRAFT_1095511 MELSRAEINPHAPLRLLSLDGGGVRGLSSLMVLDDLMESIAQEE KRLGRRLNNDTSALKPCDYFDLIGGTSTGGIIAILLSRLQLDCKQCINIYTKLAEQIF KNDRSIKMFGAKIPTGASRFSGVVLENAIKQALVDLGYDQDELMWDDSLFEELPESEL PRDSIWSDTVPDIVTESPVGTLKNAPGVLPQTNGVLSPPPSNIADEQRPLLSATDRNN PFTNSTPCRSDTWKLKPRLSVHKRKNAKGCRGFVLTSLKQALGLPRILSTYDPNDRTT KIWEALRATSAAPTFFEEMQFGTPKVTYLDGGVGFNNPCAEVDYAAKALWEGRPIGVI VSVGTGLQSIPSVGNVGSWLPFGLGTDIALVSALAGMATSTQRVDNEMKRMYYNTSTR YYRFDVDRGLANVSLEQWMKEDEMAALTEQYMRDAKQLRTARQLGELMAKLSALPPKF EIGATHFRVGMDGRGLIDQSFQLVQVDFKTGLPLGVVSTMEHQSQLSHFRDTSPSGEG GMAVSDGKLRRIFPVAEDLDHDGRREEAAVYQCINAENICLRTIKTGIPQGRYRVQFI VSFQKSVHTPPHDLVFSVGKPFDATTFAQRYVDANITPDVVPVLLHPDAVRVRVGKRI YNENVGKMWMEIEGDVEVSVGLDGALGFIVSRKFEEGVAIDGWTFGGVRLEPVFGKPG DLLTRTLSYR CC84DRAFT_1095707 MVVVDATITARTERLLIRPLTMEDAEDIVLMRSHPETMKHTPLL PSDDVEKSRAWIQGCFDRDNCWNFVVELLPSAPGASTTSPRVIGMIGAVRAPEVGYML NHKYWGKGYATEALRGFIPLFFEHFAGGPQGRFEYAEAQTDPELVASQHVLEKVGFKL HELREKDFDNPILGLRDTLVYRLYRPGGEGRAASE CC84DRAFT_836615 MSQSVVSASAQGATFLILLQVGSRALTFAVNQLLLRFLSPEQLG ASAQLELFSISVLYFARESLRVAVQRQTHGTQAVVNLSYLAVFFGIPLIYGLALLWLS SEIPNVPHFVDALSVYCLATFIELLTEPAFSAVQQKLLYKIRASAESTATILRCFGTC GSAILASKYGVNVGVLPFAVGQLGYALVLLAVYTYRMWPVAQKESFSLLPKQIRPTRD MPVVLNHFPIPLLRITGSLSLQSALKYILTQGDSLLITRLVSLTDQGAFALASNYGGL IARMLFQPIEESSRNLFAKLCADTEPTPVTRSAEQTQNLTKASRILKMVLRIYTLISL VAAILGPKVAPLLLSIVAGSKWANTSASDVLATYCRYIPFLAINGVTEAFVAAVATNR QLYSQSLFMGLFFACFAGSAWYFISHLAWGASGVIAANSVNMGLRIIYNMWFIQRFFK ERDVSFSVFDCLPSNPVLLSAQFYPWAMQFRPRPDWLLRYGVFGELASLGVVGASWAF VMLFFEEKFLLECWHTLRPSPEKKDS CC84DRAFT_1165652 MAGLERCEYYHGLVDMGSNGIRFSITDLQPPTARILPTLYLSRA AISLYDAQFTPSSNDPIPIPHETIERVIKALLRFKSTCHDFGVPEHQIRIVATEATRK AINSQEFQNVIHEKTGWKVQLLEKEVEGRVGAYGVASSYANVSGLVMDLGGGSTQLTW IMTADGEVRMYERGSVSLPYGAAALAKRLEAAGAKGSKAYKTFEQEVMGDLSDAVRQI DIPKELFKQGEGLHLYLSGGGFRGWGFVLMSQHSIRPYPIPIINGFKVKEYSFQDTQA VRAAVFDEDTPDIFRVSQRRASQVPAVAFLVDCLSKALPTIQDVHFCQGGVREGMHFA EMEPMQRKEDPIVTATKAYARESAPEIVGLLLSALPTPSPLPFNTALIQAFAQALYAH AAFPKDVCAGAALRSTSTGFFASTHGMSHEQRAVLAILLCERYGGFRSLSPTEQDFYH RLLQLLPGDLSWWCVHLGRVAAVVAVVYPAGVIREQRLDIQTTWAATKKGNDKVCISF SFPTLARVDEMDEALNDALEQVDKAGKKKNWVAGHGYKVEVSIGK CC84DRAFT_836759 MSTPVNGAVGGAPQNKLLDQVLQPPARNPSPLPTHMSLPGSGHS TPRVIPREGPGGSGYVAQKFDGKEKQMEEVMDGIEEKGFLPTEFVETETQWFYNELGI DDMYFATESVDTIVNHVLSLYAAKVAAYARQDKRLEIRLDKEAEDHAVYIDTSRPGVS VVDGPQYEKRIGEKYLHRSKGAAGYRVESFRSASPLPGSQDQQLRCYFVYQCDFVDPS PSATETNIEKIGDKRFLQKATANTKKIYQEALEVAVERTGPVIEYFDIEGSRDKRLVI AYKQGTAMGFFSALSDLYHYYGLTTSRKYVEQFSNGYTCMSLYLQEMPGAAGRKYPPI EASVHQIIKEVSLLYCIPQNRFQAHFATGRLSLQETIYAHCVWVFISHFLNRLGSEYT ALASMLDGENSAHAELLSKLKKRLRTETFTADYILEIINEYPELVHSLYLSFANTHYV QTRGEQDDFLPTLSFLRLKVDKVLTDSELTDAINKVVVNEHHHMVMNSFRIFNNSVLK TNFYTPTKVALSFRMDPKFLPPSEYPQPLYGMFLVISSEFRGFHLRFRDIARGGIRIV KSRSQEAYAINARSMFDENYNLANTQQRKNKDIPEGGSKGVILLDYHHQDKARVAFEK YIDSILDLLLRPSSPGIKDPIVDLHGKEEILFMGPDENTADLVDWATEHARFRNAPWW KSFFTGKSPKLGGIPHDRYGMTTLSVREYVLGIYRKLNLDPSKVRKLQTGGPDGDLGS NEILLSNEKYISIVDGAGVLVDPQGINHEELLRLAKARVMINNFDVSKLSPEGYRVLV DENDVRLPNGDLVANGTTFRNTFHLRHGGGLQYDTFVPCGGRPESIDLTTANKLIVDG KSIIPYIVEGANLFITQDAKLKLEKAGCILYKDASANKGGVTSSSLEVLASLTFDDEG FAKHMCVGDDGTPPQFYNDYVKSVQAIIQNNARLEFEAIWREHQKTGTPRSILSDTLS IAITKLDEELQKTDLWDNIEFRKSVLNEALPKLLLEQIGLEKIMERVPDNYIRAIFGS YLASRFVYTYGINASQFAFFDFMNKRMSKLSIEA CC84DRAFT_1165655 MAARTATATPTTRKTRTATNSLPKKIEAAVETVAPKKRATKANT SKPRDKKVAAGRVEKKAAPATKKAPAAKKAAPATKKTTPAKTPAAKKAAATKKSPAAK AEKEVESEVKKVETKVKKAAKATGAKEKKTTTKASKPKKA CC84DRAFT_1095082 MSKYGTLVMGPAGAGKSTFCASLIQHLQNNKRPCFYVNLDPAAE EFAFDPDLDIKDLISLEDVMEEMSLGPNGGLIYCFEFLMENLDFLTDPLEEVTDEYLI VFDMPGQIELYTHVPILPDLVKTLMRGSLNINMCAAYLLEATFIVDRPKFFSGTLSAM SAMLMLEMPHVNILSKMDLVKGQVAKRELSRFLDPDPALLEEDPTRKVKDGDAEEAKG YQDPGSTKSVMSGASFDRLNKAVAQLIDDFSMVSFLKLDAQDEDSLGAVLSYIDDAIQ FHEAQEPKEPKDEFLDTSMAE CC84DRAFT_1188128 MSSALLTVVQGNTFLFTSESVGEGHPDKIADQVSDAILDACLRE DPLSKVACETATKTGMVMVFGEITTKARLDYQKTIRDAIKDIGYDDSNKGFDYKTCNV LVAIEQQSPDIAQGLHYDEALENLGAGDQGIMFGYATDETPELLPLTLLLSHKLNSAM KEARNSGELPWLRPDTKTQVTVEYAHDNGAVIPLRVDTVVVSAQHSEDITTEELRKEI KEKIIKKVIPAKYLDDKTVYHIQPSGLFIIGGPQGDAGLTGRKIIVDTYGGWGAHGGG AFSGKDYSKVDRSAAYLGRWIAKSLVNAGLARRALVQLSYAIGVAEPLSLYVDTYGTS DKSSEELVEIIKANFNMRPGVIVKELNLTNPIYNQTAKNGHFTNQEFTWEKPKTLKF CC84DRAFT_1165657 MAISSSHPADAPPPYEEAVGSSSAPKPLRRVSTDGPTSRTARNG IPPEHRRSMEDENRELPPGWVRQFDLGEQHQFFVDTNANPPRSIWTHPYDDPDFLATL SPEERKKHSRMHRSVTLEDITAESSDEEDHHHTAKLPPRPNAAGGAASSSQPASSIHR FTRKMKDKLTDSTHEQRQRQRIQREEAERKAYQAHMQARQAMARAMQTGQPQFLCKDQ HGRDVYIEPPGFGGRAYGGYGQQGYGYNPYSQGPYANPNVRFVRPSGPYGRPYGFGYG GGIGAPVAAGLLGGALLGGVLF CC84DRAFT_1177440 MEVTERLTNSATPPLPVRNPNRRYSQRKTAFVVPNSSAKLVPKP LFSKRSGIPPLQIHIEVQEILDWSSRSSSQSSGPPSPTSSFRSFASYASSVTTVSGPG SVRERPISQEYAFPPLDICNWGNEWEPSHAPTNPRLRRTKTPKKETLRDLRAKGSDMC LQRVYEQQLELYLNGALFPRTKWKDDLGCVEEE CC84DRAFT_1177441 MPAQLQRPSATRQLSTTGGDYDMANPPEVRRYLKTYGLTPPAVE SHEVQAQRCLRLLAMKTTPIEKFQYLVHLRATNVHLFYRLLSENIKELTPLIYTPTVG EACVRWHEIWTQPEGMYLSWNDRGHLREILDNWPHEVEITVLTDGSRILGLGDLGVNG MGIPVGKLALYTACAGIRPSGTLPLTLDLGTGNETLRNDPLYMGSRTPKVSAAEEKEF LDELMIALTDKWPGIVIQFEDFKNPFPALERYQDKYCMFNDDVQGTGAVIVGGFINAV RASGVPAKDHRAIFLGAGSAGVGVAKQIVEFFIKEGVSEEEARKKFWFVDSNGLVTLD RGDKLAEHKVYFARDDNNGRQYQSLSRLIDFVQPTILMGLSTIGGAFNKDILQKMAKL NDRPIIFPLSNPSSKSECTFDEAVHNTEGRCLFASGSPFPSLEYNGKLLTPGQGNNMY VFPGIGLGAILSKSVSITQDMIYASAESLSTSLNKQETADGWLYPDIRRIREVSVVVT RGVIRAAQQNGVDRAVELRQMSDDQLDLYIKERMYDPFSETEKTVYPVTQPAGNGHHP FANGNGVEHATNGLNNAHL CC84DRAFT_839403 MPSCTERLDSTTPKTVRPVLRLSRASTPCAMMYTQAAPFRLCRR RKDWRVVSQCRKLCMYLRVRVKLSPHPPLRNSTDAQSAWLGRMYVVAAAFLNNQERLR SSTGSCTVVWIGTASRAASGRQNGAAADGRSCPGATQRWAQHTIFRKGLGGQTGAQEV SVCVRCESTHRGRWRITKLARLEAPWGPRSFWAWALGDCAETASLGDARIRDGCVPGT AAGW CC84DRAFT_839543 MSSCFGFRKSRADDQRPLLPQYRDDTVMQREVHQKLHSYQMIRA LGKGYMPSNEQVIVNLRSLLAADVLNPDNPELSDSGRLLVKFSKRWLHEFIELLLNKN GSDQIQDFLWYLSKSRVSVDVEDLTHRAVRSRAKADISAAYSSLQTVGSLLLTNTDFR IFLADLNTLGREVFRDTAFSLSNVAKKAGKKIEPSQADQKALKEPGADSGEAPTTEEL NGDLVEVAQVVGEGTAKVGKKAQDSLIGKLQGDEGDSLLYRLKKTVMDLRKKRDYSDS VSTLALLLQRYALAYSRMAEDAVHATQKDISTNTATDRAVKNFWTFISSFGEKQEWKK LEDSFHKVLEHSQKDPEFEDLLTDVGNSLQKLLTDPDFFEHAEEKFQELRKKSKDVGT ESSLRKDIDSFFEQAQITFNSVTQDQDIAKLIKTTTQLFYILSPQYQYTNGELIQDAI NVFVPLFIQAIQYIPIPRLEISTPEVDLLLENLILEPGKTINNTSFLPFRFRVETRND FEIWKAKFRTASKVDSNLTIKIDGLSLRAEEIGFLMRAHKGLLRLADEGIASFELDER GIDIHLDVEIAKDRQEQMLTLNAVRVHIHKLSYTLRKSKFSLFGWLLKPLLRPIIRKV MEKQLATAISDAIHAANRELLFARERLRATRISDPDDLRTFFKAVLTRLTPEDDPDLY TRVGVAAPGKGVFAGQYAPGSVVKLWEEEGRQASERIEEWDEGGWRNDVFDLHAMML CC84DRAFT_1096208 MSVRVVARIRPLLKHELDKDSIVTAESTTDEDTKDRPTVVRIPN PKTDSESFSFQFSSVYEQEATQQELFDAEISPTVKHLFNGFDLSIFAHGCTGTGKTHT MRGGKTLADRGVIPRLLSAIYRRCKKVEKDTGGATHVEVALEYFEIYCDRVYDLFEPP EKRTPSGLPIRDSAKGKTVVVGLTEKPCATLKEFEQLYDQANVNRSTSATKLNAHSSR SHAILCVKITQTTEDTIRVSRASCIDLAGSEDNRRTENNKERLVESSAINKSLFVLAQ CVDAINKKQARIPYRESKMTRILSLGQNSGLTVMILNLAPTRAYHLDTLASLNFASRT KKIEVSEVENDPIYRAMAKPLAATSSIGGATITRQPLRPLAAAHNANIQEADKKKQGQ KPNKAFSVFSDSRKPGMRGSNLAAQNQGVRRLDTHKRAAESSAPASRPTKIYRSTDST SRARPLENAMTKESIEAMISQRIDEKLAERALQDAAVAAPALSAELQRRLDDLEQRVE ARDDDKSGPGLQFLLMGKQHLARGEEVSALRMFQLALPYFPGNSRLETKIAKLEESIR SRREVGSGLTQAALLHTSNRMAPLSTKQRKPVLGDETDDDEFAPANASDHDESYASDS SFKFKKPVRKTKPATKKLPIFRDQDVASASAHPEEQTPRTSRLLKIINTKDVAQIKAL KGVGAKKADAIVNCLVDMDDEEIHDLQMLAMLKGVGGKSVETMRLGLTVEF CC84DRAFT_1177446 MARRPARCYRYCKNKPYPKSRFNRGVPDPKIRIFDLGRKKANAD EFPLCIHLVSNEYEQLSSEALEAARICANKYLVKSAGKEGFHLRVRAHPYHVVRINKM LSCAGADRLQTGMRGAFGKPNGTVARVNIGQIILSVRTRDANRAIALEALRRSQYKFP GRQKIIVSKNWGFTSLRREDYVTKRQEGRIKIDGAYVQFLGNKGKLADNVRRFPDAFS A CC84DRAFT_1177447 MAPKKAKRNADTINSRLALVMKSGKVTLGYKSTLKSLRNGKAKL VIIAGNTPPLRKSELEYYAMLSKTSVHHFSGNNIELGTACGKLFRCSTMSIIDAGDSD ILSADTA CC84DRAFT_1095261 MSSANRGAGPSRSNKRARDDESSPAHPPSTPMDMASSPPLIPQD DDMLDEDNIYRDVDDLDEEAEEGAGIDLFDDRFDGELFANQNNQNYEGSDIDDEGDYE DLGMGARREIDRRLNRRDAEARRRIPDAFLPDDEEGGIGELIRGGRRQRHRYDEEQDD MDLDGDIMAEEMSLEALQDIKANTLTDWVAQPVVARSIAREFKAFLTEYVDDQGISVY GDRVKTLGEINAESLEVSFDHLTQSKATLAYWVANAPTELLKIFDQVAMEVVILHYPD YERIHSEIHVRMTEIPMALTLRQLRQTHLNNLVRVSGVVTRRSGVFPQLKYVKFDCAK CGTTLGPFHQDSNVEVKISFCSNCQSRGPFSVNSERTVYRNYQKLTLQESPGTVPAGR LPRHREVILLWDLIDSAKPGEEIEVTGIYRNNYDASLNNKNGFPVFATIIEANNVVKS HDQLAGFRLSETDEQQIRQLSKDPNIVEKIVNSIAPSIYGHTDIKTAVALSLFGGVSK EAAGHHAMRGDINVLLLGDPGTAKSQVLKYVEKTAHRAVFATGQGASAVGLTASVRRD PMTSEWTLEGGALVLADKGTCLIDEFDKMNDQDRTSIHEAMEQQTISISKAGIVTTLQ ARCAIVAAANPIGGRYNSTIPFSQNVELTEPILSRFDILCVVRDTVDPSEDERLAKFV VNSHARSTQHQNAAYATEDGNGNVESHESMEVDAQADQAPKGDIPQELLRKYILYARE KCRPKLYQIDQDKVARLFADLRKESMATGAYPITVRHLEAIIRISESFCKMRLSEYCS AEDIDRAIAVTVDSFVGSQKVSAKKSLARAFAKYTLNRPGAVRKPARAGRQAQRAAA CC84DRAFT_1059337 MAESQSSNISQTKPRTNSVSQASEDSQTAADFIKEQLNLEAEAR EALPYQFDTCTRDLGALRQGLYSCLDCNPPPADSSDPYQPAAVCYACSISCHGEHALV ELFNKRNFVCDCGTTRLPETTPCTLRINSETGQKGGVTGEEPAAGNKYNQNFRNRFCG CSEEYDPHQEKGTMFQCLGLGTVEDGGCGEDWWHPECVLGLSREEYLKSIEKPQAERK EGDAKTNGITKDVSVTRLEEDAGRDPDARRPSVITAIAAGLPTENGVDGNDIVATAEE EEADDDTPLPLGFPAENDFEYFICHKCVEAFPWIKKYAGSPSFLLPVYFDGALNQAKA QDTPAYANGTTNRDSKKRKADDHENIAPAGSMAPPKRQKSEDPATTLSSIPEDTPSTT LTLSPKKADPAATAPECKLSSLPPLPAQALKTPFSLFLKADFHDHLCHCATCFPLLKP HPQLLEEEDVYEPPISEDGNDAAGSVGTGSLLERGEAAFSNIDRARAIQGAMAFAHLK DGLKAFLKPYADEGKPVGAEDINVFFQKLRGDDAAIKEA CC84DRAFT_1197197 MASNLFSRILPTASDEPYDSEPLNLHSRHRSSSAGTRSTMDIDN ENLDARFEDLDLEHLLDEAAQERMTESAASIAQHDERAPPGINTASRAAAWRQPVSSR PLPPDDDDDVPQSLLLEGGVASPKTTRAEPTEGLPPPVPGPSTRHTRAQWETTRRQQR LHDDGRGGTAPRTWRTSARPGQYTSDPKERAMWLWVNQTDDLDNFLAEVYDYYTGSGI YAILLRKLLMLLQTAFVIGFLTFLGWCIDYSKLSGSHKLSEVMQPKCMHNIHGIWWLA LCSFIVYWLWSIVKLVGRFPRLQAMHDFYHHLLEIPDRDIQTVQWQHVVGRIMALRDA NLTTASNLTPEVRKLLDSRSRQRLDAVDIASRLMRRDNYLIALFNKEILDVSIPIPFL GNRFIFSETTRFHVNLAIMDFVFSGPNGSFNQDFLRERNRRNLVATLRTRLIYTGFLS VLCAPFAVTYFLASYLFARFTEYHKNPSMLGDRDFTPFAQWKFREFNELPHLFNRRRN MAYPAANLYLQQFPKVKMEMLYSFVAFVTGGFCSVLILITLFDSELFLNFEITPGRTA LFYMTLLTGVYLAARGSSPQEDQVADPAYYINTVIYHTRYEPASWHDRLHTDEVREEF ARLYQPKILLYMEEMLSILITPFILMFRLPKCSDRIVDFFREFSIVVDGLGVVCSYSM FPFKKGENNLPANRTGRQQDNELREDYFMANDNKMMASYHGFMETYATTTGRGHTARF HPPPQFPTHYNPASQSTSPVDPRGSSRGPAGRQPFNRRAGRHGPAPDRGDPMGSVLLD PIHQPSGSVLGRSPRQGPQGRHRSSFRPLAVPEESMVSESWQPQQDDETEDETPGAPR GGVLQLLHQFSRAQAEGRTGGAVV CC84DRAFT_837493 MATNYFAERATPASQDLLSPASPAPGPASRGSNALSARITSVLS ASYADLEIRDALETLDARGVQNTAETRRQLRLDVQREVIQCNGEVVKDFGQVAEQLKR IGTAISSLNKCCDEMRGHIAAANRETGPVLEEATSLMQQKKQAETKHQILNAFSSHFL IPEDEITVLTSTAEPVTEEFFQTLTRVKKIHHDCQVLLGTEDQRLGLEVLERSSKQIN AAYQKLYRWIQREFKTLDLENPQINASVRRSLRVLAERPTLFQNCLDSFAEARENILS DSFHAALTGHTSESQNLATKPIEFQAHDPLRYVGDMLAWAHSTTVSEREALEVLFISD GDEIKRSIQAGLESEPWLREEGEQEVFDGRKALNQLVSRDLTGVARLLRQRTEQVVQS HDDATLAYKIANLIGFYKSTFVKLLGADSEVLEVFDTLESSAMRQFRANMRDHVAAVQ GDLAVAPADLSPPDFLEEALQMLRTLAKSYDTSIATVDSNGDGFHSVLAEILDPFMSG CENLGKGLPAPDNNIFALNCLMAVKTVLTPYSFAKDRLSELEDTIGEHIVRLVEYQHQ YLTYTSGLSTLLEALSDVSDTAESLKTIPELDAFKPEALVGASQQLDEFLPSALIDAA ENLKRLQNRTIVQNITEEAAERFCEDFEIVESKVMAADDLLYKEEEDEAAPALRDLFP RTSGEIRVLLS CC84DRAFT_1165666 MDPRHKLYKQFNHLTVDPTSGMSARTDSEAAQTAANSGITPDVI KQKLQEGLGATHVEIEDMSGGCGQMFEAIIVSPQFAKKTTLARHRLVNGVLKEEIAAI HAWTPKCHTPEEWEKKKPA CC84DRAFT_1096221 MSLQLTFTSYPEALADNSWDNTGLLLEAPFVPTRRQSNNVLLTI DLTKAVADEAIELNSSIIIAYHPIIFRGLKSITLANSQQQTLLRLASHGISVYSPHTA VDAAPGGLGDWLADIVTGNKKTPGLEKSEAGSDAGNTQKKEDEDPFVEDKRPKRPTWA LAHHPSSMQTTFRLGSQSTPLSTIPHTRKPIIPQSPELETHPGAGMGRVVTFDTPQPL PALLDRIGRGLNNPKGFPIAIPQTAQMSELTIRSVGICAGSGASLLSHLDVDLLFTGE MSHHEALAAIEKGQVVINLFHSNSERGFLSDVLLPQLDDAVEEEWKNLRDDETGAEGL EEALADENFEIMLSEVDRDPYGIVVLDNDGQ CC84DRAFT_1188140 MSAQPPAPAGQQQQMQLLKVDDVPKLLSLNDDLKQKYKPIFQQL WSVIHTKTAGTQDHIAARTKLQEFSQRLIAQERTFRARAKQNAAQQNQAAGGEQSQSE ASSQQPKVEQNQPAPTQQQQQQPPQNQGAMQQPSNQTQGQGPQQGDQRPQVEPEIIKY VQNFTYWLPVNGPQPSTPEGEAKIKEMRNSFLLALNKQHKAKRQIATLDNMVQQRQKA GQEIPAEVANSKAHMQKEYNTAKEYVDNFREKQKQNKMEHDQRRAQQQQQQQQQQQQQ NQPNQGQPAPQFAQQQQQQQRQNSQPDIKAEPTIKVEPQLPQAPPAQQFGNMQGGPQQ TQQQQAPPQQGGHSQAPPSMPQQQPMRQPPAPLQQHGQAPNQHPQFAQPGQPQPHQQP PRPQVNPHQANAMQHAQNNSPHPQSATSNAGRPGPVPLSHQDAVSAAQRSYSDNAGMR TGTPMQGQGNFHTPGSREREQMNNPKMPIPRNLNTSQPSPVPMGPARPTISGPTNGAP GPMGQPVIARMPPFQLEGDNDRVLSKRKLDELVRQVTGGSEEALTPEVEEAVLQMADD FVDTVISNACKLAKLRESPQLDIRDLQLVLERNYNIRIPGYASDEVRTVRRLVPAPGW TQKMNAVQAAKVMGGKTDI CC84DRAFT_839922 MEKLKNKILNPKRRSHQPEDLRQDSALSRPGFHDWAVAFVVCNF NVDVGPEIEIIYPPDVSFSTADLSAICFNSFPEQQNTETVDDLTFNFTITNSSPDVSI SSPRAPYGSADTFYATCVFRQEFDHTMKRSFNQRTLVLVSAHNFLSFHTRLLHSMTES GLISDPATLEAAYSSMVTWPSPKIGRQELPFLGSVISLDIAPHFAFPLQGLPGPTPLI SDKPSSIYAYEPLGSWDIMMHFMPCITDLYVIYEKLILCESVVVVAKSPQLASEAVSS LVDLIRPVPYAGIIKPYMTMQANFQCIGIDGGTPRPFIIGITNPFLLKRIVAATEKSQ QLRPHILYLQSFDGPVPVKRHHSIHHKSSRNVLLDLPGGGIEARMPSKRFLKSDHAVV SHIETLVKGGDQTHELGPLIRRHFAEVTAQFIAPINRYLTTTNVVSPGGNQHYASFSV HDFIRNLGKHGTSIKLRGQGPIQRHRARDAFYESFCSSINFHSWLEMKIALETEASAG LLGAGSAAGS CC84DRAFT_1188142 MDPNITKALNDKLYDKRKSGALELEGLIRESLAAGDFDRIQRIV SQLCHEYAYAVHQPHARNGGLIGLAAASIALGPEVARYLEEIVPPVLACFTDQDARVR YYACESMYNIAKVAKGEILVYFNQVFDALCKLAADNELSVKNGAELLDRLIKDIVAES AASYISILHAPGDTAGEGASDEEKPAHELPTAFSLERFLPLLEERINVLSPFTRIFLV AWIMLLDSIPDLELVAYLPRFLGGLFKFLSDENQDVNTATQTTLDRFLSEIRKIARIK QGIAESKKSHVDEFNKSTSSFRSGADGDSDTGSSANTDRRGSSGDKGDDDNDSASVAI EDDKSASGDGDWVPGQDVQVDHHKILEILVKFLETPFGDKGTENHDILLTTLRWIDSF FEICPEEIMPFVPSLLTHVLPRMSHDIESIRQAAIKVNASLMDYIMSLSDDSRRLDSS TGGQIQLPTSLSALGKELTGAERRDSNLSARLLKTVIQDRTDVRSLDSKGTRTPTPAE ERGPSPQKIPELDYQAAVNALTLQFLNEHEATRVAAIAWLIMLHRMAPGKILSVEDGT FPALLKTLSDPSEAVVTRDLLLLSQISKNSDDSYFTSFMVNLLKLFCTDRRLLETRGN LIIRQLCVTLSAERIYRTMADCLEKDEDVEFASIMVQNLNNNLITAPELADLRKRLRN LDSRDGQSFFVSLFKSWCHNAVATFSLCLLAQAYEQAYHLLQIFADLEMTVNMLIQID KLVQLLESPVFTYLRMQLLEPERYPHLYKCLYGLLMLLPQSSAFAALKNRLNSVSAIG YLHMAPRGYVPTSNFNHLRQKSSETGSPSAPPSTLGNSGSFERPNRLKARDEGGVKWV ELLDKFKNTQERARKSARLASLGEDIGVGMSPVEKEKGPPEVPPKNVRLPGPVAPVGR PLPSPAGQAPGHKPRGSLGGLGRFAGGVAGRNKKK CC84DRAFT_1095677 MISPLCSQAVSAGFNADHVPGTAAALISSAEPPQHSLAMVLLTM TAGAVRALARAEQVDPDEYAKLQQPDDPPLVEAKPGNPISHGQLIDLSKLLKNHAARL SSDTETGDAKYKEPIPTTLDALLRNSTVYTAPPPPKKEPTPEYKALMARLRAEEEARI YNRMLNPDPLAKTETFSQRFPNAATPFSLSTPYDKVDEDDLSYEEVHRQIILIINVLV SIVACAVFIWVAARHWSVPKRLGLSMGGSGVVAIAEVVVYSGYVRSIAEAKKREKKKP EIKKIVQSWVIDGNENKEAGSASGLNDKVDDGIRYRKGKHR CC84DRAFT_839894 MTSRVSRLATSLAARSSQRCHCASSPANSITTLYHPSRRRNQSS WAAAVTAAQHVFNPPPPDPKAPISVDPFQTVAKELKFLTGNIRQLLGSGHPTLDTVAK YYTQSEGKYVRPMLVLLMSRATALTPKMSRESSPLSVNRPITSPSVLSDENPSAPDAE YPSVSSLSNDTAYLSEDSDILPSQRRLAEITELIHTASLLHDDVIDHSVSRRSAPSAN IEFGNKMAVLAGDFLLGRASVALARLRDPEVTELLATVIANLVEGEFMQLKNTASDER NPVWTENTLTYYLQKTYLKSASLISKSCRAAAILGGSSPEVVEASYQYGKNLGLAFQL VDDMLDYTISGEELGKPAGADLELGLATAPLLFAWKDNKSLGKLVGRKFSEPGDVQKA RNMVAESSGLEQTRALAQEYVDKAIQSIASFPDSDAKTGLIEMCTKVMKRRK CC84DRAFT_1165672 MADDRATSPKRRREDEDDGVGSPPRKRSASQTPPNGSPGFRLAE RPRRYSRDQGEDDREYYRDRRSPRSRSRSHTPSHSRSRSGSRTSSQHRSASPQMTPPP PKPTQLHYKQSLILRGHKKGVTSVKFSPDGRWIASSSADCTIKIWDARTGALEHTLEG HLAGVSTISWSPDSKVLASGSDDKSIRLWDIATGMAHPTPLIGHHNYVYAIAFSPKGN MLVSGSYDEAVFLWDVRAARVMRSLPAHSDPVGGVDFVRDGTLITSCSHDGLIRVWDT STGQCLRTLIHEDNAPVTSVIFSPNGKYVLAWTLDSSIRLWNYIEGKGKCVKTYQGHT NKKYSLAGAFGTYGAEPPYEYAFVASGSEEGGIVLWDVSTKNVLQRLEGGHSEAVLSV DTHPTEKLIASGSLDRTVRVWKETVPPPEAVLETVVDASTPATGYDPETLPPNPFTES CC84DRAFT_1122663 MHERPESPFMIEETSRPPSSLRILSLNCWGLKYIAKLRKERLTE IANQIAAADPPPDIVGLQECWTQRDYDVIREKTKHFLPYGKFYFSGIFGGGLVILSRW PIEESSMTQYPLNGRPAAFYRGDWFVGKGVAYARIRMGPTRRDIAEVFCTHLHAPYET EPHDSYICHRTAQAWEIAKLMRGAAERGHLVIGLGDFNMTPLSLAHRIIETHSRARDV WRVLYPNSSLGAAKDKVEQMRNVPMPNAEYNLTKNGATCDSALNTWRWNKAHSQRLAK GENVQIEATVDDPNAKRLDYIFFSSGSHHDATNGHPSAEWTLKEANVGMTARHPTLYC SLSDHFSVEATLVRNLEEPLSTTSNGPYALPETYLPIGIYDEILTTIAKYANRERIQR RLRLGHFGFQLSLTIGCLIGVWWVPHNYVAFILMLISSLSLSVGVIDGLMGGLFVSSE LRALKEFEWEVSNTKERALARSAKEGLSATS CC84DRAFT_1218767 MKPLNVLFLNAGLTSAHCTSLASSIPFTQTNASPGVTSTFIFDN QTTTPFEYIRAVSPIPSSPSSHIYDPNTNPHSPDLICGRNASLGWSHPKVASVTAGDE VGFFVDVGLTVPPSMYHPGFASAWLSRMEGGSQGELDDYQGERGWYKIHQTAGRTSQS VNFDDPTNKPYYDATKALWGTFRSTSWTFTIPASTPPGKYLVRWEHIFPNPQDAQFYV NCAHVEVINDRTGVEPGEDYKVKIPGVYTRGQKDVYFSSYDYGLEGSLDGFVPPKPGV WQG CC84DRAFT_1058365 MAFRQQQRPQSARQISYHEPPAEIGTTSSPQQKRALEESEEWVL FSPGAPSTAARTHTTSTERTPRTAGLSRLSDFGSLDTAARSHGDDDVTEEGEELDSLD DGLHAFHEPSEYAGPSSRLQQSGDTVLPTHDGLGTFQTDADMAEHMWQFERQTPRRRQ ARRRSSVQRRLDALEETEELTQEQDRRQRIEQWRLEQSRALLEEIERETRRRRRMSIV SAARSRTDSAHHAMKSTTSQVARSVSDGQSEASDESTENMSFWQRLTRRVIRDLMGID EDTLSVIFGESLPDEVATGPAEAPETRASIDATLRAMDAEGVPDEDWQHRLLERVARE LGILVHQLSEHPGAFSTYLTAQDMPEYAGLSAGQPSTTVATDSTPSDVTASGSTPMAT SANFAPTFPGQPSNVYSEASLWGIEEEPESVDPLNSFRGPRTAPALVNHAEEIAREQE YWERELDVKMVFNFLLKRFSSRRSSISSQPRKASSAPTVGEEAEDPQASARRAAIIRQ NHPLVSRSREPVATSSRSKKREGATYKTYYHQQPSLRNQVLKSNSSVTSQSTKKSKRS GGSGRNYWDLGGSVGSGSVI CC84DRAFT_1095641 MKGRKPAIAAAGTFFGLFTYSQVYQNAIFPRITPPSEQLEEKNW VNSSRSWLDRRVCNWFGLCGLAHLNQAHWTTAGGVNIPAPNNNNEHEKIDLKEFLESA RKLPEDWNHKDSEAQNAFDDKDREIPQYVLDHAPLIHLYSGEEYWPCDMGEHLFHTTP HLNYTPLQAVDDHPTLDNLYELNKWGRFVYLQSDDNVEDYPEWLGGKSNVPEVPDDPE PDGEPEQGGHYEEDKDGEKSSWFNVGIGDTMERGGIRSTGIVNTRVPAPTAVPTNTPE GEELVDVADEPWQSELRRTLQKRGKKVVGGKSDAPAVLIVVPKENGVVDAFWFFFYSY NLGNSVFNVRFGNHVGDWEHTTVRFQDGVPKAVFFSEHSFGEAYTWDAVEKSGKRPVG FSATGTHAMYATSGVHPYILPGGILHDVTDRGPLWDPTKNMHSYTYDYRRDELKSSNL TRDSPIGFFYFMGHWGDKFYPLSDSRQYRFLGQYHYVNGPLGPRFKNLGRKEICQGNG ECILKKWIGEKKSRPYVKRYPLVGHGEEMSEEDMHRFGVKEVA CC84DRAFT_1218770 MASGTFGSYPVLFGTGLACGLLSLGHTAKGLEQFKHPSTNQLPP QLRGASKIGWYEGSVWFLIAGVMNYKWSQTGLVDLADKTAASLLITLLFGAGASYWRV NDKPSAGILLAVGVLQAIGAKQAS CC84DRAFT_840069 MSTTKVMSLSSTSGTPTPPNPTPYTDSATSNENVAHPDFDAVGR ILGPIQEDLNERADFAMLPSPPINTPEAFSPAPSVFYRPHRSRGFSLLGDRLAMLKLH ECGSPRGDSDCASSNRSIVTSVEDEAENIADDESSTGRSVARSVPGYAHSINKNVAPL ISPTPGAIPIALGSARLNPRIEEYIMFSSKDLPVTRPAKGTTSSTQAIPANNGSHTRG GSFDTIKSEGAVSGVTEKSTWAEEIEENAERAFLRLEQLAYDECVRNPRRSMEEHYTE RLQRLLAKNDRKVKLKISYETSTVSSASASTISTQAPTEVPEDTADDATAEAVAKE CC84DRAFT_1188149 MNVPVAANVLGTIGAVCWSIQLIPQIVINYRRHNAVGLQPTMMM LWAWAGVPLGVYNIVKGFNIALRIQPQILTLLSLVTWIQCYYYEKKWSIWRSLALVVP VACIMGGIQAALIIALRIAQDRNAQWPLILMAVLSAALLAAGVLRHYLDIYTHRTVRG ISFLFVGIDAAGDLFSLVSVLFQQELDILGLVIYGTELILWIGVFACGGYYNLLPWVR SRGKRGKATNNAAGNGELPTVCSRTSGRQTGPPVEAIAMHDLPSSTSVFRTPSGELEV VRHRSRGSITGPSDP CC84DRAFT_1165678 MAPGPIDQPETEQVDPKPVPKLSKGVVLGPDGKPCRTCTDVSSL FAMTKSTTKKRPALPTDCPPDVAQLGRSSWTLLHSITAQYPEKPSPEKQAETSTFMKT FAKLYPCWHCAGDFQEWMANGNAPRVSSREEFGQWMCEAHNAVNVKLGKKEFDCRRWE ERWRTGWKDGRCDF CC84DRAFT_840263 MSNCRAFLGLHMPQDQDLTIFLTSEGRQDCSSVFSLPLTTDKAN PTTSTPSRISPVMPSPNLDPRLPFYQIYHNGPLGHPPFLLLRRTHQHHHRPRHHHPVQ PKSRLRHRPRTLLLLLILLAVTWFATSRICAHQAALPDAEAHRRSVAVASDHRRSRST TVGGLPVNGITGHRVFKVERNVSREECAGRESVSTLPRYEERYSVVALSERERQHGW CC84DRAFT_1095827 MSRATGGSGSSRKISFNVSEQYDIQDVVGEGAYGVVCSALHKPS GQKVAIKKITPFDHSMFCLRTLREMKLLRYFNHENIISILDIQKPRNYETFTEVYLIQ ELMETDMHRVIRTQELSDDHCQYFIYQTLRALKAMHSANVLHRDLKPSNLLLNANCDL KVCDFGLARSAASTEDNSGFMTEYVATRWYRAPEIMLTFKEYTKAIDVWSVGCILAEM LSGKPLFPGKDYHHQLTLILDVLGTPTMEDYYGIKSRRAREYIRSLPFKKKIPWKAMF PKTSDLALDLLERLLAFNPVKRITVEDALKHPYLEPYHDPDDEPTADPIPEEFFDFDK NKDNLTKEQLKLLIFQEIMR CC84DRAFT_1095219 MGKRAIADVIADEASVKRDALSRKKSKKDKSEKREKKSAAVESE ATTNGTSTPMEVDETVNGEEELSKEERKAAKKARREAKETKKAAKAQDDTAEGAEDDG SAAKAARKAARKAEKAAKKKSSKATEGESTAASSAIPSTVQSSATSPEPTSNTDGLSY EVNKDLAALPQSEIDSYLTTHAVTVEDPRSQNLRPIMKFDYLPVTDEGQRAPFKSFAA PTPIQAVTWPALLSGRDMVGVAETGSGKTLAFGVPCVRYITSLPKKQQKGVKAVIVSP TRELAVQIHDQLVQLATPAGLSVVCVYGGVPKDPQVRAIKTASIVVATPGRLNDLIGD GSADLSSAGYVVLDEADRMLDKGFEEAIRQIITQTPKKRQTLMFTATWPPSIRALAST FMQSPVKITIGDNVSGELRANVRIKQLVEVVDPRGKEQRLLQLLKQYQSGKNTEDRIL VFCLYKKEAVRIESFIRMKGFRVGGIHGDLSQDKRSASLQAFKDGSIPLLVATDVAAR GLDIPAVKLVINVTFPLTAEDYVHRIGRTGRAGKEGLAITLFTEHDKALSGALINVLK AANQPVPEELLKFGTTVKKKEHGAYGAFYKDVDMTKKATKISFD CC84DRAFT_1165684 MAVDNSLEALLSTLTTSIQSATEAIPKEDVLPPKEGISLLDVKN ELLLSYLQNLVFLIVLKLRARKEEQELGPQDEVVQKLVELRVYLEKGVRPLENRLKYQ IDRIIRAADDAGRKATQNSKAVKAKPSQEDADSDADTNASAADSDGSISESEKAEISG PRPGRFARDKASATSKAAASKDGIYRPPRIAPVSMPTTEGREERQARRPGKSATLDEF VATELSAAPIAQPSIGSTIVDGGRRSKSDRERKEETERREYEESNYVRLPSASKKELA KQRSMNRGGGFGGEEWRGLGAGLERIERLTQKKGGRSGTLEKSRKRPIEDGPRGSGSA IGDAFEKRRKVVSRYKK CC84DRAFT_1165685 MVQVDRSSSASPFWRTASNTSKSPDNKKIIGASYSHADILKFDT LSVSGTSSRPRTPPSATHSRESSIPATRSSNARSPPPRATYTSFLNQTHNDWNDGEED EGDILFEDDEDEFGLPSIASMRRKGRRKEPTKGKDPGGTSRNSLGSTAWRAIDSGDIA EERGIPNYPAAKKTEGKILRPQYQEILRDPANSLHLISHPSIHPTASAKETEEHSARI SRINKFKRILQASTISLSELRDSAWSGIPSEVRAMAWQVLLGYLPTSTERRVATLERK RKEYLEGVRQAFERGTTGSAGAVAAGVTGVSSLPSANRGRGRGLDEAIWHQISIDVPR TNPHLELYSYEATQRSLERILYVWAIRHPASGYVQGINDLVTPFWQVFLGAYISDPDI EFGMDPGQLPKQVLDAVEADSFWCLTKLLDGIQDNYISQQPGIQRQVADLRDLTTRID DKLAKHLQNEGVEFIQFSFRWMNCLLMREISVENTIRMWDTYLAEEDGFSSFHLYVCA AFLVKWSDELRKMDFQEIMMFLQSLPTRQWTNKDIELLLSEAFIWQSLFKGSGAHLKN TGSKGNGGVGMGPNF CC84DRAFT_840693 MADETFDEDIFDDLYDEPEEPAKPAPASAAPTAPAEPQPATKSE PAVEAASTAFNGQAIKDEYAPADGDARMAGSHASHFPGGADQGVKNEPAVDDNYGPIN VKEDG CC84DRAFT_840694 MTGNALLAVCTWMPCQSDYIACIHLATNRALDDPRPSDSIRHAR SWCSPESTWNVPNNPARSMKQSVAVDLSNAPQLLWLPMPCSPKRVDQSRLLRRDPSS CC84DRAFT_840709 METSSRCLETSATTIIPTTIGNNANIHRHHYHYLVSSSDGPMVP KRPKATTMRMRDTRPSNQCIGSFELY CC84DRAFT_1055331 KMFIGGLNWETTEQSLKDYFTQFGEVSECTVMRDSATGRSRGFG FLTFKDPKCVNTVMVKEHYLDGKIIDPKRAIPREEQERTSKIFVGGVSQEATEEDFKN FFKQFGRVVDATLMMDKDTGRPRGFGFVTFDGDAAVDACLQGPLQILGKPIEVKRAQP R CC84DRAFT_1148863 MSSSVFYKFKNQKEPERITFHGTGISVFELKREIITATGLGDGS DFDLHLYPEDDPTSEYNDDTTVIQRTNTVIVARRPAARGHGKAARYVSGKAPVRALPK PAAKSATPATGAAAVLDAEAAFLAESEQAWEQQKEALSQVKSTHHKKKPINVPNHDPP AGYVCYRCHQKGHWIQLCPTNDDPDFKPVARPKRTTGIPRSFLKTVEKPVDEEDARGV MLNAEGEYVQVMTDTKTWEKFQEKANAAKAHAAEAEAVNKEAQKRGFACPIDKRMFVD PVKTPCCSKTYCHECIENALADEDLVCPGCGTENVLIDELAADEEMVQKVKAYMAEKA KEKADKEQQANEEAQAAAKPSTPNNEDTNSVPPADETTKAGKPTSSDTPIASGNGSDS DTSGTSKKRKDPPTEIKPPTAPKAMRQQKEQQQRQAQDTTESLIQNFQADMEAMFKGN PNMPVSMPMPANPMMAMANAPNMNGMGMNGGMNGFPMNGNWNNSYNQGFPNNMGYGGN MNQGWNGYNNNMGFGNMPNQMNGMNSYGGGWNQHQGYNNNSNNMAGWQGQQDAYERKP LNPHRSQNKHRKARAPDFHVL CC84DRAFT_840773 MASDAAHLPPLVPKTSKGPNVPREDTARRKSASKSRNGCVTCKA RRVKCDETKPACNQCTRRRVTCGGYKKDIRFRPVERAPAPTAIPPPTPASTEEREAPV VESTIHFGEDRSGDDDPTRASGNPGTNFFWPWLEEDVSNISLPELDLSTLPWMDFSHL DFPAATDSNQTLDQIIEEANIDNKHVIEGSLGSTDNVNPDSFLHSPDSPSLEVPNFPF FQNIELEADDKDEVESLFHQETVTVLSIWEDRDRNPWRTMIWPMAQESKALYHAIAAM TFLMQSKYTPHAREKGLIHARKSTQQLAADLSSGEIQIDTALAATIALGFAEAWDFQK SSTGRMHIQGARILLQQSISQHQLSQPSDDAVSARLRFLGNTWIYMDVLARLTSDCGP SLDSDLLHFFTDAGPLAIREELDPLMGYAASLFPIIGRVADLIATIRTRNQRRNSPII ISKGIELRHVIQDWSPAIDLEEVEKPTSNMVEAIQTAEAYRWATLLLLQEAVPELPSL VAYKELGQKTLVYLATIPVSSATINVHTYPLMVAATEAVEEEDREFVRDRWKIMRKRM VNGIIDRCEDVMEEVWRRRDAHFSAWLARNSPDPSTFSPSNAGGIAGLMDGSNKTSPG TGREQAKSPTRRSTAHSDFPISIAFKKGVDPITRSGNVDYTVKGNLHWIEIMKERGWE VLLG CC84DRAFT_1165690 MRATVVLFLLAATASANITTSYFLPNVSYGTNRLRFVASVINAS SDLVTLSVDLDNDPDYSEFGIKQGNWTMGSTMFEYSTSALMYGPSISDGGYAYSLRCD IPASSAVCTVTRGPDLVRPSCRLANRTNIGIPSEERKYTQLYSFSDTDSVGVETIVRT FPGFSSRPNPEWCGTETDIRNISVPSSALVETYPQARSDFNAYQFIITAGEEKLPKAT TGGAASTGSLAPTGTGGGGTGSEGAAPMKTLAPALAGLGAAVAWFL CC84DRAFT_1248455 MSRWYRNAARCYAYLADEAFIRSQWSTRRWTLQELVAPDSVEIF ARDWTRLGNTTTLGTVISSVTGISMSYAVARWSTVLSKDGRVGNYHARRNAKKTIFTL Y CC84DRAFT_1165691 MKMVTVTKTLVAAIAVSAAQARPQAATTLATTATPSSAVSTPAI AAPSPDPEAQAALFRDLFTAPSAIKRFQRLLTMKGQELLSGDALRNMIVFDFNNATPA KGALGGATKAANIETFPILTGLGISTTLGFLDACGINTPHVHPRATEFLTVVEGEIDF GYILENGLVAAGKNAEVAGTLSKFQGTVFPQGAIHYQINNQCNPAKFVATLDNEDAGT LQMAQAFLGLNADVVNATLGFPKEINGQNIQEFRKYLPVNIAQSVDICLKKCGYTGAP TAAGYSAGTPSATPSSYYS CC84DRAFT_1056927 METTERAPVFTFANGPSSPPMTPGTRSHTHFLHKDDHPTSSYKR MAMVHNAQSPPLEFIKPIGQGPQFHFTRPQSRGASSRPSTASVACATTPQDSEDDDAS DEESICEDARQFRRAEEEDISFILEYLDSEPGYDSDIEVVRPDQFEDAKSDRSKSRLD DNGITAEINDMHLADDSSEDEELRQRMDWKKKKRWSARMYKTKRTYSMSVEGDSSYSD NDPCDDVDESARRLRRRVRGPGDRTSLIFEDGGFPNINNIAEVEEPEDGGIVVKKVQG PPSIPSDDAFTLDD CC84DRAFT_1122699 MASSPSMLTKFESKSSRAKGIAFHPKRPWILVSLHSSTIQLWDY RMGTLIDRFEEHDGPVRGIDFHKTQPLFVSGGDDYKIKVWSYQTRRCLFTLNGHLDYV RTVFFHHELPWILSSSDDQTIRIWNWQNRSLICTMTGHNHYTMCAQFHPKEDLIVSAS LDQSVRVWDISGLRKKHSAPTAMSFEDQMARANQNQADMFGNTDAVVKFVLEGHDRGV NWVAFHPTLPLIVSAGDDRLVKLWRMSETKAWEVDTCRGHFQNASACLFHPHQDLILS VGEDKTVRVWDLNRRTSVQSFKRENDRFWVIAAHPEINLFAAGHDNGVMVFKLERERP ASAVYQNNLFYITKEKHVRSYDFGKNIESPSMLSLKKLGSAWVPPRTLSYNPAERSIL VTSPADSGTYELISLPRDASGAVEPTDTKRGSGNSAVFVARNRFAVFNQQNQQIDIKD LGNSTTKTIKPPQGTTDIYFGGTGNLLLITPTSVVLYDIQAKKNLAELSVNGVKYVVW SSDGLHVALLSKHNVTIANKNLEQISTLHETIRIKSATWDDAGVLLYSTLNHVKYSLM NGDNGIVRTLEHTVYLVKVKGRNIYCLDRAAKPKVLQIDPTEYRFKLALVKRNYDEML NIITNSSLVGQSIISYLQKKGYPEIALQFVQDPQTRFELAIECGNLDVAVEMAKQLDR PKLWQRLSVEALAHGNHQVVEMTYQKLRNFDKLSFLYLSTGDQEKLKRMAKIAEHRGD MTARFQNALYLGDVQNRIEMFQEIDLYPLAYATAKAHGLEEQAQQILEASGLTEDQIN LPSIGAQLTPPKPIVPTYKANWPTHAASSTVFERALAGEVEGVGVEEPAANGYGDEDL LGDAEAPSAAVAELGGDEEEDVGGWDMGDDGEAEAEDDFVEVEGAETGAGSSEADLWA RNSPLAADHVAAGSFETAMQLLNRQIGAVNFAPLEWRFEEIYQATRTFLPATPNMPSI VNYVRRTVNETDSRKILPIIPRDLESILASELPAGKSAMLKNKLEDGVAVFKRLLQLL IVNVVSSQAQLSEARQAIHTAAQYVIAMSIELARRSLVQGATDISGLPEDTRKRALEL SAYFTIPELEGPHKSLPLSAAMNFANKNKQLNTALNFANALLDRTANAKLKEQAKRVK TTAERNPNDAIEIDFDQFADFEICAASFTPIYQGSPSAACPYDGAKYHAKYKGTICKI CEVCQIGAPASGLRLVG CC84DRAFT_1122701 MADSVVAIQDEIALLEKNLAELQDQLKDAKSRLQKAQSEEVAQS GQQEMSKEDRLALIKVNLKEVLNPEIMDAVLDKGESLKVYWGTATTGRPHCGYFVPVL KIAQFLRAGCHVKILLADIHGFLDNLKAPIELVKFRAEYYRYVITALLKAVNVPINKL QFVLGSSYELAPDYMMDLLRLASITSEHDSKRAGAEVVKQTENAPLSGLIYPLMQALD EQYLDVDVQFGGLDQRKIFALAKDVLPKIGYKERAHLMNPMVPGLQGGKMSASDPDSK IDVLDTPDAVRKKLKKAFAPPKQVEENGVLSFVEYVLLPAGELRHGTPKFVVERREGE PLVYTDIEKMQEDYRNDILTPQMLKPAVTEALIQLLAPVQEAFQADSKWKDIEQKAYP PPPPEKKKEKKKKDKGTGYPGAKKVEAKADGHVEGVDKATVDVGADGGAQAIEQLKLE DN CC84DRAFT_1165695 MSRSTTSIELEPYVASTPIALTQSPSLHTKSDDIPLAADERQST KSVASTTLQAPTKGTTAIVLVTVVCVTMISTLLAGLVTVGLPTMAEDLDIPASLLLWP ISIYALACGCTLLLLGSVADVVGSRPMYLTGCVLQSAFTLACGLAQTATQLIVFRALA GVAISFCLPSAVSIITSTFPEGKSRNLAFASMGGGQPIGFSVGLTLGGILSNTIGWRW GFHIAAIINSVVFVIGLFGLPKVDTAQGDVWKRLRTEIDWIGIMIGAASLAMLSYSFA MLSDSGSSIKQPATLSTLVISIALIPGFIYWVGRQERLGRPAIIPNSLWRNRIFTTVC IGVFMTWGVFNAIETLLTLFFQDVQEISPIQTSIRFLPEPISGALANIVMGLLVHRIR AYWAVIIAIAISAISALLMSIIQPEWSYWACAFPAVLLSPVGADALFTVSNLVITSVF PAKTQALAGGVFNTIAQIGKSVGLASSGLIAASVTENSKWADKQSPQALMEGYRAAFW FTFAGSVATIILFGWGLRSIGRVGMKRE CC84DRAFT_1218787 MVHIAPVIDTNAMPTSSAISARAPSLGLNYWTFQRNCNPSSGAQ NQAGYLTLGTDSCHYFPGVGEAQIRQASAFKITSASGMGAGCYIDLYPQTGCGGTRMG RIGPIGDMGAGSCIVPADGNDGWKHGNVLIYNWCTYDVYFVSVGAHYLGGYRNGSTTG WGTPEDAIYHTIPSGTQYTEPFRASAGCPYTGAPPYCPLEDKLAGQAVSIKIARSNDP ADQNITQLEYALYRNPNTHDTFKRLYYDVSLLDCGAPDIAVTDFNATEVMLARKVERC PGYAGGVAVTFSADEGGKVCPPVYCDGISRCFMVYTFDRTRRQESSFTCEKEYRGELR LDLCVKKADEGVQGMVENFSIWAQTATHEVVMLQMNKTTPPLVRSTPLSALQTVASVV LQMVTLGTRTSTVVLAARPANVRMVASSSTAA CC84DRAFT_1122707 MGPLLRAAHRARLAPASRAAFTTQGRRHLTNNGFFRVSEEVREA LHANKPVVALETTIYTHGFPYPENVALASLLESVVRVNGGVPATIGILDGIVHVGMDP EELIRLTESAGRNTTLKLSRRDLSYVCGQRLVGKNFNGGTTISATMLLAHLAGIKVFG TGGLGGVHRGAESSMDISADLTELGRTPVTVISSGCKSFLDIPRTLEYLETEGAFVGT FADGRKGSVDFPAFWSRDSGVKSPTTIENEIEAAAIIHAQHSLGISSGLLLANPIPVE SAIPKETMDAVIEEAIKQATASGIIGKDNTPFILAKIKELTKGASIPANRALIESNVR RATIVARELAILESKQDDAQGKSSSVFNTVLSTPATTTKSRPNGVVLPDSTPVAAKAP TETPAVDVVVAGALAVDFSCDYAPFANSSDPIEPAMHTSNPAVVNQTLGGVAHNIAKA AHLLGAAVQLRSAVGDDLTGRAALSQLEDEGMTTTGIRTLPKPARTAQYVAINNANKD LTLAMADMKILENIPESQLMDLLNMESNHTLPDVFVADANWDSTSLHKWLNLGRTSPH TTTIFEPVSVAKALRIFPPKSSQDPITYPLVDIITPNELELQALHNHAYTLGLFESPE WFTIIDALGIPSVGLRVPLAYTTTPALVDAGIPQQAIKLLPFFPTILTKLGPQGVLLT QLLKAGDPVLSSADEAQYVLARCNNGDQEVGGLYVRLYPTKVLEKEEVVSVNGCGDTF VGALAVGLSKKKRVQDCVGLSQRAAGLTLRSKESVSPELKSLR CC84DRAFT_1260621 MRSLPLFSSCLAALSGFACAELNLSQPLLSRQVLPSTFKPPPVF KNVNLVRNTNLDKAYPRETINVIIENIDSKPQSKYYLPFETSLISRVGGLEVKDKKDA DKGFFKVEVVGLDAQSSTEFYLIHLPTPLAPKQQQTLTISYSVLSALAPVPAKIGQSE KQYVQYTFSAYTPSAYVTEKQKTKLKFPSGDVPDYTTLPADLNAEKKADPQKQGSTFT YGPYNDVPAGAEQDVSVRYEFTKPLTHATLLERDIEVSHWGGNLATEERYWLTNQGAE LKNHFSRVEWQKTQYLNPPSSALKGLNLPLHPGAMDPYFTDDIGNVSTSRFRPGKKEN LLELKPRYPVFGGWKYSFRVGWNADLSTYLRKLSMGDTYVLKVPFLEGPRLAEGIQYS KVHLRVILPEGATNVKYSTTVPLVDSKTTVHHTFMDTLGRSALELHAINIMDEFRERD LIVTYDYSWTAGYRKPIVITLGMFAVFAATWVLGSIDTSIGKSKKA CC84DRAFT_1260622 MGSNVVLTILRVLVVVIALGVVGVGVWARFLIQDIQNRGFTILD VANLESQNEDAAWRAFMRTAIDAHLRILIAIAAGAFSSLATILITLSTTLPRLRLPTP FLLPIELLSSLSLGTAFAATLSLALKFPVTLSNSHSSSDLAAFAMLLPLTRGYAIGAG TGMFLSLTTTISFLIQTIHRIRDSKACSFEPTASGLGMGHEYQVPAMEGSGAQGRDEE KGLVGAGAEMGRRESVQSGSGTETGTGKREEVSWPLAVAKKLENANIRPHRPWSEMPK K CC84DRAFT_840929 MRFGQQLKQSLNRDWVFNYIDYEGLKATLRAPRAWDHKTEQSFI EQLEGELDKVYTFQKVKAEEIIRRIAASEKEVTDVVGKVDSNTLAPGEESPSEEDFEL LEEDLSDIIADVHDLAKFTQLNYTGFQKIIKKHDKVTGLALKPVFATRLKQKPFYQDN YDAYVVKLSKLYDTVRTRGNPVKGDSSAGGKQQNFVRQTTKYWVHPDNVLELKLIILK HLPVLVFNASKAFLEDDTAISSIYFDNTDTWELYEGRLKKTEGAEAIRIRWYGDMSTE TAFIERKTHREDWTGEESVKARFKLKEKNVNAYLRGELTPEQIFEKERREQKRPEKDI ASDEQLAREIQYRVLTRKLGPVTRSFYHRTAFQLPGDARVRISLDTELAMTREDNLDG RQRAGDNWRRMDIGVDWPFKQLPKEDVELFPYAVLEVKLQTQAGQEPPQWIRELTSSH LVEAVPKFSKYIHGTSTLQPKRINLLPYWTPQMHVDIRKPVSHKFGIERPGASNDISS SGNLDDDSDDEDAGLPPARNGSQDDEDLKRLRDARDIQEQNELDRRRDYGTIDNGDAP NALDVEERISAQRLYDSDHPIYDSDSDDEDELEVARQKGGWQYHFLVARHKAKALSST VLNGLVAVLPRPRATDVGEGGFQLGVPSWKQPGEVKHFKAPKGKRIHVPVRVEPKVQL ATERTFLSWLEFSILLGSIAATLLNFGDSLALAAAWAFTIIAVCALLYSVGLYLWRVN MIKKRRAVTYHDKVGPTLLCLGLFAAVAVSFGFRLGVGGWNGGLKG CC84DRAFT_1165701 MPVPSLFHLAKTRLVQNIHMLEDIGDLPYAFLAPVLRQIQIPDQ LVQLETNCPQIQGETGEIWLRLIKRDIPGWEKKPHQPRDPKNWSKVYKKLKKEAAAEE EAQQEALRQKMQALQKDRNGHQTTIINANLNLPSARRSGWSFGGGARSGWGDPAAPKK TGKVAFDKLKRGIYDAKRARPAASRTPAHILNENRRMVTQVPARMVRMAEAEALSQGP RRMLVPKPEAPPAAATGTSTTRRPIIRQQPMPRVSDAAPERAERPRLPAGQQFSAPRP VPKPQPAAPSLKRRAGPNILVESKRRKG CC84DRAFT_840975 MAPKLWPLLPQSEEDALHNVSRLFNVESRPYARVAHRIITSREQ GKPTDFILSDARPRQLPTPPPDASAADEEAAGRDALRKEESDKIEAWRKELINELELL DFATLRFELTTGINNEERERYAKEKLAITDKQDHVRKNIEKLRVDLEQAKETLAVRKT YDELTKKITDNKMLKPRDEQAIAHEKLDQEIAELEQEVQNAKATWGERRTQFNRIETE AMNLMQMIKDEKEEAERKEGMRDDEGDDKDASTRADVSHAGTPRPDGGLTPVHPSQTA ESSNTLKVPPQDRLSALSVAASPAPSGAGEDTEMGESGDNAGRNPADDSEIEEGEDVE EGEHEGSERGEASGREMDES CC84DRAFT_1165702 MPPPSKLAVATSSLVRLVKEEASYHKEMEQQQARIKKLEAGSDD QNAEYMLRQEKQGLEETKTVIPTVRAKISDALEKLEDQLKEAGGDAPAGEVTKANDAI EEAKATLAESA CC84DRAFT_1206843 MSSPAPHRSQRPSATPRRSTRNSQIPSSPAPEGPDAQLHSEASQ ASQRGFNATPRANRLQAPSTQSPLFYRSSPGTQSTSGAANNAPESDGGATPKASGVTI GDSSPIRYASSSSPGRARHAHNTDIRSSSSALFVRDPGSTAARNRRSDIHSDILGPSS TGRRRRLFVDENGMAVENSDAPTFSNLNPDTSDAEVLGGNSSRFIWGTNISIADALSA MKDFLFNFQRKYRLIQDGELAEGASLSADDPAMAREYIEMMKAMLEMGVTPLNLDARN LKAYPPTRKLWHQLQAFPSEIIPIMDTAIKDAMIELAERRMAELRVQLSQAQRGPERG HNSSSLPPMFSSDAPTPGAPSPGGAALDIPDLVREVDQKTYRVRPFGLDKSVNLRDLN PGDMDKLVSVKGLVIRATPIIPDMKDAFFKCSVCNHTVRVDIDRGKITEPTRCPRPVC ESSNSMQIVHNRSGFADKQVIKLQETPDSVPDGQTPHSVSLCVYDELVDVCKAGDRVE ITGVFKSDQVRINPRQRSVKNIFKTYVDVLHIQKVDKRRMGIDVSTIEEELAEHVAGS AEETRKVSEEEEAKIKATAARPDIYELLSRSLAPSIYEMDDVKKGILLQLFGGTNKSF DKGGSPKYRGDINVLLCGDPSTAKSQILQYVHRIAPRGVYTSGKGSSAVGLTAYITRD PETRQLVLESGALVLSDGGVCCIDEFDKMSDSTRSVLHEVMEQQTVSIAKAGIITTLN ARTSILASANPIGSKYNVNLPVPQNIDLPPTLLSRFDLVYLVLDRIDEMADRRLAKHL VGMYLEDTPEHANRNEVLPIEFLTSYISYARTNIHPKITDGAQKALVDAYVAMRALGA DVRSQERRITATTRQLESMIRLAEAHAKMRLSEIVTADDVHEAVRLIKSALKQAATDA RTGLIDMSLLTEGTSTSDRRRKEDLKRAVLGAIDELGSAGQNVRLSDLAKKVRDGATE QIENQEFLEVLKAAELEGSVQLSGEGPRRMVKRVVGAF CC84DRAFT_1122722 MGKINKIEYFRVPPRWLFVKITDEVGNFGWGEASLEGHTQAVEG CLDAWIERYIGFEADDIEHIWQMSWRTSFYRGGPVFMSALAGLDIALWDLKARKLNVP IYQLLGGKVRSKLKVYAWIGGDRPSDVETQALARKAQGFLAVKMNATEDIGWLDSPST LISSVERLKTVKALGMDAGMDFHGRIHKPMAKQLAAALEPHQPLFIEEPLLSEHIGGI KALAYHTSIPIALGERLHSRWDVRPFLEANCVDILQPDISHVGGISELKRIAAMCEAY DVALAPHCPLGPIALAACIQVDASVANFAIQEMSLGIHYNAGSQDLTSYITNPEVWKV DEGYVELMAGPGLGIEIDEEWVRRESKDSKAWVSPGFIGPGGEVREW CC84DRAFT_1218796 MDKQSVIPAEQRLDSFNIYRTHYKKIQDHEIELGILIPKDLSPG LHPVFVRFHGGGCKVSGTWAYPNWFANWLIPLVHNSNAIVVSPNYRLLPEHNGDDIQE DLADFWTWFKDGGVTKYLSSRAGPYAAIQLDYTRILAGGDSAGGYLAIQSGLTQPRGT LCAIIGCYPMTNYLRRKQEAIFMEEPSPPESIIDSAKEHLKTVETGTIISGAPPRPRN RLSYALSAYGRYTHFFGTGSHLWPISLLDSGAATYIPPTIIIHGDEDKAVSIDDSRLF VKRAAEVVREGEVRLVEIEGVDHGFDMEASEKQEWVRGVVKWVEERWVGRGVI CC84DRAFT_841056 MATPSQTITTVSGRRCTRSRARTATSTLTSTTTSIIESTSTSTQ NVQSIQSSSIAEAPSPPPPASSTSTDTTGQATSTEAVSTAIAVSTTRSILVDVPAASS TLSDFPVPVASSSAPVQSVLPEDPSPDTQPVLSQPTDTGIPTGGSAGVIAPDTGTTDG GGSLTLPLNGSTNVAPIAGGVVGGFVGLALVSALLFLCLRRRNDGTFEKWQQRLSEKR EREEGGLSAKIRAIPEKIRGIPAGLRVFVARLKGDKSGPASNPYRKHTRNASSVYSVG TARRSQSISEPPSKFRQQLRGFGGFGRMPTLKRSRTFLQKKQDSLVVGQNSPFPNIVD DPVLRNSNAGVNPFADPPDPPKSLQLLNPDPASPQPQQSGPLAPKPAAKSERNPRDPF ASILDEIDAQNGSGTPEWLRETAQQHKRTTSSQTALHSNPVTYHASSIYTDRQSNPFF DPSDPPPLPPTRPLPPTPSKPANTYAPLPQFNATSSTVSRMSQDSFFFGEPGPSRPAT NVFGRGVRQSDPFDLDRPEVLSFGKVGGRMVRGSVTRQNSRKRSSTVPNWINVNDGPY ESGSTALRNPSIKRKP CC84DRAFT_1165706 MFQFSGGLLAGQHDNEKERSPPARPIPVTFPSLRPPEPSLSAPP RTWIGDSGPGVAAHFLGLKKPADVNVDTLAILNVSFQPECDFETLLESISNDAESYLP PRSWFVAPDQNTAKPSEPATKLLCNGRKVPDHSEFYVRAKEITYSNQDAFANLTRKPM GEKVPLRLAHFRKFWEGLDNMAYYWDNSLDEYLPSKVTENNDNTGDLNVSDEMGNANN SSLPLSVSPVEEEPRKKVKTEPAMNATATMPIGPAASSNTTLPNGSPMSASRAIPANA APPKAPWEVNAVAISERPADLSKGSYKGYRIGNGAEMPDPYRLETVRAFMEPITWAFG VTLVPHRRPPVLLLEHVRFPVRVSTVAWRGPQDRIRARQGWMEGPVLGVQCRAETGFG SSGDLQAESILDTVRELGGLLLLAQERAREGKTEKRSGEGKWWTMRHRWGGGPGGEVG EGKGASDAPAPDQVPKADQSMAGRSRDGSKIRRKPTPAEIWKTLKPGNPLWDPKVVYE AVGKDRKSAWDDVFLVSSLNHHISVLKLRVHPDYLRFLADGTLPQNQADLAWSSPKLQ RTRWFDLFNIDDRTEAMRGIWGIMNYLMRAQDEQDVPMADT CC84DRAFT_1206848 MVGHLFATILIFLPLVYATEKLDKTVNQDLVSRLKLAATVYDRH QILAKDEDWIYDFNSAQPTDSYKPGSVKNANAATFPAMVGHGMTVAQLNLGPCAMLPV HYHPRATNMVIAITGNTTTWMVNENGVRTVSTTLTPGKMTIFPLGSIHCMQNNGCDDA YLISALNSEDTGTMNIAGGLWSIRDDMIRAAFGNPAMDVQTTGKNIPEVGTGSTYGSD ECMKRCGMSKGKT CC84DRAFT_1165709 MTSAPQIQPKFLPNRHDLGVVAVGFSGGQPKAGVDAAPQALIQH GLIQQLEDELEFKVSFDGEVHDYSKFMPAEDPDYRGMKKPKFVSATTQAVSEQVYNHA KSGKLVLTLGGDHSIAIGTVSGTARAIRERLDKEIAVIWVDAHADINTPETSDSGNIH GMPVAFLTGLAKEEREDTFGWLKEHHRLSTKKIVYIGLRDVDRGEKKILKEHGIKAFS MHDIDRHGIGKVMDMALGWIGSDTPIHLSFDVDALDPMWAPSTGTPVRGGLTLREGDF IAECVAETGSLVALDLVEVNPSLDEQGASDTVRAGCSIVRCALGDTLL CC84DRAFT_1096047 MALLQRFLRLIAFQTERERVVILGSGWAGYTVARGLDPKKYQAV VVSPRSYFAFTPLLASTSVGTLEFRTAMEPIRTRRTHVDFFQGWADQVDFKNKKITIE EAVEERAQSTAGVEERDAGESKEHRHERREVEVQKGKLFDLTYDKLIVTVGCYSQTFG TPGVKEHAMFLKDVGDARKIRNRILACFETAALPTTTDEQRKQLLNFAIVGGGPTGIE FSAELHDIITEDLARIYPELVKFSKITVYDVAKKVLPMFDEKLAQYAMDTFAREGIDI KTDHHVEELRPGAPDENVSSKDDHLVYTLKVKEGGALGVGMVVWSTGLMQNPFVANAL SHVHEIPNDFRRLEGPSNDPGNLHWCVKIDEKTGSVVTDTRLRLKLVADTKEDTKPEA ILDDVFVLGDCAIMEGTQYPATAQVAAQKASWLAKRLNKGDIEKTSGFAWRNMGVMAY IGNWNALLQGGGNTGGISGRLAWIVWRGAYLTKSVSWRNKILIPVYWAINWLFGRDVS RF CC84DRAFT_841506 MHLLSRQPHRIIRIQASGYSMFIGDRNAVTMYAALLGISVLLGQ LAAALQLHQPRATSVNQLFPAEGWTPKPTSAPKSPLELLRRQDDPGLCGYLEGDPEYP LYCDPGWNCMYDDEYAWFGCCTGTAITDCDVYTACVNSKSIDECLSSSECYDNALVTG CVEDYAPYCATLYSVISGSTYGHYGCANRKTSYEVMFTVSGEDESSFELETETDIASF TGLSLSRSGAASTSEESGSMSTETGSSSTEMVQTTGSETNLKTTISETSAGTTGVAPT FLQSGVPGTTASSGRAEMTAGMDIGIAGLVGVLGLLL CC84DRAFT_841092 MPSATSVIGEILNHMSPTTVKVETATKTIAAMAPEAPAASMHAS VATDFSASFTGDVTANYEEAGWANITILDDAGFWYNQGCFVSESSNKSAMGDILHVSS KLVRWSDKMDVRLCRQHCAYYAPDEGHEYFGIANGQECYCGNFISNHARRVDQSNCHL WCPPREDRGDDKFLCGGKGSMIVYGRRKWNMALQTSEDSEPGYRPPRPHIQSSPARRN EWRDHVGLSHLLYWMSPGSIIAFFIQWWWSCGHPFCWVWSASLKGFLGSWVIAGIIGM LSMVFYWGCVSATRPPDLILQRAAFAERAHRIGAPIPGPDFIH CC84DRAFT_1095194 MITLLLFPLFTSLASAIWPIPTSYTHGETVLWISKDTSFDWAGA GDVGTLKVQPNYDLDFRTNSNAYATTQYEGDRTRLKRYSEAPTGDEMIDQAIYSAKQT LFKKNFVPWKFHPRNWTEPSTENRTYISNVRVEVLQDDPGDIAKPLAGTVDESYALEL SEGGNVSITANSSLGIVRGLVTFTQLFYKHSDGGAYTPLAPVIIFDIPVFQHRGINLD VSRNYFSVKDIERTIDAVAYNKMNRFHLHITDAQSWPLEIPALPELSAKGAYRPDLVY TTDDFANLQYYASIQGVELITEIDMPGHTSSIALSHPELIAAYNIQPDWDTYCAEPPC GTLKLNSTKVEDFLKKLFDDLLPRILPFSSYFHTGGDEVNKNSYLKDDTVNSNDSTIL QPFMQKFIDRNHDQIRAAGLTPVVWEEMLLDWNLTLGSDVIVQSWQSDEAVAQIVAKG HKALVGNYKYWYLDCGKGQWLNFDPSVSAQYYPYEDYCAPFHNWRLAYSYDPLGGVPA DAQHLVIGGEAHMWAEQTDPTNVDRMIWPRGSAVAEILWSGAKDSMGRNRSQIDAAPR LSEMRERLVARGIGAEPIQMPYCTMEGTVCQLGYQP CC84DRAFT_841096 MFEYHGTTITTPQLLGGLLILFIGYRVVARFSYDAKLRKLGARA PIRRTWLPLELDLAYKIVKAAIDDKMYEFWLNTFQTYSRNGCYTIETGIGERVVMTAD PENIKAILATQFKEYGKGEQFHADWDDFLGDGIFNTDGQLWHNSRQLIRPQFIKDRLS DIEIFEEHVQKLMVKLGQGEVDMMDMFFRFTLDAATHFLLGSDIGSIDQPQTAFADAF TRVQHIQSLIARVGPLNKFIPRKRMGFYSSLNVLDNFVNMYIEKALALSPSELEEKSK HDSGYTFLHAIAGYTRDRKVLRDQLVSILLAGRDTTACTLTWVIYELSRQPHIIAKLR QEIIDTVGLDRQPSYQDLKDMKYLGHIINEALRLYPVVPYNVRFSLQDTTLPVGGGPD GTQPIAVPKNTPIGYSTLIMQRRPDLYPPPETGFPAVDKFVPERWDSWTPKSWTYVPF NGGPRICIGQQFALTEMGYTIVRLFQRFETVENLMGDEVAGMHADIVLQPSNKIKVLF K CC84DRAFT_1177498 MPFLQIGYKKIHYTDLKPESSDARETFVFIHGLGSSQDYYHAVA SGLQAHNFRCITFDTTGAGRSPYTQIEQSIQSLSDDVIGILDALGVSKAIVVGHSMGG IVGAHLAAERNDRVVAAVLIGPVYPNPAVVPVFEKRIQTVEKEGMEPMANTIPNAAVG KQASPLAKGFIRELLLGQDPAGYCSNCRVIINAKPPAYEKIAIPILILAGEEDKSAPL EGCQKMFNEIGTGEKKLEVMKGIGHWHALEAFEQVGQHILSFYHDIQ CC84DRAFT_841413 MDRTDSAFHETFRVVPSDTAPNTTRKSKTASGDQEYRHKFGSFH LKNQNSTTHPAPERPNATPRRSTEPRRKSITTTSSNSTKSRRRGHGSAPSSRRTSCTV IDPSRPTRHYRINSSQTCPTLNRDIDDVLALHFRSCSLFQNSTYQPSLPSPTMSAYGP SVTAEIGATPGPQTQASTRESGSPVQDEKTGPTTDIGEDTIMHWMSPSTRKIQYAKID RANTGIRGLLRRFIPRCVSGPGSQRFYEEEKSDAGSVRRYRMDLSGEEEEEEEEEEDL KSTSGLKMQRRKLARSTTQRGETGKKVFGCF CC84DRAFT_1197236 MSESFTAKQVAEHKDVDKGLYIIIDGGVYALESFVDEHPGGAKI LKRVGGKDASKQFWKYHNESVLKKYGEKLKVGTLKEEAKL CC84DRAFT_1218806 MLIPPLARAACRPSLHHLRPQHAYLSARVFPSSALHRKHVHGQR TASPQGAPAPGSKAAVHKTKAAQPAQPTTLLAEQTVTNKEQRKADWAIIKEMSHYLWP KDNMGTRFRVGLSVALLVGAKVLNVQVPFYFKSIVDSMNIDFAALGGTAWTVAGSMIV AYGATRIGATMFQEVRNAVFASVAQKAIRSVACKVYEHLLRLDQSFHLSRQTGGLTRA IDRGTKGISFLLTSMVFHVLPTALEISLVCGILTYNYGAKFAFITGTTMVAYTAFTIL TTAWRTKFRKQANAADNKAATVAVDSLINYEAVKYFNNEKYEVAKYNNALLGYEKASI KVATSLALLNSGQNLIFSSALTAMMYLAADGVANGSLTVGDLVMVNQLVFQLSVPLNF LGSVYRELRQSLLDMETLFNLQKVELKVTDKPNAKPLLLSSGELKFENVTFGYRPDRP ILKNLNLTIPAGKKVAIVGPSGCGKSTILRLLFRYYDVQEGRILIDGQDIRDVSLESL RKAIGVVPQDTPLFNDTIGHNIKYGNLEATDAQVMEAARRAHVHDTIANFPDGYNTMV GERGMMISGGEKQRLAVSRLILKDPPLLFFDEATSALDTHTEQALLSHINSILKEKKR TSVFVAHRLRTIYDSDLIICLKEGSVAESGTHEQLVDRAGLYSELWSAQETLFTDDKE VAEEEVKEPTQLK CC84DRAFT_1260638 MPRPAATPWATLVRGTAQRRARPTPAARHINITAASSSTEPAPD HPGSVSPAAAPSLSPDARFEVVGSPFSLLSVSLAASQQLYTRRGTLVGFSGNPENALS TLSFLEPFRRAPVGIPFLYQRVSSTSPVTALLSTKSPISSIVTVHLDGRQDWIISQRQ ALLAWTGQTLSLKPQFNAKLGLAHWGNTYITGRGLLALAGSGQIYQVQLKAGESYVAH PSNVVAYTASATPPLPFRFKSSSLRFQVPDLGFGSLAQNVRFFREMSKTAAWRATASV YHTLKTWLRRSIWGDRLFLKFEGPTTMLISSRASRISDALTLRDVNEIADSPPGAVQD AVSKKIEQEIKDIADSKPAPIAHSDNTVVRYATMRAGKAEFDKPTEKST CC84DRAFT_1218808 MCYALLTTCHRCTWLLHVTYPRCSHAKAYALDPSACPHRMRRRY KALGLCSACKHDNAHTTTTRKYGRKQSLSVTPCEVRIAFRDTEVGAVEERDRVGGMGQ EMEARRESLERKHSAKGQDKGRRKIRARKGVVQSRLGSVGGEDSDGDAYVDFTSPSAS VSSASSGASSRGYEALLPRKHKAGGRPGPRALPKARGQPRRRKRSPGVLERLQMDGDG GVKKKGRPPKVKMVWPDRIDAGEFEQVERERKANEQKRRAGERPIAKARKVEKQPMFG AEMKSHERPIATNRKPSRDQRQTYVSKAPELVDTSMPSYTGDTDEVDDLARIIALEAA KREKKWR CC84DRAFT_852697 MVFYSYRCMLGLVSQLTTLFFISQLHSPLRPTRKHWLLLHTIRS SSLHHHLAKAPSSSTMSPREVEHSTPLLKLLQNYNRTRSTRIPGNLPRPAQIPRLCLP PLNPPPFNVSQHLLQGPQLSSSTTHASSKLQTRLHSAPASKPTPRKRTPVQIALERAA IQGYHLGTPDKRIAVLEREVRCLREAMKRPKQSVGVWGKKREKASVGKRGHVRAWRDR CC84DRAFT_855283 MSATTARKPAPPGTPGRDQASKVSSSPASAARRGSTPSANGTTN GVTRTRSVRSGTNGSPVSARAAVRKPGGPSNLSMSASQADGNDEDAREEQAVFMADLK ERLQKAETDAEERQKQVDVLNARLDDAIKEQAKLEERAHEEEEKVESLEAEKQKLARH QRELEGIYEAERVQAMKDKEEAQNRVEELQETIQRLKETMAKKNVEGSDGEEGRLSRA SSFRQNPSRNSSSQNIDTASSFAPPSTVQRSDSRNHSKLIHQKDQIIEGLRLELAEFQ IKMMEMDNAGGGRLRELEKQMVDIRMANARLIEDNESFQLLLGEKTLNGTLSRGDMLR PSSAHSDRAPSRNGPLNSLADELGGLEGDEGAEGEVVRKLEHEINGLKDQNKALTLYI NKIIGRLLQHQGFESVLGGDEDENAGGAALNTNKELPPPPPPKESEEGGFLQRAKSVM GGSRNKPRPMSYTAQRSNLNEDPETAPRIPLQRASTSRTVSSENYHRHSTSEMPPPGS IVTNMFRAPPPAATPGQTSPGLVSPRNSFFGIPISTANSNPSSRVPSTGAPPKDDERD DVKSVSETSHVDTPSPPRREMTSTMTGKQMRPLRLVQNSEEEQRARKAANRASWFGGF SMFSNGEQKASEAS CC84DRAFT_1165719 MSRDNNAFIASGSDLMRRAMQNRRLIPDVPHLKPMLDQLPAGSI VCEVGCGPGGITLDIAQLYPHITVLGMDVDEESIKLAQEAKDKAGTTNVRYAHGDACD LEKLVTVEGFEALNGGCDVVYSHAAIMHTGDQPAAISQMRKAAKPNGTISLKEGDMGL FFAYPENPGMKRWLETFPTLSLGKGADPYIGRKLVSLVLGAGFTHSQLKDVSMHGQMQ WRPQVKSGLVKGFGQIVRQSGLGEDDKQLIVEGLEEWSKYEDGVVSFPGVIVTAVKEV CC84DRAFT_1188180 MTNFNIDIVSDTVCPWCYVGKKRLEKGIAAYKAAHPNSDDTFST NWFPFYLNPDSPKTGIDKTAYYRQKFGDQRTTGIFQMLAQLGKDEGINFKFGGKTGNT RDSHRLIQLGKTKGPQMQTRVIEELFAAYFENEKDITSHETLLEAAERAGLDKSEAKE WLDSDKGGPEVDKEVQDAYAQNIHGVPNFTINGKFEVGGAQEPAAFVQLFERLKRQDG GAVAMNSGSKC CC84DRAFT_1218812 MKVSVALLGFAVAATALSTPRNPSKKKPNAVNPKDFVYVDGLRL KDAKGLHYITGLNYWACLNLAADASQGGNYSRLVTELDQMAAHGVNHLRIMASSEGAP TPQPFRMSPALMDAPGKYNEKVFRGLDICLDELSKRGIRATMTLNDEWQWSGGFAQYV SWATENTEIPYPPSWNMTKAPQRSNPRTGWGDYSATADGAHSYNEFITFANQIYTNAQ AEQWYKDHIKTVITRRNTVNGRKYNEDATIMTWQLANEPQSLSSYSPSDPLFPWVNRI SGYIRHLAPKQLISVGLESKQGEQIFKAVHQAPDVDYATTHCWVQNWGVYDMYNSSEA NLKAAQDFATAFVANTSRWAREVGKPVFLEEFGMVRDNWENADKEYPYLSSASTTHKD AYFNTIIGAVVADFKKGGAYVGTCPWAYGGIWRPETQVMNEFGMVWAGDPPHESPGWY DLYDTDEAMNIVASQQQNVAAWIKKNQTNGY CC84DRAFT_850696 MAYNFLRTKLSLLPHIFQPTRDAIFSFLPFRYRWRLLALQPLNL LSLLITSPSWLFDNCSSVLYVPTRSGPKRCLVYQPPSTGQEQERQGRKPRPLHIDIHG GAWIGGFPEHTARWCASLAVRTGAVVISISYRFAPLHTYPAAHDDVDDVVRYLLNHAA AVGADASLLTLSGSSAGGNLALGVAQMLHSQAASMSAAGERGEVARGYVGFYPVLDLR LRPEEKHKPPKFPNTDPLAWMTPLYDSYAEPTRKRDGDDARINVVVSEKETLPANMFF VVAGIDILACEQLAFVERVRGELDKEGGSEERCVEARVWEEGFHGWLELPKWILEKER MEAYGSAVEFIQRVHRKHSFTFST CC84DRAFT_853849 MLEFRTQGFNGYSVKYSPFFDSRIAVASAANFGLVGNGRLYVLG LTANGIVAEKWFDTQDSLFDTTWSEAHENQLLTAGGDGSVKLFDITLDQFPVQSWQEH AREVFAVHWNLVAKDTFLSSSWDGTIKIWNPNAPASIATLPTHTCTYSAAFSPHSPSI LSCVGSDSHLRVFDLRTPASASNHLTMNIPIHTPPKPRMGAAAPPGIPPAEALTHDWN KYRDTIVATAGVDRLVRTFDIRAPQAGPIAVLPGHEYAVRRVSWSPHLSDTLMSASYD MTCRVWSDGSAVVGGMNQENMLGDPMMHGGGRELGRMGRHTEFATGVDWCLFGAEGWC ASTGWDERVLVWDARALMQ CC84DRAFT_848082 MDAFVSRKRRRVDTVHPVVQVELAADRPEEESTDFKLAMLASLH PSIEEGTLLEALLASEGSVEHASEALSANPTPKKRPASSIGYQSSLSSYRVSLNRTGA TKKPLVKKGKTLYLYAPEDIEAHTPCSIIHNFLPPEQADALTRELVAESSSYNNIEFK LFDRVVQSPHTFCFYVNSLAEAEEQKTEYTYDGRKVDDVRQSTPEMLRACPQVEDAVN QEIQRRIRDSYPDGKKLKYQTPKPWKANTAFVNCYDGPKECVGYHSDQLTYLGPRAVI GSLSLGVAREFRVRRIYAEDDQHRKEDGSLADAQGQISIHLPHNSLLVMHAEMQEEWK HSITPAQAIDPHPLAKNKRLNITYRYYKENLHPKYTPKCKCGVPTVLRCVTRQKENRG RYMWMCHVSYVPGKEGCSFFQWAEFDEDGEPPWTIKSSCKNQQT CC84DRAFT_1248559 MDSAHDQPPFAAPTRRKSVFLEVGLVDEEQVRSERSPAPSIDKH ARPRHLRPARTVRFRSKNDVFEDKRATAQSDDDWETDTESDDDDTFPRIQPRQNMVSQ KVYRLALFALVLALMLPILQISSISPQGVRAGVIPQTSIEPTVAQLGARQDSGSNTQV CKRWAGQSAVVNGTLYMYGFRTSNDSQQKSDTWTNDFLSLDLTKSWQISSPSLTSLSK PSGPPAVSLGYLWNSHSSLYLYGGEFSDDPQELPTANSVWEYKIASKEWVEHKDPKTS SGDHAEKAGQSVQRAAEGSGFSVPNLGRGWFFGGHQDFLTTEGWSNQVERIYLKSLLE FTYPGYSNEAVDDLKNGKTAGDDGVYRNITEGGLQNSGSFPERADGVLVYIPGFGAEG TLIGVTGGDNDTLAQMNVIDVYDIANSTWYKQNTAGDTPKYRVNPCAVVAAAADGSSY NVYMYGGQSLQPAGNQEQYDDMWILSVPSFTWIEVKPDTSKSSAPPARAGHSCHVWDS QMIVLGGYTPKEISCDSPGIYAFNMSSLGWSDQFTALTGDKALKAFNGNEGDEGNPLA QQANQRGFDHKAGLEGSYGYSVPKQVQEKIGGEATGGATLTAPVQTPTAGPYKSGTPQ TYTVTGPNGATITQTIDSGNSAANRGNKIGAIVGGVLAGVFFLVAAYFAFCAWVYRKQ VAIWKQHAAVVTARSNAEKSGAGGALLGSAVASGSGTASAIRTSNERTGTSYTGAAGV AGGGVDALGRRSSVASSTDDLLDGQEPAFWGTRGVLLNPRRSLRVINRD CC84DRAFT_1165728 MDFPPVLLVDMRPVEKSLLLFIFDNDVAEQVTKASKQYSTSMPK HPGIQDLAPLVGARSLVTTDVSPVLARSPFSLSRQSVPPSPLPELSL CC84DRAFT_1165729 MAPGHLLNLVDAILDKTETFINLIEEKAKTGEEIRLEEYTTNLV FDIIGIVTFNMDLNAQIEGKQSDVLLTYRALSQVFNKRPFGAVWWKEYFTKNEREIRR LDKKLDGILKEEIRRQHKEILAGADPTSRSVATLSLYGIDKLTPEILQQTSDTLRGFL FAGHDTTSILLQWCFYELHRCPASAKDLKNELDAVFGADASPQSVIEQLRGPQAGKLL ARLPYTDAIIKEALRLHPPGTTARMTPKGSGSTITLPDGQRLVVDGLCVTPRAYVIQR HPKIFGETRDDFMPERWLGEEGARIPDSAFRPYERGPRRCTGSELANLEVRIVLAAVA RRFEFVKVGQGELDLDEKGLPQLDKKGCYKTKSAMFSTYLVTCKPVDGMLSKVKIKAG PQGRNDSLI CC84DRAFT_1197246 MPHQKPDIEATDPDLSGENSSKPSLNDTQFTEDARTRRASVASA KRQASVAAKLRNPLAGMTEQEVLHDVDIWVEEKGLVEYRDEFQKGALVARLGQREDGF EYVTQLNDEERALLRHEITHRWDHPFMLYFLVVLCAGSAIVQGMDQTAVNGAQIFYFE EFGITNEWQQGLLNGAPYLCSAAIGCWTNAPLNKWFGRRGTIFISCFISFVTGIWMAA ADSWYNLLIARFALGFAVGAKSSTTPVYAAESAPKTIRGALTMMWQMWTAFGIMIGFV VSVAFQNTDFLGEFSQWRWMLGSTSIPPFLVMVQVYLCPESPRWYMEKGKYDKAFDAL CRLRKHKIQAARDMYYAYKLLEVEQAEREGKNLFKEFFTVKRNRRAAQSSFFVMFMQQ FCGVNVIAYYSTSIFVEAGFSQSNALLVSFGTGVTNWLFAIPAIYTIDTFGRRNLLLT TFPLMALCLLWCGMSFFLPNDENGDPTQARLGSIAAAIFTFMAVYSPGEGPVPFTYSA EAFPLYIRDIGMSFATATCWGFNFILSLTWPPLVRAFTPQGAFGWYAAWNVFGWVYCY FLLPETKNLTLEELDSVFNVGNREFSKYYAEKLPWYMQKHVLRKDVPPMEPLYQFDGE TPGLFDEKDRTNKAAPTAL CC84DRAFT_1122784 MVIFKSRQPPIDLPTELTDWDWLFDSKYSPINKFPPNELNGFQN AVTKERVSWLDVKNYSTYISTALVKRYGLREGETISLFSQNTVWYPVAMFAGLRAGAK ISGASPAYNIEEMAFALKTADAKFLMTTPGSMEVASKSAEAAGLPKSNIFLLEGEVPG YTTIQELIKVGQSYGEQGQAPAFKLPPGKKNKDVCGFLSFSSGTTGLPKAVMISHQNV IAQCLQIEQITPNTHKKIMAVLPLFHITGLVHQMHLPILLNAEVIMLPQFTMEGMLNT IVEYQLGELLLVPPILIRLVRDPIVDKYDLSHVTRFSSGAAPLSEEIIQLLQKKFPNT GFKQGYGMTESCSCITAHPPEKYAYKYAHSGGAVCASTEVKILKEDGTEGDVGEVGEI LARGPQVVMGYLNNEKATRDTFDEDGFLHTGDQGLIDEEGMIHITDRIKELIKVKGIG VAPAELEDLLLGHDKVEDVAVMGILDDYSGELPKAFVKLKDGVQADTAVGKELIGFVK EKKVRHKWVKEIEFIPEIPKSASGKILRRVLRDKERSGKDRGVVVKDEARAKL CC84DRAFT_1260650 MEPEKDIRITLIGAGTIGLSFAVFHLSHLPAPSHLAVYDTRANA DQYIRDSLPKFFSKDSTSLSRSDVRIATSLHDAVSNADIIQESGPENLQIKQDLWAEV EKHASKDALLWSSTSGIPASQQAQKMQDKTRLLVVHPYNPPHIMPLLELVPSPSTSEA VIQRTQNFWRARNRVPIHIHQETTGFVANRLAFALLREAIHLVDVGVVSVAELDKIVE TSMGPRWAVAGPFKSYHAGGGPAGLEGFFNNIGETVQGCWNDAGKVNVGDGWEDKVFA QAREVYGTVDVAERDRITRRLLDVLEEEKGEKTQSDGPSKS CC84DRAFT_1165733 MFAARRLPRALPLAAPRRLFHASSPAFVQIGDKIPDVELHEGSP GNKVKIADELKSGKGLIIGVPAAFSPACSESHIPGYINAKELKDAGRVFVVSVNDAFV MKAWAKSLDPTGSSGIRFLADPDLRFTKALDLSFDGAAIFGGDRSKRYALVTENGAVK DAHVESDNTGLNVSKADNVFGKLAKQ CC84DRAFT_1095396 MESQSQGSSSSDFVRKLYKMLENPTDENVVRWGNDGDSFVVLEN EKFTKHILPKHFKHSNFASFVRQLNKYDFHKVRHNNEENGQSPYGPGAWEFKHPDFKM NNKDALDNIRRKAPAPRKTNQPNEEMIIPAQQMDMMSNQLVATQAQLHALENRYNELS IHHSMLLQEVIGLQKTVVNHEHVMQNVMTFLHNVDAQRRRDSRLGNPFPPNGNQTQNG NVDGAQNQSNPSAEDDIPASPLQHASKLLSETNADVMLNPRNLEHMNEITMRMNGTLT TPPPDLIRSVRPASRGPPSAGSSTNSLRLGDIDNLVYPVGQTNGIDPMYSEHINNIPY SMPPKAADPTNPQFQETRKKSAQVDPGWVRQPQILLVEDDPTCRRIGSKFLYAFHCSI DSALDGLEAVNKMNAGSKYDLVLMDIIMPNLDGVSACHLIRQFDNTPIIAMTSNIRSD DISMYFQHGMNDVLPKPFTKEGLLHMLEKHLAHLKKPISHMDGGMVAPQPLASTRQVL KEEESPAKSPATASWNSPNPVPGVSPVATGMSEEYLHAVHANPAAYAVQGVPGMPQGN VPYNTSPQMPIPGHAQQQTGHRRQISDISGGENMNPAKRQQIAGEEERENPLQAVILA DPFETRFSPFTLERPRCLLPLANTPLIEYTFEFLANAGVEEVFVYCGAHRDLVEEYIK KSKWSSRSSPFSKLELIQSTSYSIGDAMRDLDTRGLLVGDFLMVYGDVVSNLPLESAL AAHRARRAKDKNAIMTMVLREAGTTHRTKAQATSPVFIIDPTKDRCLHFEQMPNKDQT HFLSIDPELLSDHQEIDVRQDLIDCGIDICTPDVLALWSDNFDFQAPRKGFLHSVLKD YELNGKTIHTHIVSDHYAARVRNLHAYDSVSRDIVSRWAYPLCPDSNLVQGQSYRLQK GNIYKEEGVILARDCVILPKTVIGRGTSIGDGSVIKNSIIGRHCIIGKGVNIDGAYLW DYASIGDGSTIKKCIIADEASIGRRCTIESGALISYGVAIGEGTTIRGEHRITKASSR RNLDEPAIDKSTDATIVGVGGVGFEFHDSDEEDEDETVDGLVATAPIYNLANLSVESI STLNSESEDDIDFEFRHDRSAASSFRSVGSADSQHAANFDHDASASIYDSLEQGHESA NIQLELTALRMSTNASDHQVRRAVVVAFVKRITALIKSGTSIREAVAQVFSQHKDLVD RSIFDKTSSSKVDQIDFMLLLQADLAHRDNGDAILLSAATKLVELECIEDDGVLQWWE DAKSSESEELEKVKGKTQQLIDYLNESEEESDEDDEDDEDSE CC84DRAFT_1188214 MQKWVPGAVVEEALPAILLVSSSRRDRLSQNITTPPSTASRKRK ADDIADHLAPSTAPPSKKQTPDTAVDLPATPVTTTASPAMESDDDFNSSQASGDDFLD DQDSDLGLEDDSDFDMDQDDVGFESQDKDMGPRKQAYEVDFKVYDPQQIQASQDKQID EVSSILGQPPEATAILLRHLRWNKERLIDRYMDNPEQVLENAGLGETAATNPPKISKV AGFVCDICCEDDANLETFAMKCGHRFCVDCYRQYLYTKIKEEGEAARIRCPGDGCNRI VDSKSLDLLVADELRSRYHELLTRTYVDDKDNLRWCPAPNCVYAVECGVKNKDLMQIV PTVHCECSHDFCFGCSLNDHQPAPCALVKMWLKKCEDDSETANWISANTKECPKCNST IEKNGGCNHMTCRKCRHEFCWMCMGVWSEHGTSWYNCNRFEEKSGSEARDAQAKSRLS LERYLHYYNRYANHEQSAKLDKDIYLKTEKKMQQLQNTTGMSWIEVQYLDTASQALQQ CRQTLKWTYAFAYYLARNNLTEIFEDNQKDLEMAVENLSEMFEKPIDQLQGLKVDMMD KTSYCTKRRVILLNDTAENLKQGESRSDVKA CC84DRAFT_1148974 MSKADTDVGTGLVGAPACGDVMKLQIRVDPNNNTISDVKFKTFG CGSAIASSSYLTELVRGMTLEDAAKIRNTEIAKELCLPPVKLHCSMLAEDAIKSAISN YYTKNPNARKTDLGGTGSSLPKIEVETVTEPKAATV CC84DRAFT_1165737 MTACEHTLCLEQDAARQIEPQGLGQCSSCDLKENLWLCLSCGNL GCGRQQFGGIGGNSHGVAHTKSSGHPVAVKLGSLTADGTADIYCYACDEERVDPELPN HLAHWGINIKDRIKTEKSLTEMQVEQNLLWEFSMTTEDGKELKPLFGPNFTGLKNLGN SCYLNSTLQALFAMPEFADRYYLPDQPPPDAPQPAEDLETQLRKIADGLLSGRYSKPD SDVIVSENTPEVPHQKGLAPAMLKHLIGRGHEEFSTMRQQDAFELLLHLLKLISRSQH VAPAQDPVDAFRFVMEQRLQCLNCKKVRYRDDEQENISIPVPIRRLPKDDSMEVTDSE GKEKPKEEFESVTLKECLDIFTADEVVELTCEACGSKAGFTKKSLFKTFPAVLAVNAR RFEIVNWVPTKQDVPVIVGDEAFSFDTYKSKGLQPDEELLPEDADTGSAASKWVPNEG ALSMLEAMGFPRVRCEKALHATGNADPEAASNWLFAHMEDPDIDTPVDFNAGSGSGGG ASSAVDPEKIENLGAMGFSAPQARQALKETGGDMERAVDWLFSHPDAQGDFDEGGSSE EPTAAKEKTLAGSDKLPAKFQLQSIVCHKGSSIHAGHYVAFVRKQIPDEQSAAWVLFN DEKVAKAADVEDMKKFAYVYFFRRL CC84DRAFT_1260655 MSIPFRSRNRAPPPLPPRKPSNLRSTHDSRRAKYICSCGANNKA NACTCTTCQSLLRNHDSPCVYQGRCVEPLAWHIEHEPVLNQAHCPLYSVIPKELRDLI FMYALTDSKAHSFESIVHRNARRFRMRMIGTRRNDIATDLLLTCRAVYHETWMLPLSL NPYILYSLGEHHRIPYEPRGLPYPWQVALIQSLDITVQQYLLEGKAPGFPNSLQNNLH GPSGWQPEARHRGIYVAPGNFRHEIVGSTENLASLSMLKPCFKSFCCVPSEEGLDRHF LSHLLGKATIESRGISGLHLPWSSAMRVAQARPIVHLTLRIESSDWWAWTDSPTSTDP THHLGLDPNVGDGILARRPTAALMRELANRRRSGNHPPTFADEDPDRSPGWAATIGRM PDLKCLELVLETFTEKRAQLEQVVEAAKTWRFPIKNASYELIWDGHVDHSGWSLGDSR PEVPNEEWHRRSNAFDVRIIRFTRKRTA CC84DRAFT_1218825 MPTKTKPFFQLHPAPFKPSYLSLPPSPFSPPTPLPLFNPPPPHT LTFPTPSTPSPPPLPLQWLWQCHRCRRTYSLGVTRRCLEDGHTFCAGGVTEVKSWRRP LRAVRVRRHRACASEFDYRGWKTWGRWKRSGYGRKDSIYGDEECFPSSSPSEGSIEDS HVSMAITASMTRSRTPRKDCWNTCDYPSECRWGKQFGVHTSLESVVTLPPIEPLLPPP PTEGIVKPDNCKEVKQDKKDKTDFWGALIASATSRKSVPPSCPPASNIDIGGEKADYA TVSDKDGGVIMGNGDLEL CC84DRAFT_1096436 MSYSWVGAPTEFNGTNSETGGDSATENLNQWYQSGDQAYIIIAA AMVMVMVPGLGFLYSGLARRKSALSMIWACMFSSSVITFQWYFWGYSLALSGSATNGF IGDLRRFGLMNTLGAPSPGSPLVPELLYAFYQMQFCAVTAAIIVGAVAERGRLIPMMI FIWIWATIVYCPVACWAWGANGWFFAWGGLDYAGGGPVEIVSGMSALAYSMVLGRRQE KMMLNFRPHNVSLITLGTVFLWFGWLGFNGGSAFGANLRATVACWNSNLTAMFAAMTW VLLDWRLARKWSMVGWCSGCISGLVAATPASGFITPWASVALGITTGIVANFATKIKF WIKIDDALDVFAEHGVAGMVGLIFNGIFAAPYIVGLDGVNSIEGGWIMHNWKQMYIQI AYIVACTAYSFVVSALIAFAIDKIPGLHLRASEEAELLGMDDDQLGEFAYDYVEVRRD YLAWTPAKSDPITAEHDIPQGDRHGISQHSQMLEGKAPSESSHDGAHTGIGGDRHAVA AGPEGVQNEKNSLEH CC84DRAFT_1165742 MVLSFILIQNRQGKTRLAKWYAPYSDEEKIKLKGEVHRLIAPRD QKHQSNFVEFRNMSKIIYRRYAGLFFCACVDTNDNELAYLEAIHFFVEVLDAFFGNVC ELDLVFNFYKVYAILDEVFLAGEIEETSKTVVLTRLAHLDKLE CC84DRAFT_1165746 MSEFTMYHALGQGSPNPNGPPRKEEPKFRPPVAAGPTGYQQTGS PAYGQTPSQYVGTPNQVPTPGQQQGYFPPQDLPPRQAGQSVDTLAQQVGGMGLGAEQT GTVRHKKKDRHAYHNIEGPAGSPQAFNGMPQSQNGTPNQFLNSPQVGGPQWAQPEITP AMNQFPAAAPMFSPSNPATGMQHAARTGDQLQGSPASSQQGRVDPEQIPSIPRSRDAP AKYYLEHVYPTMEHHLPPPALTPFVAFDQGNSSPKFARLTLNSIPNSADALGSTHLPL GLVLQPLAKLQEGEQPIPVLDFGDTGPPRCRRCRTYINPFMQFKSGGNKFVCNMCTYP NDVPAEYFAPTDPSGVRVDRLQRPELTLGTVDFMVPREYWSKEPVGLRWLFLIDVSAE AVNRGFLDGFCEGIISALYGRENEQSENAGQTQRRLPEGAKVGIVTFDKEMHFYNLSH NLEAAQMLVMPDLEEPFVPLSEGLFVDPEESKAVITSLLTQLPNMFSEFKNPEPALLP TLSSAVEALAATGGKIVCSLAALPTWGPGRLFLRDDGSMHNNDAEKKLLTTEHPGFKK VAQKMVESGVGVDFFMAAPSGGYLDIATIGHVSATTGGEIFYYPNFHSPRDTLKLSKE IKHTVTRETGYQALMKVRCSNGLQVSAYHGNFYHHTFGADLEFGVIDADKSIGVMFSY DGKLDPKLDAHFQAALLYTTASGQRRVRCINNVASVSERPAESLKFVDQDAVVTIIAK EAAARMAEKNLKDLRAALTEKTVDILAGYRKNFTGHNNPPGQLVLPENLKELSMYILG LVKSRAFKGGKEPTDRRVHDMRLLKSMSPLELSLYLYPRIISIHNLDEKDGFANENGH LRMPEGIRASFSKVEEGGVYIVDNGQICLLWLHAQVSPNLLQDLFGEGHDSLKSLDPF VSTLPVLETHLNAQVRNILQYLEDTRGSKALTLQMARQGLDGAEYEFARLLYEDRNNE AQSYVDWLVHVHRHIQLELAGQRKKEDSDGGLSSTFVGLRTPYWG CC84DRAFT_1095403 MPTRLSNTRKHRGHVSAGHGRVGKHRKHPGGRGMAGGQHHHRTN IDKYHPGYFGKVGMRYFHKTQQKFWKPVVNLDKLWSLIPAEKRDAYLTKKSDKAPVLD LLSLGYSKVLGKGRLPAVPIIVRARYVSAEAEKKIKEAGGVVQLVA CC84DRAFT_853487 MAFFRNAAATAVIALVTATSSSKRGLCVIPPRDNPHHAEDNAIW TTTSGSRSRLKWYYNYKSTPTEGYQDMAGFEFVPMFWGAPEGGFTGDTPFLDSVRHQV KSGSNITHVLGFNEPDGPFQYGASNIPAQVAAKEWKRQMEPLKEQGIKLGAPAVTGTL DGAMWLQDFFFYCNGTCNPDFLPLHFYGSFEAMASKIGEMTVAYPKLPIWVTEWGYSH QDLPTTQHAFNESIRMFDDWSNITRYSYFGAFRSDTSNVGPNSAMLTEKGELTDIGSC YLGGSRTNKIPHSSWAEHLRVKEKTIRLGALFALVGVLGDW CC84DRAFT_851702 MRAHISYSTMLAPFILLATAPLGALAADVLKTTGYTSCQDDADI KVNRMDIEFVKSTKKVTFDVSGTSLKKQEVMATLVVNAYGIEVYKNEFDPCKEDTKVP QLCPVPEGTFGAQGTQTIPDAYMDKIPAIAFNVPDLDSQATLQLKAKDGSQLACITST VSNGKTMDVPAAKYIAAGIAAGALAVSSLSALASAGHAGTATSSPNFGDVIGWFQSMA LNGMMSVKYPSVYQSFSKNFAFSTGLIGWDSAQRSIDTFRNKTGGNLTADSVDYLKKV SYTSSGQNMTKRAIEVASLWVRDDLSVNNDNSTDSGESNQFGDLGEGIKKYAQELSIP NGNTFMTVLLVFAIVVASITVAILLFKVILETWALCGNFPKRLTGFRKRYWWTLAKTI THLILLLYGIWTLYCVYQFKNGDSWAAKVLAGVTLAIFTAVLAFFTWKIWSLAQKFKR MEGNPGALYENKELWRKYSIFYENYKKSYWWLFIPLIVYMFARGCVIAGADGHGMAQV AGQLIVESLLLILLLWSRPFSLKSGNWINIIIQVVRVLSVACILIFVEELGVAQTTKT VTGLVLVVMQAVLTGLLAILIAVNAIVICCKENPHKKKRKEAEKARDLDNLTPLDARN SLLMDPQEYKRGSVISVGGHRYDPIPLTEQNTQYHGARPFQDNDNEHLMDNAAGFGRT GTHSRAYGGQWAPHGSQQGGYQGHAY CC84DRAFT_854266 MYAYSRSVHSSRPSTISVQLGQSVCKPTGIYPVSPRIEGAPSVF LVPGGILMMFFVRVMLGRCCWSRAFVALRPSLRLVWQARSHLYSKTGSCKRVECSR CC84DRAFT_1165748 MYTIRGETDIPEIELAHLEGYRASKPVRIGNGAASHMQLDIYGE LMDGIYLSNRLGKPISYDQWLSVREITDYVCQIWQEKDMSIWEVRNAKQNFVYSKIML WVAVDRALRLADKRCFPCPQRQEWYRVRDAIMEEVMEKGYNKELGAFIQSYEANEMLD SAVLIAPLVFFVSPNDPRFLGTLDHILKAPEKGGLTSTGLVYRYNSAKSDDGVGGREG AFSMCTFWLVEALTRAAAYESKYLPQAVTMFENILSFGNHLRMFSEEIARSGEQLGNT PQAFSHLALISAAFNLDRTLGSPH CC84DRAFT_1188202 MAKLAILLALAQSALIHGLPARELQRDTHVIEERAITFSSGLEI TTSYSLVVSTLQSVSATETPSITGVIPTGLPDPGFTLNPIKTAIEGPSHTLAPIDWTL KPGPTEPLNNVEGNVFVPMATDTPPQQVSRRDDHPVKKINIVDGDVPLHTNKFYANLF LGKQDFPVFTHPYSLTWAKGTGDTWGMSVSHVERAQVAWGEQTPPRYWIAPIGIQHIV MSAKELGTSTVLSTEELTGFSVYANLAPSVHSDPVISFPLVQGMGFVTALYNNARPQL NSGVFFRTLDYIGRLNGITYKYRITLEDNSHWLLYATPVGSLGAPPFTLKDNHLIEGP DAFIGSVQIAKNPAGDPGEQMYDSTAGAFATNATISGTVNGAFGSYTLEWGKSGVQNQ TLLMFAIPHQVESFDQASKDALTNIELVTTTKGYAKAVVADKITMVEPELPASIGFAP WVPDKEDGNSGSDNIELTDQMRVMVQNSGAVELGQNFIAQTSLDSMYYSGKGLAKFAS IIYTVQTLGKDTELAAAGLVKLKDAFNVFINNTQPLPLVYDTVWKGVVSSGSYGGGDL GMDFGNTLYNDHHFHYGYFVYTAAVVGHLDPSWLDQGSNKAWVNMLVRDFANPSADDP YFPFQRSFDWFMCHSWAKGLFDSGDGKDQESTSEDTFATYALKMWGKTIGDAYMEGRA NLQLACQKRSLRNYFLLEADNQVQPSQFLPNKVTGILFENKVDHTTYFGANPEYIQGI HMIPLNPSSAYTRSRKFVQEEWDTYFSHGRADKAVAGWKGILYANQILIDPFAALEFF SDPNFDMSLLDGGASRTFYLAYAAAMAGGESGAAGGKADDAPVQTQYVEYNGTEGEEE EPGPDDDWEWESDDDETSYDESTASDDEQEGGEDIDTATDTDIDDEADKEVDSDDEYE WVYERRKR CC84DRAFT_855725 MKPGTRDVIHLHPHTCKSSSAHLTLFTKPGLALSSRVFLFPTNI PGPSTSPSLLLIPFQRSTHAPTSCIPYPSRGFSHHANSLRPTHSAAKKSSASPRHASA MRPRTSSFTSRLTPSPQAAGCLSISSTVRCSSGTCIAHARKHCFGSATPSVAISNPSS KSSPAPSSPAASSAVRRSASRPHPSTTSRNGSTQANPWPSPTLSRNASTPARVCGGGG ELRLVVRLEVGTPAGELGLAGGASAEGVVCAFRFGQDEPGVGCGEGFGERFDVGVAHV VVLCIYGRESGLRMRAWKCEENRLQLPSGRCERWWWPELSTFFTPVVMLPLPARSYRI VTH CC84DRAFT_1095778 MDDGEPNQQPHAPAGYGHDVPARSDIDEILRRKRKAREYKACYP CRQRKVKCDQSVPCKTCVVREHPELCTYHPPSESHPPAKRLSMGVGQNGNDFSNGMVH IHGGTVTLPREDWERICAKLQTAEKSLADLKNSVASVSEVSPNAPANGYSPATAGNTP LAASAPIDSETSHVRTQGIHTYNDFTGQTIHVGPSSVPALVMALGKGDSQFPGIQDVL GKKSMLPIFGLDNETATYPFVDLWGLPHGSIQRAQELANALPNDTECLSFFRSYRETA HVVYPAVADIEGVESDLLLFLINRASTQGTNGITDEQIYGKDFHWIGLVFAILASGCQ CSTLSRKERELTSQVYICCSFECLRFTNFLSHATLENIQTLLILGNVISNNMNAGVSW SLMGLTVRLSQTLGLHRMCPSSTPLPIRIARSKVWWALLWQDSLLSISYDRASSTTTI DHTIPLSPHTAPGTRTYVESMYRLCKVGLDIVRERQRPQNSQEALMRITEHRNELQEI MVDAADYLKDSRRCRSMRDQLEHWALYLHISYITSELCRPAISPSTAGFDLSKTLRKV CIDSLTNTVEAFLGLQNMTPFATRSWASVHRSISTALLLGIIGEHNRNERARSLLTNL VQVLGDVTASVDPAELSAPMQRSVSALQRLLSVPSAPRKTSEAGSQSSPSVAQFTTDE LNGVLNGDGSLTQSPLLGLELDSSPYALMESIVWGAQKTP CC84DRAFT_1165753 MADTSRDYAGHSRASDSASDATHIAEQSRPIYRSITGSNEPTFD GADVVNLPIRTLSNDADLQEYTQETIDGQILHEVRSNITGNIERYELVTWKINDPENP KNWSKAYKWWCTMCVALTCFVVAFNSAVITADIEGVAEEFNVSEEVALLTITLFVVGF GVGPMAFAPLSEIVGRRIIYATTLFLAVIFTIPGAVAKNIETLLVTRALAGIWFSAPM TLVGGTLADMWRNEERGVPMAAFSAAPFIGPAIGPLVGGFLADAKGWRWLYWIQLILS GAAWALISFTVPETYTPTLLARRAKKLRKETGDDKFVTQEDISKAPLSQRLMTFLLRP FQLLFRELIVFFISVYMSVLYGLLYMFFVA CC84DRAFT_1206881 MRSTSFVAAATLLAGLVRGQGSVEDWHPPTKGDVRGPCPMLNSL ANHGFLPHSGKGIKLNDTIHALGEALNIGQDIAIDLFGFAAMTNPEPNATEFSLENLG RHNVLEHDASLSRADFDVTGDSVSFNRDAYDETRKFWTSESITVKQAAAARLARYNTS QTTNPKYTMSPLGDRFSAGESAAYLLVLGDISTATAPRKFVEYLFLNERLPAAEGWKK PSHVFTPTDLATGIDLIYNATGASAEDLKKMRARSKSELHGGSWRD CC84DRAFT_1248693 MSYETDAYEQRDSARDRSPRRRSRSPRRSRRSYSPRSRSRSRED YRRNDRRSRSPGGGGAGGYQSYGSSRGGQSRSVDPASKETMMQSIRESSQQDRRVYVG NLSYDVKWHHLKDFMRQAGEVLFADVLLLPNGMSKGCGIVEYATREQAQNAVATLSNQ NLMGRLVYVREDREAEPRFSNPSTTRGNFQGGGGPGGFGGPPHGGYQGNFGGPPQGGG GRQVYVANLPYNVGWQDLKDLFRQAAVTGSVIRADVHLAQDGRPKGSGIVAFDTPEDA RNAITQFNGYEWQGRNLEVREDRFAGPAGGRGGFGGGFGGRGGFGGGFGGRGGFGGRG GFGGGFGGGRGGFAGGAGAYGGGGGGAGGPGFAADGPSNPPNPFTDYATSGGEPSNLI YVRNLPWSTSNEDLVELFTTIGAVKRAEIQYEPNGRSRGTGVVEFEKATDAETAIQKF TGYQYGGRPLGLTYVRYSNQSNGDAMEGTEATGGLTQDQIM CC84DRAFT_1165758 MSADFWAGYTSGAVGILIGNPLDLVKTRLQAGNAAPHAEPTGTV IGPAPAADVSPRSFRQHFDRAGTLVKGTTAPLLGYGALNAILFMTYNRTLTLLHDTPE LPTSLAKVWCAGAAGGLASFVVSAPTELIKCRAQVSTDHATSSWTVVRDVWKREGIRG LYYGGGITSIRDAFGYGWYFWTYEWSKQAVSSPTDTDRDTAIKVLLCGGLAGVVTWAS IFPLDVVKTRVQTQLINAPPHGESETLLPHQAHGKRLSTLQVARNAYQAEGARVFFRG LGICSIRAFVVNAVQWAVYEWMMRLLRQP CC84DRAFT_1197269 MPSQPVDTGWGFNAVIDLIESDYDAASPTLSAASPVRTHVATPG QKSDPRSIAQTLLDTHVPRLGDFSKLFDELGISRTEPLAPASPVDSEGPISSDDALLS GHIDAASIGDPDSPLAALSAKEQKKERKRAAKQERKQARRALKASVSEESASGTQPIN QPRPRSNVGAKIRPATPASLEALPQALKPLLPARVLDFPRTPADSISVAVSPQRLNSL AQPFAPASTSIPHLKFPPGAVDDFAKTPAKQVSSRPSLHEAKSNPGPQLRIPSSVHFD RGLVPRTVQSVSAPRVHTVSSGKVQGARTPVPAAPRFGTAAGQKTSVRSDLEPTATPA SQTPYQLQSPNRLATPVQISSTPGGGSTGLTIRPRTDRHFHFLNQLLASFPENHQHLL APMRLTTDQTMAQGIHCFVDASNIMIGFRNILRERGQHQPVDLSFDTLALLMERRRPV AKRIYASSQREANPLPHVIKLAETSKAVGYENLIQEQVFIRREDSDRKKFFKDVERLG WTKATQLRASGSGSDSETGAAAANPLSAPKWVEQGVDEILHLKMCQSVLDTEVPTTMV LATGDGNAAEHSDGFLANVERALKKGWRVELVSWKQQMSAGYRNRKFRAKWGEQFRTV ELDDYLESLIDT CC84DRAFT_1095156 MTNKSYLAFLDEGASKLSQAKVPGLSALPSFVPHRLRRKWRATK SRIRSRQSPTSSIASLETSFSPADTLRSLRTHPWSIYDGQYLILAIVAIFALSVSEAP GPFAKTFAAALLMGGLVLPISRQFLLPFLPALTWLLLFSSCKYIPADYRPAIWVRVLP AMENILYGANLSNILSAHKHTVLDILAWIPYGVIHYVSPVVVSGCMFIWGPPGTAPIW ARAFGYMNMTAVIIQIIFPCSPPWYENTYGLAPANYSVPGDAAGLKAIDALFGIDLYT SGFHASPMVFGAFPSLHSGWATLETLFMGHVFPKLFPVYVFYTMWLWWSTMYFSHHYA VDLVAGSLLAGIAYFWARAKFLPRVQPDKEFRWDYEYVEFGDPQDTTYTMLDIYEEFH PLSDSDDWASGSSSSYSTGGRSPSAGSRSPVDDAQSLWDGDTVGSDTEPLR CC84DRAFT_857387 MRALRQRKRGTVASSREGSEDRLQAADVHVVLGSVKFIVPREVE VNSLRLIADKTFNVGCQPSRPDIPGLDTLNQSRVLVPPASRSRPTSQRI CC84DRAFT_1206885 MAAPGGKFFIQEKLGVKAAHHENYKQLWETKWKEPAEMGVYPFM FGTASDFEPVVAEMAARDMKEPYNWDEYAKIYFPQAEKLKGVAQEAESKGETEKASEY YLRSSAVYRISRFPAPRSEVQREAWKLGKECALKGLGLRPHPVSEVMIPHTHRLPHEG SHIPIYYMLPSSTTKDTPVPTIIIFTGLDGYRTELAVWMEGWRQNGVATIVLEIPGTG DCPADASDLKSPDRLYTSLFDWIGQQDGIDQKKVGIWAFSTGGYYAIRVAHTHADKLA GVVALGGGCHHMFDREWLDNVNHLEYPFDLANTLAYKWGYGDDVERFKDEAADKFSLL NDGTLDRPTCARLLLVNGTEDEIFPIDDYYLALQHGAPKEARFVQGVKHMGEPMSFFI IFNWFYKLFGIEADPVKQLQTLPFKPKY CC84DRAFT_857448 MDFAYSPHRDTGGTLHLPSPTHHAYPAHFSSSLQQLRRSLSRSP SKPSRFHLRMGKADAPGSPISPLATSRAFSPKTFKQTSPIAAYTESPFGSQGPQTTKK SKFAVRRTLGDRIRSSPRNRNTTTPKSPRRALVESTDRANASPFVSRSLFGEENMPVR KNSIDSWDQEDSARFDIDDQPIKFEVFRSQPQTSTTPAVSRFAPPQPSPLKRRDGASD FESASCATPNPKRRSLHAGLPTDFNVFEQTPVPKHSAEESYTPQQEPEPFSLFSTPAA NTNSTSLFHTPAPNMNSASLFSTPAPNMNSPARRNTSIRRAVSQRTPAGSPRPKPSMD GEFMKPGLAASKTRPQRASLDGFNFSSPVSGDSVFGRSTTQPFMRSGASNPQRHPLAT TVTASASSSVAGDSPIAMPVPPTPGPRNPFTQSLPLGAMRPQESSDGSFGTPLPYRPA ETNIFARSTGGLLSKKSRDVESPKADKYQLPETPSKRHSFPPPCGAESPLSQSFRTSF RDKIQQQQQPVFGTPSSHASKLSFSSMFGNQDTVSQRRGSHVSLDGLDDDASVSPTAN RMTDSQSSVDDMPPTPTKNGDSYGRRSGRSSLRRSTFKRPVTRGSIGTDTFTAPETST TPRIDTAPLFRHSISGTSPHTPIGNESSTVPDPSKLSISGNRPGTLLFGSSFGSDCSN SFPPMTPTTPRDHSALFSRNHTAIPIGLPKNDVDESLAARFGHVEVLEGAKGEFSQMF KVSQPLNHGVNSPFSRSTLCSVVKKTKKAMLGPLDRKKKLQEVEVLMALRGNDHIVAY KDHWEHSQHLYIETEYCDNGNLKDFLTKGRLDDFRIWKILLDLSLGLKFIHDSNFIHL DLKPANILIDFGGWLKIADFGLATPWPAQKGIDGEGDRAYLSPEALHGRFDKPSDIYA LGLIMSEIAGDCDMPQFGDSWQKLRSGEFSVLPSLSWSTQSTLSRDENGDPIESAAQA YVDSTGYLTQELQDEVTKAPRFMTDREDPNSMDVVARAMIKYDAADRPTAEAVSMAFG CQWVANRRSAGATIYEGNFGPSEDTFGGYDPMITDNADAMDMS CC84DRAFT_857470 MCDPRSRPPHRNQALSALQAPTDVPRLSLAAGPTLPPADDVKPL LSEIRRLTTYRETPRRRVIIAMPLPAAICVATVRPGISADRVYPGSVAGLSSWGSVRF AF CC84DRAFT_1096113 MNYSTWIEEKNLKKTFIVATLCSTIVGTFTTTMNLYDAVQARKE QKKTDGKQNQELEALRKRIDQAEERAKEKDKERDEYTRNFQHSSQLIQRQYDEGYGRL GSRFAQGDTITENLLQKQIIQLQQTVINVLQDALYNDRQLSRADMAKLAAASDAAREG SIDALRQQQQRLAGIDEPPSRRDSPMRALPAPKRASTVVEDEPLFCRYALSVQFRPAK PLAGDFAPGGDCRCPDCGRRIDATADDFWQIGKRTPVVYGGKEVTEAREFHLGQRFVI KCHTPDGDYACVLCNKHRDRDAVCRTVEALVNHVGRFHDVEELEREVDLKETVPMVLA APR CC84DRAFT_1206888 MGSAGIGERGIRIAIDRGGTFTDCVGNPGTGKMEDDIVIKLLSV DPSNYDDAPLEGIRRLLSKFTGKEIPRGEPLDTSKIESIRMGTTVATNALLERKGEDI AMVVTKGFKDCLEIGNQSRPNIFALDIRKPEVLYKKVVEIDERVTLEDYAEDPERTQT EAKSIDEAGEDAELVKGMSGETVRILQRPAEETIRKQLQEVYDSGLRSIAVCLMHGYT YNNHEALVGKIAKEIGFEHVSLSHELMPMIKLVPRATSACADAYLTPAIRKYIEGFSK GFEGGLGTKSVKQESGSKGARCEFMQSDGGLVDVDIFSGLKAILSGPAGGVVGYALTS YDPETQIPVIGFDMGGTSTDVSRYGAGRYDHVFETTTAGVTIQSPQLDINTVAAGGGS RLFWKNGLFVVGPESASAHPGPACYRKGGPLTITDANLFLGRLLPDFFPKIFGKNEDE GLDAEASEKLFKELAEQINKEVAGDNKEKEMSLDDIANGFIKIANETMTRPIRSLTEA RGHDTSKHRLATFGGAGGQHAVAIAEALGISQILVHRYSSVLSAYGMALADVVDERQE PESKVWSDDKPTREYLQSKMKDLKSKSIARLKDQGFDADQIKFEEYLNLRYRGTESAL MIIKPTKEEAEKEFGGDDWAFHKAFIKQHEQEFGFTLPDRDIIVDDVRARGIGKTFEG LEKTVDQQLKEIKPKDLQKGDKVYATRKVFFEGGRQDTAIYKLEDLNTGDRINGPAII ADGTQTIVVTPEASALIIDTHVVINIGESDIQDKKIDTKEVDPILLSIFAHRFMAIAE QMGRALQKTSVSTNVKERLDYSCALFDADGGLVANAPHLPVHLGSMSTCVRKQSAIWK GKLKKGDVLVSNHPMFGGTHLPDITVITPAFSGDEIIFYVASRAHHADIGGILPGSMP PASRELFQEGAQIKSEKLVSEGHFNEKRITELLYDEPSQYPGCSGTRCLSDNINDLKA QVASNQKGINLISTLMSDYGEEVVKFYMTNIQANAESSVRSLLKDVYKRFEGQDLSAE EFMDDGSPIRLKVTIDPDKGEAVFDFTGTGPEVYGNINAPEAVTYSAIIYCLRCLISE DIPLNQGCLKPIHVLIPKKSFLSPSDNAAVVGGNVLTSQRVTDVVLKAFRACAASQGD TNNLTFGFGGQSAGEKAKKGFGYYETIAGGSGAGPTWEGTSGVHTHMTNTRITDSEVF ERRYPVLLREFGLRSGSAGVGAHNGGEGVVRDIEFRIPVQVSILSERRVYHPYGMAGG GEAACGLNIWARKVDRRSLDENSDMQQDDEGDGAKTSGAVEALVEKQKEIEAGEEDVE FRYINLGAKNTASMKPGERIIIYTPGGGAWGEAGTESKAVKKDDPRAAWRGGSVSARH QTAEASA CC84DRAFT_1206889 MLPPCDGGASYNPRRVLKYPPTCRLLGVLGLAICGGVPNQYSRK PSIVAMFVSLAAFFTASALASPLASPLAAQPTARDVQCQGISGPFLGSSFPDPSITNE GGTWYSFGTGNGKDFQSAKTTDFKKGWTRFKTSPLLAIREATWAGQMESGSSGLWAPD VMRRTDGKYVMYFAAQDKQKISQHCIGGAIADTIEGPYHPVNDFHQCNRTANGVIDPA WFKDTDNKQYIVYKTEIPANFLEIREVANSGAKEGVQWTSNAVQLLKVNGQGFSDGNN MEAPYLFKRGDVYFLTYSTHITMDGSYDVQYATAKSVWGPYTRVKEPLLKSGTQYGCK LVGPGGASFQRFVGLGKGEEGTRIVFHGLTEEMSINKRVVYTADVKVKGDKLSIPSLR R CC84DRAFT_1149035 MDIDDILAEVAVDTMPRETRDLQELTRAWVAERIAPEIMAWPGE LMERVLARVGRQIELVEDQTGNMDPKTNFRLIVVQTEVERFKFLVRSFLRARLKKIDT HPFHILAKHTESDASHPLLSPAEYQYLTSHQALLAAHYGASFLSQFPNSLQRMDDTTG GISMVDKPDEDAAVFVRVLRDVGEVAIEGTDKRLDMKRGDVWVVRWSAVRGWVGSGDL EVI CC84DRAFT_857509 MEMSSPLAAMHPPPLPGPWGYRRDLPPSKPLFASHTMGPKNFNF RDLSMKKSGGNDYITMQPMRGSSPTASLAADMSSNLHMDQSPQLTTPRRSLFTSSLFQ QLDNREGTTTPPVRWEGVTTPPIPSSSPCCVPDSMDISPLPHKAPFSFLSNERSLPLA SPTPEVSTPSTDDDMLSPCELPTPSQLPFAAPDIEAPRPTSANERRKPTFLMRPGLGR TKNYSTNTVSLKTRKEEIPAFLFGAGVNTSTPSLDECFTMSPPPERRPMSNPAGGFPR PRPFCSNIRKTSAGPARRPSKIRRSLSMFEHPGDVMNATQESESYTPSSLQSVMDVEE APGLKLPHTLQPNEPDSLPRISDATMVDIINGEYDSLYQNKYIIDCRFEYEYKGGHID GAINYCEKEKLSERLFGSDAPSDTANTLLILHCEYSAHRAPRMARFLREEDRKINAHR YPQLSYPEVYILDGGYSEFYASHRARCYPQQWVKMDAEEHQASCERGMAKVRQRSKLN RAQTFAFGQQSCQMEDSPTAVGRSRSGGVMTLSDDMLNAGRLGASRRMASY CC84DRAFT_1248718 MASFGGIPRRRYDGGRRKGSMAGTACENLLGAAFSQPASGVVSQ RCRHMPAAEVIRASLLWQHGGRAQAHGSEHCAAPPAATWSVRQRWRANRPSAGEQTGT HCEQLLNGSGARLAAATHRSSAWCTANPRCVIESLCHHLRASSARPIALADAAGPHAH RPADDAACLFLSRCSAPGVGHWRGGEVCAAPKLTDPALNRRCWVAWLLGQAVLLADYT LHRPRRPFLSNLLCPQEGTLSLSLKAVAGWDVGRFWFHRAVATI CC84DRAFT_857537 MCAEKRPTRPVNSHRSMISMALVRKQSHNSLGPPSNTCGRSLWI ERLLAKSSISRSPTSYPIRASWGLPSSLQELPGFPVLLLHSSLPLPTLQMRCCSLRNS AWPAARGKGGMRRAEKSREHGVILARTTTNIASAVHKAFITPSRCFRPHNNAAAPHLR SDRPARRLFVGLSRES CC84DRAFT_1165768 MGRKAAKSANQPQGLKRPREDVEAGSPEKPVKRKRQANDLSMVE LYNDLAAESEEIRLEAAKQLILKFSPENKPSSTDVLKALNRLISGLCTHRKAARFGFC VTLTELLRLIFAPSAASIEGLDLSVETLLKKVEKQTKPEGNVSGLERRNHLIGKLFAY KAVLQSSILIEPELAMDSWNELLDLIYGMARDTPWLREECALVLVQTIETLPVKAKIE PCVRAISERLVSYKIANTPEGIAIWLALQEQYASTLPANVWHDNDPISMKNRPKLAKV LKGDIQSSLGSDESEAVKSSATNANPQFAWNTLLSKVLQMDSESKAARTDASKSQFGQ LWLSIVDAQLFGSSSSHERKSWGFKLFAQMIGQVPEWTFPALFSPNFMRTLRNQTSKN DRFLHAAALLAWKAIARRAQSTPESSLPIIVELTSKNGTADFEHSSKAETLEKIALAA DDNALRKIVRHLQSLLIRPETTEQNVADHRRQTIADLLLNIVKHYTKYDDLIAEFSEK DNWLRNTLDLLVEHAYFNPSQSAKARKVPSPPISDSSRAMFQERLSSCLTRLQPVAAG SQTSYGVIVVDKIRSKAAEKAFEQIFKADESVQETLEKAYETFDVVKVSDKSDGKASV FQGLTLLYAFTFIQIFNGDTDAIMMLHDLDASYKAISNPKKGASSESQDGFIEILLSF LGNTRTLFHKIAIEAFTAFAPEITLEGLRSMTDILDTEETLEGQKQLFAQADEEEDVA ESDEDEDMEDASDVEMVGDAKDGESSSDESEDSDSSDSDSEDEDDDDDELTQFNNKLA LTLQTSGLAANGDGSDEDSDDSDMDDEQMMALDPHLSNIFKQRSQITGKKQREEAKQN VIRFKSRVLDLLAVFLDKQFSNPLSLEVLLPVLRLTRASANKQFTDRSAKLLHSTLAK SNKPLPQLEDAEPAWEILKTIHEEAKQGGASTAHGGACSAASLHVVRTLVNADSDNYA KVVDVYAESQKNWFMDRKSEVRDSMFSQFLNWSARSRSATKAEAE CC84DRAFT_1248721 MAAFLNCPTELVDSILEQVLFRDLAALSLASKKLHEFATPQLYS DIDLSIHQGNPRPIINLTRSIFNNPELAKHVKSVRLRDGDEKIQKLYRGYSYDESVPK VSPPRPTDEDGMPEFIEFIKNTGLSYAHLWIDKLRIADFNAFVALLLSRLPALKSFRV GYAAVVPYIERSGQRNPPNIAGENQFLGKLFQSAVFNTSNHGLSRFQHLEEISFPGPI SVNPGKNPDFCNPCDMVALLSLPSIRSIRGWCWNPPSFPFTWPTAPPDPVHLTSLALS YVHVDFLAQILATTRALKTLYWKWKWIRDTHPLNTDTIDLNRFVEAVQPIRDALEDLT IGLEFDDMSWGGIEPGMMKALGTLHGLEGFGNIKRFQAPLGILLPDWNWNVGIDPARR LEDSLPCNVEVVTVTDAFMSDYYAYDEYEELDFVRAWLLETASTRTPRLKEVCYYLDR NASEFEEKSCEPIKQIFEGTNVSYRIIKARDEKPWEAV CC84DRAFT_1177541 MSAVPSDRAAQPEATQNPNQSPSSPGDEQPIRPESKYLYLGDHT NAENAYGFRIYRTTYSDDDKWDRFMSYLKTNIERSLGRDEQYKHQLARVDWTVQSSPD LQDASMDQVRDHFIQWIDSGEEQPDNSSRFLAFVVVDGESLDSVTSMLEFLEEENLPL DEDDEEGTAYVKLVSVNEKDGDVPVCIQYLYPRVFSFVHGLGWEHIVPGEGEFSARP CC84DRAFT_859040 MRISFLHSIVLFLGYSAVLNALALPPTGPQDVARQIETLDEHGT PGVLEVRSPRVRGGGRPPRSRPARPTTKPVPTSKPTPTKPAQTSVVNQPISTKRSTSI TNLPTSTKSSTSNQPASSKLSTSSNPTLTNSGLTSITNRPTSTKHSSSSSIKSLPFTL PPRPTKAFDVCLLPEVSCLDARSEDSETLFGRSEQPHALEKRARELSDIPLKSGKLKV TPKDYPSSGQLEKGKASKWVETWVDFQSANLADTEAKLFKSKPPLPAGALQKDYVTEH ILELQSMSRFIEAVTGTKSTNNPSPIQLSKTVDDTWFTKWWNKDVQAKINTRNPTFTG YENSKDARYQDKSLNDVVFEAFGSSRNVDDFVLCEDGINSFKAKLWLGDDPAAKWNDA ATKAAKGLKPSDEYLSPLRTTLAVYSYMELPQITKNLHSSIAKVKTELGNIAHLTSND LPKDAQGQPADLAALWIEFMDAHLAKFVNKGKTWLDSNIKTGLKQFEAELKIMEGWLK KIPNTPQSALTDKYNAAVKAEQAAQKELTSNEKKRKSAASDLAAEIKKIEAQFINDPN ASSKIEAEKKKPGTAYKSAIVQLGSAKTRVTRSQTKVGQATRKILEFDEKNLKKEIAN WKAVIAQLNKFEVERKKIKTLKAE CC84DRAFT_857578 MLLRILFLLGCLALGVLAAEDFYKLLGVKKDASERELKKAYRQL SKKYHPDKNPGDDSAHEKFVAVAEAYEVLVDAESRKIYDQYGHEGIKQHKQGGSPRQH HDPFDLFSRFFGGSGHFQGGGQRQGPNMELRVAVPLRDFYNGRVTEFEVEKQAICSAC EGTGSEDGHVETCDACGGRGARIQRQQLAPGLFQQMQVQCDKCNGKGKTIAHPCPVCG GSRVVREPEKHELHIEKGMPLGVRITYENEADESPDWVAGDLIVHLAETDPQHGQQDH ERTDGTFFRRRGKDLFWREVLSLREAWMGDWTRNITHLDGHIVQLSRKRGEVVQPNHV EVIADEGMPVWHQELENNAGEVYGSLHVEYVVVLPDQMEKGMEKDFWGVWEKYRKKSG KVLDEEWGRPKEPIIMPGKEEHDEL CC84DRAFT_1165770 MSKSLVGKPIVRKLFSSSQVGVVVSAGRMSKAVKVRIAAQEWNK KFRKHFPSEQTYLVADPNSSLVEGDVVRIASGWRTSKSIRHVVTSIVSPFGEPVENRP PILTEEERMQLRIQERLLKDVRSAAKGRQTSLQRLAEARRQKLQIPSLEEAMANVRIA EQAEKATKGEAHKGHTGQAETRKERRIEAGRERKEEKKAEAKLKRAQAQTV CC84DRAFT_1096005 MAKARASLQRQAEELEMHHEDVKGADPEDTLDESADPLEESGRT IDTSEDEEVDEMVAEDIERFENSFVGINKRYRLINRIGEGTFSTVYKAEDLEYYKYNN RWDIEERDRRKWSSPASKAKRSARKPHYVAIKKIYVTSSPIRIFNELELLFDLKNSDS VCPLITAFRHQDQVVAVLPYFQHQDFRDYYKDMKVSEMRLYMKSLFTALREVHKKKIL HRDVKPTNFLYNKWQTRGVLVDFGLAEREGTEWHQCNCTLPSDERRYRIAHSVYAQNQ QAGHQTTSYPKNDTRGSRRANRAGTRGFRAPEVLLKCTQQTTIIDMWSAGVILLTLLT RRFPFFHSADDIDALLEITTIFGRKKMKEAALLHGQVFETNIPSYSEHGHSLEKIILW CTGRTDSDKNAPKKELDEEEKEAVAFLYKLLECDPARRITAEEALRHPFLTKAYADSE ADEDMVDLNEV CC84DRAFT_1165774 MDTFLDELEQRFLDINPYCRARVMQVYVKLCDLEARLSKRRKKA AKYAAQSLMDKSSNVRRNAIKLISKLVETHPFTDDGGLLSKEKTERELGDIDTRINAL VPAEPEKPASGEQTLDEEMLQDATQVESAAPEQPKHPDDMTEAEKIAIMKKLADAQEL EQLQTRRKYYNEKLRFIEVVDDAADDVMQLLSAKNKSEVIEAMDFFVKIYAYKIPNAR NGVRRMLRLIWTKGNSDEGKGVQTHLIECYKDLFFVANPLFDRDHSDDYIMHNMITLT YGTSPAELTSLEQLLSMMMKQGMIKETVVQKLWATYAYQKKAISRTMRRGAIIVLGML ALAKPEIIVNEMELCLRIGLGELGRRDLALARYTCIALRRISPPPGKQSESGPAQVAK LPNDHAVLVKLATICEIVSDDKEWFGVAEQAISAIYVLSKHPDVLCSEILRRKIKHVF APQAPSRPTSSGSGAEDAMDVDAPMTPPPDESSQPKKQNPALALSQLLFIVGHIAIKQ IVHLELCELEIKRRKAEKGTKKAPTPRQSLAAENPSPVKKGRKKSSAKAQTPAAEEVD ELELMAGPSEDDITDHIAHIRERQLLYGPDSLLANFGPLVRDICFNSTSYNHPTLLAQ AALCLAKLMCVSSEYCEKNLELLLTMMERSKDPVVRSNLVIALADMAVCFNHLIDENE SHLYRRLNDGDPSVKRTCLMTLTFLILAGQVKVKSELAKMAKCMEDSDDKIKDMARMF FTELATKDNAIYNQFIDMFSTLSADTGLNEDAFKKIIKTLAGYIEKDKHAKVLSKKLA ERLPRADNEKEWNDIAFTLGQLQHKDEEITKLVSEGFKVVQASA CC84DRAFT_857739 MQPELRDINTGTTLFSICYFSCVVVMMAFRNGPGPPQWRRVTMR SVRQRVETMCTRQEAAYQNRMARVNYLCDADVLAPFRYIRGLGAGMRQGADSRIRQTA CCAAILHADRVGSVSILLSKRCYRNVVFVEQLSRASYVRHFSQLIHLIYPHPLLDVFG WKAPGGMIGKGMRPLGAEQPLEHPCGVAGRPLVWIITTMYCF CC84DRAFT_1177547 MDAIASLQMLKDRLLDHKSEAPMHCLFLYDSGKYCTFDCSEYPG DHPRIFSKAIKRLAKEINDVSKPDFIDVSRAVFFCYTHDLKTLKTYRKHLEALWDDAS SEMRSELWNGLHKRIHSIPLPATPRRNRPRPVNTNSAPSNIPSIDSHSEVGCIPSTVD MDIETPSRPQTAQAKLNTGISYSDDRTTPIPFRGYGGVTCNVDPFAVNQDSGDSEPRS LGQMDEDGGPLDCRSTTAAKRESLPRQDKEPRAQCVQEDTLPIDAGASGNPETKLNEN HAQFTNPNAGSSADANTQTGSTFEIGTSIFGHINASTSSQEFSFSFEFSKLSLECETK IRNDPSLVRISEMSNVAGNRKGIQPLNNVLEHPAERSYQTIFEKLQIQDDRVDGNDTR ATRSRSRSPAPPRQTVQDAQASVGLPVSELESEKIPRSTSARRISSEKTPRLPETGKI DSEAPLCGTGRSRHNKPSSAASEVPDAPRPQSSSSKDRATDEDKSKTTKSSPTCSIPS FTPTKKTRPNHGSSPPKKRGTQPFEIALKIKKLLAKSLMQTKKTGLVYVMEAPKFFST FEPAASADELWLKIGLTTDLDQRLERIEEQCGIAEIKAIGTSDLGCPMDLADRIEKLC HEQLAPFRRPFNCGSGRCPTEQHREWFAVEKDVAIRTMKLWKNFMDHKPYDADGKALS YPWRRSLADKEKFRLLEANGQESERDLLHRSLETWIKVTAEEQNVAGELSITAPLRLK PPLAFQDVIPTLFAGVLQKIIDGVDFSLLFSMISGSWKINLVSYNVVAVDENGVDDEL KYFPIRIQLWAIETYLPMLPSGLCPVGDSGGRLRHLGKSRRLTNAAKLVRMQEMPYRD NDVLRAYRTQVNCRAPFDMTWPQFTNGVDRSKQNLYIRATPIHNKAPVFLSRLSAHPV CC84DRAFT_1177548 MARCRDQYGRYYNCSSRWNDWVRWVVLAVVVIGFFLLFVMCSCI TARRRRKAGRQPFYGTGWAHRPGGNAQPYYNNNNYAQPPPQYTPQPQQGSYYGTGANQ GYYGNNGQQPYPYGQANGVELQQPPQSHLARGGEDVYTPPPGPPPTKGDGIIR CC84DRAFT_1096471 MASIFRRIYDWLLRLFWATEMDITMIGLQNAGKTSLLRVLAGGE FTVDSIPTVGFNMKRVQKGHVTLKCWDLGGQPRFRSMWERYCRGVNAMVFIVDSADRD ALPVAREELKLLLEKPALEGIPLLVLGNKSDLPNKLSVDELIDALNLKAVSHREVSCY GISAKEETNLDAVLQWLIARASR CC84DRAFT_1206903 MAPAPTSTKWTTEFDTARRQKLFQNPPKDCTAYPTLAAAVDPHI HSFNQIFAKGGHIDEAIKEIGTKVFLDGDPYAQPEDVGRRNRLSVKIMDVFLDKSVLP PTNKVSISNRNILPAECRERHVSYRGKLRARVHYRINNGDWLETVRELGQVPIMLKSN RCHLENMTPAQLYKAKEETEEFGGYFIVNGIEKIIRMLIVPRRNYPMAIQRPSYTNRG PGYSTLGVEIRSVRPDQTSQTNALHYLNDGNVNLRFSWRKAEYLIPVIMVLKALVETN DREIFEGIVGTADSEGLAEKQFVTDRVELLLRTYKVYGLHSRAMTRAYLGEKFRVVLQ IPEDLSDEDAGTEFLRKIILPHLGAYNVKEQNDADKFRMLLFMVRKLYSLAEGECAVE NPDAVQNQEVLLGGQLYGMIIKEKMDEWLNSIRFPLQEWGRKNEFKPFTSNDFQKDFL GKILKRAQGEDVGRAMEYFLSTGNLVSPTGLDLQQTAGFCVVAEKLNFYRFISHFRMV HRGAYFAQMKTTTVRKLLPESWGFLCPVHTPDGAPCGLLNHFAHKCKIATKGLDVSAI PQVVAQMGVSSKASASLDASVVVQLDGRILGFCSPKQAKVIADTLRYYKVEGTHNIPL ELEIGYIPNSNGGQYPGIFMSSQSARMYREVKYLPLDKLDYVGPFEQPYMSIACTDPE VVSGDSTHVEFDPTNIFSIVANMTPFSDFNQSPRNMYQCQMGKQTMGTPATALRYRTD NKTYRLQTGQTPIVRPPLHNEYGFDNFPNGTNAVVAVISYTGYDMDDAMILNKSAHER GFGHGSIYKTKICDLAEGQRKSRSAKTMNRLFGFAPEGVVKASWRETLDDDGLPRVGT MLRSGDYLAAWHNVSYDQTQDDYINLDGQTQFFKYKEDELAFVEEVRVIGSETAADPC QKLSIKLRVPRSPVIGDKFSSRHGQKGVCSQKWPAIDMPFSESGIQPDIIINPHAFPS RMTIGMFVESLAGKAGALHGIAQDSTPFRFDEQNTAVDHFGHQLMKAGYNYYGNEPMY SGITGEELAADIYIGVVYYQRLRHMVNDKYQVRTTGPVNSYTGQPIKGRKKGGGIRVG EMERDSLLAHGTAFLLQDRLMNCSDYTKATLCRSCGSFLSTTPTVNEYQRKNSKVFQV RCRRCAKLADSAAPKTEVWSDGQGLRYQGGDDVTTVAVPGVLKYLDVELASMGIRLKF EVNP CC84DRAFT_1095036 MAAVEDDEWEYEYDDNETEDFYIPIDLANVPSVQGALGLGGSTQ RGHPTLLATKLRAITSERRDAESSLVVQNVEDVGESVGKIQIIGLHTENPLMMYNDQL LSCEWNKTIGTDLIFAKPGAIVEKEGKALRSLPSVDLVAMGSAKLVARVARMRPRDDL FDEDPKIGDQSSAEAMDTSGAVEPVVQTTRPASSSFLGQLNALKARRGEASRLALSDA PGGPRLVAAEESASNADTANEDITMSGI CC84DRAFT_859390 MIEVRNFLQQQERDTYWLSPRTMNEDLPSHESAAAPLDPSLICA IKDLPETEAFAIIVEYVAIQLLASCYTLLPASSAEILPLSQQRMGSRVVTALRLHTLH RLAPAAGHHARINSESSP CC84DRAFT_1165784 MLAGPPRLVSLVSVLVLFILAWSFLRLDSAPHSSFRFGKILSST SPSSSLRGDPLDFGIPLRFKDGEPKPTGTNYTWSIVIPKTKKEDLKWLRGEIPEAKLV VYEVDNPNAEYKIPKNKGREAMVYLTYMIDQYDDLPDTTVFMHAHHHAWHNNNLLMQD ALGMLKRLNHDRVARMGYMNVRCHHEPGCPDWIHMDRPGGDFDFFRKPEEIHWRKSIW EEIHPGAPIPSSLSGVCCAQFALSRERIRQVPIERLLHYRKWLLHTGMDDTYSGRVFE YIWHYIFTGHEVYCPAQNTCYCDGYGICFGGRQKYEEYENKQKERDKLFTEFDAIIKK SDKAKEEGKKEPALTEDETKELETLTKMIPEIDRWLEGKRKDAFERGEDEANRKEERE SYDSSRIWDYGPPAGLVS CC84DRAFT_859433 MGFQPSLRLRSSDPGYTVYRPEGCRARGNARRAGTLAPYLRNQP SAAFSILYCTTFTFTLIFTLTVTPSFAVDSLQLNFPACWLAVLSKVAASATPATARNG LAALRYLVPAAGADSHYSLSRCQHDLALLLSSSHAN CC84DRAFT_1248739 MDVAGKKLLFSGVLSIGATRHYVQGIPIKSYSIEGYGVDDDPNA IVYIVSHDASNDRTHDIWYRLGVPSRPYKRFQDAFQWIATFGKHTMDFLEDNERVILA DFRQNFDRWLQQRFPEHPDVGKWRKTYGSTDFRKILHAYIPYMWSEAMCLREDSLLNH PLWKQCERSDDDMIEPKCEKTVATPQVYECFKNRYFAGQIMGMMPSSAVLEARETRLR TLGLPSKRHPISSARPSHANQQRNSFEIGDVVCIAPDEFENQMWRKQAKDAATVHEWI GYVQRVISLSNGRQRLYVIWLYRPEDTTISTTDYPVTKELFMSDNCNCQEHALLSSEI TRRSSVEWFSRAYSTRKEFLVRQKYEMGTSSFVTLKDTDFQCSCQGSRSTSRPITPTY NRGDTVYLRQKDVLEPVVIEAIDITMKQITIRRLERLRKFVLESICDIPQRRIAANEL IWTEKFESVALSSIEGRCYVRFFTPEDMATSRVPFPYDQAGTGHCWTLSIGVGSDGHT LKDLSTAPWQLREGPDYAPMDPSKKLPGLSLFSGLGNLDKGLEAGGAVHFHTSVDMNG RAIQTLRANTEDPDKLNLWFGSVDDYLHALLSGDSPHMNLVAKIGNVGVIAGGSPCPG FSKLQQNWLSEQSLRNAAHVTTFASFVDIYRPEYGFLENVVNMGVTRKGFEEELVLSQ LIGCLVSMGYQVQQFIMSSWHYGSPQHRNRLILSIAAPGRTPLAPPRATHGDPDGFKS KSVGRLLNGERFGVQDSQATPFPQHVTPGEKLAHLPNIGCGVMHPCISSPDHVLRCRP NIRERRCMAHVPTDPPGVGIEYAAKRGLVPKYLYETRSEISSKSYRRINKDGLIGTIV TAPSPHDSRAGPFVHWQQNRCITLEEARLAQDIPAEEVIIGNVSDQYKMVGNAVDRRV SKALGLELRRAVDCDAHR CC84DRAFT_1149066 MEFTKIQEIELPAAFDAHVHLRDGEMSQLVTPTIRQGGVNQVYV MPNLVPPVTTVQQCLEYRDRLRAIEPNVDYLMSLYLHESVTPEVIREAKKAGITGVKS YPAGVTTNSSSGVISYEPFYPVFAEMEKQNMVLNLHGEVPSTPSHAHASTSKDQAITI LNAEPAFLPTLKSLHEKFPTLRIILEHCSTAAALAAVRACGPAVAGTITAHHLSLVID DWAGDPFCFCKPVAKTPEDRDALLQAVVRSEGRFFLGTDSAPHDQGKKRGEDKVAAGV FTQTNAVAYVLDALEKGVERGVIEEKEVSQEGLEGFLGRWGRKFYQVKDERGEKVVLR KGQAKVVEVLEKEGVSVKIVPFRRGETTWSVEWK CC84DRAFT_1165786 MYDFFLLEPECMLNAHGTLFATFSAVCLSSLSLAFPALIPSTAL FSLSIAPPISGAMVDFGSSLVVCTCGDCGYECSRWKTCVSV CC84DRAFT_859465 MDDPPGTIPQATPHPRRPPAHQSVSLRHSPPASGVPPKAPTFYN PQATAVSSPNVGASDKANPPSSVSPQVKSNKNSSGESSDAGKWFENTNNAVQSNNNLD NDPPFFLRNSSPSETPPDGQAFDHLYQHTTAAMPYRPGIGNMNNESVEDFRSVIDDLT VANKRLKQKLRKYEKLHDSHLQDEKLFEVRFHGLPDHKKRELEETLRKFAADMDSKSE QDYPAVSTHPAMFENQKTASSRASRFTESGYASMSGHNSNSARSNQPSNTASNTDWDQ RRLTKMNYNQQQQTIQSYLHDIPRGLLPKSHHAPMSDKSKKKLVVRRLEQIFAGKRSA PGNHPQPMQQEEVAQSAATADRRANEAAGQRSKTEGHREARIMPVRADDEDISGHQEA LQKSRLNLQINEQDFAGSGSPDQRPTRPLDLDIYRAQVPSDNMDYIRHLGFTPPNMMS EGSPEDDHGWIYLNLLINMAQLHTLNVTPDFVKDAVSEYSSLFELSHDGRKIRWRGGT DVTLSSTGSSSEYQGNSPPDGYGNSKSPHRFAKTGGSGSSDLSMNPERVAQRLARKQK EKERNQFSYTPLFFHKDDSDDEEDSYGLDMNSSSNSPYQVQQAGDSSGLGSSAKQSSA SKRRRNDGPIIFYSKAKFCTDLTGDSRGIASHTSDSYQNITSHPIGVPTSHPGRLIQS SSVMERRGPLETTMMDIDSKDGSQTASSSEEFSFSPDALNDDNGTESPDVMDFEASGL GGVHPEDNFSIRVRRSQQQAAPTSVVAARHRSSLYPKKILDVLNESPTQEDNPTSPKQ QQSVIKEEIISASRRTLPNSALPPASFLPFDSTSSGDVDSDLESNVSSSLSSTSSSEN VPATALHLLNVSSVQRDFSDASGDSSSEGDDEDDSDDGSIDLLATARRMDPHTVIASE REYDAALADRLVEEIPAGSSAATAGGGSGFNTPANALEALTEQGEPTGRTSRQKRQST TSGSLSSQARAKLKRNRTRESIATALQETSKRAKTQKRE CC84DRAFT_859677 MVCSADAECGGMFGALLFWLSVDDDARADPEATKMHDHRLRLTS GDSVHRRGGVRCCRPNEPSSMHLGRWSRPVSPAAVRPGTPPAFADQKRAHRSDLEHQS WHLEIVGKSLTAHNQGPIHIMGASCCPHARRWGAASDLPTLSSIPCNRRPRPQPFECP KSLSSTSLLLFLCYPVSYSIEPAASSFDTSVPIRAVPTCS CC84DRAFT_859463 MTSARSPSALLGTFRAASLRPAAAWRQRCLYHSHEYTQPAPFPP AESAILSSALSHVPSYGFTADALHLGARDAGYMDVSTNLLPRGVFDLINYHLVTQRLA LKNTVQFPSTADQGKKLGTGAKVRTLTLARLRANEPIIHRWQEALAVMGQPSYAPTSL AELARLADEIWYLSGDESVDSSWYTKRASLSAIYSATEVFMTQDKSKDFIETEQFLDS RLGDVMKMGGFIGALSEWADYTAHSAVNVLRSKGMRV CC84DRAFT_1165788 MSGLELWPEYEPNELKITPERIYALGMHPTTDKPLIFAGDKLGN LGIIDGSQKATTNNPEDADDEDADYEGPSITTLKPHTRTIHTFQFSPHDANALYSASY DSTVRKLDLAKGVAVEVYAPKDTSEDAPLSGVEISKNDPNTLYFSTLDGRFGIYDMRA PSERAAGLQIFQLSEKKIGGFTLHPLHPHLVATASLDRTLKLWDLRKISGKGDSRLPA LVGQHESRLSVSHAAWNAAGQVATASYDDTIKIHDFSSSSTWSPGHTLSDPEMKPTAV IPHNNQTGRWVTILRAQWQQFPSDGVQRFCIGNMNRFVDIYTAKGQQLAQLGGEGITA VPAVAKFHPSMDWVAAGTASGKLCLWM CC84DRAFT_1177556 MKSVAAFSTLLAYAAAQTTYFGVISSRSASPIHLLPLQANGGKF FLGGTASGYCPSEAIGDETCAHYPGNSTTFAGGDVSLSLGVVVPGGQQVYVAPDGTLS YTQAHSAFVPEGSVRTGWNKTERNDGSNLGSLSFEGGLVACPRNETQPWQVYGQIENF TAPEGCLGFGAVTYTASDAAAWQY CC84DRAFT_1149078 MATLRTVCRAPLRQLCPRSTFAIATNHPRLLPRQWRGYASSAEA QHKLLSQSLEDIDPTVYKIIENEKNRQKHFINLIPSENFTSQAVLDALGSVMQNKYSE GYPGARYYGGNEWIDEAERLCQQRALQAFGLNEAEWGVNVQPLSGSPANLYAYSAILN THDRILSLDLPHGGHLSHGYQTPTKKISAVSKYYETLPYRLNEKTGFIDYEQMDFLAN LYRPKVIVAGTSAYSRLIEYERVRKIADSVNAYVLSDMAHISGLVAAGVIPSPFPHSD IVTTTTHKSLRGPRGAMIFYRKGVRRVDKKGVEEKYDLEGPINMSVFPGHQGGPHNHT ITALAVALAQAQTKEFKDYQQTVLDNAKAFAERLGNSKEEGGLGYKIVSGGTDNHLVL IDLKDKGVDGARVERILELVGVASNKNTVPGDKSAMKPGGLRMGTPAMTTRGFQGEDF KRVADVVHRAVGITQKLDKEAKAKAEKDGRKAPGSVAAFKEYVGEGEDITDIVSLRKE VEDWVGTFSLPWVKHP CC84DRAFT_859882 MIGLSSVLRCPPSTDRITAHQSHQLSKRRHQCEVSPLGPLFVLC LSVISTSRCVQHILWSSNIKYLFSASTQFFPSPRPQCCLMNAKAVYNRTCPHAT CC84DRAFT_859888 MASDSSSLSPDIDRDSSPKLGSSNFTTQYSKKTGRPIRRSAGKA KPTDGYVDSKVIEDEYEEPIETPSEDEDGHIKPTQKRKRKRSPSPEPPPLDDVIRNDE PDERSEDEDPFQKKSKLPPIELQFNVPLGFHGPLVVKLDRSLLVPDTAYDMRPGLAMK KIRAGSGVDSQPSDGGKKPLGFADLPAELRNKVYRHLFVTEEKIMFPDAENMSRSSQF LSTCKLVHNEGCSILYGENKFRFDRNHKTRGPFWEPLPKEIGYKDVRQFLKMIGSENL VYLRDITLFLSDALPSTTPELTHESRRYLHDEHLLDVLRTLRQAKLRKLNVLFTGRRS LARNDTKFVEYLMQVKADEVISDTGTGWYYHNRKIESYIWKELKEKMTRKKKLYLPEK CC84DRAFT_1260700 MMDDVDRHLKAATIAALNVPFEHHMESFSKARTVGGERVRPNDS VELRDGSFLRIMFITKDDDGAKVLHGYRLLRNDEVDKRFSEERGHYLMSLLPCDDRNE LCVIVRTTTDTDAIDSFLVSEPLRNVACKRHIVITNTLWYHGKTNHSPQDHEHDAILF CRYKYIEKADLKRRKISEFKLRRVSRAESDVDNGIAPFWLLHEHRLGQDRLRRSNEQY SYADVCAGAGGTSRAAILAGLTPQFLLDIDTNACTTLRLNFGSEVVLQMDVREFSQLK ESGNVVDVVHFSFVCKGHSAANRHTNPERDAAHIALGYILSDILKMCRPRIVTMEQVP GVENRSDDGQHLRAYIQALTENGYDCSWRIVNFQEHGLAQARKRIIILAACPGQRIPS WPRPTNGIGPQLLKPVTIKDALLLVPNIEDLPGHMLQHTRKPHAEHTDARKPLPSCIT TSGGKSDVHPYEKRSFNMAELAALNGFPAWHRFPDNLGVTGLRELIGNAVPALSFKAF FDKVFEALKETDEDNYEYEHTEDRDYVVD CC84DRAFT_1165792 MAQPPQADGAPLPSAAMPPAMAEIKSQSVDELIKEMNRMPLFMT TLDETDGEGGQNDALEALKALAYEGTKAEVAENFRQQGNECARAKQWTNAKEFYDKAI AALKAPKKEPDPKDGPPIVDVTDIEIDEEEEAKKEKVIEEACYINRALCNLEKKNYRS CIQDCAATLRLNSSNVKAYYRSATACLSLDKIPEAVDACSLGLAIDPSNAPLKTLHTK ISARETHLESIEKARRERKAKVASERATLSLALKSRGIKTRSTEQAPNLEDAEIKLED KMDPSSILSFPVMLLYPTHAQSDFVKAFAESETLNQHLEYIFPLPWDQKQEFTLNDVE AYMETVAGGLIKLGKKMTLGKVLGSGKCEIKDGLVNISVIPKSKATEWIEEFKKRRGA N CC84DRAFT_859913 MATEYFSDNEAYISNQPLPSPAGTPYTSLSRRTSRYGSPISEAP ASPAPFPPEPNDNHDAPDNSDSVSMLDPRRFTPTLHASLVSEILSLRRELDSKHKFIE DLETSFDTVRKENDALTSQLSTSTREGRAVKGQLQQLEDATLSALEEIASERDKLKEV NDDLKEKLNSAQKKLRSQEDDSTRVHDMWAHEKEAWAGEKRTLERRVHISESRLKMLL EEIAIHEEGQDNDVDSEGEGLPRDSGVGPESEAGSVRSSPARRTSTRIARHSRNLSNS SFRSFGRGYRMSLMSGTGSEGHGRNNGMSLADELVFDEEEEDLDDLELDSDDFPEHEM RALRALESRQSMRQDDKAKRILGLSLDSELPIRDVFPDTEPVKSESTQPSAAVPPQKL HLVFPPPRPQSMEIPEEESEPEPEPEPEPEPEPAPRPQYVDTGVQPSPPSSPVRPETS ESALTFKLYEGTASRAEVEANQRKKRVSAAAGPSAGTSQAPPMPRLMTSTSTQGSDQP LSPPATPKVDTSFDDASLSSQPRPAVVSIATQTEPIEHVESAGPVEAPAQEQSKPILP TRAPPPVPILIPSIAIHAPSSTPSSPKEPILPPGTISVATQTTIDLRSNMRTVGMQTE PIMVDRRFQKLPPHLQPSAISSKPGTPEPGQKGTITSLVAQKTDAKQAPERTAGPSAR QDLMSLLEKATNKVEDRYPGNNDNGPLTRTKESSISRPFRTSSLFAGFDGPSSDEEDS DAELSADEQRQPPPMLSARNVKSGKVFNNPPTPVPEEKEPSPSPARPSEDSTRSQISS HASMEKPARIGKLARQPSIRRSAMIQNGTTAHMRSRSPSIGSVDTNGFLTKPPFPVPT RSSSRKPVLSKSEGSQSPTPRNSGSFAGRRPYGMKQQQHQRKDSLRKVRSAAVVSRSG RPRSRSPPLPATPSTVPLSPSLPPIPSYTSDVSSSYGYARGHRNQLSTTTTQTAQTAL TGSASVGSSVAYQASVVDAIAATMVGEWMWKYVRGRKAFGGQESPADLAKAGDEAVST INGVRHKRWVWISPYERSVLWSNKQPTTGPALMGTKGRKLVIQSVLDVVDNTPIPRNA GTQALFNRSILILTPARALKFTTTTPERHYMWLTALSFLAHSSSPIPDLGAAPLAPPD EEPAYRPQGATLRRSHVRDSVRLAKGKANPVAQRYQASMEPMPELNTFRAEQALEESA DPPTIPRGPLHSRKRSSTGPLAPRPAQTFRTFSHQQVPSLYSSGSSDMYSSRQPPSVP SSVYNPNSVVASTRTSEASTSTRPFFDTMGTMRMEAFIDDSLGKDPSLRVLQSSSRPG HRRRRGSQWSVNEANRAGGAYDDIMNDPFRGF CC84DRAFT_859919 MRKDIHNTTRCQMCNISLLHHISQIFFKVRFISLGRFWVGSGGS GFIVIYRRIFASPLPHQPLSSDSIAGNTE CC84DRAFT_1260702 MASHTAQEKEIEAIQLSLPVHSETSSQFDDAYETYKKNQGAQID AAEAKRVLRKIDVRIVPILFFIYLLQYLDKNGINYASAYGLNEGTKLKGQDFSWLGSI FYFGYLVGQYPSGYFLQRLPIAKFLGFTTLGWGIILMTTPLCHNFAGIASNRFLLGLL ESAVNPGFVLIMSMWYTSAEQPLRLEAYYCTNGIATMFGGLIGYAVGHIKSGLPRWMY VFLIFGSCSIIMGIVTLLYLPDLPSTAKFLKEPERVIAVERVAGNRQGVKNRHFKKYQ AWQTLYDPKTWILFVMATGAQIPNSALTSFSSLIIKSFGVDTLGTQYLQIPGGAVQFL SLITGGYICTRFPNTRCIIMTVANLICIIGASMLVALPSSSKWGRLVGLWLCYFQGLG FSMSLTIVSSNVAGYTKKQLTGAALFTGYCVGNIIGPQTFKDSEKPGYHSAYVAMLIG YSIKLLAVLVLYAYMWSVNKKRDRESASGIHLTDEEEKAAVEAGMLDVTELDNKGFRY IL CC84DRAFT_1260703 MRGSILSLLTFGSAVSAWLPAERGFFKSEARSLSIGQGRSITKR FNPDKIRGVNLGSLFIVEPWMMGQAWNDMGCGDQKSEFDCVLKLGQDGADAAFKQHWD TWITEEDLDKMKEYGINAIRVPVGYWMVEELVDQSEHFPRGGLEYLDRLAGWAASRNM YMNLDLHGAPYSQEIHQPFTGQYSEEAGFYQTSQYERAYTFLQKMTERIHTTDAYRTT GMIEVLNEPQRTHDSLIPEYYATAYGKIRETEANLGVSDDKKLTIQFMAESWGAGNPR QVLEGKTDVAFDDHRYLKWANIDQSKPSYIATSCGDTFGGNDNSPIVVGEWSLAVADN NEQTPEWEPQGNKDWYKQWWGAQVQAYEKGLGWFFWSWKVQLGDDYRWGYRNAVEAGV IPLSPDEAAGLAKC CC84DRAFT_1095726 MALSQPVATPAWPSQAPDPTAQREALAQARKLHAARRRAEDHKR ALPSTPARGNSLRRVKDSREQLRTTRARGTSNATTDSTPSTAPGRSFTVANINHGIIY LRPSARPAHQRARPPEPIFPQTPPDSATLDSRARPTSEDWEKTVYEAKTPTRTDFVLP PLPTDVKTDDAIKLSRPAYIDSSPAPAAHAHHIRSRSFSTVDEHTVSSQSFEPGTFKV VIERSGRPRTADTETTPILEVPIPSYRLGTPRFSARGTAILRSSTYTSAAGTDDYRAS FLTPQRATHSFLSSRPRSDVYSPSSFNFRATMDLRPPLPSSEPSSARISKIPIGPQIY DALTVNPDDHAVVRFSATGDIIAATPSRLIAHITSPSFLDYELLSDFFLTFRSFLVAR ELVAYLLSRLRWAVNRQDDFGRIVRVRTFVALRHWILNYFVDDFVPKYRLRVYFCELV NGLHKDLRAREDGGGGDIKIIGELKKCWRRTCALYWESDERIGQDGANEDLQPGGKPG SLASVDENAFAAPLPSTPPRGPAPRQVSEQTNPETIGSNPSDQFASKHMDWAHRARHT PQNSLSSPYIPSQYASQYEPGVRIPLSPDSERSMQVLSCSIPMRGLNRTEAAKDVPLH AYPVPHPVAAPPSRLTASPQQPSPAKQSNRPSHGHKRSGSFSDALRDHRTHLSFPKST TSDGDITTVDITTISKIPGSLVRGGLFQPGSPYIDVKNGSLRHTKSRLELAHEEVVHP DVQFRGGYVASPGVKKLLGSVRRALSSKQPGSSSPHFAHGFQHHAASSARSSNPATIS TAVSGVQRRRNTRPRLQVRVDVLASAVAESFKEAVQEQLKNEQQRQSMIPAPALGGFE FEFEKRKSNSNSSQGQLKSTKKEDLRFNSGITTGSKSIVIMDDTGAPPVPMMTGALPF SEKGQGSISSVPIDLTRVASIDAKIDDEKTDIHPEHREIEVPQDWERVSRPSIQPSIV PQRRSASLGRERMHSFRRRGSTKTSMSRPASLRRHTSHASGLGRQKPIESMSTFKTMS SDNDPFQFDRQSSIKALKPARQLRRRPGGDLRAADNVQDLEQGQRPHSTGSVSNRTHS IANSVILRTDRFDGLTKGAEKEDDSDAEGELRKRPHISLVDTHSSQPNLRPSFEAEVA KLAALPDDIHDDGGIESALMKLEGRYEKKSPDLADSSSKTSPRADVGLGAFKPSAPLV ETYVPTGYNPSQPRDHAKGDDALHRPLAPPGAEGQDMYRLSGEAFNRRVPPVDSQIES EDSYSSVPLLDRGLSGLGRPTRARASTLETMRLSAKPAPLNPTSPVTRIEELTTSSNS SIEHIIKTDSMKRIPADETMPRSSIARESFLLDDEEDLSDLGSTRRTMSNDSNGVRSF FDDETPVGDKDEFLPTHPMRHPPTPPLTANRLKDTLPQVDTTVFEKGLPTPGMSPASR LNGQSFEMLGQPKEPTVHPQETKQESPAAEPPHFPFILAYDSETLAQQFTIIEKDALD EIDWRELIELRWKQSSPQISDWVDYLRTQEARGVDVVIARFNLVVKWAVSEIVLTESI EERAKCIVKYIHIASHARRLRNYATMYQLSIALLSNDVSRMRKTWSLVPAAELHTMRE LEALVQPLKNFHNLRVEMETATIEEGCIPFIGIYTRDLIYNAQKPAFIDAPPAAGERL VNFERHHTAATIVKSLLRLLEASSRYTFNVEPNIISKCLWMAALSDDEITKRSRQFE CC84DRAFT_1197296 MPPVAKSAATAAPPSVPEQRPPPQVKSKVAFSIFFAANVIAAVF SPIQDCDEVFNYWEPTHYLNHGHGLQTWEYSPQYAIRSWAYTGMHAAVIELGRLLPIL KTKTSQFYFLRIVLGFVCACCETKLFSVISKTLNPRVAIFFLMAMVSSPGMYHASIAY LPSSFAMYTTMVGFAAFMNWTGGMRTAQGIFWFAVGALLGWPFAGALVLPFIFEELFL AVTTGQVVPCIKRLLDGTFRSLLILLTQVVIDTYFYRKLVFVPLNIVLYNVFSGGSRG PDIYGVEPWHFYIRNLALNFNSWFFLAMAALPLLLLQHFASRSIFSHQALLRGITFLS PFYLWLAIFTLQPHKEERFMYPAYPALAFNAATSLHIVLANFGSTDPKSLFSKIPAQV KLLFVSAFVLATFNIGAFRTIGTMTAYSAPLSVYRPLQQQSFAHQRGFICLGKEWYRF PSSYLLPEDTRPRFIKSEFSGLLPGEFSEHISGYATFDGAYMMPTGMNDENIEDPGKY THVDNCNYLVDSRIPSMPATEKEPDFMSDTKTWEKVTCQSFLDASSTSVIGRLVWVPN WPFIPARFRRTWGTKKLDEKLQSLNVALEEANIDPQHLLYGFLALLTAWWAVSAGVRR LRTRKQAIRPSTPDLEKRSPFKAPPRPPGVWIPMDFKRPPAPPYPNWSLTETKPLPYR PFRHGPKYNITLGLRNMHWDEWIELDNDYMKYHDEKARRIAERGDRCCRTAPEAFDGA VELLEELCDYLPQRYPSLYKKTDVGIDNLATGETLNIVERPLKEDPMALAARLVQDDL AIMFERPDGQYYLLAGAILLAGFWRLSDKFGMPLSEIHTSGDVPGFKTKLEKSMMNFF RRVQPESPVLRNNYFIQVDDGLAWSESIGNEDEEGIGWFTAEKDKAIEHHMFRSERQS LRRLPRSGGVVFTIRTYFLPITAICEEPYVPGRLASAVRSWGDDVSKYKGKERYGDVL LEYLDRKHEEQVRAGLDMEREDEVRTYPF CC84DRAFT_1218879 MADFAPPAKRARLDVDADAPGSGSLDDAPGSPVDDLDDDFYENS AADNAQAAVPLQDAPLKGGLDSMGDAPPTEPVSAAGAQIPGFGLWGESAAQQQPPAHK DGGSEDGELSDEENFYSEVNPDAPIAQQQPPVGDASQLESKLPQPGLLSLFSQPVDGA EASAVAALIPDAEAPKSLKRKASSPPVEAPDDDDDDDAHLVDAITAAIANEDAADVQP EDDGKAEFLEAAAANKDNKDAEWELDSQASSSSSSDSSSDESSSDEDGEASDDGELLS PAEMAKRLMEADPDEDDPSASKTTKVRTQNELDETYVKPDIAMKDDTKITEVGTVESI VDNVVVIKANTSGDYHVLEAGSALCLGNRTVIGQVSETIGRVQDPRYSVGFADVAEIE ALNITKDTPIYYVDEHSTFVYTEPLRAQKHTDASGQYDEEAKVQEFSDDEQEAEHKRN LKQQKKARTQGAEEAPFNPPTGPSAYRERSPPNPSAYTGGGLKYSDDEDEDLGMYKPL ARPDRFEDIVGAGAPLEDRSHVRRGMMRGGRGGWMDRGRGFRGRGGGGGGGGGGGGGG GGRGDRGGMGGGHRGDRGGMGGQRGGDRGDRGGMGRGGGRGDRADRGGRPFGGSPERP TRQEEQPRRAPLPPQQEVRPNFRAQFSPAGSPPQQDVGPSPRGGKKRNKKRNRRERER ERREQERQREQERQGSSQPPVAANATAYASNQAQSNSGWNSAPTPAPAPPSSYAQPPA PPPAQAPANYYVNPGLFPQQAAAVPPPAPPAQPQANQAQAWAQWLQIAAYMQQAQSQG GQPAAPPPPPPQPQAQAPAYSQYAQPQQQQQQQQQPQAQYSYGYQQYQQPPQPPQPQP TPPADPRLQHHNPQQQATQATPSIQDILRALGQSSGR CC84DRAFT_860016 MTRNETVGRHGSTESSASWRSVRSNETVRPFGGRGSLRGTPVTV QTSEDPNFLIEKESEISPGTVPPAPPPKDPGHKPRKTSTWDSPLTTDYRDFRDHRKQL AVLETSGGRIPSISRNPPTATSPTPPWASAGANGSSMAASVWGSFFNDSNEDITQLSP RLTRPGSQDDGMGFPRDDRRPSMASATTVSSTGSKSSGTRAGYHKRLQNVFGEDFPQD SRQNSDTSLPAPYVTESQSLRAGRKRANSHNNTIGSSFNSRPNSPIGSRPRTPLPSSE VTPWEYQDYKQDLSSQGENGRPSADQQSIKSNKGSHHHHKLKFPGHRHNRSKEDNKSS ETPARAAISQPYPMRPATSREESTYSIRQEQQQQFASKTNLVPRAPSPTPSAYSAASR DPTSQRSPSTAKGPRGFLDRLKGRGKPDRQPQENLRDLPSSQVSLQPSIQSAKGLPTD PMAGPFGSKNRKVTDNFKDKAARDGNGKHRGFPIPRPGHRKQTAPAPVEQQQPTGKDP NEASTDTTKNDAMWFLDTNLESMEGIVNTQHQPLATPIGETVPTTSLRADDIQSESKD DAKWDIPESWGVVTQEGRGGSPHAELDEAEAMSKEEDGNRTYCVRIFRVDSTFATLSA TLNTTVSEIIQILGKKTLLQDELNNYHIVMRKHDTSRQLDAKERPLLIQKRLLEQAGY TDSDRLEDLGREDNSYLCRFTFIPAKLSGYSSLGKDPGFTKMQKFSHIDLQGRNLITI PIALYQKATEIISLNLSRNLSLAVPRDFIQACTNLREIKYTSNEARRLPASLSLASRL TMLDISNNRLKDLEEVQLHRLQSLQGLRLSNNRLTRLPAYFGQYRALRSLNLSSNSLH EFPDFLCDVRTLVDLDISFNSISSLPKIGQLTCLERLWATNNKLSGSFPPSLCNLVNL REIDVRFNALNSMDVMSQLPRLEYLMIGHNSISSFEGHFPKIRVLHMNHNPLTRFGML QSVPSLSVLNLASAKLAALPEELFPRITGLTKLILDKNHFSALSVSIGRLIRLEHLSV ARNSLDELPTEIGRLAELKYLDVRENNLGRLPPEIWYARRLETLNVSSNVLDGFPKPS AAPPPAALNGDSNLEGATPASTPGLGTSPSYEELGKLEDFQNRRPSQASAGYLGSATS SASNQRKGSMASYGSASTSNTARKPSVVSRTPTEGTQTPVSRKDSSLSNRMVTTFAGS LRHLFLADNRLEDNVFDELCLLPELRIVNLSYNLIYDVPPRTIRRWQHLAELYLSGND LTSLPAEDLEEVSSLKVLHINSNKFQVLPAELGKVAKLAILDVASNALKYNVSNWPYD WNWNWNHNLKYLNLSGNKRLEIKPSGDYMRRGEARDLTDFTSLTNLRVLGLMDVTMMV PSVPEQSEDRRVRTAGSAVGTMAYGMADSLGRSEHISTMDMVIPRFRSNDNEQILGLF DGQALAGGGSKIAKYLYDNFKIRFAEELGKLRPQESPVDALRRTYLGLNKELSLAAHQ GMEKNAGLVSAQPRGSVPDLGEEDLTSGCVATVVYLKEMELYLSNVGDAQALLIQTNG DKKILTRKHDPAETSERARIREAGGFVSRQGKLNDVLEVSRAFGYVHMSPSVVASPHI AHITLSDTDEMILIASRELWEYLTPDFAVDVARSERDDLMRAAQKLRDLAIAFGATDK IMVMLLGVSDLKSKQRAKYRTPSMSMGPSGSPDDFFLRPKGKRARNLPNDSKLARLDN EVDAPTGEVSLVFTDIKSSTLLWETYPIAMRSAIKMHNEVMRRHLRIIGGYEVKTEGD AFMVAFPTVTSALLWCFTIQSQLLEVPWPQEILNSVNGQEVVDPNGNVIFRGLSVRMG IHWGTPVCEVDPVTKRMDYFGPMVNRASRISSVADGGQITVSSDFIAEIQRLLETHIE GDRSNSTGSEEQFGDDQLSQAIRQELRSLSSQGFEVKDLGERRLKGLENPEYIYLMYP HSLASRLDVQRQLEQKAAQQPTQGAGQKMPGSQLTIDTENVWDLWNVSLRLEMLCSTL ENPDSHELKPPETALLERMKNRGGEITDRFLINFVEHQISRIETCATTLAIRHMVRPF GQAPLLQQACPMSDILSELQLQLAELQQFKDEAASQFASA CC84DRAFT_860009 MLGQPTYLLCFGLCYVSTRRLLTYVPSLPRWLRLTCLSAMGLRE TRVHGRPGLCWAGILLWRLFSLTSRWLPLASLFFRDLAMLFLFFFSVSCRRRGISAVA LLSGADGFVVLRMGRDSYTCAALLGRFGARDLGRRVLVVDLCQLRWFSLRESACT CC84DRAFT_1206921 MTWDWDGKRVGEEWDGEAVYIWVWTRQGQDAASESHRRVSRKSV HLALGGAETAASKIVSSAHVHRPSHRPVPRALPHHGEPVPRPSESRIRNCIATPRDGL RRVKVPRVSRAETIPPRAPSTPSAILHPSRHPANDPAPTHRSASPATVQRFVPGLCHL PRARPAQPAPDLCGVQWAPVYYCESEEGQEEGEEGKAVWQDRRGDGGTAQFRGRCWGR WWRWRRRGRRWRGSGML CC84DRAFT_1149105 MSMPTHKRARSDPPPILVEDTATAETDMGRLPRSQTAYARDAPQ PTSYRPRPEPQSRESYHDTTRQRRNNTWERGHRRQRSPNIEWHEKWTKDSWQNGRVLL IDYVDKNHTTQGRRKIVAQEFNDVEGLRNFYQNEELSGQAALRVIHVQNASWATRFLL RKFNIDATDDLVGTSFGRWARYERPQRRANKPVLNGKTFRTQRDPWRGISRTSFGCDY LKHYPANQVVESDYVKSTKMMALNHYDEYDLPAHTYDVHVQRLSVYVQLSYDEPGGDV DPDISNPYDEEEYQYHENLKKQYNADDYVPKLKTLDNGNTIILFEHSQTGSVEDTLVK ARQEIELRWRRLTFYMPRSDTEDDGLMVPKCMDLILRDIFRALAQNWEKYSNICEVHT GILEDKIYENPADETRAPELWTNASLWLKVERLMYLHIDLIKETRAYLHELDNSDPSE DGPWLESSAEEMEKLTTLFQEGVVKPTDNLSDLMYKSVGIRDARHSLQLGLSMWRLSW ITFVFLPLTFTTGFFGMNVDTFAENPSIKYFLIAVVALFTVVIALWYMMKHTLSSQHQ ETLRRGVYERLHSELSSKHPTLWTRRGPRHGVVPVGWWAGLKWRFITHWFNADRTVLA TRPEDPADRELGTWSRMKNYLARRWLATLPVMPRAPAASELDLYLRAQEKARETPTLG MLVEAIAPVGLADGAPTAASRMRRSVRSLRSLSPGRSVRSEGDKPVERGRSEERPSSG VMVEEKGVGSDDGSGDERERGPGSFRERLGVPG CC84DRAFT_860075 MAHPVRAWTFTNGYPSTLRLSTIPIPQENDVQPTHMLVKIAACA LNPVDIQIMNLPHFSLPTLNGEKTTVMDFAGTVVAGGGAQFSKGDKVFGMAFASYAPA GGALAEYAHFPLATTVAVKKPRAWPVDKAAAISLVWLTAKACVEAVAPWVEATASKRV AVLGGSSATGMYITMLVKRRGWKVVATSSARNRGFVVDELKADAHVDYTSEHVRTAVG KFEPDAVVDCVGGTECIGLPSSKRYVTIVGDKTGRTMMGGPATYYDMMHPVHAAAQWV RWAKGKYGLGESYDVVILDQKSEWLEEAKETLSPEDIYIDSVYEFEDAKQAFERLNTG RAKGKVVIRIGERSST CC84DRAFT_1206924 MTNPFPTIQDLAEKNAGASPGFKPKLTLQDLMSRNAMAVISCMD PRADPKDFWGIEAGMPPGVIRNAGGRAADALRSLEVLSALGEGLSAVAVVHHTHCGVG PHGIDDAYIKRTLPGRMRGSSSALEEKHWDSFKHQTEEESVREDMKLIVADKLLPKDV QVFGFVLDVETNRTTEVRL CC84DRAFT_860063 MEIRTVGPEEVKDAATAAARRIPAEEIDSTFKSKYIDLISAPLG SKILSFSDEWFAAAENLITPTPPVHKPGVFVHSGAWYDGWETRRHNTEPADWVVLRLG VASGRVAGVEIDTAFFNGNHAPEIAVEGCFITDAADEDRVKSADFGGWETILAKQECG PSQRHGWLLNSISDKAYTHVRLLMYPDGGIARFRLYGVAVPVFPASADAVFELSATVM GGVAVSCSDQHFGTKDNLLLPGRGKDMGDGWETKRTRGEHVDWTIVKLGAEGEIDHVV IDTAHFRGNFPQKVQVFAGKFAQGIEPAHDDKAWVEVLEPQRSGPDVETEYKAELKEV KGRAYTHAKLVIIPDGGVKRFRVFGRKV CC84DRAFT_860055 MDSDQEHAYSGDDPQPRPQPRQLPADLPTSLDDRRAPHLPAEEM EIYDAWQGQSQFLTTPMPAQPLTFNLSLDDPSFGDEDMQQLEDSDSRLVQMLAHQARL KNDNSGDEAKVVADDKLSYEEKQQALQGLFTLAASNGEMQRVKRLLDGKAREYIDIDG VDAEGTPPLVYASCFGHEDIAETLLKEGAKVDVQDKNLWTPLIWAMTNRHKGIVKLLL ENGASPDHKSSGGRTAFDFVEPNSEISDYLHDAGYTIGNAGVTDDFYSSGFSQDRFEQ EMQENDMKRRMAMESAFNLEVDLGNLGLDEQPESPGELEEESQDFVWDRCLNDQMFVF QENELDRILDIIITNMTPQRSPSQKPIPANILFLSARYAHYHSGTELLEILLSSAMEK INVIVEKHQWDMTVLAFWISNATLLLHYLKKDSGLATATGEFQLQLSELINEIFILII RDAERRMDKVLDQAMLDHEPMPGFEDIQFQNEWKIFKTKQKAKPLEPFEKRFRPPSPK QRMKPSARNITSLLSSTLFVLDLYDIHSVITAQILSQLIYWLSAELFNRIMSNRKYLA RTKAMQIRMNVSTIEEWIRSNNRQPEHYENGSMTSTGETTAESAKRHLEPLIQLLQWL QCFSSIGDDLEDLKSTIDQLTRLSPQQLLHAAKYYRAEVGEKGITKSARNHINGLQKA AAERRAARGRSPNPPQRAPVSTPSTPIKATMQPIPAPSPAPSRVSEDDQERDAPDELL FDPSYMLPFHLPTSTDMLITYGAGFGGVNKERERKYIPTVPPEFLTKLDLSGGSKNGT SLYDETKWENQGYR CC84DRAFT_1149110 MAAAEDDVDADRRALFAQILVRLQELPNYTWDTDVAPFHSSYDN WHFFGRRKTSADRPLSRGRSAGTSISSSHSPDATRPPLSRSHKSSGSDASEFTTATQS TDMPKDREVVCRVSTHTLRIEREFQVCKLINKKSDPNARHFVRPIELVRLPPRPGNNE PMVAFICDAPGPNYLREVVKFGPNAYGISHQNNDSWKVQNLDYKGGIPLLTFLDFAVG ATECLEILHHGHQLVHGELRGDAFHFAENGIVKMINFGGGARSFENGLTSAGWNVLRK ELGIELKLAFVAPEQTGRMPAEPDSRTDIYSLGILFYSMLCGETPFDGRTPLEVMQNV LSKRIPPISSKRMDIPDILSSVIQRMTQKNIEERYHSTSGLKHDLVRLRELLSEGDSE GLKQFQIGLKDVSCFFNLPLKQIGRDKERNTIIEIIERVSKRRRMTPILGNALNSLSS NSSFSDPRMETNQLDDIMSDSTSSRGSDSRLNSVSGSAPMPVFMDAARNIHNFSQDSM TQSESSVGDENPESRMPVHAPTRGPSSTSIEGSLSISRSNQSENASLLRTMSSARSSK MRRRARCEIIAIAGEAGLGKSKLVQSIQSTARSKNIHSYFSAAKFDPAKKAPFEPILK LMSSLFRQIFSEADVSTEFHSNLRSHLKNSGVWQILHSYLDLPEWLLSAGSAQPAPQQ RDVRIARKDNGRRASSPAIHCGSAGHTADAWLRSGGASKSTRFMNVFIDVLRLLALQT LCIWTLEDVQNADAESAELIHHIAQARIPLVLILTYKNEDSMPKDLRTLLPAATRIQL HPFTEADTAEYVSETLHRDTEYILPLVAVIQEKSRGNIFYIREILDTCYRKQCVFYCW REGAWRFDLDKVFDALESPEYGSSINNDFIAKRLRDLPGNSRKLVAWASLLSGSFTFD LIRNLLDPLNAPPDADTTRIPLLRAKDCAITALNGAVNAFVLMPADDEDRFRFSHDRY LTAATQSLDQEWDIMMMHYMIAKYITTSGAYHNDSMSGSKGLYIRSRHICLASNLIKA RESIRAPYRDVLYQAAETAVDSGARSTATYYYLHCLSLLQDDPWSENLPDVSYQETLQ LFVRAGECYWHQGMFDEALSLIRKTFSHARDPCDMASSFILQSRVHAVRGDSFGAFQA LKDCLSLLGLPIPPTTWEECDQEFQELCALLQSTDKEELLSRPPTTDDRQLMTLGPVV VELLSAAFWSNSLLFYQSTLKLVSMHLKRGTVPQVAMGYVHIGSIAGGRFNMIEFAVE CGAIAKRLFDLYSEDHYTIGRGQTLRTLFLGHLEAPIADLIPDLRNAMDASIFAGDRI LSLLNLGVVAHFRLMASHDAAEVEAWIEEAPLEFKNWQQDLRGGVYLISFRQAARALQ GKTGTHNASLIFTDTEHDTGAYIEYLEKRASNPKRPKTVYMSTQLPILVLYGFHREAI ALGEALLPMIDSLWCQRMTYAVMYYLSLAYLVVLTEEPEHSDKERMLDYASQTIKRLE ACCVVTDVNYRHWIALLAAGIADIEKNPPAALVNYELAMDHSEIHGFALDEAHAFELY ASWLLRKKALRPARHILKDAISIYRRTSAFGKANQLTTKFEWLLRGTASLSTMDVAVQ TTIIDTGNTSFRLEQNEDHERFLETETAVDRTTDWLAPNSSKRQVTENDFPNGFSVNG LDMLDLSSILESSQVLSSELNIDKLMGKMAEIILESTGASLCGIVVEDAQIEWSIATV ATNEPSEDGSATVTSFPNGQPLETIDDVMARQVTLYSLRFREVVFCQSLLEDDRFANV SEAYLKRHPDGKAVICIPIIHSDHLMGSIYVEGPPNSFTERNTNVLRLLVNQISISLA NALLFKKVEKVSASNEAMLEMQKRSLAQARAAENKAKEAEAIAVRNMKLKEEAARAKS LFLANVSHELRTPLNGVIGMSELLKASPLNSEQAGYADSIRVCADTLLSIINDLLDYS KLEAGKMNVISMPLSLNETITEVVRALAYTNAERGLKTVEQLELNPELLVMGDPVRLH QILMNLLSNSYKFTPKGSVTVRAVVDNESDDWIDVTCSVIDTGIGIPDEQKKKLFLPF SQIESSSSRSYGGTGLGLSICKALIENVMQGRVWLESTPGVGTTVSFSLRFQKVPKTD GQTRHHSTRDTDPMAKFSSQENNGHEQSSETIDLSAIPRSDLRICIAEDNLINQRIAI SFVQKLGFKCDAYLDGFKTIDALERASDRGRPFHLILMDVQMPHCDGYEATRLIRQHK DPQIRNVLIIAMTASAIQGDREKCLESGMNNYLAKPVRAATLKSLLESYLNREEEREI PNLADAAKEIVKTALRHAKGAKGSDGDESTTPAELPVRSGGARDADETRAPALNTEET TIRPQSSRTNTATSATVATVATAAPEEEEKQKKTSRPSSVRTNTTQRWQPTENGSLPA PSPPPGA CC84DRAFT_1248785 MSSSNQIPNLRTLVGRGRRGGLRGRARGGPGASSESSAAVRDSA VRATDQDAAGSRVSCVELGYLDDAYAKLFATQPSARRLPLLNRGSYVRTTAIDLLIDR FLATPTRGLKQIISLGAGTDTRYWRLRDKHPDTPLIYHELDFPANAASKLLSVQRHSE LQDALGASDLGLAGPQQSYHSSTYNLHALDLRLLAAADTASLPDLPNLSPSANTLILS EMCLCYLQPQMVKQIMHTLTTHYLSPDTPASLVLYEPIRPNDAFGRTMISNLATRNIH LPTLLTYPELTDQRARLNEYGFVDGRGAVDTAFVWREWVSGEEKERVGQLEMLDEMEE LELLLRHYCVCWGWRDGDEHRDEERDGEGGLFRRAWEGVQGNNAG CC84DRAFT_1095780 MADSGDHKARQEMLDLWRVYRTTKEMCRDRGYNVLPEECNISFD DFARKFGTESGQIARGQMNFSTIPSEDMVRKYTPPATPKVPHPEPAIGTLWVEFNADE NIGMKNLREFITHLQNGSYYSGIMIVVKPLSGMAMRGIRGAQQLSGGSGPKGGIEVFL EQDLKINITKHELVPTHLLLSTEEKAQLLKRYRLKETQLPRIQVTDPVAKYYGLRRGQ VVKIIRKSETAGRYASYRWAI CC84DRAFT_1122952 MASKIAVIGDVNGHFPAVLQKLAALHTKQNFALVIIAGNLFASP SDSTEQDENNVQDLINGKIEIPVPTYFALGSNAFPKAVVEKLTSSDDELCHNLHFLGK RTTMKTSEGIRIVALGGQLDPNIIAGQSQDKYPPYYSDTDAKVLRGATTADILVTGEW PEGIRSRSKIAFNADAPPREQQCIADLDVVLKPRYHFSTSGPVYYEREPFFHLPSEET DNLTPVTRFISLAQYGNPNKQKWIYAFSLDPTASHPISPPSGSTMNPLTHSDKKRPAP DHREQALVYDDGRGGRRGHKRRKHEARGPIDASQCFFCLSNENIATHLVTSIGESAYM TTAKGPLSTAQTFPKLGFPCHLLIIPFSHQPTLASMEGEERRATYAEMQKYRVSMNRM VSSKGEGEYGSVTWEVSKAGLPHTHWQYMPVSADLIQKGLVEAAFKALAENYHWPSFT KEDVGDGFEETSDFFRVLIWDPKNDAEKQTSLILRFDEKIKFRLQFGREVLAKLLRLD QRVDWRNCAQMQPEEEKDVENFKNAFKEFDFTAD CC84DRAFT_1260718 MKSIFIVSAIAALGAAAPVEKRTPPNIPSTSSATSLLAGLTVQA QGSQDGYSRDLFPHWITQSGTCNTRETVLKRDGTNVVTDSACASTSGTWKSPYDGATW TAASDVDIDHVVPLSNAWKSGASAWTTAQRQAFANDLTNPQLAAVTDSVNSAKGDKGP EEWKPPLSSYYCTYAKMWVKVKSVYKLTVTSAEKSALTSMLGTC CC84DRAFT_1206930 MARSSALEQHQLSNTTTHSGLLVESTIVEMYDKYSCSMAKSITP PRLPTELWLQIFEQVDDVEFLWCTLRFVSRDYKAFVDRVFVTNYLPTISFSLSLPRLD PNSGRARYMRPVPGAEVTLHCENPDAESRRVVLSTPKALPSGDTIEQLTASGALTKER LDEAAAWMWFGKQRTRGVNVVTINKDIRWNEEEKVWVWSVEWKAFVNKYFGAKRASRR TLPATGWRIRQGPRT CC84DRAFT_1165808 MPLLLAAGVANAQFGQGRNGQNNGQNNGQNNGQNNGQNNGQNNG GNNGANAGGNAGGNAGGNCLNPAVISKNANNNGLQNAEAGQAASATDANNFINFCVGK TITNGLQVKGGSCNPIPMGNIPSTGNMISAVMVSPQDGDTVPANQAMKFSVKINNLVA GKFTNPDVTYYVAPQDLQGGNVVGHTHISVQEIGNAKTTTPPDAQKFAFFKGINDAGD GQGTLTANLAAGLPAGVYRVCSMTSAANHQPVLMPVAQRGPQDDCVRFTVANGGGNNG GNGGNNGAQNNGQQNNGQQQGQQGQQGQKGQQAQQGQQAQQGQQAQQGQQAQQGQQGQ QGQQGGFGGGRKGRGRFGRMKFAARDFVA CC84DRAFT_860291 MMDLKQNSAVDSLSPAPSKRSCQQSRLHERQENGTVTGLNVSAA FSLIAHVGYYIARQVMSRFAATCTGASFSRRNTTSHLPPHETRGYQFPPQSPLRSLMG SILWPEDLMRSCSLCFLHRNDYKRVAFAPRYAACWQIDIPRRLGALSETVAKSFSAAT RKTRGAYIHTGQH CC84DRAFT_1149131 METDKSTTQHVDTIESVNNSDHNVPLKHVNSANEEIVQHLQTTG EEIGMTWRTFMAAASMAMCYNAYLFTLLIPPAILTFINADLGPDPRYTWITISWNLGG AMFVTIGGRLSDIFGRRYFFLAGACLLIIGSIVSATGQSINQMIAGGAIFGAGSGFLE MSFGAVQEIVPSKYRHVTIGVFDASSIIAQLMPLISWVIIKETKNWRICYYIMIAFQT ANLAMLFFFYHPPSFRVKQAEHGKAKRQLLREFDWIGLFLFLAGCTLFIVGISWGGTL YPWVSGTTLAPIIIGLLTLIGLVVYENFTTLKEPLFPPRLFRAKRHFTTPMLVMAIGG MQYYSNATLWPRLSQLLYAGDEISKGLFASVLPLGTIIGGIIVSFSKYIGHQRWQVVF AVALQTACVGAMSTATLGNSVQSIILTCIISVCTSVNILNGMVLVGFGIVYQEDIGTA AGLAGTSRLLAGAVATAIFSNVTNNRYTTSLPSAVLANVSSFNLPTATLSKLIVAAKA NTAAAYQAVPGITPAIRAAATLGNKQAYLQGAHLSYQVALAFGLLGVICAFFIPSIDH RKYTDRTVAVQQRDRKELVEKKMAGEGA CC84DRAFT_1165809 MSSDSSLSAPPDDIQPPPAAYETDPLAEKAVNGKKRKAETATNA SKVTKRTRKTTVTADTEPNDNGSADKSVEATKPRKRAPKTKAEEETIEEKVVVEDEKG TTVKATQKKSRKKKEENVAPLAERTTGSKLRVGAHVSAAGGIHNAVTNLLHIGANAFA LFLKSQRTWKNPELNPEHAQLFMDGCKHHNIDAAECCVPHGSYLVNLAHPDEERKKQA YDSFHDDLTRCHKAGIKYYNFHPGNAAATTREGGIKLIAEAINKVHKDPATGAVVPLL ETMATLGNTIGGQFKDIADIIELVEDKERVGVCLDTCHVFAAGYDLRTPEAYERTMKE FEDTIGLKYLKALHVNDSKAPLYSFRDLHARIGTGYLGLAAFHHLVNDKRLHGLPMVL ETPIDKKDENGKKFEDKSVWAREIKMLEQLVDMDIESDEFKKMEAELHEEGSSERERI GDQVKKRAEKAAPKKKGKKKVESEDEADEEDED CC84DRAFT_1122967 MRRSDAICPSALLGLLLALAPTPGSAFYFPGTAPTSYKKGDAVP LFVNRLTPTESRNDPKLRSAFSFDHYDPHFHFCQPEGGPKYIRESLGSILFGDRIQTS PFELKMATNETCKSLCGPQEYAPEDATFVNQRILNGFDFNWLIDGLPAAQLVTESEYD EPFYSPGFDLGRAPEVQMPDGGSDVVATLNNHYDIVIDYHEASKDNFRVVGVIVEPSS YADNAQYINNAEGYCGNDQNPVVLSIDAGQASSVTFTYSVWWRLSPTPFATRWDKYLH VYDPKIHWFSLINSAVIVVFLIGMVSTILVRTLKKDIARYNRLDQMALDDFGDGGAIE DGVQEDSGWKLVHGDVFRPPKHPLLLSVLVGNGAQLFMMTGLTIAFALLGFLSPSNRG ALGTVIIIFYTLFGFVGGYVSSRLYKFFQGESWKLCFFYTPFAIPSFAFATFFLLNLF VWGRGASGAVPFTTMLVVVIIWFVISVPLSFAGSWLGFKQAAIEPPVRTNQIPRQIPP TGGYLRPLPSMALAGILPFGAIFVELYFIVRSIWFSKVYYMFGFLFICYGLMIMTSAA VTVLMIYFLLCAENYHWQWRSFCTAGASAFYVFASALIYWMKDVSFFSWTSGVVYLGY SALLSVLVFVLTGTIGFLASGLFVLKIYKSIKVD CC84DRAFT_860307 MPARLFRFPNVHFARKFTSHPRVSQAQAQTAAAESSHPLSALNT GTPSFYARKATTTMETTIFPVNPDKLGKLKIQEADKQDRLIEEWDIEYAQGQDYESLQ RAAKELKETDTPVAFPTETVYGLGADATRSSAVRAIFAAKGRPADNPLIVHVHSLSQL RSLLRGSADSPETAVDPIPEIYKPLIKKFWPGPLTMIMPNPKDSQLAPEVTAGLSTFG ARMPRSILALALLRLSTVPLAAPSANASTRPSPTAAEHVKDDLEGRISTIIDGGPCEV GVESTVVDGLSHPPSILRPGGITVEQLRQCAGWEDVVVGYKDKHEQDIKPRAPGMKYR HYSPKATVVLYESGTPAPAVKGLLDKVAGRKSVGIVRTKTWAKNLGLAVTEKNDAVDA HTNGISSSMGGAQQDPSHTPESNLLRSVRQHQVPHAVHCTFQRDSDQGPLSIWDIGLG IATEDVARGLFSALRELDKKGVDIILVEGIDDKIGDDIAAAVMNRLRKAAEIRI CC84DRAFT_860332 MANESTAAPTSENISHSSRHGRCSTPHADESTAAPTYENIYHAS PPRTTSDAPLLPHAHSALSTVTDIASSAASTVKTTASSARKWTEAKVEKLNAKKDDGT GPYGSVSTCAPSDLDPIGQGVLPALPVAKKEDAGKER CC84DRAFT_860350 MSLHTPSPEGSPVSAVTTNGAFPRDSFCLNLSTLPDSSNFLLKS ALKSPHLLDSPTAFSPASSVLGGPFKSRPRNMSQSSGTDREMSTEATPNPANGAPPTS NGIEPRSTTKKRPSTDTIDYPRRRATIACEICRSRKSRCDGTRPKCRLCSELNAECIY REPGIKLDAGDKLILEHLNRIEGLLQTNLANGYTLGTHSPAASNSTSDDFLARSSGNM AAMGLVQSINGIGTWSSTASNISTMPKTHTTAALNLLQSPMIRDLVSRPYDPKILLQL EMSREPLCLGTSLGVDLSNTGTYVQAFFERVNVFYACLNPYTWTSYYQTALSHGFRDG AESCIVLLVLALGHAGSMGSISQQPVDKDPPGLAYFAAAWALLPSIITRNNMLAAQCQ ILAAAYLVYLVRPVEAWNVLTGASMKLQLLLSAPGRVSPGEEELSKRVYWNALMIESD LLAELDLPHSGLEHFEDSFTLPTGFEDIGTEPVGRDELWYFLAEIALRRLLNRVSHML YQRTSNYQKSPPAAAIAQLDPLVLELDYQLNQWYAGLPPAMQFPLDRVPLQNPVQTVL RLRYFACRTIIFRPYILLVLQDETLALDQGVQENCHRCLEACIRQLEYITSHHAGHVP YLYQGALSIISQTLLVMGATMCPSLSALLPPPTTMDDIINNVIHEMERLSHLAPSLRL SAELIRDAEGKRQMWLRTAGLKFDG CC84DRAFT_1260727 MPLKILIIGAGVAGPALAFLLQRADPLHHITVIERAPALRATGL QLDFKAQGTPIVRKMGLLEAMRAHRVDETGAEVIGAKGESLARFGIRGDSGTEGLQSG GFTNDLEIMRGDMVKVIVDASVADRKKLEESGVRGGGLRYVFGMNVKGFVQREAEGVD VTFQDGREENFDLVVGADGQNSRTRKMAFGDEISREAFKELGSQVAYFTVPRTESDST LARIFFAARSRAILLRNGGRPQTQVYLFSQANMEKTKASYGKSVAEQKAVWKETFTGA GWQTERFLEGMQTADDFYAHQLAQVKLPALYKGRIVLVGDAGYCPSVMTGKGTTSAFI GAYVLAGELARQKSNLDAALKSYNEIMKEPVDRAQVISGNVSLPSSSLAVWFIRNGLW AASSLRIDKLLMRLMPQQTDEKELRAWPLPEYPELNLAE CC84DRAFT_1149145 MPRICLHSIATVTRLFVRPLRATTRPRSTIGRKHKSHRGQPQDK SWRNEEKGRNKNKNKNKNRGKVGRHARMGQDESRMVDEKTPPQKLKSRTLEAVADYIK DGRARRIVVMTGAGISTSAGIPDFRSPDTGLYANLARLNLPYAEAVFDIGYFRDNPLP FYTLAQELYPGNYRPTITHSFINLLHQKGLLLKLFTQNIDCLEREAGVPGDKIVEAHG SFARQSCIECKVPYPEDLMKKAIKEKSVPKCTRDTCDGLVKPEIVFFGEQLPADFFEN RSLPGDADLCIVMGTSLSVQPFASLPMMCGDGTPRVLINSEQVGEMGSRADDVLLLQD CDTGVRKLAEACGWLEDLEELWEQTAPWARAGQPKPAQADEPEKSLDEQLQDEVDKLT KEIEKNLQLTQDQHTWLENHVDNKFARVQDDEAGKGPTAPLSTTPSDTKVDSSDSSSG GLRHVFPWLGKKSSL CC84DRAFT_860398 MSKASSTRPLFTASSEYAPRNRGPITTLFDYPTSCLATPTLAPK QATFYFAHQGQDNYFDPSCFPRGTIKPENLQSAHWGLYYYSPAICPKGWNQVRTYART LPGFTEDISIGQGTSVALCCPSGYTSVSPAGHGHKCSSAFSQDQVVTYIFPTMKGPGD WDVPVSTKTHAAPANPSIVYGDGVVVMWQKTDEAVLAAAAQETSTSQTGSNTSTSTAS PPTSPPAAGGLSTGTKAGIGVGVAILALVALMIGWLFLRRRKKHSESLHEVPAPRELD AGRSNVVEAAPGDPPKEIYSQTREPVHEMPP CC84DRAFT_1165816 MKAPQTTFMFAALLGLAAASDDIRCASESIPIPGLGKEMTSAMD TIIKSTVDQVCDHPENFIPDADGIAQLLGLDADPVHFQVTLPDAVTKISSEVCHRVLT AIIGQCISDKNVWGGSAAVDGALYEIGQLTDKLPLHIGTPALPSEVPAVSDVAAIASN LPISSIAAALPTAIPTAISGEATGLLEKIPGLGGLFRRQPINIGTYNPEYFRTKYGIE PTPTAGGDEAEATGGAGDEETEEPTEEEGEDTEEEDTSETEEGDDNFDEEEPEESDVP TSSSAAISTPGPLVPTHRPYAPGSQHSYGSGNGTGPFPGTATGATGYASGTAVLPTGT APVLPTGTASPIEPSGSYGAGNSGSNSTIVDIPTPVPEPSSGYGTGESGVSSDVEAST TAAPATPSGNGYSTGEGEGKVSSDVEASTTAAPAVPSGGSYNTGGEGGVSSDVEASTT VSPEEPSLPIPTGDAPAVTPSGLYPTGGEEPSGIYSSADIVPTSDAAPASPSGNGYNA GEGETPATTAAPAEPSGDGYSTGGDSGAESSAEPAVPSGGVYSIQTVANPPETPATTA APSGGVYSIQTAANSPSTTSCTKTTLEKRARPTQATVFVWPQ CC84DRAFT_1165818 MVMWTCRSDYEWGYSVARAIRPACFAFGSTRDWASGRSLFLLAL PGTVHFLLGRKYKGWGGLPVFAWSRSHPLPLFLLLWTESLACCRMADTRFRRRRVPYA RSSRAGRSVKKQPGQLARRVGEGKSNIIIMYSYPEVMPVWLAGDLPDNPKSDTNASAF CHFFVPVTQAIPPAGRPGKGPRDSRRKGRKVHVKGLAVTFSVQTWVDLAVSAVFYPVR AGKGFVQEVEGNLRPDGSVGDPKAWCLSKDNYGDYARLRTLEETGLLDANGPFCVASD PSGLPVLQSTTGTMKNAQLRSGLGEAMGKVEWRVGADSKVVGRTLSADVAGPNGIHLP AGGGDGFAQVQQGSVVDFRVYVDINKDAEYSTETGNELAFQPDWQMCFMVKCRGALPG PSGNGGVVGAVVKRVVSTVYYN CC84DRAFT_1260733 MAPPDMPFINKRTAPSRSQDPSPLGASRSRTVMEKGEGSRGLTK RPATHTSPLRRRNSKKRQVHAMNKALRPEQQRTTILGTIPPRGNVPTVIARIPAPAGN LDQLRPPSPEIQIHGQQQTPPGSENTTEDPSGNARDPAMRKRPTWQRAGSTRNTSRYF SPKENTKGTAKKSTTDAATSRERKTGSVRQTQEDRLLLIYFLPRRECTVPGDKRSAKR KETF CC84DRAFT_1218907 MPNSQTVELFTLYALGVSFTILRTYARIVAVGVRDLRVDDYLIW LAILIYSAQCALGYNVGFAAHGLDNSGMTPAQRSALSPEDAEYKLRLIGAKIQVAGWT SASCLLWTLKLCVAFFYLRLHDGLTRYKIRIYIGIGLIVTTFITLIMTIYLSCRPFNH YWQISPDPGNACQAAVSKPIIWVMFIGNVSTDVYLFVVPLPMLWQSSLKTYKKLAATL VLSGGILIVVCAILKSVFVLVDPVHGGQLAAAWGTRETFIAVITTNLPMVFPLLKIWL KPWLPTTLNSSSKGRAYKAHGSGFVTIGGGGASASGRSRPGQQSRDASRNMTFDNESE EHIVKGGTAIPLQSVGGTQRSLKKNDIMVSTHVTVTRTDATKDDASSQKSGKSF CC84DRAFT_1188260 MFARFLAPLAVAALSVLPLVACGPAPVPVPLPTTPATGIDVQAF AKQLSKSAQIYVPNDSQFKTYTVRWSNLDAPTVNVVVLPGNEQDVSKIVQYAYQKNIP ILAYNGHHGALTTLGKMDYGIAINLVQLNSLKVAKDGQTVTVGGGINSHNLTEQLWAA GKQTVTGTCECVSYLGPALGGGHGWLQGHHGLISDQFVSLNIVLATGEVKTINQSSDL MWGLKGAGHNFGIVTSVTSKIYDIEHSNWAIETIVFGGDKIEEVYDAANKHLIQNGTQ SADIHQWSYWLNDATLDADKPVIVVYTMQEGVDAVDSVYTQPFKDIGPLAVTPEAGTY RDLGAWTNIALDSPPCQDFGFNNPRFPIYFKSYNGTALRAAYDLYASAVSGADNPYTN SIFMFEDYATGGVRAIDDRSSAFAFRSDRVLAAPLIIYNSTGAAEDASVAKLGNQLRE LVHQGTQQSELHTYVNYAYGTETTQEWYGYDTWRQERLKALKTKYDPKGKFSFYAPIL CC84DRAFT_1096291 MAVNSIYKPLAAKAQTIRLLRLLPNAFKRDIECELLERPISEAQ NCYIAISYTWGSAGATKQVLIHCNGVRVSVSENLFTILRRLRHPLRNANVWVDALCIN QADPIERTQQVGLMGDIYRNSRETVIWLGEPTAQDEDGRRFSHNCCGPLRLVWHGDAA DDHILNQYLDDCLHDDLSSADVPHDVFGAFCLVSSLASGNSSRLFNMLKNDESRLCLR YAHNQRLYDFLPGDVQITGSRASRVFAGLARIMSRPWWTRIWVIQETVLSQKAVVHFG QLSAPWTMFAKAATRFAQDRQKLCLDLSGAFWGQSTLTTFSNTVLRFDIARQHSRAWL DIDLLSLLWRFRPLESTDKRDKIFALLGLVTDWQNEPPMLPDYQMDVSTTFVRTAAST IQRTKSLTVLAGDLGAILNRKRVEHLATWVMDWSLPCLPVEIDRVCSLGMYNASGGHS SPVRLHLQHSILEASGWYVDYVIAVGDVSRHTQISEALAAIRSWHFETKRHEELHSSY PTGCTYDEAFWRTLIADIVHSPSSGDLGHMWRKNNDTASYRRATREDFEAYRSWRMWS RCIARDTLSRTATFTQGDLDDGISSIHYAFKTATTSRRFFITSKGYIGFGPRTTQLGH EVWILSNSKVPFLLSATHTPAQLPHLGRSHNIKKCTASPLTTLVRPDGRDSRDAMCNK PHGCRTMAGDCFVYGLMDGEYMAASVDSSIEQVFLV CC84DRAFT_1165825 MPIRSEWKLAREGWTLDTTTRLLKATAFNPLITLPLILAAKYTA RGAQVASQNETLFRTLKSLVALGVLGSISGFLDDKVVNNWKGDEWDWKKEVVVVTGGS DGIGKSIVLLLAEKGIKVAVLDVQPLTYEAPPSVQFFQCDLGSKEAIEATAASVRSSL GDPTVLINNAGVARGKSILDSTEKDIRLTFNVNALSHYFLAHQFLPSMIQRNHGMVVT VASLAAYLSAPNMVDYASSKAAALTFHEGLAAELATQYKADKVRTIIMCQGYTRTALF EGFAGKSLYPETVAEEIVKAVLKGRSAHLVVPESSWGIVPRVRSWPLWMQYGFRKGLK NLMKSWKGRQVVQPSETQVEKEKKGVEESAVLVEEH CC84DRAFT_860927 KKGSKGKSIDLSNEPPKELTAEDYAKIREADAADAVDGWFSAPV QEPKVVEEQQEKKVEEAKQSEPSPELLRRESKGKGKAKKKKGKKGSVSEAVEAPTPTE ESRPLLSDNAQAVEEPLLPSSSQDVPTEPFLPSSSKDVSEPHDTGYIPGSASSYVPTF NDNEDAWDRPTGEDDATLVGEPGAGASPEMLSKEFRRQKLLDNTAPRSNDEVAVQRAV MGYGDDSPLAREMLASKMGLFEEPKEVSRQESRLSLVAPTGIAIEPVARELEPAAEAE DAASASKSKKDKKKGKKGKRGSQVVGVEPAALSSEPEAVRDADAALPAAASIQEQFEH DVLQPAFSKEITPAEETKLSTSEGKPVYDAGDVTQYLVASSSTPSPPPELVAAPSTVQ AAKETTPETSDKKKGKNEKAKPATPETTSGWGKSLFGALGWGKKKASTSDLKSGKKSP TEEKVEASAEIVPPPVAEGTALEHIRSVEEAKSVYDAGDVAQYLVADSGDATPERVGT PAVETMENEPLPETVPEVQTDRKETISEPAVEASTEDATHSEPIPEPPTIPGEVVAEP AIKTASREGAPVETAPEPSAPGAADEWVPTSSSKKQKERKAKTDKRESVQPSAPLEFE HLAPVIETAPKAPSHTTADVPRDLSVNNDVQQPAESVLPLDTEPAKPFDQPSVDGETV AADDKPGEDTAAPLEQADDDWAPLSKKDRKKKGKKGKAGETDPVAAKAEPIMSGTPGT PVDNELLDEKPMKEPINNGLDVEARQAEPEQTVEDKPVEISSPAEDVVRTEENPMEDV SAPAEQPAVQSVPLSKKGKKTQKKSKGLSVDDTAESSTVDFADSLPSNAELVPEDKPG NADVLEEQRGKPVPAEPEAPLEDKPSQEIPASIEPPVTDEASASLSKKDKKKAKKAAK RSSVGANESATVPPETVTEPTTPDADEQSKDLDAPAESEIVTEGQRIEEATTPVHSDT QLSNEENSTEDNPTPAQQQAEDEWAAPVSKSEKKKKGTKGKQAAAEASVKDSSTLRLE SDVLADGAMPKVATLDALVEQDRTGITAEPPATADDKPIVEDSTLPVDAPLEDASVQI AVEEEPASVSKKDKKKAKKAAKRGSLVDIEPSTTETVHEADDKVLAKDGTGESTTATA GKPVEEFPTPIDPAQVEPTTITEDKPTDGIPMPENALSEDVPAPAETAIEAPTEAFSK KDKKKKKKGKKGQSVDVQPALTEEAEAKMPVEDARVESMITDAPQPKLLSEVTPVESA EAPRDQPAEEIAAPQETQMEAEVAEESSAPAPVEHVNADAAKQQQPKLVAEATSVAEA EERSVPRMTQVDDKVISDPSASAQVEPIVTGYLDEQQSHPLPEATPVAPTEELAASPV TQVDDKPVEDYSPSVATHVDDEPATPLSKKAKKKKAKRGKSVDIDPLSTLPDDIAESQ EPNSDTRAPSSAAPKDQKESDVTIPFAVPLDSQKTSGPSDSPPIESSVHVLTATPDAP VHSEPVENSAEGATHVEDGGAMPSKKDKKKKGKKGKASEPSTPAVDERIDPLAEAGEP VVAEQSVESALPEPVIDEASQDVAEPVESDPTPATEDPVEEVVTGTLSKKSKKKKAKK GSISQEVEPEVPPMPAADEPIFEESAARDVEGEAAQAAEIIAPVEQHGLEISEPTEDV PVLDKTPASQGAGTADEKTISQPAEPVDEEVVVLSKKEKKKGKKGKSASGTVTPIVAD AELETRDISAGDTVAAPAEPVDVEPTAQEAAAAPAIQPTSVELPAAIEVQPIIDEPIA QPEIQPTSRDLSADTKLRPVVDEPISEQEPTIPLSKKDKKKAKKAKKGASETDTPPVS TPSEEVLSPFEQPILDTVVVSEPQEIAQPEALLQQDSTPVEQSTSEPQAETSFAEQEQ KELDDSTLSKKDKKKKKKSKSVSIIDIEPVTPVQQIPEPVLEAQPSELQTDVSPAEPA LLHDENVPEEELKSQAPEMPALKPSKSTWIEYVPPPAPEKETATQRKRRLKREQQEKE KWDEEQRERIAREEREAAETAESTRTAEVPAPPTPEAPIEQAKDLQVVEEPADPTSAA TPAEPPTLLPVPGDETKDGEATAVPSVEEPVAELTHEEGQAAPLSKKGEKKAKKAKRA SIVETSGPTTPALPEEQARDVPSDQQLPVVPQPETDGQIEQEPAKPALDVNRNETAPL PTLSVDESRNELQQPLMDETKDGPTQDNAATVPLSKKDKKKAKKAKRGSVVDSEPSTS PATPVEEAKDPLMVDPPPPPDPDPPAQDPPAPETLALEEASVEATAPLSKKDKKKAKK AKRGSVVEEVPAASQDDAFLASETGERLVGDAERHDGGAADTTLPELAVERSLEAPLA EADASVDVEREMGLEATIADQESLELREGSLAASGPDGAQEPSEEILSTSAPKGIEEH PAETPEEPFEDDWASSSTKKDKKSKKGKQKRESIAEEAAPEPPTQTVIDSADIPISES LAHPKQEELSLPSEPVIAPATSNLHEPEVQATEELVKGIQIEPSETAATSLEKIVNVE PEAPSSSKKKKGKKGKRASTIEEPTPEVPTAEPAFEQITEQQIVEEPSGIVPDASQSS IPELSEELQPLENAPVAEPTDLDIAQSSRDGVPEGDSHNEADAPVVPTPIDPAPPSGS QFVEEPAPPTKISKKNKKAKKSKDQSGTSTPIPELVAEARPELVVEPTAVPVGDSQLE PAVEQVVVPSEDAEPEPSIETIAVPNEEVSTLDTKEQVQEPVEDNWAGLSKKDKKKAK KTKAKQSGTATPVADGVPEVAGEVERAAATVDEQTTATIKEQPTATVEDHATVAVEAQ PDVATAEAHVDLDADLKVPETQSDELGQDVALTTPVDSEVAPSAPVPEEAIEMAALPE PVKHAVPHSTIEAEQPTGDDSPSMSSKAKKKAKKGKAKLSGTATPVIEDFPQLEVEEK ESSPRIESPAEEPLSAAPTTQVEASKEILSEVPLEQAPLEPTPDTVETHVLAADSTEQ ASTTPTAPVTEVQVVDELSGLSKKAKKKAKNGKGKVSEPSTSIVEDIPEVQTDSSREI APVIPEVNDVAATHEAHIVPAAIDDSVKDVQQSPAQVLQEDKPAESISQPTPEQEETA VDEWAPIPAKKKGKKGKKSGTATPVIEPLAEEVPEIKEKLSKDVATADAQPVAEDIAV PSVEVVESRDIPEDPKIDDAQIVPTSEPKQEEADVDELAVLPAKKKKGKKGKKSGTAT PIPEALVERPAEEKIAPEVPIDAPTEIEDAKTMPQEPLGQEATIEQPIEEPKLTEGAE SQAEPVPEPQPEEQPHTQQPIEESKHSEIAQPGTRPVAEPEDEWAASLSKKKGKKNKR KVSLATPVTEESSLPPTEAEVSTQQDLPTAIIEKVQPTQLDTEAAEQQGLAAPVVEEA QPTPVYTEASVQKHTAQTAVEDVTRPISVPTAEPDAQTGPTTSSGPPTDLEDGLAAPA PKKKSKKGKGKKSGPQTPIAEISEPLLDNAEVVPEVPAALDSIEADRGNEPSPAEVPA EPVQMVSTEIVEVEKPVLERKLSKTEKKAKKKAAASTLDVEPIIERAPSLEEPMPELN QEPVQESVQEPTQEYISEPLLTRETVEDLTAPDVDVPTPGVVEHAPIETLQMLEHPPT EASSLPIVESETVDTSTEPIIESSDPRSKDAQREDGQANFAPKKGKKGKKNKKVQNVI EAEPQTPDLIDIAKEGASEPLVEPVPLEPTAVSDIRNNASNLPVSSQSPDIKPESASE PIAGLTQIEPATVLPFEPAHDVPHPSAVEFEPRQESNAKPAQITQIETSEQPQAEDEW APISRKSSKKDNKKGKIEKEAITETPQEVAESSFPMASEHVHQTESSAPAEPEMQLEP AAEALPTETFVGTLPAQPAAEAPPIGHALEPVATTYVPEDAPKEVQDDEWPLPVKKSK KDKKKAKKSKSTSEAATPIVEKEVELRHEPTTVDDPSTSLREERQQEKLLPPAEEITS EPLLEPLVRDAPEEAHASVVEPSFIESQTSLPRSAPLEAYATPIDHSTDLARPAPLSP LLQAVQDEVADLKQRSEALDRQLSVDDVAEAPTPTPTSMFDVVSKLSKKDKKKTKKGK AATSEFSEPSTPAEPEGIAKMEEVVPDPVTEEDILALSRMQSQKDKKKTRGTTFSRDQ PTDEITSVPASEPVETNLEQLVEQMEQQKATESAPTVARGSDTTVSAEPITEIGVAPV ELSKPLVEEAPALLRKLSKKDKKKAKQAALAWDEPTAKSLEAIDTPQDNDINMQDPEP VAVPEVTMAKEPSEVPDTVEKVAPLARKLSNKDKKKAKQQAVLGDEPSKEADDLTPTP EDRDTPTAEPEVIITDPTARADEVLVPTIPEPEKPKEDSAPLSRKLSKKEKKKAKTAA LTWDEPVAESSEPTLSTGTRDGAPTSTEPQPTTEEQDIFVAPASALAEPTMKLPELMT APETDFITRDEPRALPSTTSPPTIQERPSVMPVVEDEPAVSTALSRKQSKRDKKKNGK AAAFELFEPATPVNETATTVESEKLSADTQDPIEAEPVRDLPAEDIQTKSTTMESSVA LPSEYQVPEPSLVDLNSSHVEEQVPAIIDETPGVPGPEVEDEWALPIKKSKKDKRKSK KMEAAVDDEPTQVIKPNSPAVAAPATEATPVIDLPQATSSRDTSITEPVSSPTVELPQ LSTPSNAAKKKNKKHKLAAMFEPPTPEETLASKARAPKIQEETARDIHEAVPEDVQFK QFDVPRAIIDEPRAKSPEHDIDFAATVAAGLKESGFNTDLVLNDPAFHRTSSPQSVRD IAPDDDVAAARHGASKSKFGNLGRSPSPTSPESEVPTVKEAPQPIVAVAAESAPTFDP MDILNDPTFAQRKSPPGVLEEADPEELWSSGSKGKKAKGKKKRASLVQDGVGSPAVEI PTTGPSVDELSRDRPMPEATLETTDDFWDEQPKRKGREDKAASKRANTTESVQDSDML SGAITPFEEDFEQQRSVEDSVESKKIVNRNDLTWDEGAGKKNKKKKKSIVPETMVAAA SAAALAAVISDKKEEKKSEGMELPPTFDQIPKSLGGVEPSEYPFPALPTPEERTGFSV QGKHAHEEEIEEEWAPPAKKKGKKDSKSRGKEREEKDNDFIERTLNDMPEQKGRHVSQ SDPFYVSSTSRSLEQENAGHMSPNHKRREHPVPPEAEPEEKRLHLSGPSQHDLSIPED PSSLASSRTQELAHSSADKSNLDTTVHPTGVGGHSPIIEPTWSFGDIRDSGVHVADSP TVPKSPQLPTYADVRDSGYHDTTFETPRKSKGSNQPVEEADSNKKPRSKEPVTPHRSR ALEEHDEVRSRSPSLPELPSLAAVASPNAIDSATRERSSYLFDSSPSTRQYGESSPAA KIGAATAGAAAVAVAASARNTRDSQRHDKHIPSESDKKLSSPERDVKQQQPYKSIFGD PTEKKAEQVRKLSTPSNKHMRTPSSVLDPIKEASPDDSPLQKRHARKVSEAGLPDREV KSSRRSQSPKSFSERMKSPPPVTPTPASRKNVPSKIDTSPPVQRKGSPWQQVHESVDR TMTLSPARRLPHDHSPTTTDPIKVRIAEQRSPSVFSDRSVNMRSPDADRPLSAMSNRS ASSLRRVDRSRSGDLRAAAKIGEARAHDAKSQSNLAGIALAAGATAAIAAGIASSSKY DPVKDKGKGRAEMPDVYEAWGEAQGSPMSPTRPPSVRKRQSIQILDLQSQLENLAAQN RSLEEARLKAEEILQQASQQRDVDQQVVSEAVEARDRELHQKDIDIGQLRDTLQRLQQ EIGRLTELNNQLTDANRNLTNDANARYAQLQAEGQQVQDQWQQSTRELEQLRAEHNHL TKGMQAAIAAEIGLAIDERNAEINRLNAELEGAREQIKKLQQQILETKKPNESFLTVR DEDYFDSACQQLCQHVQQWVLRFSKFSDARACRLSSEVTADTRLDTATRQKIDTRLDN AILDGSDVDMLLADRVKRRDVFMSVVMTMIWEYVFTRYLFGMDREQRQKLKSLEKTLS EVGPTRAVAQWRAITLSLLSKREPFVQQRAQDTEAVVHEIYSTLATLLPPPSHLQRQI QESLRNVMRLAVSLSIEMRTQRAEYIMLPPLQPEYDTNGDLVAKVTFNASLMNERSGQ ETSNDELEARNAIVKIVLFPLVVKKGDDFGEGDDEIVVCPAQVLVARPNNKKVVRVMS GAMSVDSRKRSSHSLAPTSIAPESSIMDLDSTNMI CC84DRAFT_1165827 MSSHVQDQFPGQNPQQFATPFVPHAPVHTASFGEAAEYYGDQGQ SVQHQPGVRIERPSVIIPQDQQHLITGSSQANPVADTGAGAAAEFYSMASAEPQQPSK PPRPSSMPGAFTDDEPLPAKPPRPSSRPDKPGKLSSAAAMAGAAGVGYAFGHESSQTH SQQATTYTNGISGDAASIYYQGENPSTAATDGSHNYNGTSSYVPTYNEAMEGMPPPKP PRPGKPEKQPSGSSHAGLYTAGAAGLAAYSLAHHNAHHTHNHNHTHSMPGGFPGEHYG SYGSSPGTLMSGGMAQRHEHKGPVSKFADWWKNYDDVRKMEEYTEYIGVCKYCFDPRS SPMDAPRPHHYNRRKRSGELRPSGIKEKHSRYGLNEKASRTSLSGDERRDKRKSNSSS AAGWIAAGLGGVGLAKAGQALFNQRNDFDDTYSIKSGRDPRSRTSRRSRSRSRESKHY SYGRSGIRHRSPSEDRISYMSTGITGNRKDRRDYKVVQHRSHSRSRSHSRDRKSGILG AALGAGLAASAVGASRKKHHSRSRSRSHSPQNAFVHHRRDSSDRERRYSKNQRLKSKS SRSSVSGASFVEVSRPHQSQGGFLGGFFAAAPPKEKSKEKKRKTHSQSKKKKGFFNFG NASSSSSDSDIAFGEGFVRRKKRLEKRPSRRSSDEKLNATLIGLGATAAAIAAAKAGR SSGRHRPEVVAVRENRHQTQSRYRRQSGGSRYGNVDADGWEDLPDDDTTDSGSISSGL AFGDHDLYKTKSRESLNSNGSGTSKWGWRWGSKKEKKKPSTESLYNANANNSLIGPAA VGAVAGTAIGAGLHRQDSSASSIPSLQNVVPVQATADPNSFDARRTNSLPTPQPLLTS QSGPTTIQHPQPMQQVPGAMYSTQAPPEPGYIVPSGPPVFSQPPYPTAFDSPQHPAPP RRANSSPVQSSSWKRDAALAAAAGGLAGAAISSMKNKDRPSRPSSSQSNVRFGFTEEQ AHKERQRLKDIERDEEDERRRRLDETERREQERRKLELQRQQEEARKYQEAEQLAKLE IERREAERRREHEAKERREQEERIARRAREKAEEQERREHEARIAEQRRHELELEEEQ KHRERLEQERRMAEQRKRDIEFEQELEQKRRERRELDQRVAEQREAEQREAEIQQDLE RRRREREAEEYSSRYESDRDRRTIEQQRTGSSVTSDVRRKEQELEDRERDIVQPDVWK KPAAVATAAVAAAAITSAAISSSKHDDRRTKKEASSKYDKYDDRRTKEVEPSSVKTVE PAAVKTIAPSMIAQDYADDDIFNPDIFKKRRASPIRQPGSAQEVLQEWEDRYTHEQVS QADFFAPKELLDKTAAPVAKVDPNEGAPDLLVYQAHDDHDYGPPRRPPYPTSYSFTAT KDGRGPANPPYPVPELNLIQPTPPGSRAPTPRVVSVPASPAMEPVPEPTKEDTKQEEA NRRGSRVSWGANQFHNYEVPTPDSIRENFVSDHDLDKYTKQSQDKIYVEADSPKSGRK TTTYEPKLPEPEVPASTQYVPGQDNDDWAGTMSKKVSKKDKKKAKAAAAAAAAAATVV TTAALASRDDDRHIRARDDADNVSIMTDPFADKYAASTVSAAPSTMSSWSTPFPESAS DVTVVPAKPTRSIQGELISDPEPMHMPGGFDDSSAPTTEAPTEDEWTAPSKKGKKGKK SKSTDEDTFALSEPPRTIEQIREPEPEPVREVIIEPETKSSKKDKKKKKKSTSADEVP FAQDARTAVVPEPEPVIVEERRPEPETLVEDDSAAPSKLSKNDKNNKSKGAVDEFFDQ ASSSTFDSRAVAEPEYAREQLPEPKPEPEKPMEDEGAAYARLSKKDKKKAKKAAKKGT ADSFDDTESSQPSTPVLERDPRDIEPTFTPGTSPDEPRSNGTSANATNAAMAGGFAAL VGAAMKQDQDRITSDFEHARESMEYARALPSTAFHDVEELGDVKTPKKQKRVSSGRWS PSIGSPLRSEVQYKDYVGSIADPSNSRAATESSKSPPRDLGLSHVFPSTIRDAHASGH YAPDDPVQPNSADRDSDEFFSAGSDEQQPAKDKTPPADKFSDREKTYMVSPPKTYDDD LEREERRHVRYETRSRSQSRDRGYELDKGDSPNKRRHHRRRETDERSDDWDSRSVISE ARSEATSERRRKHRHREGERTRSPEEYRRSSARSEPGDLENERKSSRRRSKRDDDDNM SVSSAPRYDEEKSSTKDKEKRSSGLFGLFSRSKENLADTSKSSKPREDEDAGERRRRR KKHREGSAYGSDDDDARSTISSSSRREKRRSRTDGWEEKV CC84DRAFT_1056377 MAPNDKAGRVVFIGNIPYGGNEEIIIETLGRVGQVLNFRLVYDK ETGRPKGFGFAEFADSDSAASAVRNLNDYELMGRKLRVDWSNDNGAGDNAPANNPVPV NGQPDVAAAAPQSAAIPPLPPGAELQPNLSCPDSISSTLNTLPPPQLLDIISQMKGLV MTEPAKAAEVFRQAPQLAYAIFQALLLLNLVDTNVLGSLIDPAPAAA CC84DRAFT_1149163 MSTEVVADTPSSRLVKGFAAHPLAPLSEKELTSAAAIIRASWPA HTDVHFKVVTLQEPPKAEVVPYLEAEHSGTQLPPVSRRAFINYYIRNTNKFHEAIVDL QSGRVLHNVQLGPFVHANGDGEEIVRIEKIALEDQGVKDAIAKLELPEGTVVISDPWI YGSDGVNDEERLYQCFLYMRDPLNPSEADSNHYALPLPISPVVTTDAMKVIRIDTLPT GPDNTIKPLGKWPVHPPNEYIPEHQTLRTDLKPLNVVQPEGASFTVTQEGTSSVLQWQ KWKFRVGFNQREGMVLYDVRYDNRSLFYRLSLSDMNIPYADPRHPYHKKSAFDLGDAG AGIMANNLKLGCDCLGSIYYLDAVLSDDKGGVIPMENCVCIHEQDGGIGWKHTNYRTG RAAVARSRELVLQSIITVSNYEYILAFIFNQAGEVDYEIRATGILSTQPIDEGVEVPF GTVVHPGVLAVHHQHIFSLRVDPFLEGQHNRLVYDEAFPMPRSDFNPHGTGYYVQETV VEQSGGYDIDYDNNRTFKIQNVDKRNPINGKPVAYKIQAPAFQKILSDKDSFNYKRAE FSDHNIYVVKYRDDELYAGGKYTNQSRGGTGVRSWADRKENVKDDDFVLYIQAGINHV PRIEDFPVMPCEILKIHMKPVNFFDKNPALDVPPSEQSFNKSTLVSEQHHQPTVEAVV GQGGACCGPKL CC84DRAFT_1260741 MLLNSIVALALLGLTGVEAAYVTSKPVSKNARCGKKYGGATCQG SKWGNCCSQNGYCGSTKDHCEVAKKCQGDFGTCKGGYVVPSSTKRTTLSTSIRTSSSV RRTSTKPSSRSSSPSSSSSVVLTSASPSICSAVTITEPATIITIPGEGEVVTVTVPAT ITEPATTVTIPGEGEVVTITVPATITLPASTLTLPAPEPSTITLPAETLPASTITLPA ETLPATTITLPAPEASTVTLPAETLPASTITLPAPDASTITLPAPDASTVTTTITSTT TSAPSCSAVQALKNPSFESNGVWAFTPNTAISSGLIRGSSGSANPPKDGLYLVQSAID RVLDVNSGHRITQAVRVCPGQQYRFSIWLRTISASTASRPTLVQVFINGVRVLTSTPV TLEQGWTEFPGSWGADASTDAAAVQIVLYNESPVSSGTWSYLWVDLATLSPV CC84DRAFT_860975 MKSFVAAGFLLATLAEVAQGHYIFQYLTANGQKGAQYQNIRKNT NNNSPVTVLSDTNLRCNVGGASGSATTVVSVAAGSSVSFTADQAVYHQGPVSFYMTKV SDAPSADGSSDWFKIKEIGPTFSGGQAKWDMSTTYSVNIPSCIAPGDYLLRIEQLGIH NPGSPPQFYISCAQVKVTGGGSTTLSPTTKIPGHVKSTDSGYTANIYNPDFKSYVVPG PKVATC CC84DRAFT_1122999 MFGKAALVSALVSAVSAGTILWDGRFNEFTSSADLNKWSWANQV GPYQYYIHGSGAVTKYVNLSPSYKNPADAGSKQGAKITIDNTAKWNSDMWRTELIPQT KAAINSGKVYYHFSIKRSTTNVPSATNEHQIAFFESHFTELKYGWVNGESGTSNTNLQ WFVGGQSKWKVELVADVWHNVAYEIDFSANQVTFWHSTGSDALTKTAGPFSTSTSSNG ADWHLGVLRLPRSGNDGTGAEDWYFSGTYVESGSLTTSVSSPA CC84DRAFT_1218917 MTQPAPTLPPNVTIFNPKSRSTAQSLLHGRRFTRLSASASTSPE QLSKALRSHAGLDETFYFSHGRAVLIFHGGDGTEGGDAHLENAHHEHFRAVCLALKDA DIGLDVAKCVHDAESVLQAGYQLDAMNDGSVLVIDLMHTDDEDDVDSEEEDEGPTRRT GHDDGTVPEKDVERS CC84DRAFT_1095072 MPSLTRFRRTRWDSSDPERAPPPLPLNPGSPVLTTRQGTSSAIA QAAKALEEKARESMSMSSYTTNPMPQRSPERSLIKGAHHKRMQSFQNPAVKDLRSYLD SHKSPEKSPERPATRSGTPPRDSSKDYFGGDLSPSRLGTPTPSGRETPSLRPSARSTH KAILGENTPPSATMMALQTMNVPPHILDPPPPLNIDKNPSKTPQSASQTSDAISSQLH SIASIVSNLQKDMTQLSRRSKDNATDLISLKEATNSRDEDIRKSLKDLVATMNHSRDV SSPTAELSHSQSSMSLKDPHMTPTKQFTLPRIASPSSFFLDDRTGSPNPYSVEGAASV AMLEKIIREMVTKDGQERLINNLQKIVDNANGETAKKVTELVEFVKQSSGTNSLVRTT SAPFQASPGPLTRATSEGLSLHQVAEGGKPYASPKAADFVSEEMLKFLRKIKDSVAES GCVTMGTKTLIQELRGEVLGMGRELARKIEEAEQAKAEQPMLEAGQANDREQVSVIVQ EGLADLKEHMDKVMRERRRQSISSTMTRTTVDNNEIYDVVKHALAECPPQAASLGQEA ILSAIREAFEAYKPNIDVETIGLERDEVLECLREGLQDYRGSGATKEEIEQIVQESLQ HTKLPPPINEAHEIREEVIMAVRECLEELKPAQELEIPQESLYGAVKAALESSGAMSL GTDEAVMQRLQSLIDDMHSEFQAYSSAGGRDTEQVLDALRDGLDHLRTQLESYIDNTT QTNQKDETVEYLRSQLEGLRGDFQGFMEQAPRAEEPSARAEIASLIKSEFEHLLEQLT ERSSATEVHKDEILEALHAGFEGMKSQVAVRGLDLDTDEEINEAMKQEFEQLKDVILG ESSIYKDEILEKVQAGFADMQARLDQGPTAAGTNDDVITTMKEEFEHLRETLGASLVK SGAAADKDDIIDAIRELMDGLRSSQQDASKESIAAIQGDLENLKESLGSALVRAEDPA DREELLEALKAGLEEIKASSKATGFSEELLEAFRGELEQVRESNGLTRQHARADAEEV LEAVRLGLDDLRSHLDKKLDNPDRQQSATSDVLDALNEGLDGLRSDIIKAVDKPVDMT VTYEILDTLKSGLAGLREDIDKMKGINTTRDIEEESTPTGNEIVLAEDPAQAPAHASA ESTSREIVSESLHRGDIERMEVMLSQLQVKVDAMDANIQAPPAAGESTPAPGTAMKDD LVGVEELLKEVQAAVLVLQEREQSAPVVEGVAMKDDTDAIEVLLGNMKAKIEELALPD PASMVTKENLDDVELIVRTTSEAIEALAKKFEEEGASKADVTVVQVIADDIKVVLDEM KAGKADDEEDPKATKADVDAVTLLVDEVKLKLDDMKIPNPEDLPTKADVEQLTGLFQD FRDSYDKMKDQYEHDIEVTAKTADDRKQEAASLLENLTDVKVLLDDMKDEMKKSLEEG GPLDGLKESFKSLEDNVTSNFNVTTDVKELMETVAREFERAHGSIEALQNDQAEKSTL NLEKHDEAKEAIIAGMVEKIDSRFDILMAKYDDAQLLADEQAKVMKEKAEEQEKILES TKTMAEELRLTIDTLGASITGMNDRFEEATTKWSTDSTAMTGKLDEYMAKFEDQRMDD KTEHSHTRDELKNIENIFNGLQDNVTEYHPKFMVALREIEALVKAHYEHAQKAKEEHE EHTRNLNEEARTRSEELQKHFASLPALLPPPPPAIELPEKYDDAPVREKLDKLLALPE PPKYDDAPVQEKLDKLLEQVDEASKSTTHLERLDDIHAQVKITAAEVSEFVAKQTQLI TDGNESKEREAEELALVVERRTTQKELLEADIEGLRAEKERMMQELKEEKERAMAELK EEKDRTMLELKEEKDSLLAVVASLQAERENLANQRVRLTGEVSSLHTALEIRREELHM MDAKADALERRILNGIMDHSRALMIAKNGQKSPTKHKKRISTDSTGDASKLMPPPSTA ANGLSFALKPRPAIRRNGPPQNPATRRIHSLSQISGNTPTGAQAYPLSVPTINNAGGL KRAHSVKTSSLRKGSWGGRSSAVVANKENEILSEEDEDAAIQPGQTHSIIEEDHDEIQ SQTGTERRHSFGTGSYAESYAEGETPGYDGRSEFGGAGSEYTYASGSYMSGSDIDRRT SYGSHAPSGTHRDDQSIDDRSDDGSRDEQSDAEDDEPTTTIAKTEIAAPSEITASEVS TATAGSELSMPTESEVDRAVEAVKEEMAKEAMYAPPSDSGVGTDLPTAALDNQVALRN ESGADADYFRRAAEEESVVG CC84DRAFT_1165831 MAAADNGKRIAEAQKLAKTEPAKAEEVYKDVLSTNPGSNEAAIK NYEGALVGLGELYRDQRRVDALAELVKQTRSVLSSFAKAKTAKLVRQLLELFTSIPNT TDVQISVTKSCIEWAVSERRGFLRQNLETRLVSLYMQKRAYYDALTLINGLLKELKRL DDKLVLVEVQLLESRVYHALGNIPKGRAALTSARTSAASVYTPPLLQAGLDMQSGMLH AEDGDFNTSFSYFIEAMEGYHSQDEAQKATSALQYMLLCKIMLNAGDDVTSLMTSKHA IKYAGKSLDAMKAVARAHTNRSLEEYETALTDYRQELGSDTFVTGHLRRLYDNMLEQN LIKVIEPFSRVEIDHVAKMVGLDTVQVERKLSQMILDKVIIGVLDQGAGCLIVFDESE RDQSYDAALGTIEKLSNVVDVLYTNQASLLE CC84DRAFT_1165832 MARRRILLTGVDSLIGGRTLNQLLAYDVSVRAVVSSQDQGQVHL LRQQYPATRYPWLEIAMVPRSRLSTPGAFDDALRDHPEPFDTIIHVAADHSEEANCLA RFVNLQSEYILNLFRSITRLNARVHRVVVVTSLSSFARWLVQPDPSPYNRSHRSSEVD PEYILATSQASDNIVYAAIKNWMHVARTRFELAYVAAPSVYGPNMRALGNSSDIQEAN RRIWNICSSDSHERVRSPPYGIDYYVDVRVSRICLAGVLTSIFSAHIMLGSCNCRCSG SFCSTSWQ CC84DRAFT_1165833 MPTGDTIAQLLVSRFPELVGRVRSDGSPPRRPSSNYPSAVLSDT QIAGPILGLVQYRQVEETLIDVAQQIVELQRRQNWRAVVQS CC84DRAFT_1177602 MPEPSDSTTAAVPQYIRDHAPIIRLFSSDTYRPASPAATLANTR PQIARRDIAFPTAPLTLANLDQLNNVGARGGEDVYLTSTADVTTQPTWLRGIQPSASG DEKTCAVIVVDKGNGVVDAFYMYFWAFNWGGVVLGNQLGDHVGDWEHNLVRFEHGVPT AMWFSQHANGEAFAWGTVLKDGATGTRPVVYAANGSHALYATPGTHDHTLPNLNLPTP LLLVDETDPGPLYDPLLSAYYYAYTPSSRSFTPLAPTPDAPTGWLYYKGRWGDEEYPK DDKRQHDLLGNKKFVGGPTGPADKQLDRKEMWPENQFSKGQKVRKSLGVGWSCKEWVG KVKLQCFGRKKKGGRGVRRVKVSGEVVG CC84DRAFT_1197324 MNPSLPVASAISGVEFQFFSAQDVRTVSVKRISNPTTFDSLLHP VPGGLHDAALGAFLDNICATCGLTTMNGCPGHCGHIELPVPVYHISFLDQLLRVLRGK CCYCHNFKLSRAQVNEYAAKLRLLRHGLIEDANGMHEHVDANKGKTSKQVDSDDDEQD EDGIIAERNSYVKKCMKRAGISKHDAHSRSKMTEAVSDARRQVIKDFYGAISVGKKCK NCQGVNPTFRKDRSVKIFRKNLSEKERVQMATLEKRMENPLDILRRRDERAKKQTVHD DEGVADLSEEDDIADMLDAQEADGSLVASESMTASKTKTKSAAAARDNVQEYINASEV HAHMVLLFENEAEILRLLYSPYAHSKASMAEVNADMFFFRNVIVPPNKYRMEDKTGDS IAENPRNSLYKNVLNACESMRQITREMRGAENEAGYRRRDFGDLQNIWVTLQGAVNSL VDKDANPASGLAGKNSADGVKQLLEKKEGLFRKNMMGKRVNFAARSVISPDPNIETNE IGVPPVFAQKLTFPEPVTNHNFYDMKEAVLNGPDKWPGAVAIENEYGQVVSLRKKNYE ERQALANQLLAPSNAFLNGSKNKKVHRHLVNGDVVIMNRQPTLHKPSMMAHRARVLPG ERTIRMHYANCNTYNADFDGDEMNMHFPQNELARAEANLVANTDNQYLSATAGKPLRG LIQDHISMAVWLTSKDMFFTREEYQELLYSCLRPENGHTTSGTLVTLEPTIWKPRPLW TGKQLITTVLKNIQPADYHGLTLTSKSQTNKNLWGPFTEEQEVIIQDGELLCGILDKS QIGPAGGGLVNGIYEAHGARSAGQVLSVLGRLLTRLLNMRAFSCGVEDLILTREGDEA RLQELRKAEKVGFEVASSYVTLDSSKITPTNKELKRRLEQVLRDDSKSKTLDMLTNGA SAKISSAVTQACLPHQLIKLFPKNQMQTMTGSGAKGSLVNANQISCNLGQQVLEGRRV PIMISGKSLPCFKPYETSVRAGGYVVNRFLTGIRPQEYYFHTMAGREGLIDTAVKTSR SGYLQRCVIKGLEGLRVEYDTSVRDSDGSMVQFLYGEDGLDSTKQKYLKDFKFQAENF WSLTESLQLGDAYEKVFSEEATEYTKSAYKKYKKSGKLSAKGPALAEYPPSRFSGSTS EAFYAAKAEYLDKNPDGLIKKKKSDASGDILRRNFERILDVKYLRSLVEPGEAVGVVA GQSIGEPSTQMTLNTFHLAGHAAKNVTLGIPRLREIVMTASANISTPSMTLYPHKEMP VSDMDKFAKSISRLPLSSVLDKVTVTENVGTGTQFTQARQYKIRLDFYPAKEYTQEYA IQVRDVAESIEKKFCPRLHKMVRMDLKKKGENRSLSTARSGGVPTIGEASGSIEQQTI RQDDAGEEGGRDDDVMSDDGEAEGDATDAKQRGRREDSVEFDAPEEGEQAAEDVDAES EDETYGGSPKASRASTPEAGSDDEDAGPLVPSEESSEIREGRVTHACADVSTFKFDDK AGAYCEITFEYSVHTPKILMLNHVEAAAEFATIHVIPGITSAVLVTKDSGTGKDLDEP YILTEGSNLIAMRDFGHIIDTSRIATNDIHSMLRLYGVEACRSSIVKEIHAVFSGHGI SVDQRHLSLIADAMTKGGGFTAFNRIGMKSNPSPFMKMSFETTVGFLKDAVLEKEAEA LDNPSARIVVGKLGSMGTGSFDVLAPVLVQDPLREEVLPTVDEDVEMEDA CC84DRAFT_861108 MPEKRPYPGDHGDAVKRAKPAVDPVAAAKAAAAAKAAEIRARIA ARKPQSNGASPAPSPSPAPTPAPSAASSMSKLEAMKARTAALLASKKIDSKIEASTAA AADRPHAAAAFDARRPAETKAKAPAQPSEEDKKNPYYDPKPVPGAKARVSRGLLFNSR GKYLEQASKLRAQNRLEEIKKALALQARRAGLDENSERGFLVQPPPDVEWWDEGLLDE KTYDCIQDESKVKIDGDDSIITIYIQHPALVKAPQDKRLIETKPMYLTPKEQAKLRRM RRAEDLKEHQAKIRLGLEPPPPPKVKRGNMMRVMGEQAIADPTAVEMLVESQIAQRHE DHVKTNEERKLTKEQRLAKLETNQQKDAQKGLYMCVFKINTLAYGKHRYQVDQNAKQL ALTGITIFNPKMCLVIVEGGIHSVNKYKKLMLNRIKWDENARPTEIQAEKQALEPKWM RSMDENGELKDHSHNTCVLVFEGELKQHGFRKWGSKMCETDGEARQVLGRSKIDSMWA LALAKGPE CC84DRAFT_1218924 MANDKPDPQLPEPLWNALSKLSHIVSREDTEPPLLHHRQRSPIS TFNPAFLTPTRTHTPPSSSRTTQSKPAFLLLHGAWHTPTCWSRLAPLLQAHGHPVCAP HLPSSGANPPLHNWDPDIAVIRDSVTRLARDEGRDVVVVMHAHAGLAGSEGLSGLEKQ ACGERGWRGGVVRLVYVCAFMFGEGWTQVPPGTGEGLDRNITVDLARGIYYVHPAQVK AMMYQDVSDAEIAAIAAQLVPQSLAGNWCTSSFAAWRWIPSTYVIALGDQKATVAAEE WIVKSAVESGRCAVDRVVRRKVGHCPHWSQAEWMARLLAEEAERSVR CC84DRAFT_1165840 MAFNAYPWTPATFPDAYAAGIALGSVGLTAGTFALLFLVRVRGR KARKSSEVKRRISAPLSARPRAEGYELEEGKGGRFSNRGVHNGM CC84DRAFT_1218926 MPHSTPVHPITVFASSRAVVAGRLTEATIVVSNITGKITSLFHS VLPPTDFPEGTSYTDYSPHILLPGLVDAHVHLNEPGRTEWEGFWTGTRAAAFGGVTTV VDMPLNAIPPTTTVENLHIKIEAAKGKCWVDVGFYGGVIPGNASELQGLVKEGVRGFK GFLIDSGVDEFPAVKPNDIELALKELKDSATTLMFHAEMIPPITDSVGDDVQRAHPPV APSGPLTHYSTFLDSRPPSFETYAIAEILSLSHLAPELQLHIVHLSAIEAIPMLREAR AKGIKITAETCFHYLTLAAENIQAGDTRHKCCPPIREQSNQDGLWNELLQDLNGGVIQ TVVSDHSPCTPDIKLLPAHLAPKKQSTRKPGHEKDCNSCSSSEGEEELAEEKGDFFSA WGGISSVGLGLPILWTEGTRRDANFSVEEIVRWCCKNTAKQVGLEHSKGDLGVGFDAD IVVFDETAEFCVEPSTMLFRNKVSPYQDKTLKGVVRETWLRGERVFTREEGFVEKAGP KGKLLLEPRNAVQ CC84DRAFT_1165843 MEQEEMVSGDGWISDDSSFYGDEHEQDRLEIRCEEFLPVKSWST RKTDLNAVVFEHRDIPPPPLSNPGEGQSGCWQLGETVEGFAKRIPPVSTSAYDYEWIW VHNPYPQVQDKSKVPDINNFTIRGRELLARSLQTRKDIESDVQKKNKSLVTRRLNEES RLLQQRITDLATETNVVLGKWMLFPSVEDLTRIWRLVIDGVISNRLGPSAKVAPDEGK PGERLICIYTKDFKDKNDVLRVLRELVSIGVVNPKLKPIYYKADAYTYLNIYKASAGD YGLQASTYSSQKLLADEKLQHAALTPRTQSSLNRFTRS CC84DRAFT_1094955 MPHSVTPESTAAEEQPAIKAEQNAQDIAMADAPSSGEAEDKAKV NLEELFDDDDDSDGEFASNHLLTACSKISDKSQYSDPDVMRAFYQRLFPFRYLFQWLN HSAVPSTDFAHREFAFTLPNDVLQRYQSFPTADTFRKRCIADTPPRIDIGPVYSTNPR DRKTLRKASAFRPISRELVFDIDMDAYDDIRTCCTGANICQKCWTFITMSIKVVDVAL RDDFGFKHVLWVYSGRRGAHAWVCDKRAREMDDSKRRAVYGYLEVLKGGDQGGKKVNV WRPLHPHLERSLKILPEYFQTTILAEQDPWAGENAAHLLNLIPDTKLREALQKKWDSS PGRPSASKWADIDSVAKSGALSKSSKELLEAKQDIVLEYTYPRLDADVGKKLNHLLKS PFVVHPATGRICVPIDPRKAEEFDPLSVPKVTELLVEIDEWKEEDVEKKIQDWEKTSL KPYIDYFRGFVAGLLKEEKESEKGGVKRERDEHGEAMEF CC84DRAFT_1149190 MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMAKKRKKKVYTTPKKIKHKRKKTKLA VLKYYKVDGDGKIERLRRECPQPECGAGVFMAAMHNRQYCGRCHLTYVFDESK CC84DRAFT_1123023 MLSTNAPSGIQRRRTLHRRQNSTPAAFEAPRLHQLPTNIQQRRT QRTGMSLDLRGLNLEAMTRPGTAATIPEYATPDGTVSNTNLGQQPQHTMQVAHSHSQH QPGPQQPFLRSPVQSLPSPRTPHRTLRPQSPPRPSHSPSRAPNSPTKAIVDQRIKDLQ EDVLAVYGPNANLFITLPTPNATPQKPAQIAPQEQLQPAALAPSFSDLADIKFSPSSN AMAFNFENLDYDMGYESSSYYTSDALSPSPISSPNQKSMQAFLENIPEFPTQEQMAEL TPHSSAFSQAPMFSPSSASMSMNGIPSTALSQSALLPELEIDASLEYTGISPEEVQRY ISEQDQTTNKWTCLYPECGKVFGRRENIRSHVQTHLGDRQYKCNGCGKKFVRQHDLKR HAKIHTGNKPYKCPCGAGFARQDALTRHRQRGMCVGGFENVVRKNVKRGRPKKHRPEL DERIDKANKTRRALASASSSVSGGSPMSDPRSSPPPFENDLRAQTSSTMLHESVSYHE QMSSNSDHYTYESDAKPYIEHSTSSFASNSSYADDATSMALLQHFSQPTHTPPMSPAN QRPNSSGSASSGKAPIHSSPLKVASTPSQSSTQSNPFTTPPTSPAEPKDEIDELFELP DNSYSQFELELQAMGTLDGSCDFLDFTV CC84DRAFT_1206959 MFSLPTATILFTLGLTLAAPAPITPNPLYTRSLNPSCAPGGNFD LSKFNLQLPTGSAFHPDQITGSKLAGCSGYKSNVFYTNKSDGALVLKVCGSSDTCGCV TTKNSKHCRTELREKDPTSWSPKAAVNRLRASLKVVKADDSAHGTVVGQIHVDDAVSK KPVCELFVNAKGEVTMGVEQIPDESSLKYTKVGKVTIGKKFEYEIRYEGGKLSVAIDG GKMKVLGTGSLKSPKSYFKAGNYNQGNSASEVHFYSIDVQHGGKKKGAHAEE CC84DRAFT_1177611 MSCTVSPTSKIQCRSLWFSGQKNHTNQRGQGPVFEICQWIFYTL AKEHKLATTLTFKKIEATAEDVIVLLKTLCQRADDIPCQPSTRVSFHGILLLAAIRGF RRATITSIKYRDVSLAVVRDPNN CC84DRAFT_1218932 MELLVNYLARRPSRPPPGLGTPKIAVEGSGDVAERAYESVDLNR TSTQQSRCLLCDSAFRGRSELTKHYQKIHVKDGTFDRPFSCPKCLRLGRGHVTITAGP PAWNRHAEASHGKIRQGSNGGKRKRTRDDADADPTVDGAGGDRPEDTEAASDTTCTTP ATATNSMSSTQTPASLVEMENIRNINPRLLPDHLRQGSKKVKSSHFDDPKAGNSERRV WPWETEPGPIVLSGSGTELEDLEGDCIPAADPQPEDHGLSYTGLLDAELHEPMAADRF HPQGQEGTEILENPPSEAITTSALAMIDLGDSYPRGMSSESLSPTHVPDDEDVGQLQP AALIQYPAEEETEIGGSLTSALTIDPGDLSHAAKLYWGRGYALVPEGRELNRTANNAQ RREEISSNISSQHVITGKRLRTSVRNRA CC84DRAFT_1248848 MVPPRMGTRDVPPFGSQPFDHFIMERWDPSSTLSKKEQHKVLVA VWLSLGQYGRNIFYQRMRTWANDSDIPEHVPQDLLTNEQRAKNEVPYCAFWIRTWYGT EDKGSDGSKPVTRATANKCYHHLYRRAVLSIEEDGDENEPYDGDLTIEEDAIFDDDPD SFGMAQQTPEEDIAPAGAIPSALAGAFMRVPDSFDGTSKAEWRSDNAEMILKEEKRLE DSQHVLVLLADRQACERGWVLFLSINHKGQVMPLRIRHKAGDTRDQVNQWLGLGHGID AAPEDRTDEVIYADDGDGWDKC CC84DRAFT_1218934 MEPVAQVVLEVAGNAEMEWVSKRLKIIDTLSYPGLAIKGIAAIG PTLDLYGQMKAKATISGQLRAGAKITFPKQLMYFPQVEEAKDYIKQPQATEGDESSSK GTDFVPILDAAVQASVNVDLLITPEVNLGIKVTAPKVSGDVLNAQIVGFVNNTFRFEV QADGKAGIGNTRAGSYHIYIKYIYNFGVGGIAKFKWLGEYALKPYYMWDAKNGRTKIL YEHYGEVSLSKRNPFISEGGDAYIDKFEPLYNSSYQLDDEWYGSSGALSPSLGKRDQL ADHAALGDKAKRFTCNDGGKCEQGGCSGDKCEWKPPASQRKLARADGDPEEGDPEDAD PNAPCQNSVPAFMYNCKYFPDRDGGGGYQFKGICHNILDYMRDHEGGGLGPVDLTYNW EGKASGSNRNDVCGERISFERWDEKENKYVNVRDTWSKSCIAYSDRYWREMNQKASKN NGNGNWASCDEFPFNAVLEGGDPNEVYWTDSDGEAKTDQKNWADEWKDNKATRGVDLE TKPIDLDKAWNRVNRETDIGRVVAAVNFMDNKKYGYNNFNAYCIKDVTGAPSKTHLWG NYYKTGGCNVEFDNSVAAAKMKRGEKPTLEEMFNIMNEDIPDIDVLIPVELVDGEREH QRHFKKHLQYNAHH CC84DRAFT_861491 MGRELYERYPVYTSAIDRADKHLLSLGATFSLLKELQKDETSTK INNAHLSQPSCTAVQLALVDLLRTWGITAKAVAGHSSGEIGAAYAAGIIDFEDAMTVV YHRGRLIPILKEKFPTLDGSMMAVGAGQADIAPLLERILRCVGEARIACINSPSSVTV SGDTDAILELQQLIEEAHPGTFARKLQVDTAYHSHHIRTSFPTGMR CC84DRAFT_861342 MATLFSTFPAQQTQGSPHFVPCNGSPKQTPRTLPTKKKALSDDD DIRGNGEEPAHAAHGVKVVDLTCLAETEADY CC84DRAFT_1197332 MVGVPKSTGCAICRKRKVKCDETWPTCVNCRKNGKLCPGPPSRH TFRDAGPQLDKQSTSIKTNQNSAPQDQVHQKTQLTHLKAIWSNTGAAVLKFRLSPTGD DQEASKGHSKGRSPTEHSSNLPMSIRYGPLQTSPHHELARALIEAMETDDAGYSISDF GSFMHEVPCRIGRNAALDTAVACLLRTRSALLSKNTLDNLQTPKYYLAAVQRLQRSLE DPVEGMSSNTLCAAVILSLVEALAGPRLDNSYLAHIGGAGRLLEIQGPQTCRDGFAKE ILRFTRGGIIVTSIYKSEPSFLSMPGWRHVAFDNTKSNIEESLYTEVLHLMSQLSVLL KEVKELQTLTEATCQPSSSLDTKNLFPEDDVLPRYPAPHTDFETAYTTYIGPELSHTQ PTNLHFEPGQPLSLQDPPSTHPLLEKAYALKASLDTTSAQLQSHLQDGTFALPLPALD PAAPFPTAYRFPHWRTARIYTIQWSLTILVAKTLLKLLPEHDPVRYALEAECRAVALE ICKTWDNAWANRPIGACHVWLGFVVAYEFCSVEARGWVLGALNRFLMDQGVEGWRWTE EVVGAMNRRLMGEGEGFVSGHGSGGLR CC84DRAFT_861506 MLPMTRPANFFISFCAIVRRGPISWRICRLCSDRHLLLSILCCS SHIACRHVLSCLHPHFLSYYYCGLLAFT CC84DRAFT_861505 MVNPMPTPRHSTSGSFSRTGPQFPPQITLAELPEPVYPAPTTID TRSPLVSTQIPSPFPPAPSSLSNAVRAAKKSRRSQQSYADDEPIGYVYANKSKFKCNR SECKELQFGRMADLRRHYDQTHAKNRVQYFCSVSGCSRSHAPTGGRGRSFGTRKDKRD EHERNVHKKERESSYSSVDNV CC84DRAFT_861565 MDSSYFVDRGFHEFQNNYPPNGLNSNHLNIFGQQSQQRHSEQYQ HQPLSPGQPRSRGSSFNGSPTSPTSAHRSSMSQPSVTQSNHSYFSIGNTGPLPPTPSP VTATYDMSRSDSMNSGFDYGYGGNYNQMSMHGGNGIVKLNDSENHHEV CC84DRAFT_1165851 MSLVPDAIWAASPTTTRGQPTPLSSDPKGERIAYAAGKSIFLRS IDDPAVSKQYTQHTAQTTVARFAPSGFYVASGDASGTVRVWDCVGEGATKGEYHIIAG RINDLAWDGDSQRIIAVGDGKERFGHCITADSGNSVGEISGHSSQINTVSIRQQRPLR AATGSDDTSLVFYHGAPFKFNTSLRGQHNRFVFGTAFSPDGSVFASVGADKRIWLYDG KTGEAKGQIGEGVHTGSIFGISWAKDSKRFVTASADQTVRIWDPEAGKAIQTWRMGDE GVPSIPDQQVGVVWPTGRSDGLIVSVDLEGNLNYLVDGNPKPTRVVRGHQKNITAAAL SGSTFVTGSYDGRILAWDTNTGIAEKVDGESHSNYVAGLVPSDSKSEAGVLSVGWDDT LRSISVQNKIFTGAANELKFQPKGVAAASDVVLVPSSDAIIVYKDGNKVSSLSVKYSP TSIAAHGSNVAVGGDDKLVHIYTLSGTELKDTGIELRRATAPISALAFSASGDKIAAG ANNGKIYAFNTSGDWKIITDRWSAHTARITALAWDADAKLVASGSLDTNVMVWSVEDP GKRIKAMNAHKDGVTGVAWEPSGKVLSAGGDSSIKAWKLTG CC84DRAFT_1096055 MQDDTRERDFHHPYEPYDIQKQFMNAVYDCLEDGKVGIFESPTG TGKSLSLICASLTWLRNYKRRNLEEGVVADAANSDEPAWILEHASKQKKQAALARRQD LESRIAKIKAKEKRTKDRYKNGEPLNKRQKTAAVNGIDDADDEQFALNDYESDNDGTN HQKSQGLNELGLSTENQILLEQMGYSAKPKDEDNNEIPDETKIFFCSRTHSQLTQFSN ELSRVKMPPAITPEADNEADSEASLIEDVKHLTLGSRKNLCINPKVSKLRSATAINER CMELQQPNTSAECKCPFIPRRGNEALVNDFRDHALAKVRDIEDLGTLGKKLGICPYYA SRPATKYCEIVTLPYPLLLQKSAREALDLSLKDHIVIIDEAHNLMDAIAGIYSVSVTL HQAQEARSQLTTYLQKFRNKLKGKNRVYVAQILRLIDSVIAYLQTKAASSMTSDGMVD VRTLLTGKGLDQINVYKLNAYLQESRLARKVDGYTTYAGQAQDPSQKGTERRNSRQTV PVLTQVQAFLMALMNPSEEGRFFYSSDEASSMTLKYMLLDPTFHFKDVVEDARAVVLA GGTMSPMSDYADHLLSYLDCSKIMTLSCGHVIPPSNLLAVPVVQTLRGNEFDFTFEKR NSEQMMIDLAHTVLSLVQHVPDGVVVFFPSYSYLDTCTAAWKKMRSTSSPESSSLWDS ILNTKPIFLEQRSQPSSDNSSAAPEDARDSVLGAYSSAVDLGKGRGAVLFAVIGGTLS EGINFSDALGRAVAVIGLPFPNPHSAEWKAKTQYVSAKATGQGRDGKAAARDFYENVC MRAVNQSVGRAIRHRGDYAAILLLDRRYAAPRIQAKLPGWIRNSLANGTGVREVEKRL DTFFAGKNI CC84DRAFT_861659 MRRTRTAASNRLLLLDWCFAQGIDRHPRKRTFRCCIFVPPSQLP LPSYGQRSGRQSRLIYQKYIMYRSSARTFTPILLHRSRLHVEHSRLLSSFVRRPCIRN PCDLVSHLA CC84DRAFT_1177620 MTTILASPLLQRSALPDLENLFGDATFEAQPTTQSNTASPQWSA PTGANDMPMTYVHQPSAADPQPTDITHDDENLSALYQGLPRDAKIGIIVGIVVIVSLS LLSCGMCFLNCRRRQRKRKARRESREAETVMLEMEMRNRHAEPQRRVRKGLWDHLKPA GGDGAVNAIQMAEAEARHDAMERNPRDGRNDSIVETARSESESVASRSLILPRDRVSI VSSVPSVISSTGARPALPRSFLDSGTRR CC84DRAFT_1165853 MHPGWGQEDRGAYTTVAQTEDASAELAAHGKEIQNGAPSLANGH SNGQIGSSSGPDPYDDTPTAEDYALLPKVADKLPWGAFLVAIVELCERFAYYGLSGPF QNYMANEYNDPNGLPGALGLKQSRATALSNFFQFWCYITPTLGAIVADQYLGKYLTIK YFSVLYMLGIVILFVTSLPYSIEHGGAFPGLITAMVIIGLGTGGIKSNVSPLIAEQVQ STKPFIRHLPSGKRVIVDPEVTVQRIYMIFYMCINVGSISAIATTTLELHVGFWAAFL LPLLMFVVGFWVLVSGRKTYVIKPPQGGVIKNCLKALWIAARNRFDLDSAKPSLQGPG QQRHRITWDDRFIDELKTALVACKVFLFFPIYWLAFSQMMNNFVSQAGQMQLHGLPND ILPNIDPVTLIVLIPIVDRLVYPFIRTRLHLALTPITRITWGFLIASLSMAYAAVLQS RIYAAAPCYSSPSNCDAGKISEGKYEPNQVHVAWQAPAYVLIALSEILASITGLELAY AKAPENMKSFIMSLFLLTSAAGSALGIFIAPFGTDPHLVWFYGGLAVAAGATGGVFHW MFRGVDRGVDGRRKGVSVESAEEEIELLERESGEYREAAEARL CC84DRAFT_1188283 MSMSGMDMGTATSTGVMPSGTASMDMGGMGGMDMGGGGGSACKI SMLWNWYTVDTCFLSETWHNTSKGMFAGSCIGVICLVLILEFLRRAGKEYDRYILAQH TRSLAEASPVPDSSSSDAVNKNPASSTTRTVPPRSFRPTILQQAIRALLHMLQFAVAY FVMLLAMYYNGYIIICIFIGAFLGFFVFGWESFNVSQGGKDRMQEEVTVCCG CC84DRAFT_862203 MTRRASEPVKSFTGRSARRERATASLSVLRHLCGPSRALDRPHK QRREAYIRGQLCGEIPPPHNTQRSKSSSTYFRLSKSKPHITFTMGNCGCASSGTCNCG SSCGCDSCPHK CC84DRAFT_1096387 MADARGEYWYLDDGVEPTKITVPELRSILLKHGVHYPASAKKPA LVELFTVNVLPQAAKIQRQAARTVRSTRGIVDVPSSASTTTTDDAEDEDTLIPPPSAV RQTPRRRTRATTAEHEEEEPAPARTPARRAKTPSRTVPNKHARDADADTDGQPAYQRT RKSLAPQTKEPTPDPEAWHRPTADSPFTQENPFQSGSSPAPEAHARDRRRKTMGFEQK ERRKSDATRRRTYQPQVSQQDDGVVVPTRKTFEMPVARVKKEQAVVAMPDSGDEGEEY TPEEQLELVRERAENGQVDILPPRRRRDPSKTASTVQAFLLTLFATAFALLGGVWREE KFAIGFCNTGSVAPTAIAGVEIPEFATGLLPQCEPCPPHAYCYPKLQVECEKDFIKKP HPLSFGGLLPLPPTCEPDTEKTRKVTQVADHAVQMLRQRRAQYECGEEDAKGNVVQSP EVSVSDLKEGLLAKKSKSLSNAEFEDLFAKALGEIPARQEVVEQSDGTTGERRLASTS LAELTLACSIRRSLRESLERHILEIVGLVLFIASGAYGKHTITSNRALEAHAKQLASD VFDRLANQSALAQQEPGAYQDRGLSMNQLRDDVLRNEFSSSRRMNLWKRVQKKVEHNS NIRAAVREGASGDVTRMWEWIGPVRLLEDGYSSGKRDGGRRSLGWTSTPGSSPPEVKD MKEGQRWDDGHPQY CC84DRAFT_862334 MYLTHLLLPFALLPNISALKIATSLQWIEHTPQAYAIKNFYKGS SPATLSSGGVANLASDSSIDLAANAETQGLKQYANHKSLRLIYIITEVSYRLVARSSS PANVKSLADLKGKKIGSMPGTSAAIFVQRMLASAGVNDGAYSIVSGNVCMKAPCGSGT LPAMLKGGQVDAFGIWEPAVELGIRAIGKDNAVVFQNATTYREVYSLYTTTDKLADPK KRADIVAFVRALNQTLEVYNHPNASVYSFVADRVGMDAEVVEAVWADHKWSGTWKDEE LMPLLVDEDAMLAKSDRRAPMSRAELETFLDTSVIEAI CC84DRAFT_1096148 MADNEIATRAPESDAQETPALHVPSPAAPGQSPIPGDSIAQEGP TMGEHCTTDRPTPEGEVPSGELTKLGGVDVYVTKPSDYPHSPSKLLLLLTGGTGIKST NNQLQADKYAAEGYLVVMPDQFDNDPAPNTVNMAELTKDASWLETIKMKSAEGIKSFM IDMWLARHTPEKVMPILHKVVEGAKEEFADAVANGGGIYGVGYCFGAKYILILAGEHP DTVAWGQEAPKDEEQGTVKKAPVIKAGAIAHGTMVTKEDLEAVKTPMYIVAVKDDPLF PEEEVLTPGRQTLEKNQVEHEVQVFSGVPHGFAVYGDYDDSKIKQAQTQAYGLMLGWI QAH CC84DRAFT_1165858 MAEQAITQGAIRSIFESSGPSVEHPVLQCVQIKTMDPKGGEGGN VQRFRVVLSDIRNFIQTMIATSANDIVLSGKLKKGSIVRLLKFNPQQVKEKKILIIMD LEVLDQYGEHDKIGQPEALEIVKSEPQPAAISGGGFYGSRPEQQQQAPQQQQRSLPVH QNNQGTSTHPHLYPIESLSPYAHKWTIRARCTHKGDIKTWHNQKGEGKLFSVNLLDDT GEIRGTGFNDVCERLYPIFEEGVVYYISAPCKVTLAKKQFSNLPNDYELQFDRDTEVE KAEDQDNKPQIRYNFTKIGDLNNVEKDTTIDTIGVLKEVGEVSTITSTKTNKDFSKRE LTLADDSQTSVRLTIWGNSAQSFEAPLESIIAFKGVKVSDFGGRSLSLLSSGNMTIDP DIDEAHKLRGWFNAAGQNVTFSTHQNMASAGGGQRNETKLISQITEDENYLQMDAPSY FNLKASVVFIRNSPTFAYPACSTEGCNKKVIEENSGEWWCEKCQKKWPEPLFRYVLGI NVSDHTGQMWLSCFDDTARTIMGMSANDLMKLKNDSEENSTQDFNTAMQEGTCRTFNF RVKARMETYNDQPKPRYQVMGISTLNYAQEANKLAQLIKQYDMDGDSLFVS CC84DRAFT_1165859 MVNVPKTRRTYCKGKDCKKHTQHKVTQYKAGKASLFAQGKRRYD RKQSGYGGQTKPVFHKRAKTTKKVVLRLECTACKTKAQLALKRCKHFELGGDKKTKGA ALVF CC84DRAFT_1149226 MTSSSKKSVLIIGGGAVGAIAALNLEVGGLASVTLVLRSNFNAV NEHGFDIKSCDHGRVNSWKPSVVRNSIPDIAKESLPSYDYIVLCTKNIPDVPPTVVDL VTPALPETNTHTTLILLQNGLHIYRPFLETHPQTPVLSGISMIGSEEIFPGQIIQDEG DKLIIGAFPNPNIDPPTSAALEFVNIYKNGGKTDCKLSEDVLHDRWRKLVYNACLNPI CAILGLDTGRLRLSADGEVVEHLVRPAMWEIVEAARANGVVLDEDVVQKMIDADPLQS YLNPSMLADVKKGNLIEHENLLGEPLREGKKAGVMMPKIEMLYYMAKGIQWRTMEVRG MVEIPPKNV CC84DRAFT_862419 MPTLADVPAATARDVGLLVTKASFRSLASELASMIAEAMQTNEE TGEPECILSTNDLCNLRLVNRQTAAYVQRTFAVRFFRHRKHLLSEHGLNALIRIARHS VFSTYVQKLSLGPERMSKLILPPALEPAGVIKTPYIIAQSQSQSSDAEASYISLHGKK MHDAWSLWYRVRIGEQEAFHNSDRGTLLLKETLRHFTDLRVISIESYPGTNTDRGYDG PWSNWTLPWGANTLVRELDSIITPHRVDARRLFYDVDPDIVNWHLNPILEALEVIKGR PNWRMEFYLNSGEKYVQAGSPFNIDSLWWQACKGRVQHVHLHRSIQTMEKVYDRANWL TKLLESCGRCVEEMSCQNTFYWSKIVCNAPLPALRRLNIHHATVQDMYFDTFLERHAE CLESINLSRVGLSIIDLRQVHEDMYPTVVLQAAKYEREDASWRRKFKLMLKLVQLRSI QLEHLTWVHGSLGFSHKRIPQVVGSTDYGRWKTTVMAEGEDIKTLLNQAIRDDKITLV WYEEDWVWEVVFLEEKESVKMAVMESLLVRSVVG CC84DRAFT_1149231 MKTSTSILALATLASALPSQSVNKRACTSAVKLSGSENVFKSYT LHANSFYKAEVAEAVKNLSDKSLATAAAKVGNVGSFLWLDTIANIEKLKTELATDIPC KNILGLVIYDLPGRDCAAKASNGELAVGEINKYKTQYIDVIAGILKAHPNQAFALIIE PDSLPNLVTNIDETACQQSKSGYEEGVAYALKTLNLPNVVMYVDAGHGGWLGWNDNLK PGAEGLAKVYKAAGSPSQVRGISTNIAGWNAFDLTPGEFSKATDAQWNKAQNEKLYTE LFGAALKTAGHPNHAIVDTGRNAVTGLRKEWGHWCNVKGAGFGVRPTSSTGAELVDAF VWGKPGGESDGTSDTSATRYDSFCGNDDAFKPSPEAGQWNQAYFEQLLKNAKPAF CC84DRAFT_1165862 MAPPGDILSDPDIAQAYEDVRSDKSETTWLVLKYASATSDSLKL AQTGTGDIAEMTETLGDDEAAYAYVRMKLGNDEYSERTKFCFVVWAGPQTKIMRKAKM SFQSGQVKQVIRTYAVEVQTSDKHDLKADVVEMKLRKAMGANYDRQGSAY CC84DRAFT_862431 MPALAPSNGGAQAQGAPNLDSLTPRDPTTQFASDDTFATFGIKA RPLESRQIVQGLIPTYYRQDGPGAGAVVGITLGSVAGFLLLVWLLWTLTNNSRNAISG EEEIVVRKRPRRNSNSHRSRRGTRSEVREYERSPRRSGGRSTVIVEERTRSRPRSIVV EETRRRVPGDDMVEVIEEESEYERRRARRGSGYR CC84DRAFT_1218950 MSSKSQSTEGEGSATVSASQSSLSGGSTAPPSSEIATSEKQSTS TKQSSGSNNPTAAPSVKSSSESSHASSSQPHTENESTTSADALTETQYIISTVTASDS SGLVTFTTTVEAASSSRGSGETTPVEPSHSDTPSSTQGSPIYSPFPSAFIFDAVIAGQ SYHLPSSDGPPTVIILHDGKTAELSHDKITIEGESVPVSQLSEDTKIAGVSVQKKPGE ADEPQEEEGGGGGGGGGIGGLIGGLVGGAKKAVDGVKEAGESALGVPAAAAAGASTAT FAGIAGKLSTAGNGVNALVSGLNGIQKAMPVDKLTQAGLGAAMDAQNLGRQASNWLSS TSGLVKDFPNLPKKVQDQVSDDIKKFAGEGGQLGKAQAALEAFKDFPWESELPQTQLP SATQNPTASATNRPSGTSMPTDTASRSISQDQSTTHSSWESTQSFTSASTTSAQNTTS LQSTQFTSTTSASNTTSATSSTSSAEPSPTETTQYYIRTKEGTSLETFKKFIKELDNA AGRSITYDPKLIPHQGYMTRLTSTQAEGLESKHKFILSAVPIVFKLEDLEISKKEEFR ALPQQRNDTDLNLLDALLSEEPGEFFGHSQKVTRDFEEPDNNAPYWKKMLSAPPRTEL EHDDPPYKRDKAEGKGATVYIIDDGFDTDQPELQSTADRQVWSHLVPNDFTFPENFLE TMKRVHNDVSLKEDVKLGHHGTKMAIVTAGKTLGISPKANLVLFKAKGSWVPSTGAKF QTVSYQYQALDYALDNIRAMIMRKRIDDAERPVDQHERSVVQMSWGLEFGNNRQAESM VPLFDEFFQWCQSMNVPVVLAAGNSNRSPLDKKTPQSSGTTTNTVITVGGVTKRGSLW RDTSPAYNGAAGSMTVFAPAVDIVVPGDASIQDMGTSQAAAIVGGLAAYLYSVVPELK SGENTDMKNFIVQHAWVRAHPEPPVVFGDFARDGWPPRLNVVYNLAAGDPLHQNNPCA PGHIGKRDNSSASACSASYASQSSTPASSINSASSKTIKKSTSHPTITTPATSASHSK NSASSTSDSDFEYSTTTGTWSGKYYTTETKVIVSTVHVTDIMTVAPPPDTTEAPPPPP PPPSSEAPPPAETSHREKPDSLPFCCKRDGNGDLIVGHLQPRCCRRAVGI CC84DRAFT_1177633 MANLRNIPAQMRSNDFPSKVIPARTEYMFNTPSNVFFQDISHAT PQGARSLLTLPAELRNRIYELALSTGSQSCYQRPQNISLQLAASHSTPLMACIMERPG TSNVRGPLEPFGAQLVVFTSHKHAKEFGSYRPRSFNQLQFVNKQLYNETKGLEFEFNN IAFTGSLTAMDNFTHRMQSSLMPFITSVTLISFPDNLLWELCWRDLTILARASPGRWT FDSYINFLSDLAAFCKQNPKIQVDYAITWHGNPYQLGETLSDVVDRNPHSRPLWNFVK LGVLASIAFDPQGSFAQSAPAKTYIWNDCFVQKAVSIGRILADRGIFTDVKNLTFYQC NPQLTREHYGTGEIPSTALIEDGEAHALRGLSKEAWAAHATNWLQNGIRPS CC84DRAFT_1248887 MSSICTACAKISPATRLLRLHPDVSSLRESALFCPLCYMILGAL ERADLIKKAEEYRAHKDGDEDSAYVLVNDTSVTVSINGHDARADEKAWTLIISCGPMK RERFMRQTEYYKAPATWLNIYAEEGSAAAEAVMTRPLTNNASSEGALRLMEKWIKTCV DDHHKCQWGYSRKELDLAGPSEQEGARLKAKYSEDKIELPTRVIDVQAFEDGVKLVES SSVGGKGCFTALSHCWGKIKHFKTEHATYDQRKTKICIHELPKTFRDAVEITRKLGIR YLWIDSICIVQDSTEDWAYEASRMASVYMNAYVTLSATFAVDGNGGLFAARVPPPSSV SLKYIDHLTGAQDGIWTIHNRNSNWSEHVRRSVLASRAWTLQEKELARRTLHFASDQV SFECHESIEFETQRPGVGTKARSVSFQYLYWTILGIRDNATATAILIPYLVNSRWCRI IEDYTTRKLTVESDKLPALEGLANYIAELTEDRYCFGLWRASLEIGLMWRSAPYADAD ERRGRVRGRAPTWSWASMDGRIYFAEFGTEILEAHTTNAIDIIDVGTDGTLTVSGRLI SLHRGKLGLQGPWDWNQMVKFYNRMETIDVKTNMARYEPVRSQDQQWFGFAALDDNVD VEDLQQQEVTALFMRQMTRKDIDTAKLSADQFKDSYQVLFLRKTDAEQTYERIGMGQV FLCDGSEDMEKKTLYIV CC84DRAFT_1095258 MAVSAPLPNGTTPLGAKESANGDPNAAEKAAQPSARNVHNVVLG SLVIEPWFSSEGYPEEIVGKEVDKLYVCQWCFKYTKELVPFLQHMVPCPQKACPARTS PPPGTCIYTRDHISIHELDGASAKLYAQNLSLFAKLFLHAKSVFYDVSAFLFYLLVLD PAHPSIPNTLSSSPDTPAQVVGFFSKEKTSWDNNNLACICVFPPWQKQGLAQVLIAAS YVLGRNDGRTGGPEKPLSAHGYAAYVHYWSQTLARSILQWSGKTLTVAELHEETGIAV DDVQNTLGAMGVLERRKKGWVVNRGRVRAWVEVVGGRVESPVDEEAFVVRDDEGTEEE NEEDSEE CC84DRAFT_1260776 MDPEHRKIELQSPADLSHLTTQLRTLARQKLDLHLPPQPDTSTP DDLRAQVEGLVDAFVAQLLAGMRQNISINGVDVIPRGAVDENGEMVGVVEDEGEVVIE EFEAFDEKLRKRVGAAVEKRDRLVEAISKHRRETGRAAARRWEEEWVRSGEGLVAPVV QGEQDVDVGGVEALKRQEEVERAWGRAVEGLGRLNGGLPETRARLERAGEVVGYLGGK REG CC84DRAFT_1165866 MSSSTAIAIPQRRRKQSRGGSSANASPATNSFEYGSYGSVRGDD SAASPKAGAERRPSLMSPAFSHAEHTVVNIGNSDMPRLITCVKASQGFDWNQEIFLPS YANFDFDDLERKQDPVQEIVVTDEEREKMFPS CC84DRAFT_862526 MAVSMLHAPSRASTSSSSSFIPVSRQNTMSSHDGSRSVRQSKRY SVTALYLSMSAKDKDLEIEDDLAKAQKILRELKSKISSQSKKNFVLEKDVRYLDSRIA LLIQNRMALEEQNEVANHLDDATELQEGFFPNDQKTQQYGNLLFLLQSEPRHIAHLCR LVSMAEIDALLQTVMFTIYGNQYESREEHLLLTMFQSVLTYQFDNTPDYSSLLRANTP VSRMMTTYTRRGPGQSYLKVVLQDSINSLIELKDLDLEINPLKVYEKMVTEIEDQQGT LPPNLPKGVTAEQAAENETVQQIIAPRLTMLMEIANNFLTTIINGLEETPYGIRWICK QIRSLSKRKYPDAQDQTICTLIGGFFFLRFINPAIVTPRSYMLIDATPAENPKRTLTY IAKMLQNLANKPSYAKEPYMVKLQPFIQLNKERINKFLLDLCEVQDFYDTLEMDNYVA LSKRDLELSITLNEVYATHSLLERHAGELSKDDSSHLGVILGELGPAPAQLPRKENRA INLPLFSKWETPIDDLTAALDITQEEIFFMEAKSTFVMILRSLPPNSSITRRPLRLDR IAEAAATTKNDSVMVKKGIRSMELLSQLQELGVVDKEDGFSLLRDEVEQELVHLGSMK EKVIEETRKLEEVFRTIRDHNAYLVGQLETYKSYLHNVRSQSEGKTRKQQKHQVLGPY KFTHQQLEKEGVIQKSNVPENRRANIYFNFTSPLPGTFVISLHYKGRNRGLLELDLKL DDLLEMQKDNQEDLDLEYVQFNVSKVLVLLNKRFARKKGW CC84DRAFT_862525 MPGQSDQREASGSLGTRLLRRWWWWWCAVSTAEWQAQAGTQAAE GALVWSRAGASGRGGSDGPGRQGVGSRGSALVLCYAVCCAQ CC84DRAFT_1149243 MTDRRAAVDGAFDRTRHHSPASETRSALIQSSLGSDVSTASANF RRRSSHTRPATDVAAEYSSRSWLGANEDDIFKAKRGQTKIRRTTNVPTVASSELSPSD TGKETGGFLPKLGRILEGKESKASRQRRDSIVSSMDGHTPRRGNRSVDAAALRHRSVR QRKNPMQRVEKNLANLHNPSLISVLSGLTHQSNASNESNSTITQQSYDKKVIAKRKPM KSRTQPPAKRPSSTRDSTSMASPSVFQYMDPTTEPVAFNQKQHQASHSSSASSSSTDS EHDDVSLTAEDPVVESPPTSPASMRRPNAEDSYEDDETDSEPHPNSGPTLQPTVSEPT DDSEGQDDNAEEDDESSSDSTGKQNSRASTAARPSRRASPADRMALERVPPPRVPSTA SSHHSDRHIRRLKRQEQALAEHILQNPQPHRDFHFTGAPSPHYPPTMPLYDPYSQSGA SPVSFAPTAHYAPPAAPPPPPPAPPVSQAIGYYSPPHAPSAPYSPGYDNQFAVAARPP MASSAVAQSTQAQYSPMQPHYHQVRPPGPDLSKTTMVGYELLADKLSKGSEDSQAKSG EEAVAPMYRKFENLNHRVLLHLQDEIAELEEELRYLDECIAQCSPRDHAGRHHPASRR GDARYGGELHYRRTELLGRIYLKLGQYNSALTSFNTMVKTLDPANAEDVQAYRSWMEK CTPIDHTETRFLEHKNDLLTVSEGGSASTVGGVIPRQSGAIWLPLVLVLPLMAFAIVP GLLGRLVILSLTGAAIMKLIASTKELMDMMTAHEWAGCFSVYFGFMALLAALAH CC84DRAFT_862570 MAFAVPWTLRLARTTSHSLRLLPARDCRTQPSASCRTSTGRRRS SVSMDPISLTAGCVALVATISKTSTIVTGFVREVREARHGLDVVSRELSSLQTVLGLL AEDASQNNPVSFPDALQAQIHGIITNCNEVVLEMKYSLTRHENSKLGQAGYWTVGGGK GHMARLRSTLEAHKSALEIALDMMAIIIARDTKKDTSQILDVTAAIREDTTQILEEVA RLRTQLPRNIAGEQTNGNEMLRRYLDSLTSYAGTVYDAETDDRTLSSRSASPMRASEE VTSTLTATISEKSFKVALIQPATVSNGDSESLVLLPTASAASSSKEGKIRLFSQITPA DHRLRSARPHPRLSPPEGALEPQAPPSPSTPLRLKGHVKHHSDVSSGSPTPRATGVPT PNLIPHSEALIQKDSQCCVIEHAVHAKMSSALPPDSAHESKTYRHTQFTTLPGRIRDY SLRQAPTLSDVLIVVTRPRTNADYTSDDLARTLRSIAGNIRQLNHLSWRQFTTCIVSR HKVACFYEEMLHHLMGVRYAGKGGLKPTSSERVLQARKVKKNQEVPGILDSRDKKSTR TPLAGNDDRIIASLTEYTSYHTDFKPNGTLPSGIGPPLNLLYLEYALQGEWETFIRDL GRLLGARTCMLIAVGDEFGDGELAQHHEDVLGEKGTKRKEWHYAGLPSRRYPRDWRCF VKKDLLMDLPEYVPARKP CC84DRAFT_1095863 MFYPSELLQRSGPLAHVWLAANAEKKLTKQQVLQDKIEQDINEI MRPQAPFSLRLSGSLMFGVVRIYHRKARYLLDDCTDALLRIKMAFKPGNIDLPANSHI ANPAALMLADAITDFDLLAPMPDPSLLLSQALDLQNLGLPNLGGDWDMDSSQFLSGSI EQPRRAEAEILGEEEDLGIDLGEDFDLMAPLDEGTSIEIGRDAPAARSLEEEFGTEIG DKRTELEAEADLGLDLGDDLTEMPGRPDITITDTDVPMGGMTELGDLDEPVLPEEEPL RERSASPLSELGEEEERALEQEVSVFQPAAEQEPEEEEEQHQARAKRRRVIQQDTQTQ LSTTEIREQQNNREDILKPASFLPRDPLLLALMNMQKSGGFVSSILRDGRSQGWAPEL RGILSLEVVSRPAQKRKRDSAVADVATADEARASAERTPQLEFEEDQLEIEEGGGLGG DTEIQAEDEHFQLPADEPEFIPQEEEEEVFSPIPDDFDVTTAPLLHPADSGVVSLETK HAVHLLRQVFGDAAETSEEERRNTAVSFQGMFPETTTAKPDIARMFNEILVLGTKDAI KVEQQTTDNELGGPIRIRAKRGLWGSWAETDVSGAEAIKSAAAAEAGPSIRDDTQTSA TSAAPLPSAEIAVET CC84DRAFT_1149247 MSRVSTPSLPLYNPSHGPDSAPRAATPLLSPPEPHRRSTPSSIT SQFSLLSSHETARKLETSVARGLSSSTASARIHTHGLNELPHEDPEPLWLRFLKQFKE TLILLLLGSAAVSLVMGNLDDAVSIAAAVTIVVTVGFVQEYRSEKSLEALNQLVPHSA HVIRAGAEQSRSGRVSNGVGAGNEGLDEVKDTPASLEAAEGRSSTISATLLVPGDLVL FHTGDRIPADIRITHAADLTIDESNLTGENEPVPKSPDALERANSLQRPQSPFYASPA AGTVGADIRLNDQKNIAFMGTLVRSGYGQGLVIGTGGNTEFGAISASLQEIESPRTPL QLSMDALGKELSYMSFGVIAFIILLGFWRGWEFLEMFQIGVSLAVAAIPEGLPIIVTV TLALGVLRMSKRDAIVRRLPSVETLASVNVVCSDKTGTLTTNHMTVTKIWHFDELSPI DVKKKHDSEALDSPTRNILRIGNIVNNARLVGPQAASTAAVLSSTIGDDNSGAKSRWV GQPTDVALLDLLDAFSEDDVRERLGTRLYETPFSSERKWMGVVISGSAGADLAPGPDV AYIKGALEKVLDRCDTYVNAQGQEVPLDQGLKQEAVKAADVMAQDGLRVLGFASGSKS GRKGTSGAASPAPSSVSALGDDEHYRGLVFAGLVGMNDPPRKGVEKAIRRLMAGKVKV IMITGDAETTAVAIGRKLGMPITMNSSMGRSVIRGDELDQMSEEELSQAIATTSIFAR TSPEHKMKIVRALQARGDVVAMTGDGVNDAPALKKADIGISMGRLGTDVAKEAADMIL TDDNFATILNAIEEGKGIFYNIQNFITFQLSTSAAALSLVLLSTFLGFQNPLNAMQIL WINILMDGPPAQSLGVEPVDPAVMSRPPRPRQARVLTRALIQRVLQSASIIMLGTLFT YYREMSDDGEITARDTTMTFTCFVLFDMFNALTCRSESKSVLAGEIQVFGNKMFNYAV AGSLLGQLMVIYFPPLQSIFQTEALGLLDIVHLVAVASCVFWADEARKWLKRRGLSRG MPGGYSASV CC84DRAFT_862627 MAHPSLSGLPLEIRERIYESLLTNTPSSTAGPSSVPASPLCTLL TLNRQIHAEIIAFLKSQLLVLLKTNDPEFISKTLTTQWGPSTMTFVSQLRSSDFSVQK DAANAPIAMELDFHMFMSDKEATSTAAFLVPASSLKMMVDAQGSPSFYIWTMQAELAV NMVDTFSHTQDEAEEKLLRNPYTTGFLRPSFVGVSTTGVAPRTATLLRKKLKGKYEAS GHLSKLQSLLNCAADRAENGWEAAARKLGMARRYAEMVWENHEECMAAGTPFDGILQL WLMHSSVCAGTVQVLLNIATGAPMGTVPTGNKQEDNAVFVEARKAAEEAIRFLTARPE WGRPRTAELPRALLLVRKSKAKLSFRTHAACKGMGDVDAAVGYLREALKYEPETSDML LRRIEGLKEEGARDAEDAQGVLKWG CC84DRAFT_1123081 MSDTMRAVDIEGGKGPRSALHIANVERPRPAASQALVRIKAFGL NRMDLLQREGMYPVPPGASKILGVEFSGIIEELGADGGGKEGFNKGDEVFGLAYGGAY AEYIAVSTHMLVRKPKELSWEQCAGIPETWITATQAMYLISKFAPGKTILWHAAASSV SIAGIQLSKADGAAAIYATARQDEKCEFAKELGADAAFNTTTQNWAEEVLKATDGKGV DIIVDYIGAPYFGDNLNALARDGVCVSLAVMGGAKLPEGVDVSAFVRKRISFVGSSLR SRDEEYQGKLRDQLVEHALPRFKDGRFKIPVEKVFPWEQIQDAHQLMEENKTKGKIIC TIS CC84DRAFT_1218963 MVSKSEETDIGVTSSFDSDARPAEDENAWIRFMTALKWYPKGMP AEEKSLVLKLDLSILIFGCLSFFTKYLDQQSLTNAYVSGMKEELHLFGNEINYLTATF WASYCTFMIPACYFLTHYPANLVLPILEVGWGLSTFGLAWAQNVETVYAMRFFTGVFE CCSFTGTIYVIGSWYRPSEVGRRVALFYVAAPLGTMFAGYLQAAAYTNLNGVHGLSGW RWLFIVDAIITLPISLLGFYIFPDVPSRKKPRFLSDSDHVFAQKRIAGKTAPPQLKIS RSIFRRVFARWHWYLFVAQWSIMDQNFLPSGSPFSLYLKANSQIYSVVRINTLPTIAT AVSVIVALTCGIIADKTGRFWLPAYVVTLPVIVGCALLVSWDVGESGRLAGFILTGFE GALSPLTMGWATVTMAGDAEERAVVTASMNAIGQGIMAGTQVVQYAATDAPRFRGGFA SNLATSVAQLVLISVILWLSSRDKKKVGDAQEKVLGHGEAAVAAKL CC84DRAFT_862687 MSTFQAVNTTLAVPEPLPGRPGEETTPTTPRPNSTFFNDAKPSD DPRAEDPSAKTPTRNSFAGLAGQRPLPSSPFTPSRELSTTPSRHPLSREDSHRSSQSA GSQDVEMGGAEEEGKDGSEDESVSGDAKSASKKKKGQRFFCTEFPPCHLSFTRSEHLA RHIRKHTGERPFQCHCSRRFSRLDNLRQHAQTVHVNEDIPGDSLAATGTRFQRQIRTD RVRPPGNRSRANTLGSTGGHSRGHSRNLSSSSITSTASSMSVAPSPDVRRRPPPLAMA NDGPARARLSLNTMDAYNPPLMGSPGPQIVDYNDATTPTSATFSTATGSPSRFSSAVQ SPVASASRPVSWSGVGPMPSGRRLSVPSNGNPFSGPAGQYPPQYITPVPSATPSSTFS PQSSSYASPVAPEFPGSRRESNADADWRRRTWHPGSYAGPRPATSGLGYHQTPDAPRP SFTSQPAASQTRLPGIESFDHAPPPPMPRRQPSPMQIDAPTRPLTYHAPTDLRPAIKH EKRTSISWDTNLQRGFTNLEIASPNHQREAWAQYQRSPGQTTHARPTTAPHPAYFNQQ PNSSPGMQHPIPETARTSQETPVTPKRNKRQAWYNGPVNPNIPPQPIRAVQRTSPEDS SSSEGVPTPSNSTMTEYHPAIVHSNGYVESHPPEAPVEEHKPVRTSIMDRPHPIYNQQ QSYHSHSLSTPSYRSYQQSERGHVTFGPPITQPPANDMRRLEALVAVATGEQVGNRQ CC84DRAFT_1149260 MGKQEIRYAAKVSLDTPPPEQKVLHNRWHPDIPFAGTIKNGEVV KIECLDWTGGQIGNNDSADDVRDVDLTKIHYLTGPFEIETAEPGDVLVVEIQDVQPLQ EQPWGFTGIFSKENGGGFLDEIYPKPAKAIWDFEGIFCSSRHIPGVRFAGLIHPGILG CAPSPEVLETWNTREADLISAYKDHYKPVAQPPEPQNVHAGTASDEVKQKVGKEGART IPGRPEHGGNCDIKNLSRGSKVYLPVHVKGAKFSVGDLHFSQGDGEISFCGAIEMAGV ITLKFTVMKDGVAQLGMKSPIYIPGPVEPQFGPGRMLYFEGFSVDEHGKQHYLDATVA YRQTCLRVIEYLKRYGYDDYQIYLLLSCAPIQGHIAGIVDVPNACTTIGLPMDIFDFD IRPETKAEKRELGSCAVASS CC84DRAFT_1260787 MSNFDPNAILRGAQLTLNPALFTSKHYRQAALAVAAGIAIRILV SIPVVSIRGLLWILAFVVDMDHAQWDNTIVDGLHFLEHSVLQVPFFLMTLMRYITPTL DDMFMESLRWVDQTYIQKHKSEDPRNLRAMYYPNLNMYPTHGPKTETKTPLKQALTAF AIRYGRKAGISLAVLALSYVPYVGRFVLPAASFYTFQKSVGPQPAVAIFAVALVMPKR YLVSFLQSYFSSRTLMRELLEPYFARVRYTKEQKHMWFKDRAGVLFGFGLGFYVFVKI PLVGVLIYGLAEASTAYLITKITEPPPAPSDAEAFKQEDVRWKNKHEFLNLPWQDMDA YNIQMTKDSFRSEVRQTPRKTFS CC84DRAFT_1123092 MASHTPGASPANAPPAARNAPVRRSFTLPARIVSKSPSPAPASS TDGIETLFVCTSTKIVQFSASASTRRASPSRRHGANDDDAPSIPWRSTTERTLAVGPL RIYRVISSNVSFLNSGNLLHTIFPRSQCWCVDGHHVFVLRVRQDTYYRIELPHETAED TGKVEDFKKVLGQVLQYERTRCPFARGFEVEVPERPTTPPRRRLKKPVEKAKKWTFDK TWMPENGSRPCTPVLEGSDAGTASSYEEDDTSSICTDRSEPMPDTPATAAEATPPKPA PKPTPARRLSVAERKRTFEEKRSVTVPTAQLPHRLSFSSQAIPEQIEQTPKVEEASSS ERTPLERKESAQSMVSTVDSFYSVETSAPESPTPPFQDAEAEAVNPWALELRVKDEEG RGRSKHRRQASELTVRQPSAHTAEEAPVTPTDDQATTGPTIRLSPAPSTPPLVSDSDD DSLSLPSLDVATPPDAIRMRRLTGATQKRAFSPMPAPQNLFCPPANGPRKQFTNALIR KTAEIVLGPPAHLVSLMLRIAAKITQGVSSFNTYRIRNITETIPGSWLSDGEEEDEDW AEEDDFGIPLRNLDEPASNRRGFAGDVD CC84DRAFT_1165878 MSAAKHEAEEDTRAGLELDSGNHAATEQIDWSSFINLEYDPSIP LSLPTNIPATTEPAPPSPGLTIEEGSEFAMFDFPSMEEWWAELPDEVQSGDWVSPTET ATFSGHTPKSDSSFSAYTNEQSPNLQEEGNEGKRRWRMPPQARRLLNECFERHIEDPY VPKEEIQQLANDTGISIRQVQIFFANARARKLPHPTPECKGLDIPARSNQQGPMERFL SSSPEDEGISEDAVRAAASKVDRPIKSARSRKGKTPSVHSSSQSSTSNSSAASMDSLG SRGSRKGRKRQREPSHNIAKTIFRKPSSPTRKYQCTFCTIDFEQKYDWKRHEESVHFP QKEWVCMPNGPVEDSTCVFCDLGNVDEEHLKSHKCIACAEAPRELRTFQRKDKLAQHI KQVHGCQPPKAIKNWCSSIERNVLLLCGFCSLPLPDWKSRADHLSTHFTSSIPMTLWL PELLGGIWPDDALTRSFITSRFSATPDPIGPVPCSVETCPARFANLRNLTLHRRQVHN IYHPTDSKVVMAKPSTGHHSQRLINLAQQTSRIQIARTDGPSAGVALQNQRSDYSTAF STVSTGTRRPNVPINRQLEEPEQSGFASAPHAAWRVVLSNSIVAGPRAPVPNPLLRQP KDIVRGEASSLRPSNLGRAGTAHAEVAGQARDGPPVEAIRSILTANREGVGSVGVPAQ GILHSLEQFGGGKGEAWPRPRAT CC84DRAFT_1149266 MAPKKNRYVQDPAYSMGGNAALGGAAAGAVPPQQDFQAQYGFPA TTNAPVPQYGAPPQGYPVAPQQQQDPNALGQQFQSMNLGGQPQQLPPQAQQPQIQLLP SDLIAAPVQAVEVDAPPPPIALPANLAATPSPHANCPAPYIRSTLASVPTTHSLLKKS KLPFSLIVQPYTSLHEDEAPIPVIDDQVISRCRRCRAYINPYVTFLDHGHRWRCNMCN LTNDVPQAFDWDAAQQKSVDRWQRSELNYGVVEFVAPQEYMVRPPQPLVYLFLIEVGQ ASLVNGLCATVSKIIAEALPRIPNADGRTRVGFIGFDTSLYYFSIPRDGSENNDPSML VVSDLDEPFLPTPDNLLVTLSESMQNVQTFLEKLPTMFQDNPQTGSAMGSALRAGHRM TAAIGAKITVLSSSIPNAGFGKLENRERKDILGTSKEGSLLQTQNSFYKSFAVECSKN QVSIDMFLFSSAYQDVASLSNLPRYTGGQTYFYPGWNAARQEDAIKLAQEFTNYLSSE IGLEAVLRVRASTGLRMSAFYGNFFNRSSDLCAFPSFPRDQQYNVEVAIDETLTRPQV FLQAAVLHTSCNGSRRIRVMTLGLPTTDNIASVYAGADAQAITTYFSHKAVERALSSG LDAARDALQSKVIEILQTYKSNIGGGTGGLTLPGNLRALPVLFLGLIKNVGLRKSALI PSDLRAAALCLLSTLPTALLLRYIYPAFHSLHDMPDDAGVPDPQTGNIVMPPLLNLSS ERIVPYGLYLLDDGVNQFLWVGRDAVPALLMDVFGIEDQSLIKQGKTTLPLLDNDFSQ RIHAVLEKARDHKAKGVGSITVPTLYVVRESGEPTLKLWAQTLLVEDRADQGVSLQQW LGMLREKVVQ CC84DRAFT_1197353 MNRSLSIRSKKDASSSGEKATPKHRFTMASLRGIQQPDLSKKLY KLIKTENHAIGAYESAGRERVAIAQQLSEWGEATNDDGISEVSDKLGVLMAEIAEQED SYAQSLEEYRGVLKQIRNTESSVQPSRDHKAKVSDEIAKLKYKEPQSTKIVQLEQELV RAEAQSLVAEAQLTNITRQKFKEAYDIHFAATIERAEKQIILAKQARRILNLLDDTPI VPGDTHPEFANGEHARQILNDAEDELRNWQPNLEPIHSTAGTLGVGAMPGAEPAYGSE TGQEVEHPAYRERTARSRSHSGEGHSNFRVGLMVGS CC84DRAFT_1123102 MESDARDLPAHHPPVRRDESAPKAARTDEFMAQFELNDGEGDYM TSDVGGPFEDQNSLKAGELGPTLLEDFIFRQKITHFDHERVPERAVHARGAGAHGVFE SYGDWSNITDASFLNSGGKQTDVFIRFSTVAGSRGSADTVRDVHGFAVRFYTDEGNYD IVGNNVPVFFIQDAIKFPDLIHAVKPNPDREIPQAATAHDTAWDFFSQQPSGLHTLFW AMSGHGTVRSYRHMDGWGVHTFRFVTGDGKTKLVKFRFQTLQGKASLLWEEAQVTAGK NADYHRQDLWDSIDSGSYPEWEFQAQIMDEDDQLRFGFDLLDPTKIVPEELVPFTPLG KLTLNRNPSNYFAETEQIMFQPGHIVRGIDFTDDPLLQGRIFSYLDTQLNRHGGPNFE QIPINRPRVPIHNNNRDGAAQMFIPLNTAAYSPNTVNPGAPKQANQTAGRGFFTAPNR SSKGKLMRAVSSTFADVWSQPRLFFNSLLPVEQQFIVNAVRFETSQLKSDVVKSNVLI QLNRISHDVAVRVASALGMTAPEADDTYYHDNTTTGVSVAKGGLLKLDGLKVGYLTTA SAPGNSSASTLKAALKAANVTLVVVAEKLGEGIDQTYSASDASQFDALVVADATNALF TAPSNLANSNSTSSAAGRNATASPFATLYPAGRPLQILQDGYRWGKPIAAVGEGPAAF KSAGIETGTPGVYAGEIGVLGERLKEGLKTFKFLDRFPLDA CC84DRAFT_1260793 MCCRFVSASNWISTSLRQPLTHPLAHQSSTMMSMILLTAAAFST TTTAATTYKASFTQYGSTDTWGSGNCNVASTACGFYTSPGYSAAVSQNEFGVGPGAGA GPGCGTCWKLTVQTDSSGNDLSNAGNSIVVQVTNLCPASGNPLCAQNGLTGTNQYGAN LNFDLCIDSGASKALFGNSGVGLAVGSAVQVDCNQWSGKVVH CC84DRAFT_1218973 MNGKQSDVRSTPLLLRIPGELRNHVYNYVLDDFLDSDGHRRFGG LLRRKYGPWNANGVFLDPNRHEARRRKVSLLQVCRVLRQEFRSLLLKAPLDITIDIAA TYIDAFYPLPTTLPAETEFPPCRILLKQSPKPGRVFITELLKRLYDRPQLEFAFEEAW PQGGLGRELNFLFADKDRALLWKSALQSTVKKIVYSEAHSEATLQNGQSEEPYRIYGI KADLHIFVETYGMRPAHLIEPSRSSVPILLHKYLAELGLVRQKQGPISLSSSSRSCFS RDGQLRRELGFGLKKST CC84DRAFT_1123105 MALRLPGGVSSPEGLWDLLINKRDGRSPIPSNRYNVEAFHGPRA RKGEVASQHGYFLDDSLEHLDASFFAMSKAEISQLDPQQKLLLEVVYECMESAGQSNW RGSKVGCYVGVFGEDWLDLCNKDTQSFDMYRISGTGDFAISNRISYEYDLKGPSMTIR TGCSSALVGLDEACQALANGHCTSAIVAGTNIIISPTMSQHMTQQGVLSAEGSCKTFD ATADGYARGEAINAVYIKKLNDALRDGDPIRAVIRSTFQNCDGKTAGLTNPSSQAHED MMRTAYSQAQLPVSRTAYVECHGTGTAVGDPLETVAIGKVFGGSGVYIGSVKPNVGHS EGASGITSLIKAVLALEHRTIPPNIKFSTPNPKIPFKEANLKVPVEPTLWPVDRAERV SVNSFGIGGANAHVILDSAASFLGAWTERHAQATGPRAASAPHLLALSANTPDSLRRR VTNIQQFLESRFDALNDIAHTLAYRREHLPYRAFGVVTEKKLLKFSSFQKRPRTCPAV NFVFTGQGAQWAGMGVELMATFPSFHDDMLYLNSVLHTLPDGPSWSLLEELGAGKDSS RINKAELSQPLCTALQIGLVNLLARWGIIPSAVVGHSSGEIAAAYASKAISAEAAIVI AYYRGMVTRTKTCAGAMAAIGLGREEVARFLVDGVVVACENSPKSVTLSGDAEKLDQV MTIMKKDLPDVFCRHLKVEMAYHSHHMGEFGEQYESLMEPHIHSEVPSARFYSSIYGK VITDAGRLDAPYWRANMENPVLFFTAINSILDATQTESSIFLEVGPHSALAGPLRQIF QHKQPTVTPTYISTLARSEDQVHSILSTAGQLFQQGLAVDFNAINGPGIVLTDLPSYP WQHDTNYWKESRVTQNWRLRVFPHHELLGSRILESSDIEPSWRNVLHLDSVPWLRDHK IIEDIVFPAAGYIATIGEAIRQLTGAKEYSIKRLVVKSAFVMHEGQSSELVTSLKPVS LTDSLDSSWFDFTIASHDGVKWTKHCVGQARGGSTQPMTSRSIDVHPRTVQSNVWYPA MKRIGLNYGPCFRGLRDITAHPVQPVATANVQHDPEEHNSVYATHPTIIDQILQLFTV AMSNGLARRLGKLAIPAVIGELYVGECGTSIEMGVEAQLTPKGAIHGSAFATSNGRLV LSLTESTFNPLETDGAGPGDQDNLAAVRLEWRPDINFVPAAELIRPRGNKNESILLVE RVAVLCMIETASRIASFDVASEHLQKFRSWLEMEVARMANGQYNIVPEAKAWTRVSSE ERLKLLSQAQAHAFAKDDSSSVASTIQSILDNCDDIFHDRVSGIELLLPNNGLASIYT FYQEMVDFSDYFELLGHSQPTMRVLEVGAGTGGTTSWILKALQTAEGARLYSKYHYTD ISAGFFPTAKEKFKQYTGIEYSVLDIAKDVVEQGFEPGTYDVVVASNVLHATPSLHKT LTNVRKLLAPGGRLFLQELSPNLRWTNYIMGILPGWWLGEADSRPNEPFVSPSRWNEE LRAAGFSGVDSLVYDNAEPLQINANIFSTVFEAQTQPEREISLLYYAEKSAAAHEIAE HFVQQGYAVNWQTIDQGPNMDQTTVVLLDLDRPFLYNMSADDWTSIQTFFSHCSAQYS HIIWVTQAVQVHCSNPSYGLLPGFARSLRSELSLDFATFEIDRFGTEAWKALVGLADG FQQRRRKETLGPEYEYAFFDGKIHTSRFHWISSIEDNDQNEMTEPEARLEIGKYGLLG SLTWKDFEKEGVLQGDEVEVQVRSVGLNFRDVLVSLGIVDGPNHKLGLEGSGVITRTA PGVDRLSVGDRVFICDRGCLSTKMVTSAKLCARLPDALSFEDAATMPCVYSTVIHSLV NLGGLEDGQTVLIHSACGGVGIAAIQICRMLGAEIFATVGSEEKVQYLIDTFRIPRDR IFDSRSSSFLPDLMRETNGKGVDLVLNSLSGELLHASWKCVAPFGKMLEIGKRDFIGH AQLSMDVFEANRSFWGIDLAQLIEERPQTCQRLLEQCLTYYRQGGIEPIRPVKVFQAT QTVDAFRYMQSGQHLGKIVINMPESMQELQTQARPQEIRFSANASYLLVGGLGGLGRA VSTWMVERGARSFVYLSRSAGSTADDKIFFKELEAQGCEAVAIAGSVTSEDDVKSAIA LAEKPISGIIQLSMVLRDQNFLKMNHEDWETPLSSKVHGTWNLHNAFQDTKLDFFLLF SSLSGIVGQWGQSNYAASNTFLDSFVQYRHSLGLPASVIDVGVMEDIGYVSQNAAVLE MFRSYSMHGLKESDLLRAIEISITRSIPGLRTAGRYCNLGQLTTGLASRKSIMDSSNR AVWKHDVRMALYRNREPEKQSSSSTASEGLKDFLASIAATPAMLDEKENADFLTQEIG KRIRSFMIQSDEEVDIKMSLSDMGVDSLVSIEIRNWWRMGLGLEISVLEIMSAGSIER LGAIALESLRYKNVPKDG CC84DRAFT_1197356 MTEENSLSEKSGDAEHEAKDESAAVTGTEPVQSTEWKPSRSEWL IFLNLAIISLIVSLDSSILGPVLPAIARSLHGNANETFWAGTSYLVTCAIFQPFIVSL SDAFGRRQLLFSSLLLFTIGTVLCCLAENFRTLLAGRSIQGVGGGGALSLVLVIMTDI VPLRQRPKYYSLIQLAWAVGLLSGPIAGGGFAEHTTWRWIFYINFPFCGIGLAMVPFV VRLRAQRASLQDRLMKTDWLGAFLFISSTCSFLIGITWGGTQYPWNSWRTIVPIVLGV AGIVATLCWERHGAPQPFLRLWLFKDHAATAAYACSVLQGLLMFAHLYYLPMYLQSAQ AYGPMLSGVGLVPIIGGLIPTSVIVGGVMTRLGRYRWAIWSGWATIIVSTGLLIILSS STPTYGWILLFLTIGPSHGLVLTSLNFALQALATERDGAYAAAMYTFMRTFGMCLGVA FGGTVFQNRMLVHLAAKGLDQRVAKDAEAFILVLNSMPDSAETASYRVAYAEAFRNLF EVLLGVAVLAGVASAFVKHASMDRVLDSEHVFKEQEEKSKA CC84DRAFT_1165883 MSVSTIPKLESKAAYLKAVMYEGVTVLEGTATWCAQCKAIAPEV QKIVSEYPDVHFYTYDVEETEDIAQELGVSQMPTFSIFKDGDIQEGVTGAKPKELRKA IEANL CC84DRAFT_862878 MPHAEKDTVNGEMTTRLTNGEKPQSKVLSHLQTYPVVNDGLEFY KSHPYGAKSLNLVHKTYNSFVAPLHPYLQTPYSYLSPYLSRADELGDSGLSKVDTRFP IVKEDTSKLKQTVSEYVGLPFALAGKGRAYVVGTWQDEYSKTRGDGVVKSVKALISTE LKIGLDGYTLLVEYWQKGHKEVNKKVNEVSLS CC84DRAFT_1218978 MKFLKVGRVVIITRGRYAGKKCVIISPLDNGTKSHPFPHALVAG IERYPSKVTRRMSKKKQDKKSKVKPFVKQVNYTHIMPTRYTLELENLKGVVSADTFKE VSQREEAKKTVKKAFEERYTSGKNRWFFTPLQF CC84DRAFT_1165885 MKIRALSRPASSAQAPGSSVAKLTRNLDPTQHPFERAREYTRAL TAVKMERMFAQPFLGDFEPGHVDGVYSLAKDPESLEHLASGSGDGIVKVWDQSSREEK WQAQAHENMVKGMCWTRDRKLLTCGSDQKIQLFDPYTTSSRSPPVATWHGGAFSSVAH HRNLPNFAASSDKVSIYDISRASGAPVQTLNWPTAIDTINALAWNQVETSIIASAATD RAIILYDLRTGSPLHRTVLHLASNCIAWNPMEAFNFAVANEDHNVYAFDMRNMKRALN VYKGHVAAVMSVEFSPTGEELVSGSYDRSVRLWERQKGHARDIYHTKRMQRVFSVAWS ADNNYVLSGSDDGNVRLWRAKASSRQGVKSAAQRQKLQYNESLKERYVHMPEIKRIAR HRHVPKQVKKAGEIKTEELASVKRKEENERRHSKKGKVRRQAEREKMILAREQ CC84DRAFT_1165886 MSASAVSIQDEKLESLGMQKMTVNKEQVVSYSRGLGAASEQNPV LVLIHGYPQSSFMWRHLIPLLPSNAPIFAADLPGYGASAPIPDNSKYSVGSTVLAALI TEAKRTSSKPLDNLPIVLIGHDRGARVAHHLAVQGFPSVSIKGICLIDIVPTTIQWEA SKNPAEVVGYFHWPLLANVDLATRMITAFGPGNWCEEMCLRWAGKTPSALSSFKADDA LAVYRGFFEQPHTLDASNKDYEAGATVDVEVEKGWREEGRKIAVKTLLVYSESYIGQR YDFPEVWKGWVEGEAQFYALGGGVGHFGAEEAPKETAEALRKWLKSL CC84DRAFT_1188309 MDLGLDAFALNINSLQSWATETVERLFKNADDLGFKLFFSFDFG PNNFGDPSEVVDYLKPFLSRPSYYAHNGKQLVTTFGGEQFDDGKISQFKSNVGGNVLF IPGLYNGAASADIFSKNPSYDGVFGWNSWPSSFAGNVETTAETTDDAVWAQAVSNSPG KLRIAGLSPINFKHYAGQNWYRRGEQNLEVRMAALLKDQPDMIEIQTWNDAPESHYIG NIWDEPNTGNTEAQGWYKGFDHTGYQQVIKAFAKAWHTCDSVENMVPTNGKSVQGAFW HHVLTVGGDCSADPKGKPDPLPAEDSVSGVVLVAKGSAGLVAVVNNGDKELGKLTLKE GYNSFKFDNLGAGKVQLEVWDGSTMVGGGYSNQTVQTSSDICNYNFQVVGFPG CC84DRAFT_1260803 MYAKRGRGGFRSANTAKKPFNKKRASPSDDESAPAPKKAKNDAE DEPLVPVLDTDGDKNPFVALKANGTRRVTVSDFKGQTLVSIREYYEDKSDGQMKPGKK GISLPIDQYNALLAAAPLLEAVLAEREEKIARPKYDGEVAKLAEDNKEEDEAGAKAGD GEEKEEEEEEE CC84DRAFT_1207003 MTLSQRDLPLGVSQSTLDHFAVIPWTQSTLNDPAFRIVSHSRTV THGGIGHTLTGKTWNTDGTIKELLSFWRPSPSPHVQTESGRAELRRFYTFGGDLNAHP GLLHGGVIGCILDSSMGGCVGMVLHDPHETFALFTAQLNIAYKKPVKTPGTVVVRSWA TKIEDGGRKVWVHGVVEGEGGVVHATAEGLWYIKPYREFDRPFLFKARHAFVSSSPSQ VQYVITTWRTPRTVAARPKNTANAKAITAAQGARPSAETARIDLTCLRAATSFADAHA GWRRSPVTSEELGLVRSSSLLVEGTCGSRCRFRERGARCVFQSSVTSSLSGNEHEAYE L CC84DRAFT_1061912 NGNRVGWDAEDDPENPKNWSFWTKWLQICLLIVPVFTSSFGFTV MAGPAAEIMGTLRVHNSQLVTFAITAYTLGQFFGQLIILPLHRKYGIRNVLLLHLVLF PTANICCALSQNAGMLISFRFLSGLTSTFSFLLGYEASIEMFDAYAMGLGGGILAISA TIGTTVGLVSGSFLVARLGWQWAFWITAITSGGFGLPSVFLLRETSKKVLLTRKAIRL RKETFNQALYAEVATEKLTRLYQGVWDTYSQHLSKPSLVILYIHSATFQGSIHLIYTT LGYVFLQQYSLAGSHISVAATGIAVSITISAFLLSPFTASSSAWTIQTRALYAKVIGS LMMATLCEVSGFITYGWTAEYHIDKILPILSLSLIPLGGQVSVSCPSPYFRCTIFVVD LVSKEPTRYIAHDTIRLLFCAVLPLGGIPLYRSIGVGWGNTLLGLLNLFL CC84DRAFT_863372 MVFSVYKAATALLLAGSIGAEAASVAARTPTANDRVLRAGTKRS AHKRSTKIEPTFALDLPYIEEPTRYNGHSIFASNLKVASKKPILNLEDIEHHLEDVQC VNGKVTLKFADTSSAIDARLACHGPEGGIIVTSHLGCNPAGERSVYTVHGASASGDGS TLELDVMESLFEHAFDRIEVGFGHTEEHHSIREHTDLKIRKRQLARLEGAIGTAETVA ADAEMAEGMAAGAKNATEVLGEVPEDSDPNVHDAKFDLKWEVTNKTFKPDEFLAGLPV GFTLTNMANLPLELTCKKCLTRGEIILSQGNIEIDTAALKAIPDKLKEIPGMVASAIP SAIAEIGEKMDEAKDKLESKVDQAADKVTSKVGEAVDKVTSIIDAVPSKVESVAETAV AAITSIAGAVESKAESIINNIPIPTKLPDIDLNPFDRRTKMAKRGVDFDLGKVITGGF VELKANGLEAYFELGAKPKSTGYFTFRVLELPILGFVIPGVGRAGAVFEALLEADYEM EGGFEMSYGMNLEVPSNSTMRIDLGDMSKTGVSGFQDSTLTPLPFNFEKKQLDAFVSV AMVPSIPIGFKFLSVLDVGVEAELDLPRLDALISTIDDADTQCNDLSYEDEEGATDET EDGTTDETEDGTTDETEDGTTDETEDGTTDETEDGTTDETDDSTTDETDDSTTDETED GTTDETEDGTTDETDDGTTDETDDSTTDETDG CC84DRAFT_863381 MKRACACHLVGHPVRTLASVSPGACTLSDGLWLRWTQAIPSRIL SGGSAASSLLRRHEGIIQTAFPPGLDVISQASCIALGVLRSLFFHSVVLLSRASSRKG SSSSIRSIVLSARYSIHSLFLYPTFHIKIHI CC84DRAFT_863389 MWGRGAERSDPYALQTRPTTSMCEKFIHLLTTQLIIFVFQHRLA VIPLIHCFARVNISQHSNSAGTVQPSDIAPPRPSDFAGSS CC84DRAFT_863390 MLLIAFIGLHSQSYGAQTWPCNVGTSAKVRQDMLEIPLELFSTL DRQRAISYLLGANRRHLPHEVFACPPELIWPPGDLRSGVTALGDCAHVLERTS CC84DRAFT_863396 MDITGLLQAALKRAVLLRECATPSSAPETEAPHRRWIQTKTQPA HAAPGPTSVSDVSSQPHPTTVQPPRIQATDMQLLKNSPHGSRTHRQSPCSQSAQMPCP AQPPPHPHPALPVPALPVFDAASDLRFWGVNAALRCIPWSAHAIRRNHWLGQTIQQPG QVFVDFNEPAKWRVGGGRFVRGVRQSVGSAGRDAA CC84DRAFT_863399 MDSAGQLLHDAHHLYHRSGGMGGDTSSRPPAYKIVGIILAISSG LFIGVSFVLKKHGLLKANEKYNEAAGDGYGYLKNFWWWSGMTLMIIGEICNFVAYAFV DAILVTPMGALTVVVAAILSAIFLKERLSFVGKIGCVNCIIGSIIIALNAPQQSAVSN IQDMKKLVIAPGFLVYGGVVIVASVVIALWLGPKYGKKSMMVYLTICSLIGGLSVVAT QGLGAAIIAQINGQSQFKEWFLYVLLVFVISTLLTEIIYLNKALNLFNAALVTPTYYV FFTSATIVTSAILFQGFKGTVISITTIIMGFLQICTGVVLLQLSKSAKDVPDAAVFKG DLDQVRTVAEQEEPEYEPRADTIRGGGAILRSISRARSEKQLQEVKKLHEEHMQPIGE GETVEFDGLRRRKTVLDPNRPPTRSATVVHKTVHPPLGMSHFPEDDSDSDNDTAYHPG FFQRLRSRGKSTSSSNHPRDMDLESLPPTTADSPSKNYMHTDTAYKPHDTTIQFGTLP HPPHPHDPDFEDAPGELHPPRPPPHIAKRQFSFQNVFSRKRSESGAGSSVTSRPVSRH SRKGSHNAKEATEEERLGLVKGDSRILGPIPSRDSREGSPPGYTDEEGEWVVGGRSMS PERAMSPGRGSSGGAQLRRVDTEEWDPEDDKEFETRKPSGPGAFI CC84DRAFT_1096115 MDNGNGAPAPALDVYAAASVAEIHAALAALHQHEAAVTQRLDAL VASQKDLSRELGRLDLLRAHLGTHVVNTRAISHAMLSDAASTANRISRAVKRLDHEQA NVRATLDVVEQVAELKACVLGVHGSMGAPQDWETAAAYLSRAARIPDEVIDGTFAEEI VPTADVPDPPRQTLDAAAESLCGLFLREFEKAAADGDGSRVTRFFKLFPLIGRTDTGL DAYGRYVCQGVAARARTNFNGAPLAQRQESYFYGQTLTKLFEHIAQIVDGHEPLVERH YGPGMMAKVIERLQVEADVQGGIVLDTWHDERSIDRKLTDIKSYAYSFLLQSFKPASG NPRSNSPANPAPRTSEDEGVDMKEVDGLLAECALMLGRWALYARFISDKCAPSQPEDR IDHGLVMPQFLATSNLHRKVSSHLIEPFNFMTTFFFRRSVEKAFQMDQSPSGLNLNPA KPLSAEPPFITTAVDTVMYILNQVLQRALATSQRDVIANVVPTVGSLLGTDFIGMIQR KMRDESYPRPIIQGGLPPEDKVIAFLVLINNLDVSNEYIKRIVEQQLGGPRSDSSNSP QENLFPFGHDAKFVETKLRNLEHSFAVNSGELLNDGIQVAFHTVLKPRVRPILAEAFR DINYRPSEDEANGEDGEEDDADLVKARFDRGWGALIRPIKRILTTANFDRLLSVALAY LASALEKRIKGQHGLVNELGAVRLERDISGIVTAAVGGGKYALRDAFTKCTQMTLIMN MEDDEWEEVCAEETGDSGIAWVLSADERSFARSIVKGPR CC84DRAFT_863426 MALMNGHMMPQSIPRQGLRTRNSFAWARLAPSLVFEYRSRERLI PMRYPSVFEYRSRERLIPMRYPSRQPPHPTHHVDHHRSASLERPTWTMPAHFFRS CC84DRAFT_1095776 MAPHALFLLASCLPVALAHGMIYDIWSNGHRYPGWNANGNLTSY PPDTPAWYTTNAGGGPLHPSDANRPQIICAKGGSNANMSAPVAAGADVRVRWWMVDQA WPVGHHGPILSYLASCNGRCEDVDMAALRFVKIAERGWVNGTVYQEGYWATDEMIANN GSWTIRVPPNLAPGEYVLRHEIIALHVAFTSTGPYSLDGAEFYPQCVSLKVEGGGTVQ VSGGVDARTLYKGDEPGLTLNIHTGPDHAGYVVPGPPVWTGAD CC84DRAFT_863466 MDEACACQDAHYARADRVCSTETLPEFHLPDLKKYLCMSGSISG HNDAPRRLHRPARLAQHRADSSLPVPACLCRVLRVSACSNCGHVAVELLLVWKSSAEP GWTVWAVPRCRATTGAGQGVLHSIFCRPIPSVATTPRICICRVHRQASFFGLVLHIHT CC84DRAFT_1165893 MRPAAAFTIMFAATTLATPAPKPLADPSLEVLTDAPSFAPEVDA RAAAFLEARDPKSGSSSSSSSSSGGKSSSGSKSSSGSKTGSKIGKSGNKSGNDSDSAA AMLTSNVVLELGALGLGVLLWV CC84DRAFT_1218991 MSSRIFAIPILSSNLTSSPALLSLKLEMRLTSAITILLTATALA TPAPAANPEPSDVVANAPSYAPEVDARAADFVAARDPNKKKPKKNDHNLTSGNDTDSA APILTSNVVLELGALGVGMMLWM CC84DRAFT_1165896 MWIPLPGPLLLLTLTSTLVQPVYSQCPIYTNYAYHKHLPLSEGK WQLASMRPIPPCRTFKSQEVEDTIERLRKVIVDPDLFRLFENTWPSTLDTTIAWTGVA NKSVIVELDPVMNKTTTWDASHKFQLTPQDVKKLEEDNEEELSFVITGDIEAMWLRDS ANQLQAYTSVLRASSKRGSLASLFRGAINLQARYILGDPFCNAFQAPVESKIMRKSSA NSDTISPPYDYMTVFSCQWELDSVASFLQLSADYLRMTGDVAFFGKHAWVSAVKKVLE ITEAMMIGSYDAAGNWQHTPYTYCAPYGGTPINDCNGSPHRAVGLVRSFQRPSDDSCI YQYLVPSNMMYAVALNASLPIMAAVSPRGSNLTAWMRNMSSTITTAIQAHAIVKDPNH GPIYAYEIDGYGSAILMDDPNVPSLLSAPFLNFTSATNPVYANTRRKILSRDNPYYAW GPVISGVGSMHTLPGRAWPMANIMAILTSDDDNEIMRNLRWLVQSTDGYGLMHESVHA HTAGVWSRQWFSWANGLFGQAILDLERRKPWILRMGFQ CC84DRAFT_1095977 MSACVACQKPLEVEFEPEDYDEDVQMGGSSGKAPAAAPDMVPDD VHLNCGCHFHWDCLLEAYQITECPSCGKNIASTSAAGAQQVLVTLNNEGGLQENLDIL PLLTEESYLKAYPADRKCRAFLEFCREGDMSAIIGMLQGDNESDSDSDDDMADEAEGE GEDTADVGIDALLRYQDPIGDMQSGLHAAVQAQSREVAWLLLLLASNLPLLEFPPEVF QEAEALGIMRGLTEDKTDIRSMRDANGKTAEDVAREVGGVWAAGWIGNGRLAI CC84DRAFT_864675 MAPSPQVSGTSSAPSPSTAPQAPSVLPGLKRGIAVGVASSVCLI LIALLAFFALRRRKQRKAQHRQQHSPDIKELPTWAERSGTPVPPEKNWIPIPPSPIEA DTQAIYELEASPVPELPTGIHVSGAQELGAEDASESSIDIKRTSLATRESVERYGTSE SQYRDMPTLRISPPEVSPLTTTSLLAISPLTVSPLEEAYLPQSPRSPRSPQLSPRHWI CC84DRAFT_863606 MQFFTVIAFAVAAAAAPALESRQLPVCTGGSPLCCATDVLNLAD LDCATPATTPTSTNQFIDICSAAGQQAKCCILPILGQALFCSDVNPTADTTVVTAPSS CC84DRAFT_1177673 MMEGITQKMDNKSDKSQTFSSSDAEKGGSPPQEAQLQRKLSSRH LQFVAIGGTVGTGIFIASGKSIATAGPAGALLAYVFIGTLVYAVMQALAEMSTYLPIS GAFTQYASRFVDPSLGFAMGWIYWFSWSITYALELSAAGLIIQWWNADLNIAIFISIF WVPITLVNFLPVDVFGEFEFWFASLKVLALVGFMIFSICINAGAGDQGYLGFKYWREP GAFAPYLTEGPKAKFVSFWAVMVAAAFSFQGSELVAVGAGESQNPRVTMPKAIRRTFW SIFLLFVFTIFFIGIVVPYDNESLLIGETNASSSPLVIAAQLAGVSVLPHIINAVLLT AVLSAASSNVYSGSRILVGLSEQQCAPKFLQLTSKRGVPYVAVAVTAAVGALGYLNCS SSGTEAFNWLLNITSVAGLIAWCCIFICYLAFSKALRAHNIDRDNLPYRAWGGDWFAT YGLVFCVIITLTQGFTAFVPWSVEEFFIAYVSLILFAVMYIGHKIFTRSKFITSSEAD ILTGKFENDKGETAEHWEESSRSMWTKGFNAIFRR CC84DRAFT_863527 MGIDTTLRLHWTQRIALVRHNLHAARRTNDFTFFKTLQAHAIID GTDHVAILHSVLGNDADSVLSTLDNLNAGIAYVHQDAFKAVYDGAKSTMYKADNSPSS RRSLLRVDICQQRDMADHAIDKTANSAVQLIHAQPVYCQDAVANAWITGTTIIADAVC VCLNEMEAIEDNLDDFIRLEYSWNSLQSSVDAAISALRGIFSLMERSNLSTSSSRNLS VSSAVGSEGGGSSFRSRNSSTASAFGGLIKRAFSQSNNMGPPPPTPSKPGRTSSLSIP DANPRTLRLSISGSCPTKMSTYTDHPHTLLTTIPPTPSVHETPTAAASPFRSKGDYFA FDMEKEVDKTNKENSPSPSDLMQIESLDPLYSPPADNTGKASLPLSLRRLSEHFLSPP AAVGA CC84DRAFT_1260817 MSLPPSLLLLLVAILPAAASPITPETYLLHKRSASPHNIGIGFA IGLSVLLVASIIFYLGVQRGRTGTWFCWRAPLASLSPAPLGEKAHPINTDMLKSRISS PIQMHSSALPELSPVEVQPRVAELPSPLEEKPKFLELSATNEKAIYEMGLASPRRPPS AASMEEKSFYSSRKSSIATAYRKSFASVKTSGKSVYSTQERPPKVNSWFDRKSWFCRG AMEVEDEEKKIRGKGAAEDEDTRVLAPPPTAVIADEAEADRRQSHMDWSGMEWLTKVY NGRKSRRLSGMRSFFIAGDEK CC84DRAFT_1197368 MRLLYFDSFRRPTSADFSRKTIPPYAILSHTWGDDEFLFEDLVN GTGKSKAGYEKIMFCGEQATRDSLQYFWVDTCCIDKWKITELSHAINSMFRWYKNAAK CYAFLSDVSAPTPDAQLHQDTWEASFRKSRWFTRGWTLQELLAPASIDFFSLEGQRIG DRASLEQQICEITRIPVTALRGDGLDQFSVPERMSWMAGRQTKEEEDMAYSLIGLVAV SMEFRYGEGKERAFNRLQEEIGKANTTPFLVPFNQNARFIGRTLQLAELERKLFVGTS ATKVAITGPGGIGKTQLALALAYRIRQECKNCSVFWISARDKASIHQGYAHIARRLAI PGWDDEKTDVKKLVQLYLSKETSGQWLLVLDGVDEATIGSARLSNVVGLMEFLPSSKQ GAIVFTTADKKTATKLAPHDIVELPGLEEDIAQRMLEMCLVEPAEEQEEASLLIKELA YLPLAIVQAAAYINVNKTTLQGYLSLLVERKKELAEDHYRESGVVIAATWLISFEQIR RHDDLAADYLLFMACVDRNDIPLALLPTARPHEHGIHAVATLDSYSLVTKRTAESALD LHRLVHVSTRIWLQEHKMLSQWTEVVITRLLEVFPDSKHGSRSKWRRLLPHAKSALSS DLTEQDNEARTNLAWKCAMALLTDGRWREAEELFVQVMEKRKRVLGNEHPSTLTTMGN LATVLANQGKYEAAEVMNKQTLSLSKTVLGREHPETLMTMSNLATVLDSQGKYEAAEA MNRQTLSLKETVLGHEHPSTLTTMSNLATTLSLSKTVLGHEHPSTLMTMSNLASVLGR QGKYEAAEAMIRQTLSLKETVLGREHPDTLMSVYCLAHLLANRHYIHESLGLYERACA AYPTVLGNDHPTTRACRQHYSEALASQRWE CC84DRAFT_1260819 MALKTGYLHDDAFGDGRLQVTDLHTVHYFQFGKRDGKPVIFLHG GPGTGCKKQNTVFFDPEIYRVVLLDQRGTGLSTPRGETCENTTPALVSDIEALRKHIG IEKWHMVFGGSWGSALGLAYAQTHPERCGSLVIRGVFLGTQEENDRHALSTSMIFPEV YDRFVNYIAPEKRGNIPAAYHELLTSNDPAIAQEAAYEWDRPEVTFTAVQEEPEADDV ERILQEKVEQMTSALICTHYMLHECFLGPTELVDGCDRIQHIPVRIAQGRLDFVCPPR AAYEIHKRLPKSELHWLPKAGHSAMEPDILDKLVGFCDELAQEDLHL CC84DRAFT_1197370 MLCGCFYAVSPAALDSRADIPDALAKFPSGPLNQFLRLISSLPQ GAAALDAVGKVLTPLQQGLADAVGIDTTKDDLAQSTSCADVTVVFARGTTEPGNVGLV TGPPFFDALKEQLGSKSLAVQGVEYPATFAGFNKNGTEGVPSMTAFINQALTTCPETK VVVSGYSQGALVVRGTAASLPADTMSRVSSVVTFGDPRNQTAITGAEGKTLTICYEND AVCSGGFITVDHLTYADNADEAAQFVVGKAQG CC84DRAFT_864782 MLLPLMPFLHLTAQIRARQRSRNRMVAQQLAANHARRTAADRAH QATLVFARGGQRCVRIVCAVGVEWSSVTGLLVLVLGTWRVARWRWWRLVGGVVVVVTV GLAVGTAVVLESHCQGMALSESITLADRGMVRIVGSSDCRDTGFAADKVGLRRMPVKR IAWGIRLVDTIGLEPRIAGRGRRCRRCIYQPWSCSCARKYSLGRFRESLKLMKPEAV CC84DRAFT_1165904 MSDPIEPVPSLRDPLAPVPDTPDAAEESPPRADAAKAAANAPPV DWSALLDRALVFLSTASNETLGACLVGLGAGTYLVLGRVGLILIGVVGGVALHATWES HAHGDRGPETSHEVRRKELGVDIAHRVLSWREKRDKSSGDDDHDADLSVKLYSGQELD FSDFRPETAAALTELTDAIIRDYVKWWYNPIIPNETLFPNSSRQTLTAFLISMSTHLS RKRPADTFVDFVTKSSSFMIIFFSELANAILANPGDSATEAVDLYLKMKRDSTLATIL DKDFQTKQLGGVAEDILQNYLEPKTYNCKPAREFLHQILAKVVLEMIVATCSKPEWIN GWIVYALEDGEPELMEAIDAGVEASPLGNAKESAERREHNEEVRKHKRVVSRAQQAMD EAMQEAQRLNQMIAAEDARRLKEQQHMSSSSLTDDQSESTTQGVVTPTSSQSETLAEN DMSAFGISNMPAQSSQSSDTPPPGQENAQPRKEAFTSFDQLVSNAPPTALMDNPPPAP PPLTLHNCKMTIFDDSMPGEKGMIKNKPTAEYLIQIEPSLDYYKGWMSAKKYADFETL HEVLRRIAPITGAMGFVEAHKDLPTWKNHTKASLRGELERYLNDAVQYRPLAESEGMK RFLDKEIGNGKSPGAGGIWPGQAFETMGKGMMNVLTQAPKDVAGGGKALFGGVTGVLG SVTTPFGAKNGKKRADSASRSPSVSRTSTSTSNAPISNRHGRAESTVSELPTHIRSES TMSFAAKRTSTESLRAASSPIIDQQPQREAPMERRPSYNPDGDGKRSGPSSLFGGSRS ASRAASVRESMDLSPTMGGDQLMNLPPMPSEISDDYTDPVHSRHPSRASTTSIPIATD APPVPPLPRRPSAISLTSSNLAPAVPTATAASSPERSKKKDKPKAAHAPLSEPETTVL IELFFATINELYTLSSAWSLRRTLLNAAKTFLLRPGNPQLTSIQTLMQSTVLDANTSD EGLAYHIRKIRANALPTEAESKDWEKECEKKGWVGGEMGEEEKERLRVKARKSLVERG MPQALTSVMGQAASGEALGRVFDALQDARVARGVIFGIMLQALKGVTQ CC84DRAFT_1123161 MAAPANDPELLSFVEQYWAGRLPHSAIYQLVLSDARFTHASKGV VRARVILTKNHVNSHGGIHGSTSATFFDWVGGMAIAAWDKRSKTGVSTDIHVTYQSSA REGDEVEIEGTATKVGGTLAFTKCCIYKVVDGERGAVIAEGSHTKFVKV CC84DRAFT_1165905 MAFRAALASVLAFSPAIQASSLQFIDDLNFTSPSPHIAHSLSAL LSVHPQTLFPNGHTIASATIPRHTLLYHGRHDDHAVPSPEWLAFDVYMAYAIMGNTPD SRMLTFRLEKDIKAVYFDGASANLMGDGTWSQMVFIKNGTEGMKRPGWVGPPRGGRPP RKGKDGDSPPRRPPGGHPPEHWNPLADEYFRARELCKWLKESGLGGKGWGYEAIVRMN AGFELIWCDFESPSLELVSNLNVSAPQVADGAKPAQTELQQPYIQRPIQLSARDRLET EDEGPNGPGMSDPREPFRNTSTWFWFSAAAKRYAGDARIRLDAGGVFSFYEPGLQNQS RVRVAEDIERFGLRRDGRWRSTPFMGETGREQKLLDLQRRRRQHRLTSVDRQDAQYMR QAVEQRLRNNLHTNHDGSGIDWVHIAKEIVTRYSDELKTLLSYVSSDIPNMDEHDSLK EWLATLRQLTHWFLLPFFEYPSSLPYEDFDLAMLFGTRSPQAQAALDRCVTQYTVNKE HIHDADVVFSHAITGTLHALCSTAIKIGLHVEYHWFMYFQPADPSAPPKPLLPHILKG RAMRWKLDLQELIAWLGWAEQDVGCEEECGVGEYCYVPMWPVNGWERHGGPSGGESRH LWEGVCVGMERYPPEQWEE CC84DRAFT_1165906 MENPAKDITGVIHLLTQSPPSIQRETIETYFTSNASFTHPFCRT GSFDNSRSLIRAIYRWYKILSPKIELTVNSVAYDERNLILYVNITQVFGIWMVPFHRA RVNLTTVLELKQNRDDNKYYIQSQNDLYQTDEFVKFVIPPSWIFVWLWQFWATFFCFL GVFALWPISYAEQWAWDEGESRKDRKREEGRKAQKGSDLLDGIELQDLERKALVNNPS R CC84DRAFT_1165908 MSLRLISLAFIASALATPLEKLARDACNACNPSGATGTNPPSIG TDLSSLYTDVLASVKDIHFRARSADSVEARDGGFCCAETLDCVNVQNLNIPMCYDKFT TNFAFADRSYGSLTTGNYTQGGSEANLFTGQYSKDGTEGNIYSEDPAAKPNTATLSIP PQWTEAGVGSAIPPTAIVGSVSVPAQTSVETTATASAQSSGQTSAPSGTAASQATGSA TSGNEATSATSTPGAADKGTPSLIMVGLAALLYAV CC84DRAFT_1165909 MLEIACFNVSSAIAAAEAGADRIELCADYAAGGVTPSVDAWDEI RKATSKPINAMIRPRPGDFVYTTLQLYQMKLDILNFKPVASGFVFGILDSENRVDEAR NRELVEMAAGLPCTFHRAFDTVPDQAEAVESLIRCGFTSILTSGGPADAVSGAGNVAR LQKEFGSRITFILGGGIRSSNLAALREQTDVPWYHSAAITQPGEMVDRDEVSRLQSIL KNN CC84DRAFT_1248973 MWMWEIISLSCALAILLAVIIILAIYDGKPSPDIGGATLNTIIA IAATLFRILLMVPVMDCICQLTWVWLKTGYRPLQDVLGLDMATRGPLGSLLLVLNFGY GLRASAAASIIIMGVATAPFFPQSVSLQGCSIPQGISETDNRTAFASAAFTYMGSIGA AGSDTARLGTVLEIKLSCDYLPPNLVAAMDSGMYSSNVISPPDPPYSCPTGNCTWDPF LTLAMSVKCFDSSASYFLNCSETDNTS CC84DRAFT_865052 MSYDANTAWLADQPASLAGLQIRLKTVKHKSLTRCPAFGHTATP QQATHLDKQFFSSRPIFTSEYELRWRIVFLCLVTARNLSSTLAIRVSWRKCHHSLRDI VWNTVAGYDVGKPRRDPTSHPKLIARAQQRARRSRIRLRYRGFHHGRTAASHEQVRPA KQLVS CC84DRAFT_1165911 MLRTPIRKAWPLVETRAFAAWLACVGWANTPGLGPLSRCGKLRR QCPKLGRSLLMALPGSSKTTPGWARRVVDTRCPRQPAPSGTIKEADYWQPVARMTGCV LEEEKASDPGLWAI CC84DRAFT_864889 METYHGHVRTPNDAILLFEACRIGLLPRVQRRLSEKERQQIKSG SVFVWDEREAGMRRWTDGKSWSASRVSGSFLTYREMEGKRGGSNLNQPAPKRASGKSP DGSATGDSEGEGPDGYRYKPDGLMKQSFSITTSSGQHLHLISYYSRSNSMPLQQPSTD SSLRHIRPPKNMYPESTVNETQPVAAVTRGPLPGSPYAQSPHQMPTSPYSRPGPPQQP VYVPVYHPPTPPSGTPPYQHAYYGQPHYPYFQYAPLIYAPPGYPPQPGHVFDRPPPQM AHPGIPHPPPGHVAMAPHPGHHPQYIQQPPPHPLQRPELVQRPTGPIPPAPPAAPIPE QGPQLPAINSTTPTSAHRPPTPQPSEPQSQGSLAPPEPNSAANEPSPARTIPKIGSIL NNHEQSGDNQNNSRSGSRSPNGTQPPIRELPPDRLASMSTATDSRALDKLNRNMFVRT CC84DRAFT_1096371 MVKHVDDQILKHSHDADAAMKAFEGYDGQTIELSPETNKRLLRK IDRHLMPILCIVYGLNYLDKTTLSYASIMGLKLPPSDDKLKSGIGITGSQYSWLSSLF YFGYLAWEYPTSRLLQRLPLGKYSAFNIIMWGIVLSCFAAVENYSGAIAIRFFLGVFE AAVTPGFALFTSQWYTKKEQGFRTGIWFSFNGFAQIFGGVVAYGIAVGARKHGTLIEP WKLIFLVTGLLTTAIGILFLWIVPDNQLNCRWLSKEDRILAIERIRMNGQGVGNKHFK LYQLKEALLDPMAWAFFWYALVADIPNGGLSNFFSQLIVGFGYTPEESLLYGTPGGAV EIVALISCGWLGDKLGYRILVSMSGMCLALLGMILIVALPLSNNSGRLAGYYLTQASP TPFVAILSLISSNVAGYTKKTTVAAMFLIGYCTGNIIGPQTFRAKDAPRYVPAEITII VCYALCLVIMAFIHVYCVWQNKKKEKIRAAEGYVKLENQEWLDLTDKENPEFVYTL CC84DRAFT_1149346 MSVCLCSFRALSRSRTSSSKTLAPFLYQTATIQQRNPISRRHAS DSSRPNRPHDVPFEGEELPPTVDEASVNRRTTITGSERAAFEKLYKNTKRAEEQKLNE HELDQIADEYYEDDDDNSTDKSSASLDDLFDAVLSGKTDKPTRSFTPARARRQGPSTD LESLAKELLAEPAEQEKRRKKEEAVEKQKRVKALRSSEKERIKALFEAAPTDQALWAV LEKEVLSVIRGMDLENGPGMFSPKSKTNSKSKLKSLSKPSVSGHKGDPSPTDPTIVYP NYSSHLIAAANTLRKNFPASPLMFNILPTVKDLGRSSYALGASTGLYKALIRAAFRRD NNYPQICALLQDMDNGGIEYDFSVLAFVDEILATHAGADKGHYGRSLQAVVRLELYQE GAEKLRAWRAAIARRLGDFTEEKKDKGQLLRKFTPGRPGGLERRRKDEDEVFVGPARM KLGGGHLGGGANEDIPLVEGDVPFEELGTPAPEMEIGMHADVEGFMRDEVEAPVEAPV VEGVEAAVPGGEGEGRLTTDEEEARRRPV CC84DRAFT_1207026 MHSKDRYFNASLRRVKYTRLPRNVSITPNTMPSTPLRHDAMERP AKKCRIRISSSVSQFPGTKKAVQESYVELETAYQRVKIAQLIVKYRELEHLGQRAIEL VPGFEHVAVSTKVDEARAALRSADAAFEDALQHYCAVWCARIGTLLLTLPVELRDSIS RSLVLVRHPLDSEDVYNNIDPSLTDQRDAITTIPHYYALLGLPTSQEVAAELLRVSHF ERAIWLVVQWWSASTAALKGPENLTDVRRRMTKGVARLRAFLDPTVLAAARTARARDS SIRVVARVHSHDVQFLLDALAPVALLMRDSCVKAVASLYVGAAEVEPTVFMLDEEAGR LVKARDDRSSV CC84DRAFT_865154 MGVWYHVAEPNSYLVITGAGIEKVTIKKKAFVLPFQKVSKISIT PFDFSMNLTAMTVEKLKFSLPAVFTIGPEDSLASLEKYAVLLTGESDGRPTASASQGA VAVAAGRNHVQDIVKGIIEGETRSIVSTMTMEELFRERKVFKDKVIQQVQSELDQFGL CIYNANVKELQDTPGSEYFAFLSRKAHEGALNQAKVDVADARMRGEVGEAEKQGKTKQ EVAKIHAATAVLETERKAEKAAADAKLTNKEISIGKDLNLARIEAKRDAERRDAELNT EVEKKKALMELERLRATTVTQATIAKESSQQKADAELYKEQKQAEGKKYSEQADAEAA AFRRIQDAESNFQAKEREAEANFLVSKREAEAAYYRVEREAQAHLIKQQREAEGLSAM AKAYGDMASVLGGPQGLMQYLMLQNNTYERLANANAQAIRGLQPKINVWNTGAQGEGA AADPTAPIRNLFQSLPPLLSTIHDQTGMQPPSWLAQMPQDHGVKGQRLGLRNGSEGPE CC84DRAFT_1149351 MSEKHEPASPPSYTDSLRSQLTSTSSSAPGRGQSLLDTITLTRA TTIRAAIHTSILPLLSSRAAMGLPSTVLALLPSDFPLPPLPEKNEFSFEGYAGAEKAE DAVKVIAGNGVEEAVEAVRLSGEGNTSAFWRVPAAVEELERGLRDVLNDGRVGASAVV REVGEAKKEKERRGFFGRRKEGKVEESVVVGDGGGLVAVRVKVEEICLRTTNEFGLYD TMNRQCVVVRVDAGC CC84DRAFT_1248986 MPTVETTKVSRAGITTSETGERHVPSSTRADGSVRKEIRVRPGY RPPEDVEIYKNRTAEGFRNRSKGGVPGAEGLKEEEDPAKGSPAANKNAKRREARRKAA AAAADTNDTAEHAPAEDKATTESTPPTDPEAEKEKEAKKLMKKLRQAKELKDKKEKGD VLLPEQFEKVIKISELIRQLESLGFDAQGEKKDG CC84DRAFT_1123185 MAGLTSFVYNTVFRSNVTMLTTVFASAFAMQLAFDTGSDRIWDS INRGRQWKDVKVRYVQKAEDDDDE CC84DRAFT_1149356 MLVSLQEQELCRHLRELPRRHNYRYTEDATRELKQILFESLAGR GEFLPLLFPNGPPTDPEQPWSLRDAQGAVEGAEYTEAARGKPCGHIFKSGEATYRCKT CTADDTCVLCARCFDASDHDGHQVYVSVSPGNSGCCDCGDDEAWVRPVHCNIHTASST PESDSTSAGKAKEGSALPEELLESIRMTISRTLDYLIDVFSCSPEQLRLPKTQESILE DERASQLTAKWYGPGDQPDENQEFCLVLWNDEKHTVHDVRDQVARACKQKLAFGLAKA HEVNDIGRATVVTRGSIPDLLKMAKIIEDIKLTVTIRSARDTFREHMGGAIVEWISDI AGCSVGEDNQVLRQTVCEELLKPWRVGSEATNQNIGRNGLDDHEMEDKEAEMEGFYIR YLTAQRRAQIAQLNRQRTGNDEGGQASDADEEEEEAESAADTGDGEDGMDIDEPDRDG DVNMEPEDPLALIVRLPVGNPLPQGGDLTPAESDSEPTIGAPALSHVEPSLPVPETPR TKSLPLRPMRPPQYWLDKPENYGRTPGTPAHEDLWQRLRLDHLILYDLRMWKQLRIGV RDVFISTVVTIPQFKRLLGLRFAGVYTALAQLYLIADREPDHSIINLSLQMLTTPSIT AEIVERGNFLTNLMAILYTFLTTRQVGYPWNVDPKATLAFEQGAVTNRRMYHFFLDMK YLLGSEHVQGRIREDERYLLQFLDLVKLHQGICPNVRAVGEHLEYETEAWISASLITR EINKLCRQFSEAFAWKREEDPSDIRRAIRATAKVAIINSLGAERNRFDQAELKNETRF KTLDCLEFDAEPSSLVGPSYRVVDCVVAQEPMSFHHALHYTVSWLIDRARGMPKEHLL GLLQFSQDELQEGNNPVETTIPEYQPEEYLLALFDFPLRVCAWLAQMRASIWVRNGIT LRHQMTQYRSVSQRDVSYQRDIFLLQAALVLCNPSVFLASMVDRFGVLGWMSGKYEAV HHGFEDTQAIEVVEDFVHLLIILLSDRTSLIPFEDDVEPQIALIRRDIAHVLCFKPLS YSDMTTRLAERVQNAEEFHEVLDSTTKFRPPEGLSDSGTFELKEEFVELIDPYMHQYS RNQREEAETIYKNHMAKKTGKQASDIVFEPKLRPITSGLFQNLSDFTRQPLFTQIVYY LLGYGLMASTSTPNIPATRVESYIQFVLQLLLVAVLEDKSAQHEWSQDSPDSFVTSVL TKRANFGLPDHPTILSILKSLSEKDEFKACEPKIKLIMHRLKQRQPNTFIVATAALNI PAERMDTASPATVTLQEKELKKKQALERQARVMASFKEQQGQFMANQDFDWGEEISDM EEEIDPLATGREKVEKYPGGTCILCQEETNEQRLYGSFGYVSRSNILRQTKTTDVDWV DEVVENPVSLDRSAESIRPFGVSGKNRRTVEKVTSKGETVITERQDLGKGFPREYTRS GPVSTGCGHIMHYACFEVYLQATQRRHVSQIARNHPERPMYKEFMCPLCKAMGNVFLP IIWKGKRIAYPGALETAFTFNDWIDSQLIKTAAQADKDPVSAGADASSLSPYQKSFFD YGRETFIQPLANRLMDVNSPTFSSLPIPQSSTQPRFQIPAFLQNDDPREPVVIPPEPI GASASAVLGGSGAAFPMLELVKVYLRLKESLAVNGAFSTFDHQKLGVSGVSGEELCNT DALAEVLGYSISSVEIAQRGVQSDSMRGTLLDKISPQSLTHLRIYSETVSSYISVGGL RPSHANLTLKEVSRKRFEHLHQLFIGHPKIFEPDDLPYDLKDITPLLSQDPFIFLSQF SMFAAPEFNQDIHHVVRLCYLAELVRVAIAFAIDRPNLFDEYGNDRFVNTEKVSNGTF TSAEFENILKFVGIVLNISRLSNSPAPLDFNNISIPNKFRDAKFLYSFFTLASTYALP FVRKAAILMHVRYGVELPPLAFDRVDEPELERLSSLLRLPSLHEMFASLSNDASTGHT TRSVVGGWLRHFLWAREGHYNPAPITLSHPSIFELVGLPKNYDTLTDEAIRRRCPATG RELTDPALCLFCGDIMCSQGVCCMTADSRGGCNQHQAKCGGNIGLFIHIRKCMVLFLN EEHGTWYTAPYLDKHGETDPTLRRHHQLFLNQKRYDVLLRKVWLEGGVQSFIARRLEG DINNGGWETL CC84DRAFT_1188329 MNTLEQRRKENIKRNAILLQELELEHKHVKQQLQPARRPAKKRR KLDIPPPTRSSARIASASARPDYIGDGDTVTNSAPSSSRAKSSSAKAVAGKPSRVASP APESHTLYPDLESLRAGWTAWEPVAPPPSRDSLGTYHFASHPDFTPNKSPADIIREGS FGGSYWRPLYSQRLGTTVSDDWRELPADWTAGLDADQYLTNPEYSAEINKHGVACGQS IEAWEAAGWIAHEYDVRGWFQWYCRFWMGRRCADDERQISRWKKCVGETGRWRRTLLK QYVTRGIRSVFDDGDDGVEGSDVSPVVSQTCLHWAYEVRQEALDRYWVERR CC84DRAFT_1165921 MSDGAMPTNGAGSSTPKRNVDEPLVKIQPPRREDLQPSYARAIK PDDAQTDDHGWYGAMVNTLGSCIGTLGAIPCCVVCPNPYKQVQQGNVGLITKFGRFSR AVDPGLVKVNPLSESVIQVDVKIQIVEVPKQVCMTKDNVSLHLNSVIYYRITSPHKAA FGIANIRQALIERTQTTLRHVIGARVLQDVIERREEIAQSIREIVEETAAGWGVDVES MLIKDIIFSQELQDSLSMAAQSKRTGEAKVIAARAEVESAKLMRQAADILSSAPAMQI RYLEAMQSMAKSGNSKIIFMPGPTNSGDFGVQPTAEGGSSALPSSNLQQAINAHVFND M CC84DRAFT_1165922 MTTSTSSETPAPPAIVENGAESFRKFFQQPVVASFVAGGVAGAV SRTVVSPLERLKILFQVQSVGRNEYKMSVPKALAKMWRDEGWRGFMAGNGTNCIRIVP YSAVQFGAYNVYKRFFESEPGAALDAYQRLLCGGLAGITSVTFTYPLDIVRTRLSIQS ASFETLKREAGQKLPGMWGIMTSMYKNEGGFFALYRGIVPTVAGVAPYVGLNFMVYEM ARTQFTPEGQKDPSAVGKLAAGAVSGAVAQTCTYPFDVLRRRFQVNTMSGMGYQYAGI GDAIRNIVKTEGVRGLYKGIVPNLLKVAPSMASSWLSFEMTRDFVMGKRRSQFL CC84DRAFT_1165924 MGDDTAATAHDGDALQYVQYHGAKEETYLPAIRQLISKDLSEPY SIYVYRYFLYQWGDLCYMALDSANELIGVVVCKLEPHRSGPFRGYIAMLAVRESHRGQ GIATKLVCMAIDAMADRDADEIVLETEVTNQASLKLYERLGFLRSKRLHRYYLNGNAA FRLILYMKEGTALKRPPGMEAGQAGQMMGEPQKMEEYKGFPQDADVA CC84DRAFT_1165926 MIPGVISTGLESWSTEDDSRQYFRKRLWGSWSMMRALVVDKPSW KKHIMLDTKTGLDPPGIKLRAAQGFDATDFFITGYWIWNKILENLATIGYDPTNAFTA AYDWRMSYMNYERRDQYFTRLKSHIEIAVRVQNKKAVLLSHSMGSQVLYYFLHWVEAE GYGNGGPGWVEAYIDSWINISGCMLGALKGMPAVLSGEMKDTAQLNAFAVYGLEKFLS RHERAEIFRAMPGISSMLPLGGNAVWGDHTGAPDDQPGQNISYGNFLRFARESNSSLT KTNLTVEESFPYLYKNSEQWYKEMVMKSYSHGVAHTAHEVEDNQLIPAKWINPLETRL PLAPSLKIYCFYGIGKPTERAFYYRDDLDPLSKLNVTLDTMFSGNGGVDHGVVLGEGD GTVNLLSSGYMCAKGWGMKRYNPAGVKIKTVEMPHEPDQFSPRGGPNTGDHVDILGRS SLNDLILRVAGGQGEQIEETIHSNIREYADKVKIYEEGEEGERRRVPP CC84DRAFT_1219023 MHRMALSKDYHNERIILKSKQEEHINPVQHHAAIETLYQEHAKA IFDPQIYLQIHINYDEEEKLKRRKPNIADATNRRISTSPIKAIRKRKESGYSSNMEEV PIQPRGLFWQNDFITHEHEARLIQIFQNELEWPERSGRLSLHYGYTFDYKTFGVDPNV PFKEFPEWLVPLIPTREGRPPDQVCLQHYPPGAGIPPHVDTHSAYDQLYALSLGAPVM MQFARSSDTGPDGDSEQKEVVEVDLTPRSMMQMSGDSRLHWTHGIKKRKTDTLRDGTV RRRDDRWSITYRWVREPAVCNCGNTKLCDTAQQQLGIERELRWKKAGEGTTVGLLQKF E CC84DRAFT_1249005 MVLLLGSIDLLSQFASVSLLAVAAASPSLFARNNPPQPPCVYPY TKFVYSGCFADSVASRALPFKADLEFTNATIEQCTAYCKGNNYRYAGLEYYGQCFCGA SVSAVVAPEVECNFPCNGDPTQACGGEDRVSIYQDPTFPDADDVAVSADFLSLGCYTE GDSGRSLDYSQWDYLNISAMTTEMCLTACGAKGFPFAGTEFGRECYCGVVLGNGTVNA ESD CC84DRAFT_865611 MKREGPVLARGNGSAHAMARALARRGEHRCLFLSQLGPSQTNNF NFCITRQAFLPLVMVMMPGSPDSCRDTPFMFYIQPKVQLWLQKVQNDKKPVEHGVVAS LTSYNTGGCKCKPQVWPDCPRCCSATLDLIFARRPFLPVAYVLSSQAGV CC84DRAFT_1059854 MVSFVATRKRKDGNNPITTSQDKGKVRILERHGSHLSGSSVKQA PVRASLPSSRTFSNLRRGSVKIFSIFRSGKAMTVESGSSNTNTSDSTQRASIAPSSTP SVIKSLQFPSVPEIPTIKPPFVLRKGPSSMLHLPSAAGFDGESEPAMDTRERHPAALH TSRSTPGLSQQLMRRISSSLLHNPTVIHRLKLNSRPMVLDSNIQSEYALEAPVLQGTM SDVPTLPSQISSLVGSSNPLSLQSMSTAPTSLVSSGAPWSGEPTQRGHNGMRHISTGG SPLYEPSPEQLSCQWLVFPRQDSPILSRPSIATVENAAAAKVFFESHFNAILGPKTTA RSVRRRNMERKLFAMALPNEQR CC84DRAFT_1188345 MRKKTKGVHISNYDIVRVLGKGSFGVVRLVREKSEPSISSGHST GSSSDKTSYVDNTIENGSSGSQKQVFAMKVIRKSDMLRNSQEGHLRAERDFLVASENS KWVVPLIASFQDNNNLYLVMEYMVGGDFLGLLLREDILDEGVARWYIAEMILCIEEAH KMNWIHRDVKPDNFLITSSGHLKISDFGLAFDGHWTHNQAYYNEQRYTLLRDLDVDVQ GDAQDFQEEKERREHRKAIDLINGRPGRERFEAKQDSANGPIIDWLNRTQKRQFAKSV VGTSQYMAPEWSIGIILYECLYGCTPFFCENRQATKTRILEHKRWLRFPLEQRYARPN IDRVPLMPVSRHAIDLMMHLLDERPDRLSAKRYRENDWLLRDRGLGQRRVRNLNCSAH IVFPNDAEDIKHHAFFRTIQWQNLHLTRPPFVPRVHGSQPITKYFDDEADIMSASDHL DSSSYDHVPEDGGVVLSEREVGEVDGGSNVLPPESPTKASKKVPKRKEKKRPRDKLLR DPQVGRTVLELRKRGAFVGYTYRRPRFTLPELEEK CC84DRAFT_865644 MPKVGKFEPSTVVTMLLYPAIVVLYSVLACTKLPRHDPRYSALS MTPYVRDHRIWPSATASWPRLCRPGIFQLFFSIGTNRKQMTIQADRRLCARIRYQNMH SVTCMQGGLYTVLRISHAPNTHPRVC CC84DRAFT_865643 MWALWGMGFTNVHSPCYTGTWGLRPQHGRSLRPAKRLLSFGHIQ PVLHAHPHGNPSRARLNHRLLLVIDSHRLTVETRLSQDPVAHHARITRYQQRWTNASL PRFWKPLRAPCPCRRVIHSWGPLAICLTSPHIARPCHAYSIRAVQAPMHVPCRACAIQ LNSIRAKGPRHQQFLECLTEGRAQTQSQILYESCCKPHMGGCDISSRSLVPSPIRKLP ARTQAAPV CC84DRAFT_865681 MSITLMFHDAICLELFGLYTHRLHILAVLGTSARVSALLQCTHS EGSGLASALLYLPFRYNSGAHALQCHVMYGTRAFVFRSREPCNRRTADGEEAGRRGYF RDTRCARNKRQSPNSPPHAMFCGMPWHGMAWCRSACPRACYPDLGCQPPVTSDVLDLI YLIYTHRIASHLTSRVCRRGRPPPAQHRPTHTKNHSQTTPNDSPFLDCDPRSARRPRA GARCPRMWGPLASSSDYESTGWMRIRESHAVRSEARRWTQDRRGGFRRRLDTGLRLGI VEMRARVWGQLGRRQLGADGRRAQDLGRMVLRAYGLRDPGWQGMGLHGMVDALCCEAC RVTRACVRACVRRPWLSWHHGSGPWGSSLISGRPALHCVRCECFCHGRGVVGQQDRRD LWTATAVRSRRGDASLESLRPS CC84DRAFT_865701 MDGVDPDAPTHKRPRLDSVNPVYNGLPPPQPPAARHPLHPTSAQ ASSPPPPRTFPPHSLPHPSHTYPSPAPGPYGPPQPSPSLPPSDIRHLPDPRSSISSPG HRPHGLPGTPVTLPARPPVPQDTIRSYSAAPTPQPPLPPDSRSAASVSINIDVKRAES SAPQGMEHGGPNPWPSHPDPHTSRHGSMSNGYASTMSPPNEPQFHTPPLPQTPQYGQP PSMASYSNGPYMAQYGPAAQAMRRKQVRATQACNHCRSRKQKCDEARPCQFCRENNFD CQYKDVPPPKQDRSMMQLQDSVNSISDTLKDFVANFNIWKQHVDGKLAQPKFVAHASP AFDHASPQQGPGARPSTSEQHTPRIPTPVQGRTQYSRVNSMKMESPVVTHSHISPGRA QSSTPIKRESFQAYPQPATPADSVGTSITQTQPDTTNDNERVGLKADHRTPAHRLFIE WPCMANFCNGVPFIQRLLEEGHRMDQYPMLMEQDRGLLRVWGVGEGHDLYDGAHGPGS PENNAEGDTPSPAATKEGLWGCPPADHSSPSTLNGDPPRYDHVGGLGPDGKPDFNSKT LWELYRSYQENIHILHPFLNPAKLRKMIHEFQEVYSSDRKNSTMSPSAVPERLNGGIK RKRSSTMYGDAYGVADDLARGSIERSLRNAIILLVLALGKVSLHTATLPHPQSDRQPT GNGTWGYHRDSPRSATDSFSSDASDTRARNIDILPGMAYFSYATDILGNQNGGNTVAH AQACLLAALYLGQFGRVLESWSWINNACRICLVLIRREGKKVNRKTITTNVAGQNVIV DSSNEDSLSAEERHRLNLIKCIYWTCVQMESDIIAEISELEPSAITRYQTDIEYPSGV YESFGEGMPEFDATSVHTKNLFLYSSQIHLRIILNDAHNSLYSARLGFDSNNIDEIAN NARSHAGLLAAWRSMLPEMLAWNDSDPPSTDISVARMRAKYYGGHYVILRPLLFKAIH HMKLPPTVSSNNSPPDASYQFNPHRDIVDLSAEDVKALKIVQDCIHSAIKSTIAFDRI GADENAPYRNYESQRKSRLIVPNVFGTLHAQFGNMLVLTAVYNSPMRRFLPDQNCLTK ETLTALFTRTQDILRELSGNSPVLSLDYEILDNLARQHGIQYRRQR CC84DRAFT_1177703 MLARVRVCHCQLTASAPSFRRLIALAAGVQTRQPQRAQDRHLWA ARHAHLRDPLPWRRRRPRRRAVCAHMSPAKDRPTTASVEAVPGCTGSCQARQVQGNLY KQGAGGPLRELDDVAPARSKSQIQSTSAALDRVATGLVPVGLARASLTFSLFTATTAR AASRLTLCQCPVSPRGRCQADLAKALAASR CC84DRAFT_865720 MAYLFVWSAIACVLLYQGLTTYQRFRRNIAIAKASSIAYVVLPV HVFGVPWLATYYLWIPILNKLPASFKGLWLELLDPEWAHRLGHEPFEKIGSDVFLAVS SSKIMAFVADAEVVTQITTRRNDFPKPLEMYTRLDLYGKNLVSTEGADWRMHRKLTAP SFGERNNELVFTETLHHTNALLRMWRPDEKRSRTLTDPGNDTMSWALYIISGAGFDIR VTWPHDEGKNEVDKSDGADNGFTGSQPPPGHTMNYREALSELLHNIMWTFIGPASVLT KSPVKAHRKVGQAMSEWGNYMDEIFQLKKDQVSSGQGAHGMDLFRALIRNSGILDESQ TNIQKSDLLGNAFVLMLAGHETTANALHYSLIFLAMRWSSQKKLQGDIDEVIQGRPIA DWKYEETFQRLFNGMPAAVMNETLRIMTPINNIPKSTAPGRPQQFSMRGEQYTMPGGT QISLSCAIHKNPRYWPAPPGEEVVNGIPDAQRFRPERWLNKSQTTDSFADIAYDDEEL RGPSGEDTSANLFRPVKGSYIPFSDGFRSCIGRRFAQVELLTAFAVIFSQYSVELAVD EWASDAEVEAMDEKGREAVWRKAADRAWWLLEKKTASIITLQLRGHTIPIRLVRRGEE RLKFDY CC84DRAFT_1149383 MRALNGLLGARGLVLLSASSLVRVLAGPTVNVALRASFNAAPYL VELLETAAEENATAYFPLLDRIADGYFDAANSDRELFGSFVELLQTEGHIADPETLSS FQFALSVRSAAPRIEAHYQFYNTSVEPSLQGEQGPECETWVAFGGKQYCSPQLEDSHG SVKGERSYELPFDRILGNSSHVLPAILYADITAPAFAKFHKTLSKTAQEGKTSYRVRH KPSPNASKTPLVVNGYGVALQLKRTDYIVIDDRAPAEQGEKQTSLDAELNDDADVSDL KPLAKDEVADLGVKAASFVMKSDNPMDTLLKLVQDFPKYSSAIVAHNASDQFVEEHEH NRMQLLPAGMNILWINGVQVPVRDINPFALLEHLRRERGLINGVRSQGLSGPDAIALL SHSAITETQSEDEPQRYDFRDELEGGNVIVWMNNIEKDSRYDSWPSQTQALLQRTYPG QLPSVRRDIHNAIVPVDFTAKDDVVMVIETVMSLIKRGIPIRWGLVPSTKTQGAADQA KVVYHLLDSYGLSTLTRYLDASLESKKLAQPDKAVFDATVKDATLRAERDALSFADVL SSEGVAQRVEAAQKYLARLAADGPSAPIFINGVAIGRDEAWLQYISQRIGTDLRQIQQ LVFEDALNDDSWIPQYFLFQAATKRNPLIIPENEKNITLIDMAEFEEKHGAVFNVLPR VQAAESASKEDWAHVTLVGDFDSDSGLVLLKSVAQYREENPNVEVVLLHNPQASAETS SASEDILEAWVKSLHDLSIERLQEIVGQEPSFSPALANATLFWKTAQPIYDALGLQPG QHAILVNGRFVGPISKENSFSKSDVEALVSYEMKKRIEPLSKALKSLELTDKVKSPLD VAKIQSLVALSTVSDVPEGIFESASTLRTDRYKEWNDAHTAIVKGDNNTAIIQVVASI DPATELAQKWVPILKTLSDMDGVHLKLFLNPKQMLSELPVKRFYRYLVDSKPSFNEDG SVDSLEAHFSGIPKEALLNLGMDVPPSWLVAPEESIHDLDNIKLSSLPAGSNIDAIYG LESILIEGHSRDTSNGGQPPRGAEVVLATEKDPHFADTIIMANLGYFQFKANPGFYNI HLKAGRSSEIFSFDSAGPAGWAPVSGDETSEIALMSFQGLTLFPRLSRKPGQETADVL AADDSLAVELMEKGTQKVNKLLSKVGLGFNADKAIKKGASLFQSSKSSGKGVQADINI FSVASGHLYERMLNIMMLSVMKHTSHTFKFWFIEQFLSPSFKSFLPHMAAEYGFDYEM VTYKWPHWLRAQSEKQREIWGYKILFLDVLFPLDLDKVIFVDADQIVRTDMYELVEFD LEGAPYGFTPMCDSRTEMEGFRFWKTGYWANFLRGRPYHISALYVVDLVQFRRLAAGD RLRQQYHSLSADPASLSNLDQDLPNNMQFNLEIKSLPQEWLWCETWCSDDSLGAAKTI DLCNNPMTKEPKLERARRQVPEWNVYDEEVAALARRVRGESREEKVDVQEELQNEEKR KADEARKRDEL CC84DRAFT_1165935 MKSFSLLATLLIPATALQRGGSGCGKALPESLRPGGPSKNLTLE SKSQINATLSRSFLMYIPEHFSASNNDAKPLVLAFHGQSQPNWSMERITNLSAPNFNK DYIVAYPAGLDTQWLGDPAAPPSSVIDDRIFVSELLDYLTSTFCIDESRIYITGLSNG GGLTGLLACDPAINRRVAAFAAVAGAFYADASLTEPLFGVGCKPELDGRKLPLMEMHG LNDSVIAYDGNNSPAPDSIPLPSWVNSWVDREGCGQVNADVQVLDGGNLTRSAWSCDG RNDVIVHYKINDFGHGWPSTAWQGEPFETLRLRPTSWNATGLILDWFEKWRLAV CC84DRAFT_1260848 MSYGVLQEYYFDHWTLEGGRELTGIIGTTSNGVMYMAMPFLFAL FTRRWARFRQRAAALGAVLACASFMLSALSTHVWHLIATQGVLAALGCTLVFSPLTLS LGEWFNTPNRLCNRAVAFGICLSTKNIVGSACPFFFRGLLDRYGFRVTLLAWGALMIG TALLSISLIATPSSSLNLSGTHGRKIPWLFLRHKTFWIYSTATLLQSAGYGIPQTYLT EYARNVSALSPTFSTLLITLINIPGICSSTFFGFLSDNKHFQLSAQTVTAISAITSAL GTLLFWGLAARGGTALLVLFAITFGFFASGYSATWGGTMNDMEREAAERNEAIDSGVL YGLLNGARGIGYVAGGLVSVPLVKAGSMTSLGHFGYGTTYGPLIVFTALSLACGGCGI VFRPQWKRLLTW CC84DRAFT_1260849 MAVDGASAQHLDRDELRRGLVTSSTKRRIHELAGLQHQVEGDSL VPPDLRILLELLFETYPLYDDRDSRYAVERVLRSLVTSTHGSLVLSAVVQFLKKEAQK KSLALSNVIVLVDWCSVLLQHFANEPEQWEKHGIDVALALASVLELCMGIEDKRRGAR IHHSALVSTRRALRPLFRAKDIGEDALSKLVTTLTAKGATSTAGNAVLLGAIAGVSAR LPTVKPLLEARKQEYYSFYVREIVGSRSQLPNHISHAFHDFFESFTTLDELNKEIIPP IEKALLRAPEVVLNDVVTPMILALPEDLDLSNVLLGNLLKPLLSNVKSTNPTIRAGAL RTFQALASRSQGEAEIAKVTDEVLNPLKQGKVSGVDQKVLHAQMLSALPESTALSQRI PVAMAPVALKEPNEAAVVAEVTALVKHLKFGLANGIALEKSVLDAFIKGMADKKIPTR RLWALRTADLLWELTPQQLEQKDIVSFYHAALPKLVDIWQEVNANPVPATQSGLVTVG HHVTALLASKVQSSGDATLNGIYKKSDVIAQSLAIKPKPSFLLNPRVYSKLSTVDDVE IALRALIAVSPSLLQDSTPIEAREAWAHAFIFLIVAQGVSSKAKAAAKLALEKAYVQN PSKISRVIIDGLWSWYRANESGDKESPAVAAKSGVSELYNVLTSICLSPESVKKHDAS IDAEELRQQNVNLLLLARPEIMPRVSWIDLSLRMGVDPGRLVQDKLQECIELINDATM DPQNDSFPYVIQAAYSAYTDLAFVAPDAALPVIVDQFSKDLDSQQLESVGPTEAAIFR TPEGTAYIDVLSKKAPVAIDKNTKDYDTLKWEEELRTQLAQKKGQAKKLTPDEQAKVK AQLAKEASIRQEVAGIERKMRRGVGIIQSLATGPPTEAEQWMGPAVNLLIQAIRAGAG LLLGDLPATAYIKLSEQASSRLAQYRPFVGIATLRTIGSIQLPAEYEAEDLGDMITRV LYRLRFLSDQRPLDAVSLAYCFPLIFLVLEKGGIGRSEPEEADEQLILAVEFISFHTD SCTDNRLPRKQLIETLIASMQHYNQHYKIIKDCIMDLASGLAPTITDEELGALLKGII VPEVSVRTTVLQAIDAELDMNDQPFSEEVWLACHDDVEENVELARTIWEDNELQLDAN VAAKMIPYLDSLDKQLRRAAARSIGETVSEYATIFHETLNTLQESYREKAKPRMPERD EYGMPRKVDLRDPWESRDGIALAFKEMTSSFKDEDLVEFLHFMVYDGPLGDKSATVRD EMVEAATSVITQKAQSKVEPLMELFESALESPDRKSEMYDQVNEAVIILYGALGRHLP KGDKRVPEVVQRLLATLSTPSETVQYAVAQCLPPLVRTSEQELQTYVEQMLETLLQSK KYPAQRGAAYGLAGIVSGKGVSIIKQYRIMSTLRSATENKKEVHQRQGAFLAYELFSL ILGRVFEPYVIQLVPQLLAGFGDSSTDVREACLDAAKTCFSTLSSYGVKQVLPTLLDG LDDDQWRSKKGACDSLGAMAYLDPQQLALSLPDIIPPLTTVLTDSHKEVRNSANRSLQ RFGEVISNPEVKSVVSILLKALSDPTKHTDTALDALIKIQFAHYLDAPSLALVVRILE RGLGDRSATKRKAAQIIGSLAHLTERKDLIAHLPILVAGLRVAIVDPVPTTRATASKA LGSLMEKLGEDALPDLIPSLMATLKSDTGAGDRLGSAQALSEVLAGLGTGRLEETLPS ILQNVSSSKPSVREGFMSLFIFLPACFGNSFANYLSKIIPPILGGLADDVESIRETAL RAGRLLVKNFATKAIDLLLPELERGLADDSHRIRLSSVELVGDLLFNLTGISGKAEED DEVEEGAKEAGQSLLDVLGEEKRNKVLSALYICRNDISGLVRSAAINVWKALVATPRT LRELIPTLTQLIIRRLASSNMDQKVIAGNALGELIRKAGDGVLATLLPTLEEGLQSTD TDAKQGICMALRELIAAASPEQLEDYEKTLIQVVRSALVDPNLEVREAAAEAFDALQE VLGKKTIDQVLPHLLALLRSDDNAQNALSALLTLLTDNARSNVILPNLLPTLLKSPMS GFNARAIASLAEVASSAMARRLPNVLNTIMDNVIRCKDNDLRQELEDAFDAVLLSVDE YDGLNTAMSVMLALMKHDDEHRRAKADYHLAKFFEESEVDFSRYYPDLIRVLLISFDD RDEDVVKAAWTALNALTKQLRKEEMESLVISTRQSLQQVGVAGHNLPGFSLPKGISAI LPIFLQGLMNGSTDQRTQAALAISDIIERTDAKALQPFVTQITGPLIRVVTERSTEVK AAILLTLNNLLEKIPTFLKPFLPQLQRTFAKSLADTSSEVLRARAAKALGTLIKLTPR VDPLIAELVAGSKTADQAVKTAMLKALFEVVSKAGKSMNDASRNAILGLIDTDAGDDD DAMAITHARLLGALIGVLPAETAAGIIKTRVLTTHFSKASVLTLNAVLLDSPDALINN FPDETVEIIAKGIGSSQDIVRDNAVLAAGKYLLLERAPKSYDHLQPLFAALAPVVAPG NPSDTRRLSLVVLRTIARTHNDLIRPHVPLLTPPVFASVRDPVIPVKLAAEQAFLALF SVVDEEAAVFDKYIASHGKELGPGPQRSMSDYFKRVALRLAGQARERKEAEGGEGGLG LAGDEVEDEREVWSVGMVELGDVFKE CC84DRAFT_1260850 MAPNKKKKKPAGNPARGFATTSIASKPKEKPAVAQDEEAIVPGK DHGPVVDPTPQAASTSASAPVPLQVEQTPEELEAQLERDELQLLVEKHAPKVRRESRR QATKLQTDRRVLRAAAESLTTHDWLSSDITDRIISLAQTESKDSNRKQSQQSLLKVMS EEDATARLWMLELTLRDMAFSPTHIEPVLRWLCANAASVDSTASVWGLQEALEWLALD HCVGHSLSYDEPKKLRPTTTSPNTSRPGTPVPENPPMNNGSSVNSENESSRAPTPALR GEGARPPPLKPEVSDVAVSDLDSDLEPDQLVPTYIKIKGKLFELDPDTVEAKSRKQGK SKKAGNARLTSTTQPPSVRKLLSQLQKLESDALFDDIEAEALWPNKRAELTLKMAAER QQPTVPKIPKLPGVASGVSTPRKVPAWKKPEQDARSENGTEDDDISLGDMFSAIPDEA SKSDQVKTSDAGDGVTLRDFGKQSGISPRRVLEEAVRARDSGARLSYKQISPTTYSCR HGLTVVWSKDQDLEYDTEVVGVTSSINGNRATFEATSIATISIEQSEGFISTVALFSV FAASAKEEKVYLRLPPTWRDLYRDLLDTRRCRIDASDRDKIKYFRSLVQDQLEDEEAE GVVLTSRFRARNQAAASSSSSASGQNTPPQVFESLKELWFNKASTHSFQYMLQGRATL PIFAYKEMIVSTIDKHQVTIVCGETGCGKSTQLPSFLLEHELSQGKPCKIFCTEPRRI SAISLAQRVSEEIGEAPKDIGTIRSLVGYAIRLESKTTPQTRLIFATVGVVLRMLESS NNLNEVTHLIIDEVHERSIDTDFLLIILRSLMLTRPELKVILMSATVDANRFSNYLNG APVLNVPGRTFPVQTKYLEDAIELTHYTANPNNKVINGPDSGDEDDGPSSEKTNTSSL TGYSTATKNALADYDEYAIDYDLIVRLMEVVAYDPQLARYSSAILCFLPGIAEIRQLN DLLAAHPSFDNSWLIYPLHSTISSEEQQAAFVIPPPGMRKIVIATNIAETGVTIPDIT CVIDTGKHKEMRFDERRQLSRLTQSFISRANAKQRRGRAGRVQDGLCFHLFTKYRHDN LMVDQQTPEMLRLSLQDLVMRTKICKLGDIEKTLSEALDPPSSRNIRRAIDALIEVDA LTPGEDLTPLGSQIAKLPLDAHLGKLVLLSAIFSCVDAAITIAAILSSKSPFLTPFGA KQRADAARMAFKKGDSDLLTTYNAYNAWKTVCTTAGRSEAQFCHKNFLSAPNLSNIED LKAQLLSSLVEAGFISLTPEERRVQQRYRSTSRHRVFVAIPPAYDAHSTNDLLVTAVV GMAFYPKILTRDGKGWRNISNAQSVSLAPTSVNKLSPVNPSTAKYLSYYHIMQSSNKF YNAHSTSIVHPLPLILMAAADVEFKLHAGVVAMPGNTLRFAVREWRAAVAVKVLRRRV KEVLQAAWRNPSRGLSGREREWLGLFFRVFEERWEREEKIRERAGGKAK CC84DRAFT_865848 MAGTIRPNDMDMSTTPIMSSKTLPDLSIPLKSASKPSTPRAPRI DVEPLYTAVKSALGDADWTTYKTSLSAFLLGNLNQEELTARLDAIFARAEAEAAKTLG PRGALERAHNALVMGVYANVWRDAPEAGIASWVSSSDKVSGSVPKGTGDESEKRLKQE VMQISRRERKRLKTIHQGASGGFDFMPLDPVGGMMMEYHEARRVKLPEAGPAAQAGGY GKTNWDLEIRKRYTAPLFTETHEFPTASSISLRLLPICYESGLPQGHAPDCADLLNIA CENYIKEALTNFFTLVNANGTGYVRTAEYKKRVEREEARVARGEMVRLAGGELPVEHE ERRKRKLLCMEDLRLALEIGDSYLAHVPILAGAISHSRCLDTPGVEDIYLPPRGKAMA NGFNGTKPNPHALNLDRDRTRDVGDPMDIDEESNWQGGSVKDIDDLDSALDAVLELGD L CC84DRAFT_865884 MKLCALQCKQAVRSRWPICSLLCARRSRYLGWQEQSRAWCDFTF TALAVGGRMRVRCMSQLGPPALRSGLHLGRPTAQLNPTSHHLTTLAFIAARTTPRPMH PVST CC84DRAFT_1165939 MVSKRSLYTLATALMGHGVSAFRNPIISGVNADPSVIRVGTDYF LASSSFEYFPGLPIYHSTNLVDWEIISHGLTTHNAIYDRQLLTTGGIFAPTLRYHGGT FYLITNYADTFNPADQRPFLVTTQDIFYGNWSQPLYFDQTGIDPDLFFDDDGCMYLST ALPEFGPRGGNSTIWQSKVDVRTGHSLTQPALIYKSSLPEKIRWAEGPHVYKINETYY LSVAEGGTEVLHRQTIHRGVSPSGPWTPSPRGPLVFNSRDPAAPVQQTGHADLVVRPD GKWYAVFLAVRPQLPANINGTYQLGRETFLSRVTWEAGWPVANGGQLITFDMQDPDLP ASAQNASSTWTDDFSSPALSPEKWEFRGTPYGTWYRVANGSLRLRGTPRALSALDGVA LVLTKQDDLFYDFSVDLDFQPTLQTHEAGIVAWVNDEFHNSISLVLCANQTSTVCLKT ETIAQGEGVDGNATTSYVSVPDGAVTNGAASVRLHVRATPDTYRLGYSVEREEEPTWL AAFSARWMAPRSGGRISWQGARMGMYATGNGVPMLVEAAFGDVEVVRGEAA CC84DRAFT_1053817 CLNNHGHLCSTHNIVTPKPRIRLIDVDKRCIVNGSGTENWVALS YVWGGANTVLMTTENINQHETQGSLEGILPLTIEDALRVTQALGERYLWVDCLCILQD CEEDKSIHLPRMGDIYRHAVVTIVAASGSNAAAGLPWIRNSITRSEQLFIQVRPSFRL GDYGVATMMDPPESPDCDYATGSPWSMRAWTFQERLLSRRVLIFTKDLIYWECEQSIW REDCFGELPAEFPQIFTSGFGSGTFWSHLDLSRLWRSHPFDIVNTYRQVVSHYTGLQL TYQEDGLHAISGVLAALEMRSGSKFLWGLPTAMLSAALIWESHSDDDATLSSRNQRRT AKHMLGPTQNSTSHCPFPSWSWVGW CC84DRAFT_865894 MLIVAKDARPARCDLTILPSARRNCREAHSSLYLDLQRYSLILC YLSLFSSLPSSLQISIAANEQGVFKESCWTAWTEQATSRLIKRFLASEADMLRG CC84DRAFT_1197402 MRLLHFDALGRLVSTDFRGKTIPPYAILSHRWSDSEILLEHIAS EVYKERREGYQKLQFCAKQAAQDKLQYFWIDTCCINKWNLSELSKAINSMFQWYKDVT RCYVFLLDILVSTATEPVQRSNWEASFHKKLITPPLVEFFSCEGQPIGDKASLNQLIY EITSIPLTALRNCPLDQFTTSERER CC84DRAFT_1188352 MALYSDGRYKEAEELFVQVMEMSKRVLGEEHPDTLLSMGNLAST YRNQGRWKEAEELSVQVMETRKRVLGEEHPDMLLSMGNLASTKRVVGEEHPDTLLSMG NLASTYRNQGRWKEAEELEVQRVLGEEHPDTLLSISNFAFTLQTQARQKEAFALMERC FLLRQQILGEQHPDTQSSLDTLASWRADCSDELS CC84DRAFT_1260854 MRWLQLLTASLLPFTAIAAKKSSGDRFADFRSKSSSGPLKLDDA SYTQLTKAPRDYSIAVLLTALETRFGCVLCREFQPEWDLLGKSWAKGDREGKSRLVFG TLDFVDGKNTFQSLMLQTAPILLYFHPTVGPNAKVDSQPVRFDFTNGPQSAEQIQAWV ARQLPADAPKPSVSRPINYVKIITVSTAVLGGITFVAVASPYVVPILQNRNIWAAFSL IAVLLFTSGHMFNHIRKVPYVSGDGKGGLSYFAGGFSNQFGLESQIVAAIYGVLSFAT ISLALKVPRIADPRAQQFAVFLWSAVLLGMYSFLLSVFRQKNGGYQFWLPPF CC84DRAFT_1094981 LELSLERSKHSVELVAKDEDIRKLRVSQCLLQDENDDLHEQLEE EQARSDGLENDLNEALAQLDEQAAAAELALNQIRTQSREVANLKAELKAMENVTSDSN KILSEKLALTREISSLRPEVEHLRAQVESNTGLLAEKLSLQRQLTTAQVELENEKRTS ARVLAKQGKRMEQDDELRGQLEEIRNELAKEKKDRLKAEAALAKAEEATEQVQADLEA QQQATERAQAKLDKAGKKEAQKASKRDEERDAEIEQLREEVAREKRARLAAEKANAKS SVRDAQLEELQQQLDLEKRERQKLEKASKKGQQSGQQSDGKADDLKTELDTEKRERKQ QEKEYTKTLSDLQAKNTILDDKLNAFREKLRSTKEKLKQKEEELERASRAQTAPPAKA ARETSVKPAKNIRKRMAASVEPETNLGTPGNGVPAKKTKRGTFATALGDTSNFSLTPF LNRTAVGAPGETIVEEDEDEDADDATPTTLVANKAAAPPPMIKALAPSASNKANAKPG ARKKTPAPILDVVAEEASQLSSKDNSENLLPAVTVPLKTTDGPSKEPTKSKLVPKVKP RKSLMSFATFMDEPAAEKKKKRKLGTSGLGKTLFDDDEDAEEEGTAKPKSSKGLFAAR ALGKAGLGKGRPISGGYNMMSDEGFTFSPLKKDRRAAGAGASFLK CC84DRAFT_865941 MGAIGNLIPLVILFAVVAGMGWIGYQIYLYSNELAERGVRKMEK KNVVFTKDGAKVAVKEVRTEDYADRTQKAFVKTWNAAHEGTGSGAEKRPGVGRTRTK CC84DRAFT_1165947 MDACGQRLSYLFQFYCDILHRLSLLGTIGCLNRHATNNLHILYS QLNIAKTSGFCHDSDTFLSRDLEAVLLLLLLRELGYCTAQPGATELEHLYAGHDILAM SSTMLPDDFRLFSPVGIAFSFGAFLSIVALYSIYTCIYNLYLHPLAHIPGPFWARATP FPYILGIRYGNMVPWIRDIHQKYGDVVRVKPNECSFISGDTAWQDIYGFRTGRNKGGE TYQKDLNWYPPAVEGKRSMLSADDANHSRMRKNLSHAFSDRALRDQEPIVQDLIDLLI QRLDERIDQGQSTDLTIWYNYTTFDIIADLTFGEPLHCLRDRTYHPWVKFVLHILKAQ GIIALRKQYTLVAAYDRLASLFVDNSAALRARLVFIKSLADKVEQRLEMQTSREDFFS AVIKNQGVGEKALTRVEMVINSQTIVGAGSETTATLLSGVTFLMLKNPSVYAKLKDEI RGRFMSSEEITFAAVEKLEYTIAVLQEALRFYPPVPTGFPRVAPRGGGTVSGHYMPEG TVVYVSQHATNHSPRNFVEPDAYVPERWLKDAPEKFKDDNHAAMSPFSFGPRNCLGKN LAYAEMRVILAKMVWHFDLELEPGMDDWLERHKLFMLWEKPALLVKLRRVVR CC84DRAFT_1177716 MVYTGKPSKGCGMCKSRRIKCDEKRPICSQCQKSGRACPGYPDE FDLMFRDENKALARKARKGSGRSEKSQESRSVSPGTPASLRASPIAESSRSQAPPTSE PSQSLTLTPPFTLPSDLSTFQDLLWQFDQSIPPTIVMAPEFEAIPFFFRNFITLPQEA ESMRGYLELLIPLYNRAQPSSALHLATSAVALATCGNYPGRQHLLRDAATTYGKAIRK LNEDLKHPHLAKSDESVLATLLFSLYETIMSTDDTITAWGNHVDGAVALTKLRGMDQF DDPMSHDVFRAVRTMMITSCVQRSKPIDSFPGTTGWLASPLSEENAANRLTLICIDLP NIRSRANTLTKTAYHASLEQEALSVLELAQLVDSNLQQWYLTLPPEWHYHTIGIVNEP VEDPATAESWMGEQHVYHDVPLASIVNDYRVCRIFCMRVIMACVAWLAVNPKHNLTAD YEKAVYVIQQMVDEISACIPFHMNYDLQPIAKKLGQEKNAAEAYGGYSLVWPLYVAAN AETVPQAQRDWLSGRLMMIGTRFGLTSAQVLVLARRHVLTCGPMFP CC84DRAFT_1219041 MSDTEKNSGAGKANSGWTDKERLVYLIGLLENSGTKLDFKNAPR PNGRSEIACERMVGRLKTAYKAELDALKAGQHLDGGDAATPKKRGSKAKGTGGDDEGT PKTPKRKGKTAVEDGGSPKKKGKKTDATAEVKDELAMSSLAGAFRHLHLAPHLVTQRR RFTTDPMPAEHHGEHDTRSKRKQPPTPSSERPMKQIKPEATHARNGTYAAAESEPLAR DQSPTPSMDIDEFVPPQIAAAVHDTAEWQRTIETVVKSVVSIHFCQTCSFDTDPAVAS EATGFVVDAERGYILTNRHVVGAGPFIGYCIFDNHEECDVFPVYRDPVHDFGILRFDP KEIKYMPVTALELHPKKAQVGVEIRVVGNDAGEKLSILSGVISRLDRNAPEYGEGYSD FNTNYIQAAAAASGGSSGSPVVNVNGHAVALQAGGRADGAATDYFLPLDRPLRALELI REGKPVSRGTIQTQWILKPFDECRRLGLSPDVEKAVRTQFPKETGMLVAEVVLKNGPA SKHVEEGDVLVKVNGELLTQFVRLDAVLDDSVGGKVSLTIQRAGEDLEVELDVTDLHA ITPDRFISVAGASFHDLSYQQARLYAISLENAGVYVCEAAGSFRFAEGFTSGWLVQEV DNQPTPNLEAFIEVMRKIPDRKRVVMVYKHLRDLHTANTSITTIDRHWHAKMRVAKRN DETGLWDFTTIADAIPAVPNVPRKANFIKLTSNFPAAVDIIRSFVRVHVHMPIKLDGF PKSNKQGYGVVVDAEQGLVLVSRALLPYDLCDISLIIADSIFVDAKVVFMHPLQNYAI IKYDTSLVNAPVKTPKFATEFIKKGDETIFFGMNQNFRPVVTKTSVTDISTVAIPASA VTPRYRATNFDAITVDTNQASHSHSGVLVAEDGTVQALWLSYLGERTSQSGKDVEYHL GLAVPMILPVLEQIRSGKTPKLRILNVEFQTVQMSQARVMGVPEEWIEKTEHEDPERH QLFMVRKVDSGHEGGLMEGDVLLTLNGKLITRAPDLDVMYDHDFLDAAIVRKRQEVTL KVPTVATEDLETARVVNFCGATLHRPHQAVRQQISRIHSDVYISSRSRGSPAYMYGLA PTNFLTHVNNVPTPDLDSFLREAKKIADNEYFRLKVVTFDNVPWVATMKKNEHYFPTI EYLKDSEEELGWKKIIHEADAGGAREEIAPAEVEGGGEEMPFLAGLETAALISGLALS SGIAIPWITTVERRELRDENAALRAQGRREISYGKYLWRKIWHGEKLSRVTGGDVESE CC84DRAFT_1177718 MFITLKCNKDSISVEQSSASTAQGTRPRHAACYECRVKKLKCSG DKGGCAGCLSNKLECTYNPPRRVDNSATRRSRRRSQAQSLGTYSMHTRPPSARSRDPS TPRLSTSSSTTSIELDLDRLSWPKHTFPAPETTENFLDAFWKQISASSEDRLREGASP LFPTTDAGHDLLDPAEFSPSEEILHSNSNDALTALSSDLFGDPSLSLAFSNPGHPHTD ASSMLMQPASELDTISGAKTQGTALSNASGGSCRCMTDALTILDELEARKMETSPYVT HTVSGILSANKSALWQCDRVIECPTCPYRPGCVLLLILICRNLVFQFQQLISAELSSQ GRQSPPISPSDSRTDALGQYSIDTSEEQLQVLYALAMVRGKSLSRFLGRLKSLVCFQS GATSHREKIESIENWHRSLMGRLKQMSYGYM CC84DRAFT_1165953 MPDRRFCVRKYHDKLLPMAVSVSHCASLLFGVASFLLYFVRGEH HLYVKFYIKILIATVALTSLGHAGLNEINILSAVLEVSGEAGYFLLGLYGARSLYRLL FHPLQKFAGPVGARITSFWLSWRVRHLDTFRQLKILHDQYGPFVRIGPDNLSISNPKA VQAIYGSGSKCTKSSWYDSTWPMVSLNTMREKKLHDERRRTWSPAFGEKALRGYEQRL RLYRNRLISHLIESNGAGINVTQWFNLFSFDFMGDLAFGRSFDMLELNQEHWVIKLLD EALQPLGYALPAWLFQILTSIPGVTKDWWRFVDFCAERMDARLKTKVDVPDIMSALSA PYKGGLPTNEDWRVLRGDAQLIVVAGSDTTATTLSAALFQLAQHPKYIKRLRTELAPY MTELVGDILNERIAKLDCLNAVIYETLRLHPPVPSLQPRKTPPEGIVIDGMFIPGDTV VSCPQYVIGRSAAAYEQPEYLIPERWYSSPSMVKVKSAWAPFSVGPYGCIGRPLALLT VRTTLARIVTTFDISFAPGEDGGDFESKAHERFTIGFGDLKISFKLRELVESLPGGTS ST CC84DRAFT_1165955 MEDAINKPWRPIPSSRITRDQARMLQLFTMPFGMGMNYFLGAWE ETALVYNLAWMYNDLGGGDNWIIRNIILGLALSQFNKGGMRVAAEIQGVQIPESSWWW LFITSMILATTFQAQDMKDQEGDRARGRRTAPLVIGDTAARWTIAVPVMAWSFVCPLF WGLNWVGYVLPVGVGALVSARFVMLRGFWADKKTWWLWTFWTAIIWFVPLFKHYGVFV RFARRFGLEFAIERQSSGLQ CC84DRAFT_1149426 MTGTIRVAACHVAAKFLSARETTQKALSLIQRASLNDANLVVFP ETYIPAFPIWSALRPPTQNHALFQKMAAESVYADGDEVRAIRAAARKSQTYVSIGISE KVHYSVGCLFNSNLLVGPDGAVLNHHRKLVPTFFEKLTWAPGDGHGLRVTETPFGKIG VLICGENTNPLARYALMAQGEQLHISTWPAVWPTRVDTIGNEGTNKGKNYDNVTANRT RAAAHCFEAKAFGVLCSGLLDEPAIDLVASGAPDPETIKKVLKDAQGGATMFLDPTGA PLIGFTVNETTGEKQDTDILQKEEGILYADLDLSKCVEGKQFHDVVGGYQRHDVFELN VTRDRQKPIRFRGLAPDLTAK CC84DRAFT_1260862 MLAQTSGTQFGPSEEFELETINKGKSIITEHVQENTSAQRELKS FDGSLLSVWLSAFLALALLILTSVYAGNSSLLAGHRSFGRSPSNVLLVLRVLSELAGV MLATTIAGTLEVLQWMLISREGGKKGMSFTDYLVMNAGTGVPGLLRLAFGRGIPKLSS RFWSMARLIGIAMVPLLNVVIMSDVSTAITYDKLTDKAPVYGYGIGIFNASLAAIWHP MADMMFSTNFMYFLADGARVVDITAPDARKRCNSGEDGCEASYFVSGGSGDFAPALLA SGGSRGADAFLAESQPGFVFDYTDGKHDWQFSDSDCSVFGADIAAWGLCLKDGEAENE IRARLIDCPADVASMFKCQTTRSWLRNPGFSSSLHSWYRSAEVAYSRVNDSILTHSFD DSTPRSPARIPASELLKAFILVFKSSNQASPFANALTLLGVGNNTAATPIYAWWYFHG ASRLAATDPAAKRRGISGLQSMLGLAIYHCQPKAFGEIRDLGYDNTTSVGKAILASFP TNVQGTPVFPAALRYIIVIDRGTLVAYIVLGGSTLILCFAALVAVTFVSPINRERGSS SFPILDFLSECKVVHEGHNTRRSVMSLDAFKDRNGERNEKETLDRNTRGLRVILTERT SRLRT CC84DRAFT_1165957 MDPLQECLKAILQRHFSVVPARTNRHDQWYKRSYQSWKSDHGDQ EPLILAPKTSRVEWTRELGTAAMEFARTVNRDAENIHRSQDSTGQIFRNKVFEDEVLD TPLDVLCPGYARYPPLRPVMQRTSYWTESSLQTHEERQIYYRAVKALLLCEQTGPLYH LIQTARGRIRATGTIENSVSYPARRVNIGWQSLIDEILELMISLTILRSFPEALPHEV ALEKWVPFVFGSSIDAHNNATTAEKLRILLIQQGNMNPTNDGAQIILSQCHRILPLIQ ALTFASDLPALDWKQRFVDVFLHYVGFECWNREREGEGYLRCDLNTRKDASWLQKMGE PKVTPSANIQDATIKKQKDPLLMLEPRHDEEPKEEDDAKDRLENLRMLETQEEAYGRK RSTKYCNKARHV CC84DRAFT_1123261 MLSTHPATGLRKLATQLLNAIFLLTTAFMLLKSFGVIANCSSPI VVVLSESMKPAMLRGDLIVLWNRGSGINIGDIVVYHVEGRDIPIVHRVIRRFGGGADP LRFLTNGDNNIADDTELYAPGQEFLSRGDVAGSVIGCIPYVGYITIAFNDFPWLKTLM VGLVGLSALIQRG CC84DRAFT_1249089 MSSTYSWGIKTTQISRRFTTLEGWRELGNGIYVTGTSLQVFAVG KQFDADAYNDDGTMWTRPQRSGSDSPRESSWNYQQDWTASPPSETKGAMPGGEVLKEE VYNIFEKREKWCVVAMIGVAGLFSGLSSNIFFPAIDAISKDLKIGLNIVSLTITSYLI IQGISPLFWGSLSDTIGRRPIYIYSFVLYIIANIGLSFSPNFAVLLIFRGLQAAGSAS TVSIVRNFSIAIGPVLGGILANFFGFRSIFVFLLIISSLVLIALIIVLPETLRSIAGN GSLRLSGIHQPLIRRIWKEAPYITDRDDSYSRKEVTIRTFIEPLLLLQEKDILSSLIF GGTVYAIWSMVTASTTSLFKQAFRLNELLLGLAFLPNGFGTIVGSTIIGNLMNKSYVE TELKYKETHNLPADYKLTKMAIPADFPIEHARLRHTKWIIVIFVLSTCLYGFSLSFPR LVSRPGWITVPLTLQFLIAATSNAIFAVNQTIVSDLCPGKGASSTAINNLIRCSMGAG GVAFIEQMIAAMGVGTAFLGLGLVTIGVVPLAVVHWYWGPGWRRERMRKNTDRKGIGG CC84DRAFT_1177724 MLSNSRRRAPAPPPIHIPEVRHSRDGGEKLQIVTPAITPAPPTS PLSKAIPAVGPFPQSTTTLPTKQSTSLRPSSPTSQTAIVASTTRRLSTFTTTSNPRSP TRTSFVTITQTQTQSLFSPKDEGEKSPKDTSTILVSVSGALQFVTVTARPTGSTGTTL PLTGTTQNVTGGANLLPPGAIAPLVGLSVIAGVSTIFTIIVVLWRRRKKKLEKKAGDL KHAKLEGIDDGFRAIHETPPILSRRKI CC84DRAFT_1059894 SDLANFGQTVNIRGLMERTDQDFVDGLALDLFSPSSRTLVVNQN TSPLPGSFVSGSSGAPFVALSNYSWVIKLNETANDLIAKVELPYDPIALQRIGIDQGN TYVGMLAADKKSENKTRIVKMTSLDGEYMLLGRQTEDISNVFVQYGQGATRAVNLTGG TGNQEAEFIDGLRLSVESDQAFTLNIDIKNGIPPHILSTNVSALNSFSWIINTSIPTQ ELKNAELRVPSAKTINATNAEKRLAVAKRSLNATSELFTPLSSEFQEIEASEDRIKVS GLKQLDGQYILL CC84DRAFT_1207057 MRIACRVEVETPKKLTDANSSANTEGTPLLDDETGTARDEPRAK VRMWTLLPEISREDQAVMMSLWCLFGVDSFASGLIPLAWVIPCMVRRSRRTAGVTFLQ DKYYCCGVHDRGFLARQTLQECSFFTHLPSAVTLALTPIPGPLVDDGVFWVALVAAGS LKECYDLGLLVVFQSYDREKAERERVAGDRSATSGDDV CC84DRAFT_1177727 MKTFTSALLTLGSVSTIVNAVAIDFYANRDCGLFSYSIDTPSEI GCTTLPETVSGLVLKTDIPDGDCLINLFNDESCMGNSVQNFLNGDTQTNVCLPAPQLY VAYSATGC CC84DRAFT_866443 MTMPVIKCIAAADYLGLLPCSDACQHEHRIGLSLKVGNIAFTRQ WLGVRSDDNCDTAMVHVRDAVLSDMREVLIKATSWLQPLEPLKYIILLEYPKQDPGLE LLYALPDLWTIDETRSMPTTIRGKIGPSDSIIVAFFDEERTEIRCAFIQ CC84DRAFT_1165960 MPGLVRKLLVFAAVDGLVLQPAPPRNHPPTTQQAIKVDYKGNVG PLLKDRRQEDTAPHTLEVHGILGLLKVASSYFLVSVCDREQVAQIRGKAIYKITDVAL IPLSSQTDADKAIVSARDHAQRHRKAQGRAEDEYDSESDEDDAPSVTDSLEEDTPAPP TQVKDPVTGQRGPADRRTSVAEDVMQRKGVYGRFADKWFSKKGWKTDKRRTQGMSSAE DLRKAMSTNVESIPNDEGGPLSPSKPDALPVEDRDVPEPVSPEEIPKALAGDKDSTTI ALLPKILRTAKMYFASGNFFYSYDYDLSHAVGKQQPSSTVPLSKQFDPLFFWNEHIMA PFIEAGQYSFVLPVIQGFVGQRPFSIKVVDTKSNSVVIDPDATPNDVELQSIHQNKST ESIASTDDNTKTPPPEPSNGKDFLLTLVSRRSTKRAGLRYLRRGLDDEGSTANSVETE QILSSPTWNTSQDKIFSFTQVRGSIPLFFSQSPYSLKPQVDTWGSTDTNAKAFKRHFA ELTARYGSVYAASLVDKHGTEAKIGSLYEQHAKIVNEHGGMDGKGKNLDFEWFDFHHV CRGMRFENVSLLMDSIGPFLETASWTEISNDQAKQTQSGVLRTNCMDCLDRTNVVQSA CARITLEAQLSAGDYSIDLQNDPSTSWFNTLWADNGDAISKQYAGTAALKGDFTRTRK RQITGALTDFGLTLTRYYNNIVNDYFTQAVIDYLLGRATDSIFAEFEADMKSADYFID VRKVRQAAIDRSAGIVIEDKDEDLVAGWTLSVPTSADHLKTATFEEAVLLLTEKALYF CRLDWGTEKVREFERIEVENVEGLFRGVYITSTLASRHMDEQKNVGFVIRYRAGPGGD LVRRNTRSLESGKSGAPSEDEPAASKSQAPQATGRFLAFKALPPRTSMPAAGDAAESP ENEVEVVQGICEEIAKVVNKTRAGEQVKSSIPGTEQEDKTGGEPQTRRLEVKDQDVIS LTDAKRSTGYVETLAYSMKKLVWG CC84DRAFT_866484 MYQIGNIYGITAIAVIGGGLFGFDISSMSAILPTQQYRCYFNQG PSYADDPDGCSGPTANVQGGITAAMPGGSFCGALISGWITDRLGRKTAIQIGSVIWCI GSIISCASQNIAMLIVGRFINGIAVGICSAQVPVYVSELAPPSKRGRVVGAQQWAITW GILIMFYISYGCTFLEGPQAWRVPWALQMLPAVFLFFGLLLLPESPRWLARHDKWEEC HAVLTLVHGKGDPNAPFVSLELNEIKQMIEFERQNADVSIKELFRPRMLNRLHIGVFT QIWSQLTGMNVMMYYITYVFGMAGLKGNNNLIASSIQYVINVFMTIFALIFIDRWGRR YPLMIGATLMATWMYANAGLMASYGHAAPPGGLNNIAEQSWEIGGAPAKAVIACTYLF VASYAPTWGPVSWIYPPELFPLRVRGKAVAITTASNWIFNFALSYFVPPAFVNIQWKV YIIFGVFCTAMAIHVFFCFPETSGKTLEDVEEMFMAGIPAWRTHVDYQSTRRAEAGDL SDKEKALHHEQSPERLEDAPKV CC84DRAFT_1207060 MDWAGPRLREYEDRPLNADAEEIRLIRLLPGDFNDDLEVKIFHA LFVEPEPAKDTRLGLDEIRKTLPHPWTVWETKEGRYIYSNDSENVSKWTHPDPKLDEE LFGNGKSFEMYPNFEPRYESLSYVWGTEEAKSKVHVVHDSLMDVPSLIYVRSNLYNAL KYLRDPLMERVLWVDAICVNQADVRERNREVANMARIYTFASRTIIWLGLEDESGASK RAFEILGFIGEQFETTTERGIFVTPGFKNRSASDTAFPSAYVHPRSLLSVTCDRTEQV GPIYSNADWEAIEILTRSWWDRLWIWQEARLGYRKAIVQCGPGTIPWSSLAHSTAAFS LSGNLIFGASFNASLVAQRRHLFAGGKWEDDIEHLLVGTQKAQYTDEHDRIYAFLGLV SPRFRANIAIDYSRNVFDLFYDTCVAMINASGGVFFSPLLQLGPRYATKQTNVGPRLE CC84DRAFT_1219052 MCRIEEKIYIGSDGRSRTFQDAFDCDRARRRGKRCSKPEIRTRE YRGAPPIARDDAPSPASNNPPTPTGVGSYVVEERRPSASGGRRPSLRPATAVKPEIII QIGSTKGRDAKYPRTYVPKTYKRSSLGASSTASNEIAIDSPGSDASYPIRTGLPDTPV PQTPPFGQPQGYTTRRVAPQTHRHTASASSVTTSQTPSLYTTSETEPDSPLGRQAPEY PRTIVHNSRGDPSPPTTTRGQTAQPSSHRTRLGVPHSSSRDTSSSRDNADDYSDFVDL YTSSNASHASSTRGAAPEITDRAIDRERLRKKKQEEEEERRLDESLSQAAKLAAEQAK KEVRFAALDTGRYEERDRLRREQSEKKKAEEQRDRDRQRKSHPEKHTVKESEKRATKR PDREKTQPPTSYNQKQPSGGRRSRRGSMTQADFDEQARLLRQEELQMSLERTAAEQRE QEEAIQKRYATRPQPQTSNSYSVGDPRPAGRRRMSIVDPPPVLTPVATTFAPQPTYAA RPPSSHTQSYTTREQLPSARYSPSQTVHPFAQPTRTSNSSMENNPFAAPSTRPIHPSI PPVSVVHQHHYPAVAPANAWDTQSIQAALPAYPPGQTAQYPSQAHSRAQQATRNLNQN YQMPDEWRGP CC84DRAFT_1219053 MLLPCVVPSGNAPSRALPSCGPVVTESSASDTPSSLPTGSITTI AADPQDPIVALPTGPDPGATSPCSAARMPAAPTPLDAAAMSRARTMLGTSTATSVPTT SQPTSTSAAESNPFSSPSPTYLPGTPPVLAALYAIVLLWTLAFGYAMYHIYRADARPR RVAAHPQWRRTVDTYGQRSPKKVGGRFEIRGVGVGGREGGVEMGGRIGRVGM CC84DRAFT_1096218 MGRVRTKTVKKSAKVIIERYYPKLSLDFETNKRICDEVAIIASK RLRNKIAGYATHLMKRIQRGPVRGISFKLQEEERERKDQYVPEISALDFTQNSESGSL DVDQETKDLLKSLGFDSIPVNVVPVAAQQVAERPRRFGDRNPRS CC84DRAFT_1096464 MHLPRFNHNGHKVTKGIEPEGESGRKGFHPLKFFKISYKSNSVI SRWVNILWPMVPVAIALHFALPNTKGAHLAIFITNFIAMVPAANTVGFCGSELARKLP KVLGVIVETTLGSVVEIVLFMVLLVTGREQNVPVIRAAILGSILANMLLCLGFCFVAG GLKGGEQSFHEAISEAGSGLMLVAAMGLVLPTVYYNALNGRTDLELAVNEISDEMLKI SRATAIILLIAYFVYLLFQLKSHDNLFHEIYERDELIDKDRNKELAKPKLTLTECIIG LLISLACVSMIAVFLVIEIPHMVEERHISDSFMGLILVPVVEKAAEHLLAIDEAWDGQ MNLALAHVLGASIQTALLNTPLVIIVGWGLNIGMNMQFEVFDAVSLVLAVLVVGSFLR DRKSNYMEGSLLIFVYVIIAIAAFYYPNPPHGGGESTGAESGGETTAHERRFF CC84DRAFT_866541 MKLHVLLSSGAVALAQQVYLPVDGSASLPQCSRNGSYATAIPSH SFREFSFTQTETERTATSRPVPTATTTFAPNYSQLSSLVPNLTTTQWGNWDPASNATP TDVGVPYGNASWTALWTAIPWVNFTRGIYSTTVEPTPVPTSELVLPPPEPFGPETCYT FPEDFLLGVAASAVQIEGAVADEGRTPVHMDALSLFSPGRADNFVANENYYLYKQDIE RIAAMGIRYYRFSIPWSRILPFVLPDTPVNQQGLSHYDDLINLVLDKGMLPAIVLHHT DTPIEFYPNVSSILIEPGTGGVGYTDSGYHLSYKNVSFEDAFVNYGKIVMTHFADRVP IWWTFNEPLLGARNGHSIDAVIKAHARLYHFYKSEIKGTGKISMTLNDNFGVPRDPSN ASDVDAATHFNAFQLATFGNPIFLGQDYPDAFKDSVSDYVPLTAEDLSYINGTADFFS IQPYTATVVSPPPNDTIADCAANISHPLRPYCVTQSTTTTTGWNIGYASQSYVYITPT YFRTYLNYLYNTFRAPVAVTEFGFPVFGEDDKKQSDQEFDSPRSLYYQSYLSEGLKAL WEDGVDWIGVFAWSWADNWEFGDYKQHFGIQTVNRTTQVRRYKKSFFEYVDFVESRRQ KSG CC84DRAFT_866558 MSETLPTATVSPKASTLPPIPAGDPLTPPQWKTLLAIAETIIPT VKPASIARSRTEIAVTDNEYSAHISKLRGLTPEPNGDEAAKALLDDNPASDPVFREEL RRVIALTLPQSNKKDLSMILNILNTRAGSLVLTGKVTPFSEQPIHVRETILQSWATAR LPLLRQLVRSMGALSKQTWLKTSETLPRFLGYPRVPIGMIPGKGFDYEFIQFPPGEKP EVIETDVVIVGSGCGGGVCAKNLAEAGHRVIVVEKAHHWTPEHFPMRDQYGWNNLFMH GGGIQSDDSTLAVVAGQTFGGGGTVNWSASLQTQAYVRKEWADRGLPFFTSAEFQDSL DRVCQRMGVSTDYIEHSNINRLLLEGARKLGYSAKAVPQNSGGKRHYCGQCTFGCGSC EKQGPVVSWLPDAARAGAKFVEGFHAEKIIFAKRGGQQVATGVKGTWVSRDINGGIAG EPVTRRKVIIKAKRVIISAGTMQSPLLLLRSGLKNHHIGRNLYLHPVIFLGGIYNEDI VPWEGDCLTSVVNEFENLDGRGHGVKIEATNMTPSAWLTFMSWKGGLDYKLNAARMRH MAGYFAIAREKHPGQVYPDPHDGRVRVKYTTSNFDKAHLLEGIIALAKIQYVQGATEI FPMIPGHNSFVRDPATPTSEGVNDPKFQDWLSGLRAKGLPSPETMYVSAHQMGTSRMS AKEKEGVVDPTGLVWGTKGLYVADASVFPSASGVNPMITNMAISDWISRGIAKGLAER PKL CC84DRAFT_866660 MSTQFSGTAVAVQHNPFQHAYKKSALYSQMDSGSNTPMNVSPTS PRTMGHLPAYPQHAPALRPLKTPGYIPAALRRTEKPGGRSPPTADSASDTPNTTWGSS GQSPGEITPISRIATEDMRSIYDDTPLSPVAGPITRNHWQPDSSTVVCTASCCQQPFG LFMRRHHCRKCGGIFCYQHIQKQVRLNEHALFHPEGELHKACDRCHTQFREWEQMRSS RTNSESSGSIDSPAAVQIEQAPQAKRPECAPKASFLSQSFGGGTWNWSTF CC84DRAFT_1096413 MEGSSGADQPTQRTKLRGRAFYESIGSPKLVLAPMVEQSEFAWR MLSRSFLPASEQSNLLAYTPMFHSKMFLEGPKYRDSHFQPLKSAIPSPPDAYHISQLP DSERHLDGNPAFDRPLTVQFCSNDPDDLLGAAKHVAPFCDAVDLNLGCPQGIARRGNY GAFLQETPELIARMIKKLDQELDVPITAKFRILETKEKTLAYAKTILDAGANILTVHG RRREQKGHNTGLADWKMIRYLRENLPKDTVIFANGNILQHDDIAKCLEATGADGVMTA EGNLYDPTIFAKPPPVGEEGREYWRGRDGKGGYRVDAVFRRYMDIIHKYALGQEPPAR GPLFHPNDPEEPPSPPRDEDDGPPRKRQKQNKTEKGQLKNPNLTAMQAHLFHLLRPLV SQHHNVRDALAKCRMGDIDAYENVLKLTEKAVKEGIQAYEREHANGQITNGADTSKAE SAPENAQDAPQQEELDPYESSLATVARCKRPFWVCQPYVRPLPKEAIEKGSMQLSKKE KKRLEDEEAAAKAVGLQPEGRIEKEPLEKTTANGHTAEGVPAPVGETQQEEVEIPKEG VVCG CC84DRAFT_1260872 MAPFKPSTEDFYFELGIIQIATQAEIKLAYRKLALLGHPDKTGC VKDDADFKSVYKA CC84DRAFT_1219061 MLVYESIHQINPPPKGLTDHEKVLLRAYLQARREKQVERKRDEE KREEEGKQRRGKKEQQRKEHQEVDRLKREEPEQLRKEREERVKKAAEAKRKESGSSRR SRQRSVAERIKTKQDAAMTRAKGAQPSVDKVGTCIGWKKKRNKKNMGASDCLFCGGRM KTFFFLLPDGVADACSPCKKALNHIALPSKDKEE CC84DRAFT_1095746 MGLLKRSPQTSNTVRNYSCQNSPQSRACWGDFDIETDMDAKWPD TGNTVKYTWEVSNTTGSPDGYERVMLLVNGQYPGPTLYATWGDNVEVTVTNNLKSNGT GIHWHGLRQLGTNDADGTAGVTECPIPPGGSRTYRFKATQYGSSWWHSHYSVQYSEGV SGPIVIHGPSTKNWDIDLGPLPITDWFHTPVFAVNAATLHANGPPTADNMLVNGTMVS DYGGNYAVTTLQKGKTHLLRLMNNGINNWVNVALDGHPFTVIAADFNPIVPYSANSLT IAAGQRYDVVINANQTVGNYWLRVDTGGGACDGPNLMQGQIRSIFRYEGADEENPDSE ATSQLSTGCNDEKNLVPWVKTKVPQTTPQEMEVKFSNTIVEGANLVQWLIGDSPMHIN ISNPSIQQISHNGGNFTKPENTYAIGQANKFQYWVIQQDPANLAAVPHPIHLHGHDFY VLAQESGKTWEGDISTLHMDNPPRRDTATLPIKGYLVLAFESDNPGLWLMHCHIPFHL SQGFGIQFVERATDITAGLDNVNGGCADWHEWRNGYYPGGYSEGDISV CC84DRAFT_866573 MTTVTSGFGFAANGNGNGGTEFLSMLAPPKNDLTEYDNTKPHSR QPASIPQVFRDAMSVREEVYGEQGVPLEAEFDEDDARSWHWVVYASVASTASTPPKHM RAEGSTTKEEDTRRASASASRLPVGTVRLIPAPHGPNKYLESPDKHSDADPPGGTTAS EKKHPTEPYVKLGRLAVLAPYRKLGLAKLLINTAIDYAAKHPDTIYQPPSPTTMELAN LRGQATHDAATWQGLVMIHAQANLKNYWEKHFGFKEELRSENGEVQIAKEPHWVEEGI EHVGMWKRLKIDNGRL CC84DRAFT_1165971 MDVPPETFPFSSTSTRHDTMSTMSSDKQPSLPSGRWPITIHGNC ARCGHHHKAATIQIHVVEGICEASHVICDHCGQKWLTIGGLNSTQISLLSTVTTELDY GEINFRYTLFSMVRSAASIALPTALANVPEDPSPLPSRSSSALYARNFSDASVNSERR SLRDYRTNALPDDDVEARPTQVLGDGPVAEISTPGPPKNGSTALRVIKRKLTKAFGVL KQVHYKALYHKSRRFKLTAERDGKEPNTETGMQRQITSPDIVASVSSENERPSRHVGD DNSGAAKMTGQIIEDLKSVDKETIRNMTPKQRDTWIRERITAYKRSRSRSALQRDCKR RHSTSSIGDYILLPHRSATTPTFQRYSLDQMGSQFDALPPDAFFNHTGPLTISATRIS EADTAVEYQEDPSSPRSSQQAGRSRSPRPASLFRTRLSWQQSRHARTQRDSLDSVLAN TIRNSRGLDRLSLASVAPQDMLTGGDALELSSSANPVVEEVSTVSHPSES CC84DRAFT_1165973 MSLVTGEKSNFQYIIRLLNTNVDGKQKVMYAMTKIKGVGRRYSN LVCKKADVDLGKRAGDLTSEELERIVTILQNPLQYKIPTWFLNRQRDIVDGKNSQILA NGVDSKLREDLERLKKIRAHRGLRHYWGLRVRGQHSKTTGRRGRTVGVSKKKG CC84DRAFT_1260877 MAPNTEKSPANYVDLSIYVNGERLSPETSTPQRSARALQQDEPF FLRNAKEQDFTEVELRLHRLRDFTVVRQLGEGRSGKVEEVVDERSGKRMARKRFFVPD FRGKRAVAQINQIKGEILSLKRLSHPHIVRFVSCHQQKENAKHLNVYLLMQPVGEHDL QTFMALVDTLEPAVFDKSWIKQWFMCLLSALAYMHSQHVHHDDIKPSNIICRRGRVYF TDFSSSRRVESGEETSTTSPAIATRLFAAPESFRSDENEPLSHGSKTDVYALGLVFVE LLVVLGSGTIAAFRNEMFQDYTHFMRQYHRVTHKFRSALEGGWDTESRRFYERFCEPM LQKRRNGRPSARYLFAKLKQQPRAIGVMRCCCRIQNERQSWTENESEVDVEDGTNEKD TGSENTGTSDKLTKAESDSDSDWVYLKFRDLF CC84DRAFT_867442 MKVAASALLAGAASAAVAPQQQVFKLPEQLKHLNTESWMKPLQN LEESLKSLTGEARRVWDEVALMFPEQFNQASFLSMPKPHTRREDSEWDHIVKGADIQS VWVENAQGDKEREIDGKLENFDLRVKKVDPSVLGVDKVKQYSGYLDDNEEDKHLFYWF FESRNDPENDPVVLWLNGGPGCSSLMGLFMELGPSSVQKDGKVKFNDFSWNANASVIF IDQPVNVGYSYSSGSVSNTVAAGKDIYALLTLFFKQFPEYSHQSFHISGESYAGHYIP VFASEILSHKKRNINLQSILIGNGLTDGLTQYEYYRPMACGEGGWPAVLDESQCQSMD NAYPRCASLIENCYNSESVWSCVPASIYCNNAMIGPYQRTGQNVYDVRRPCGDNDLCY DEIDWISSYLNKKEVMKAVGAEVNSYDSCNFNINRDFLLQGDWMKPYHRLVPGILEQI PVLIYAGDADYICNWLGNKAWTEALEWPGQKDYVKAEFKDLKLGGDGKKTGQVKSSGN FTFMRLHAGGHMVPYDQPESSADMLSRWLSGEFWA CC84DRAFT_867141 MPVGIKTPSNQIKLTNLALVRMKKGKKRFEVACYKNKIQDWKNK IETDIDNVIQLEGVFINVNKGEKASKADLEKAWPGMKNKEIVEDILEHGEYQVGEKER SAELERIKNEVINIVASKLVEPKSKRVYTTGLIEKSLDQLSQQTSVQQQGDKANGASA EDGDDKEKALPKWRGVVATKDAKSQANHAIKCLMAHQPIPVTRIQMRLRIVCPTAVTK QAVKSTGKPAEKGKKGKAAADEEQQALKSVKDTILSYIEKVETDETEGAEWEAVGLVE PGSYKSLNEFIETHTKGRGRVEVLDQSVGVDV CC84DRAFT_1165976 MAAPTRFVALPRTPSPKIRIHRSQSFDSPSSRISVTPIDDSPYS KSTSAISKPLPRYDALGATEHAITARKPIVLHTKMSDESMLSSASSSASSSPTSDNEA FGLFSGSPTAPSPVPATTHARPQRRARPAHVNTKPDIMPAPPEILSSSLALSIYQDPT VPMYDPWLVRVVLDMYDIRGFDWMMIAEPIERIWGFKTSSAEVLGILSNNGRVGRRWW D CC84DRAFT_867468 MLNVGRLAFLFGALLPSALAAPVTKKSDLIEGKYIVTLKPEAEV QVQVSWVNDVHKRSFSKRDTAGVEHTYDIKTFKGYAGEFDENTLAEIKANPNVAAVEP DQLVYLPFKVEQVFDKRALTTQTGAPWGLGAISHKTGSSTSYVYDSTAGQDTYAYVVD SGIITTHTEFGGRASLGYNAVGGQHTDTLGHGTHVAGTIGGSTYGVAKKTNLISVKVF QGATGSQSTILAGFDWAVNDIVSKNRASKSVINMSLGGGASTAWTNAIAASYQQGVLS VVAAGNGDQNGNPLPVSGQSPANAPNAITVSAADSSFRVASFANYGAGVDVFAPGVNV LSSYIGGNSATASLSGTSMATPHTAGLAVYLMALEGLASPAAVTNRIKALAVTGKVTG SLSGSPNVFIYNGNGA CC84DRAFT_867470 MESWPNDAGFDTDYEEHNPVELTVKGKIPHYVAGVLYRTGPLGF KAQTNQNNTWAANHWFDGFSCVHRFEIIFPDNSDTPMVCYNSRRTVDELLEVVRKTGK LDGVTFGKKRDLCEGFFHKVMSMFKPATSPQNVGVTISINVPSRQKGTQPTMAINGHS NVIETLHLKTDASIMNKIDPETLEPKGVCRQSILHPSLTGQLSAAHAETDPATGDFFN YNLDLGRKATYRVFRTSSSTGKTEVLATFTAKPAYVHSFFLTEHYVVLCIWNSHISWS GLSILYHKNILDAIAPFDKSSKAKWYVVDRAGRGLVAIYESDPFFCFHTINAWEQPSA NDAKKTDIICELSLYENLDVVHRFYYDNLMSTHESSKAYAGQKRRSCLARHAQFRLPV VESGNISSKPRPADVLFKSDPMVAQELPTINPSYATRKHRYTYGTADRLKSTFVDGIV KFDNVTQTAIFWDTEAHTPGEPIFVADPEGIEEDDGVLLSVVLDGVEERSYLLVLRAK DLIELGRAEMRGPMAFGFHGAYKALRKD CC84DRAFT_1165979 MPVFAEACSESIDNGYMPTLTAEASNLQFFDDESAGIFDALHFN SCSKNTDKYNADHFPLLIFEPAVGTSRFMYNQLARQFSANGAHVVTIDHPYDANIVEF PGSDPIRNGGSVSLDPFQVNKPVNGTETKKAIDTRIADVDSVIKELEKANTLPRLFPA FTFTDGNTKVPTQRLFMVGHGLGGSVATSMGFYDKRVEWTINLSGSTPVLAEDVYPYT IFFGRENYRSENDTAWQETMKHIAGPQVEWTYHKAEQFDFSDLPLVSHLVNPSKKPKG LGVPYQDMDPNDPTATFRALSCFLEGYFRDTLIPGWFVEPRLPGQSHDAIQKCLGWFG GAMKPHVMDSS CC84DRAFT_1165980 MGILLSTVQRTLNLLLPFTHPGTPLVQDLIHTAVLITTLYYAPQ IADYYNAHYRPSSPHTPRNEGDTAPIDGQVDGPPADIPDAPLDDAWVLQDDGDNEDAG LEPPPLAPTPPPGQLHNPPPEDGVWQNDFAPGDPGPANDRPQATRANRVIGAKKAKSL ARRDQRRAYHEFHRSQAEQRKLAEEAGREEREAALAQEKARRAEVEAQLAEEKRERLA WEREQKRKEAEEEHQRRERVVEKVREEIVRKGAVDLVDVAIEEGKDKVWVERLVRASG MLSQMEKGGAKVMITGEGWLVKIDAELMRTVYEEAASFGNSRDGKVSFANFGGILEKA VRARALAAV CC84DRAFT_1260884 MSDGEVEVESPSGYPILPKEVTEEIGSIKLFNKWSYEDVEIRDI SLTDYIQIRSPVYISHSAGRYAVKRFRKAQCPIIERLTNSLMMNGRNNGKKLMAVRIV AHAFEIIHIMTDQNPIQVAVDAIVNCGPREDSTRIGSAGTVRRQAVDVSPLRRVNQAI ALLTIGAREASFRNIKSIAECLAEELINAAKGSSNSYAIKKKDELERVAKSNR CC84DRAFT_867530 MAKGHVYKKAMQDAKDDAAHVKPQVAILKGKNEGLERTLARMES DLRFYQKEAENYKNECYNLDVRANTIETQLSEEINTLKDKLSLVEGERDALKTSLKEE EVLRIAAEGQIPLPSATTEEYDDFGSSVRSPRKQQTFAQDEEDKENVAPSRAAVELML VQQDLATEKRLRERAQEQIDFMKMECQFRCCSCRIADSKGSDYIHDNSYAAAIEAIKA SVPALTPPPSDHGDEHMEDVIKPDVMVAKRPITPPADEIQGDEHSAESVDQTLDTVIV KRPDNTTPEPPVAFSPTTGTFRSVPSPAKAASSTKVVSSEKPRLEPTTVAGLASPWVP NARQPQSRASIQTEQMKSKSTGIVIHEDAVMDSDEDDEEVIEDNESEDHSQEASDAPV TPYLTRTITTTTRVPLHFSPMTPAVKSGAEPLTPSTIAHAPMNARTPVLGELNLNKLP IDREAALQAIRERRGRARSMAMGQETPRKQMMEGVKERRDISAPVSRARR CC84DRAFT_1149484 MPDSGKIKYKLWSYTPSTAGGAIACIVFCILTLLHCFRLFKNRT WFCIPFVIGGIFETIGYAARAAAHTNLDNKTPYIIQSVLILIAPILFAASIYMILGRL IHRTNSATYSLVRATWVTKIFVTGDILCFFVQSGGAGMLVQASDNKGVKRGENVILGG LVLQILIFGFFVAVAATWHRRLSTKPTSRSADVPWTRYIWLLYAASALITIRNLCRVI EYALGRDGYLVTHEWPLYLYDFLMMALTLVVCVAWYDPNITRMKQDIEIGMSG CC84DRAFT_867544 MPSGDMNATKTRKPHRKSRSGCTSCKARHVKCDEQKPICSNCEK YGSTCEYPRLKRAGVSDHREVALSPAALNTPSPAHSAVVNRSNHDGMENQEMIADIVH LRLLHHFITVTASTLAHAPDAADVFSSYIPKVAFDNPYVLHAILSLTALHLSRLEPPR RVDYILKARRHHQIALAQFRAEVKDISASNLQVVLIFNALLFPYTCAISASLTDFEDV FESIFSNLIVTRMVGPLIQASGLYESMLHSDLGRIIPKDVHSVDWKNAEAPQETQLVQ LRKFSEVIHHVYPPEINEAYKEAIGRLDLLFDVISKSAEPPSDSLLRLWIHFVPSRFV ELLSEKQPGALIIFAHYGVLLGRGRHYWFLEGMDEMILAVADAFVPIEWKSWLDWPKE QIRACRTPISQPTST CC84DRAFT_867570 MNEMEAAKYEHLDASSTLQLDTRDNYTEVQKHPTGYPYHAYEAA KAEPCAVHADGYILPIHMLTCGHLVAIDSPLTGSSDNRCGLNCLHVAHWIKQQTDNAT AQNADLRADQSCEQVLTSSTTLKSTTDPVLPAITQHQSRDNIYCEICHGLPAGSYFVV PRDVRRALAFTRPVIEHFCGLASEEIVNQLCRPFYNPDKLGYDWKLTHILRCGHQVWA QPARPCAANCSDTPECKSRIFPGNNQQGDVIFCYECVYRAEIVYARYAQAGRDLTRRS ESQTGLQGVLVPGNAQHVPQPQVVPTYEVADPISGSYLEYRSADGFPFDPVSEEGSSM SSSGA CC84DRAFT_1095181 MLPGTPRHHAPPPSSTPPPTKAATAAADLPRPSSPSPPAHRQQP HASASTGSDTTTSAELSELTSSSSSRDSIPTLDPAAELRRRETTPQKPGKNPRRAKTH KTNKWTSNGAGSSDSTGSERKTSVPQVPRHTAQSAASSPQSARSLQRQQSHTHAAVQQ GRAMATTYGGPAGDSRRGVASPRPKGREAKNTFCRNVTIYGHCRYENTCPYIHDSTKL GQNENKMKRFNVDSPSFTPLQASTNGALTPSSRSAAISPKAANAAIFTPKSQRSAAST PSLQTKEPAVEWHPQQQDFTEFVPGQTFDNQMVDPNAQAQALSYDPFTASSAISAITS SGHQTGAINPYAQDPSLSGASYFQNAGGFQSSPAYHLYWPVGPQPTGLLAYQRTAHDF FIPDSVREDLQKKAEISRQVASNSSIPPIEQFHSLFCLDTSPQKNQSIFGYTNWVYKA VSSKDGNMYALRRLENFRLTNETAIRSAQAWKRILNGSVVTIHEAFTTRAFGDSSLII VTDYHPNSKTLAEEHFKQVPMQRYHGRQAASSHVPEQVLWGYLVQIASALKAIHGSGL AARLITPSKIILTSKNRIRLNACGILDVVQHETARPLPEMQADDLLQLGRLILCIANG NLSAHLNMQKSMDYITRSYTARLKECIQWLVSPQASTSAPSSPTTPGTVHKDIDTFLG GIADQMATVFDSELHAQDTLTNTLARELESSRIARLLIKLNFVNERPELDASQHVPGS TAPTASSLWAETGDRYYLKLFRDYVFHQVDANGHPVTNLAHVLDCLNKLDAGTEEKIM LVSRDEQNVLIVSYRELKRGLESSFQDLIRAGRQSGK CC84DRAFT_1260889 MRSAVLLAALPALVSAHGHVEQVKADGVLYQGWNAAFQYQNPVP KTVGWKADNLDNGFVSPDAFATSAIVCHKQGASNGAYVNVKAGSTATFYWDTWPVTHK GPVIDYIAACNGDCGAVASTSLSWTKLDQKGWLSGSNPGTWATDNLIAANNTWTITIP SNLASGNYVVRHEIIALHAAGSTNGAQAYPQCVNFAVTGSGSAKPQGGVPATSFYKAS DPGILFNLYTAFTSYTIPGPALGKIAKREHAREFN CC84DRAFT_1197432 MNRIAPGTSELYIANADGSNERKVLGNESTFDYQASFSPDGQWI TFTTERNGDGNSDIYRVRPDGTGLEQIIATSSYEAQGVLSPDSNKIAYVSTANNYKAN VWIKDLKTGEEKNLTNTALVAGNSSLPEGYFRPAWSPDGEWLAFSSARNTQWRGHGNG TGWEHTQELSIYIIRPDGSGFRQLATKTNYCLGSPKFSPDGKRVIFYEITTEATWDSH RPEAVSGVTGQLVSVDFETGQDRIEHTSGPGLKMNPQWVTNDVVGYLVKTPANEGLNY TAYTTIGDSTNGNGTYSQVKASLRSPSWSPDGTQVVYEKVDFKAVRPMGKQLYSWDAD WEYRFTDVFPQLSLQGRLAITQKQLGNSSVVTMKLDATDLVDAFDVYDHLNDTSSIGK GLTGAFQPSWSPDGRSLVVGLGSWFQSRATGTGVLWQFSANGSAAEQLTLENEANSGF PSYAPDGKSIVYRVFGKEYGLRIMDLATRSVRILTNGTADVYDNTPMWSPDGERIVFA RRRSYTNFDVATIRPDGTDLRILTSSGGNDAHAVWSHDGRIMYSTAEYGFRDECATYD QTFQPYGQIAIMDADGQNKRLVTDSMWEDSMPLFVGNEFLG CC84DRAFT_867787 MCPYPGCGRVLRDLPAHLLTHQAERPEKCPISDCEYHVKGFARA YDRVRHTLSHFKETMVCGFCPTNIFAAERTFNRCDAFLRHLVTTHGVEQTPAGRKDDV YGGESRLQKHVTSQKVATCGLCSEPFDAQGFYEHLRGCALRQVTRSCTVAQLVEGSQE TVRVPRESRPENALVATASYASLHIPRTPEQLYGAFARPRSPLASIEGSDSPAAPASR DTESKEIAELTASSRCLSLTSSQDEAVKSSDEETDWTEDTGSPDSVTDADSLRPMLSP VKQQLVERLMSEFHRIFDKLVRTYHGAGSARSAGSGYYTGSSGSSTYSSSSFVSRKRS LSGGSSPPPNDNGDDSNKRRRPDPKLSAGKQSVPELRFACPYYKRNPGRHQTFTSCRD PGFITVARLK CC84DRAFT_867862 MITTWTCHHLVSIAKILLDNSLLTSFQDIEYQPCTNGGPTGEPS NISRFQEFSRLELPRLVRRTLEVTVEEEAQPLEDRLKERLVDIVRDCQTQLISLFQST MASSETSPALSLSQLRLPESEKTVDAPPNSSLAATAVVPVQNTQHQQQPGQAAPFQNF DSFPPPDTADYIPIPTQYPEHEHAVAKHEASPPVDGGGNTPDSGYDSTWNAAPLPPHE TYLHQTQGNFTQTLPFAHAPRMPPHQHQHQHPVQQQPAYTAGTMFVESEYVDLGGYYG LFQSRNAGFDAGLMEPVWAYLDGVGGDGSGGGMGQVNGHGRGNGAVM CC84DRAFT_867674 MEGRRTGRLRCRDGSEDAVLKMKNGQSRSAWVPEGFWSGAFQAE AVQYISDMGSPSQAKRPATALLWAPLIARMPSRARAFVRKFRRYVQCNLFACAFHACH HDPARPPHAATQQCAHRHRLPSPPLRRRRRRQRQELGGSVRPLAVSRQEWITSPAGVG RSQAADTDAIQRRANHSTSAGRLCSRTPPSRGTACDG CC84DRAFT_1177762 MATAVHHAVTMPSNFGNANQCFDPDTDSLFDFSQLPSPTPPTIS RQPSSAQSAITSPANTVLDGDDMQTPAKPSHEYERFKQQTGLPTGSIAGINPSYSTGY SMYSSTGIDEMSLMGESSMMGGWNSGLAMDMDLSMSSQPAFFYPSNDASQSSDFVDPS AITQEEVQNVRVWPGMHQQQAQQQALAKAQAQAQQQRAQQLMQQRQQQAGQQQSRQQH SRNTSSSPLSDARTEETIARVVNQIRQQSQNNALAGANGQNDLLPHIIRAKKDEEDMD EDERLLASEEGKKLSSKERRQLRNKVSARAFRSRRKEYIGQLEGEIGAKTNECNELRT QNRALMEENARSRAFIERLLRHQAFTPFLEELSRDEGLEAKPAMQTLSGSTTPTPAPV RQNQQFGAMSQPQVGMTLVPEAPLDMSMLNLNSNSWAINNGSSSFSYQQPQVFAVTEL PAGPTNPLDMDVISGKGYSSIFAAEDDASDKQAKADYPVVERAMPAVKPSVVEAEQDE DPEFDLYNSAPVSTSTATAHTVDEHESLFGDITSEKVFAHFQLQISDEVADELKMARF QRHARSAKDQSKLHLLFKLSTRHDNGTEPVRGFAPDRPVGPPESGARLRVYEQPPAPP TPRTSFLKSLGLSDDTHFHAPGLPALLEPLRPPRRDNRIPQPDSHDGAPIVRRDSSKM ASTVQMITSPTADTPGTTLILQTQKQHYIFGTHAEGTQRAMVEQGLRMTKVQNFFMTG KMDWHNAGGLIGMTLTLADSATTAYDTVMELHRKSKNAKRLAEPPRPKINVYGPPNLK HALNTCRRYIFRKGIPVIATEYKEVPPRKDDQGAILPSWQDEAIQVWALCVSPARRDT DPHRERQLAQLQEGFEQLNSFEEHRAPENESADEREARYDRIRSAVLNMMFNSKWNLD TLVERHISEVEPPAAIFVRNPETNRIEQYRGPKPGSGEPLPDITVLTRTPWPGATVNN LPPTTPKQESLSYIVRSQPTRGTFDPKRAKELGLKSGPQFGKLSKGQSVENDKGETIT PDMVIGPDKPGNGFAILDVPSVDYLETLLQREELASEAVMKDIKIIYWVLGPGVSGHP SLQQFMERFSQLEHVVSSVDDCANRLSFDSVAAQTIRLSAIDPARYRVPQHDLKTLPQ RSLYGLGSPGRINSLPNVTPAERGMKHSLMPNFKRKVEALTDDEQFRRKEEQETPGVL ELEVRAQMDEEVLRLAEEAQQAVTDDHEALDRWRKLVARPDTEVITLGTGSACPSKYR NVSATLVRVPGVGNYLFDAGEGTMGQLQRVFGTDELVDILRNLRMIWISHLHADHHLG TASVIREWYRAKHNSVPKHDHPSDSASLAADASSYGLSLVSHEGMIKWLSEYSLVEDF GYSRILPLEISSVRRFEDTGSRLTYTSMTASGQLGRRILLHPRDYPAVLGLTDLQACK VQHCYGAMAVSLTFPRSPSDPPSLNPLKVSYSGDCRPAHTFTLIGRNSTVLIHEATFD DELQGDAIAKKHSTTSEALAIGAQMDATAVVLTHFSQRYQKIPVLQTVQDGEADDLPS MDDADVQQDPDVPAAASALPSDSARPPPSAVSSRREKVIKVHARNMKVGIAFDYMRVQ IGALAQMEKFNAALTRLLAADEEAEGVPVAETDGEPVVNGNGKKTSEDEAGGGRKKKS RRHN CC84DRAFT_1165989 MMRSYVCQQCRLQLPRRLAPPRHPQLLSRATIVSFRKPPKASQP ADEAQQPPEAAPSEDTTAPRAQPQEPGIAYGRRDPEAPLFRYHRVDDKPSPHGDGTAP VGRYTRAAQPDVAPSRFWTMDHGDGRNSKASRPSSSSSSAVQTINTALKKGSVETAWD AFNRSFTSRDVPALTDPPLSDVPLLSGGKLFKQLALATALEFGKGNKAVPTPTQVLFR FEQLDIAPPRVWGQVIAILTYQFMRSMAPPGQRGAPEDPNESDTEATLGELLSLWRLF FQRYGKQQAKDPLESISTEWKAIPDGQVSFGEAGKYFGKRLQHFCPTHDSTPELHYSA ISIFNYFHAETEPPHAISETLRQQNEPFLRLLASTLAGSNIESAFKHAEFHPMLRSLG KEYQRSIAAQMDSAPSLASTILGVDPGATPEERASHLQASFLKRIGRQVMMQANAREV EKLWGEAQKMYRSFVVGKSQIPRPIYNAFLSGTMTLFQPDQTVKIWNHMIANGVSPNL ETWNAMLMGCAKAKDLAGMNAVWDRMIRAGVEPDQYSWTTRVHSTIGTHVQIDAGLAI LDEMGKRWLSAEAAIKDSKNPANRKGPRKPVSNANLVNTFTKPDIGVINGAVDGIVQL PTKGSVQGRRGHGLTFESKVSYVQRILQWAGNFSIKPDTRTYNALIKLYLGGNDFPTA FKLLRQMETEGLEGDLATHTMLLRAAFDNQKFDTLSHQEQADRVIGLFDQLDQGGLKP NTYVYQIAVDRLLKYFSNYTGVRAVIDHMMSRGFLVSPQIYTSLVTHYFQQDPPAIKE VDSLVTRILGPPAAPTDRFLFDRIVEGYAGIGEIAPMMTVLTKMSAHGKRPSYRALVE VVKALYNAGEWERARGIVRDVKESTGVVKDGATTTHQVDRDQFFYAINALAPDLLEEL AGDHFKAPVRAQTTQGDAGYEPAQPQHEQAQYEQQSYDQYQPQDQQNYQGGYEQQQYG QSGYEQAPYEQTPYQQPQEMDNEFVNAEHEGYLSDEPEVPHQQWTMNGAQSAGRR CC84DRAFT_1165991 MTTFVPRAPYTKEELAQLYPQELELRLVQVLLRHGERSPVSPRF QNTGLRPYWPYCNSARQFSSVVMSTTDWSKWDQLKYRRRLETFGLDDGPVIASGPDGE FDGICQPGELTDKGRETTLALGQRLRHLYVDQLRFMPKLISDSDMIYLRATPIPRALE SVQQAFYGFYPPSARTADFPAPTIITRTPADETLFPNDGNCRRFAHLSRAFANRAAER WNETEDVQYLSKLFTKWMPGNQPVAVDSHPRLSGIMDTINATDAHGPQTKLPKEFYDR KARAIIDKIGVEEWFEGYKESTEYRMLGIGGLMGDITSRMTGSVERNGNDGLVEVGGN DGDLGHGRGGETDIRLALSGCHDTTLAACLTSLGAFEGEKWPPFTSHIAFELFRKKAA TPATQRLSDAPASAGTLAGSQEKPQSWWTSLFSSKKAPDLPVKPQGIARKPLRELTPE QRNKLRDYYVRIRYNDKIMQVPGCKPAGKHLDGDDTFCTLEAFKGIVDKYTPSDWKHA CASNVNTPSFPKEIERAGYE CC84DRAFT_1188391 MPAQQRPFSPQQPYQPSPGAMSPTSLPPAAKRQRLSPQPQPMSP YSSQYNSPFSNSNPQYSAHTSPYAASPPGTQYLSLPQSPAASQPATPSFHQPQPYQPP HMAGDSRPPFSGAMLPPKVPASKAQKEDELEKSTAKDSNPNTLADVITGSGIDLQREE EELLRGNYQSQSSASTMSPHGSFNWSQQSNHGAFQGAGPLSQPKTKEEQEDELRRKHA NVARIHNEAASHPLTDPFLLAAGVRQRMSKKVYEHGIKLDLEGLFDKIPSAVQNVTRK ELGPSSNGESIVELQATSLLNNGAPLVDLVSLVSLAAEERVRTVIEDAFALAQGRRNT SQGVVPPSLADVAAASGDTQRTEAVVPNISKTAWEATENASSPSARLPTPPGDVSPAP QATIQFTANPIVDSLKRRMQDDEKFEKARIAKRQKRLQGNSASQDTLIATPTSFPDKP ITKKERDRINKAGNTEEAVHKRANETAAMALGMKKGKKKYSWMDLGSGGGSGASTPRG NPVTVGSASGTSTPAAAQTDRGLLATKRKFQGGNLEKGDEGEKIQLRDIVHVLELDGK ERKALVQIIARMRSSDTDAKKMEDRPRATPVPTAR CC84DRAFT_1165993 MAAKRKAAAMAPVDEDPVDPSDELMFLCLGGGNEVGRSCHIIQY KGKTVMLDAGMHPAHEGLSAMPFYDEFDLSTVDVLLISHFHVDHAASLPYVLAKTNFS GRVMMTHPTKAIYKWLISDSVRVGNMSSSAENKIQMYTEQDHTNTFPMIEAIDFYTTH TVAGIRITPYPAGHVLGAAMFQIEIAGLKILFTGDYSREDDRHLVSASIPKDVKIDVL ITESTFGISTHTPRVQREAQLMKSITDILNRGGRALLPVFALGRAQELLLILDEYWAK HPEYQKIPIYYNSSLARKCMVVYQTYVSAMNDNIKRLFRERMAEAEAAGDVSKGGPWD FRFVRSLKSLERFDDVGGCVMLASPGMMQSGTSRELLEKWAPDPRNGVVITGYSVEGT MAKEIVKEPDEIPCITQRGNQQRRLGGNENEQKKIPRRCTVQEFSFAAHVDGKENMEF VAEVNAPVVILVHGEKGNMNRLKSKLLGFNAHRKDPVKILSPANCEEVRIPFRTDKIA KVVGKLASISPPVPRIKGEGEENGDLDEQPSLISGVLIQHETDFKLSFMAPEDLKEYA GLTTTTIVCRQHMRLSAAGVDLIRWVLEGAFGAIKEEEITDEAVNGKEVNGNGNGVKE HADEEISRSSTKYVVMDCVSVLCRPGGLVEVEWEGNVINDGIADAVLAALCTVESSPA AVKQSSRQHAHSPSPSEPNDDLKLSHRPHPHRTADPSTRLSRLFLILENQFGPDALTP LSLPRTSASLPPLTIPATPTPDTNATPNGTSGITEPYSVAAAPAVTPSTSLSPEDKAE LRRLHNLGIPVPGIEIRFDKYAARVWLEDLDVECAQKTLKARIKAVVERGVETVSGLW A CC84DRAFT_1260897 MAHLARVSREMLYAQPPPQFEESGQSSQQNMAQPKGRATEQPTW QHEQMSKAFKKSGKKSKWQKLDIHHDTIADYTHDADPPVRLASHLHQFAPSTPAPSDS THDTPSPNAEQSKPHKGPHKGGRNGKKMASRYVPPHLRKKQSNGDALHADTANPTPEM KVLKLEGADPSEVHFTQPQLLTVETRPEAPLSPPASPAEQQAQGNGVWGYYEPTNAAW GNDDPKPEPPTGGPNPWKRGKRHVWPKNRDIKPIPDDEEDGGVECKSECNGDPDYDVR KLVDWNGDWLPPPETWSARHAFTDRHFGAGIEKWINGHDKRCIENQSDLLRSVEFEGV EGGVVLIDGEEVAQQTINYEIVPRSWIATKIEGDPPQDFWKAFAHRAPAPLSDIDITE HRPFWDDYLDNTTNFLNPMQHPAKAELDMNDEENHKQAAGQSATQYLRHIHEKNQIRK RRHQAKLAKKNQNQNQELPPRDPGYRPISNIYLRPVVAADAKGIQELYNYYVTNSFHT HEHLPRATKDIVERIRNITDEGLPYIVAVLKGSHLKGPQAFVNEHIVGIAYIDDFVDK GSMCRYTFELECYVHPDFARQNIAKCLFDRLLSIVNPSYPVRGGYNWVNRGEYLKNGP ARVVKVINCHVYHEAGDDVVWVTEFMRKFKFRKAGHLFKMGYKYGKIVDLIIYQHETG EDVDPNVPPMTPL CC84DRAFT_1165994 MLSILRKARLKDKEMRILMLGLDNAGKTTIVKKIMNEDVNSVSP TLGFIIKTIEYDGYKLNIWDVGGQKTLRTYWKNYFEKTDTLIWVVDATDRERIDDCRR ELEGLLQEERLMGASLLVFKNKSDVSGSMTEDEVRQGLRLDAIKTHKWTIMACSAMTG TNLQEGLRWVVQDAKSRLFLY CC84DRAFT_1165996 MSNQDEVDPRQFLERMRTLSDLRDHEDAERVKKLEEELIQGRSE RLARRAERARSLSPDKPTTPQSHRSLADAPRSVQDKLADTPTPAMEPPSQESAREESL QQLTGSPAQLVDDTEPKKPAPSAAALGRSGTLSWQQRRPQSASMRRPISVALGSPDRA TAGSPRTSEPPSPERPASRTQIAQSLSAKDPSWFKQTADRGIGSAAYRKNQEDNVSEA GSISGRKQLPGMTRDSTAELEAASPPLESARSSGSVRSSALLGNRISATASLSGPDAE STSKPKSPLPVLDAQKFAPPSEGGSSVDGGDRGSVRGLAMSPTQGRISPDRPASPTKG MGGFVQSAIMKRSDSVSKRWSSQAPPSLSRQNSTLSNRGSTYGTLPPPASRPSTLSRD NSTEPSSRPSSSAGLASITKDAAESPNKPDFVKPALPRHSRSKSVASTFSEGQPQQDE TSPPSPSKRWSPTKSSWLESALNKPESPKPQQPPPQQPAWMSELSRIKQQRGSVDFGK VSPLQSPPADFATTSGRSSPIKEVQLRPVSLRTRSESPSKKEEPTPLHKTIKPLQSLQ SLREKPDSPKKEEPAPIEDAKSVLPSPKPKPVVGVKPLMPADESNSAADESVPLSAEP ETPKSPPTTTATKPAISSSRFAKGTALSPAAVKPKPDTPPKKDFRATLKSRQPVGSNS KSEEVNELQNVFGKLRRTETKNYVAPDVLKNNILSGKNALNVTGGPKPSVRRDEFRDS LVSTKAAMLAKAQEEGSAAHKRTDSTASAKDAPTPEAIAARKNLGRSDSFSKPLPPKE TGFTPEAIARRKSLRTSRPVVTEKSGQPIAPLVNKETPLLKSSKLADRFNPALANMLA RGPPPMAGSGGTAKEDVEETLSKPAQEEKTGPAPELTHMTKGRARGPKRRAPGAKQSE TKSTDTPQKANIEKVAPVATVPLVKTEHVLPSSEPPNNAPVDRTPARNSLKDKPVTPA KSPDLSKRLSKSPTPEPPKKPASLELKREVSSEPQATSQKSPFVPVAQSPKPVPSPSY SVKPSSVFQSRPLPTPLPQSESQALKEIAPPNTGSARKEEQSPDKPSFSVKNATALWG SQSTSSSPVSTKPKSPIKLPTRADEQAATENVGLSSPAETPEPTQPKMLATKPKPAGL GLGGLGSLGGLVAARSRESTPPKPYPSKVSPISPPVMGTRPQSEPFKESPAPEKPAGV FTEFFDEQPVTTGVLPENIDTVRILKTPPYDLSPNGKIRTIRKQIHEVTGDGKITAIH AHDEHVLFQDCIYLCIHTFSNASGSKATEVYLWAGNGVAESTIEDVQLFAKNYAKQNQ GTLVSIRQGKETPNFLDALGGIIITRRGAFPPAKEYMLCGRRHLGRLVFDEVDYVLKS LCSGYTYIIATSRGKVYLWKGRGCCAEELAGARLMGMDLAPGGDFLEVEEGSEPAEMI QVFPPTIGKGPAIPRSADHWRYKASSERYQTRLFKIEQQQSSGWGSLQTPSPPKSPLP PGMSTKIVELMPFCQRDLEPEHIYVLDAYFEMYIIVGPLSRSQHHAFSTALMFAQEYV ILAVTEEDRPIKPPTTILLEGVPRDMRAVFRHWDDSIVPAAGLMNGKLGRGKSLRIVS MEKAIEATRRR CC84DRAFT_1177769 MLFMLWNAFQLSHHTYRNRNFTQGSRTRPLVQSPRSTTSQLLIH LSWTLTRTWNPELPRIRPSLRLICTHSYTAISEWLKTATLAVVRRTRGSSPPGTEPSQ PIFITEPSPSSSASSPSQPHPGPCRNDSALDASNSSTSSSQSPAKSTTSEQIDRIIAS VQAMKQETYNLIETKKADLRALAESKKDPEALEESKKTTDIPSHTTTTTTASETGIET TATPSLATLDGKCVLRPGDSTHHLPATLSAPITPVTPTFSVAHTSPASSQSSPRSSVA QVHGDEEETGPWTYTVPRARVSTHGNRTIRRLGASVMFALFDQRE CC84DRAFT_868076 MLNMSPIRTRSLDGGAPNFDAAGIIYVVAAILYTIVLAVELFFL YRQRSAFCVRIRGLDVVFTSVSMLHVYLVLVLLVYPLNGHWPCSAEFWVMSIFLPLGM AIFQACNARVLTAYESQRRMKADFLAGARKKRLSWTPKGLFEAWLNLDAAAKVYVGTV IGLVISLLPAIGLFFGSRRFHASYGSFGPIVGSRQCRQGAEWVPSILVQLFWTAIVGP WILWKIRNVNDVHSWAWQTRLAIFAGLPGTPLWIAFTQGDFPGMRAINKFFPAAGWFL PSLVVCQQVLILIPLRDAAKNRTTQRRSLSMSTDTDSLTSTPSNISEKSPASLLSKEL KPKASMQALEASIEHNIESLIEWTASREFTAENTIFLREVRNFKKKWSALRTVTTAQR RQMHTEASLIFFTLVNPFTAETPINIEYKIFKKLQEQFEGMEYDPYMPANRSASPVGD NVVCPWENTLDRPASMESNVSGTSVSSTSSTRSLVPSQFTEDVFDPAFESIKYLVFTN TWPRYVDAEMSNNSRSP CC84DRAFT_1249207 MMGISLIFQLLLASSAAAIDIPTTPTWPSGRCTDKSLTIPSWTI KDYVVKGGVATFQVENRASASTDCCSFITCSPGKEKCDGSAGSSGKTVTWKKGADGIN VISVTEVWYCSDEGDRTIFTASGSTKITSCDGDDCLSPITYLAQGGLTLPVPLTPAQP LPPAGYDAPTCAKVGEDQWDVSGVEYKNYTKSQCKQWYIPEEICRDNNAQDFVSKGQY LSLNVTNNAISHTVGCGFTPSYNNYDLPSVLRCTGGNFNEITLDVSWSGAAPNFNLKV EQLWYCLDNPKANVNPTVIVASGSSAIPLRCTSTPGITGTADDIVTICTDTASSHSID GKQIAKQKLPPYSLITAYPVHGGCTFDSIINPTFYYRGMFFETNPYPQNNPDSATLKR FTAGLTGPGFKDFFFYQNKAISGQGIKTTYTCAVYYDGKPKDQHYNCTYALNPHTKVI TQEKTWECGDKNPKQPLYFTGSGEFDWSVDPSSDCYNSATASNPSTYCYWYDDMATLQ PGVPYDIPKVRASLVNVLPPDLGQPAVKSVATSSASDTLRVNKEWKFVTQAK CC84DRAFT_1059881 EFYELGVLIFAVVIMLLFLAWTTVALRMWVRLGITKSPGWDDAT MLIALCLFTCYCAFILTITLRSRAHRQFTEMELLQSLVYVQLSKVFYILTTTFLKISL GLFFLRLLTKPWQTRLFHVILAISGVFGIFYFFVTLFVCGSPTKLADSFIGARAKHCA PVWFVLTTGYIYGIINVVADWIFTLIPIVILMDSTMDRRSKISVGIVMSFAAVGSISS IMRMVYLKGLLFENSVSTTSIKATIWATAEPGTGIIAASAAILRPLFRKIYTDVRDK CC84DRAFT_1207096 MANCSSQQLSSSSSSSKARRSTRLSVRNSIVGTPGRARQASVRR ASNRIDPNLDFPDLDLVDWGEFAEDSDDDAAQNRTNVAHEGFGNHNYATGQTPNVVPT GHAPIKFYHNPNVAVPGTPHVSFPPANRQMGPPVGLPMGFKQEKAPFVINGKTVMLSQ EQVDALSAVAGLAPQPLLTDGKRTSVPKSPGIRKRNSQFRPQAAYSDPFAGSEHIDPQ DLQFGVFSNINYTPFPEPTAYPEGYADARQLLPQSPYYQSTQWQGAPSPGGRHIQFPQ PEPEYLQLTIPQQVSGPVYFERDLIPDLDFDEPNANQYQNRGRQSAYPSRNSSLGYGR RNLPVESKKSARQDKARASVLGRETSQQYQKPKLATYNSDVRINRTTKGLTTRTAKIN NYDPRNFYTYMPHPLGTLEQPWGAPWKAQGSPYLHEYRDSSLKEQDGGEEIAIYELKN REMNPDEIQDFILGYPYHRNKLTLRLQVTPGDSGRRYRQGADKCRFKDCPNRVSGVPA TIKHGWFRIAFDERDDDEYDPFAANCGFVHLYCMERFLDFEYICRKAHVVVDFRVQMR NEPKGTFAAAFTTKNRVAGLLAEQFIVHAGNASRQLGDNYGVRQMREFQNYPVNMPYN GQIWDPDYNFEDTLSYHMFLVTEQDRPAAQMAQFQGLSPTVLSVHRGDLGMVAEANAR QKQAKKMSKGGNKKKGKNASPVTDKYDGNSSFDIEVRRRIQRAKEVLNRRAQEPKTRV PKETDHGLADIDGEEEEEEVAATQYDRQPWDLPDDASDIEEGHTFRQGTRRSGRNKGK QPVYSDEPDIGPAYKPQPQRPLKRNFQEYEQSQKNHDQYVYGQDQQYLQNSYAQNGFA QGYMDGPAPKRKRSSIAPPQGYARAHSYRPNLLQPPQQWQENDYDYELDEADLFGDLN LPASPKRKRPFTSSRPDEQQQHSAQYRTKRFSDLVGSAPSPSSSIMRHPGSRTPSGSK RNASFNNQPVSQQKTFHSDAPPHEMPRMVKELELDINTTSPRGAKPDVSSGRTLRSGR SLSGLSSISPESVRAMRRSCGG CC84DRAFT_1094891 MGSRGTERPHLVSYTNSQHPIYLPGYELGHAPVYSNVTNSNGQH VVVGLPPPHNPGHHFSANLDHSSSNYDRPFRCDQCPRSFDRNVDFKRHKRIHLAVKPY PCTHCDKSFSRQDALKRHILVKGCGKVPANPDDVKREHDSLKA CC84DRAFT_1096561 MSQICIHLLRRHGITTKLCNVCTKAILDPTVFDRYHGRNCTDPR PQVRRIVGVRQQWKELYTSLRRTIDVTAVEAFQDSLSHAVNTGLSSASDAENATSLSR TTDTLSGEEIRNLDLNHSSRADCASTEIVCQCSSSIGASTNSAGRHALVGLAARLPSA WEEVQDKLPDSYKWDIEYVTTHSDGGAASGCWTCMPLEDCEPEQIPLTIAEAPVVLPV DHQWPPIGGVHPPPDPRPSAPIDCWNELPMDTIRDLFLTFEGSLGFYVLISGLLQIIV SETFDTTWASSHLPHKYGGLKVCYITNTMEPTMLQSNVATTPRTGVSSQTQTSRLMSA SKQARSAQTLQLNDFIEARVSSTSRERFAGRIGLKVEKDGQPFLVMSSHVITEAILSK SFFGLNRDPMKRLQEDWNKHTEIWAGNAKIGTIAKSFDQDAEYYPTGFNHDVTLIRPN ATASFADVKSPIGDLGWLCRDGWSSLRQQPSAIRILGPTETDRAAKCIKCNTNSEAII VGEGIFFNQTSSAGAKPARNHDLSTWKRFVSRAVLYRVNPDFNPPNGYSGIALYADGT REDGTQGPGIVGFQSFVQKSDHPQKFNMPEGPHLERRLKEGRVAFYGAFQVPDELRRG YNIL CC84DRAFT_1197442 MSLSSNKSSIGDRVRLAHALGFNDYPTNSTAEPYLNYIQKQYAG SETIEVYIDLFIEVIEHFRPGAVANGTPIVPTVRTLTEKYALSGFRDVFADTLAGDPR RKEHVEDTVMCIIGTWTMMLSSFQYKNRSRKVVAAYSIFTDATISQTTTPITPSSTTS TPPSVVVAPYDNSVAGLIAGSGLLPGGQWDHRISVENDATMRMIALMFNAADASNRSP LQTLLSPSSGRALPCQTSPYALLEELNAQESLSIRATRLNAFTLNVLSAVDILWTPNV SRHMLLTKISGRFVLELFSLPCAFDAITSPAVGIPVELTQEIEESYAVLFNAWPNAPF HAKFGALVGLRKVCWCWSCSAYRYRQRCITAWKTRAPVTPRRKSKVASNLHNSDFDPT LETLMTSQSMSDWTPEDFPTLWPRIARLEQHLQTSRPWSLGVLFRDRRDTMQFWTFLF ATVVVFLTVVQVLLGVAQVVGSFI CC84DRAFT_1096447 MALNYLTTSQGWLSFSHSTPTLFVTAEDDDFDVETLRAWRDEGF VVKYVPMGKGGKTYVNTLHHLGDGMSIGERYAIVAFGDAAAVCLEVYSEPKTSTSKLC SLIAYYPSSIPDPRHRLSSSFRVLVHLAQGTGEGDTVGVQRRPEVLGIQGRRKIVQKR ITPGIGTGGLQSKIPYPVYTYEGVDAGFAEHDLDEYDAVADALAWSRSLSMVRRGFGA EVDLERVWEENEDQKYHKRSAKKIMETYTTEPTPTVNYTATLTGGQGHEEIFRFYNEY FMADKLPIFHLRLISRTIGADRIVDELYVQFKHTIDMPWVLPSVKPTGRKVEIVVVSI VGMRGGKVWSERIYWDQASVLFQVGLLDPDQVPEEARKQGLEMLPVAGSEAARKIMDF ESEDTNDMIDDW CC84DRAFT_1166000 MCKPLAAGYVMALGVEWIQNPTTHPSVVYQHRNPALVMPAAPAY ITPAMQPPAPTIRSLLPSCPPRRQRYPHPRLHHSRIAVACL CC84DRAFT_1207100 MAMPLGARGAQWFANMTEAAVGQPVDPWLLSEYRSAPVNSYSSL PPERFGTTEAFATYTENNYAQHLRDGFPARQEPELNFAMTEPVQLHLPTGEELAAIHT EWALVDPEYEDAEGSDGADAEGVEDDDVEEVEDDNIGVGENQNTTEEPNIDSGFQKQM EGQPAVQAQPTQQRQPTSQPQQVPQRQQKISIRKSAWNRQAKQAAETKKRDSKSPTIK RKRPGTTTIDELAKQHEGGTPPERAKFDAGYTEPKTFSSPVPLAKGQKRYTSATEDLE KEHGDQRPHKKAKVHSESVPKPSPESKLPKQNQNQNQNQKQKQKPQPVKSIQEQAQLA SSPLPASNPVPRPHRFSVQISDRTVTLYESLKIPGLHVLPELEKKGEVTPWTANHLTL LYIHSYIQDNIQLCDLITDVWIRAFQERNRSKSLPQMWMPNKSHVERDLKIVKKNKQH HMKEYHRIGLPDVPKWQHKLPLPTLSNNVTDFDPKLLNALYHHTAEGNGARMLWADAL ALCGTRAEDWFLACKKEGIEVHPDLVFNVMCATLRSCRRRLTLKIEEVEQDKWCRRYH LHSKWGLECHRPTADEDDEDEQYARLQAAVSAHAASNSGDGEVDDDLGADILQEFNNQ VEERGDNDLYHPRTPIPKAATVEVVGSDGDDSSEED CC84DRAFT_1054314 FSPEADIFDTPSAFVVHVSLPGAKKEDVGVNWDHEKSELSIAGV IYRPGDEDFLKTLAMDERKVGPFERKVRLGTRANPAAIDADGITAKLEDGVLRVEVPK MGDSDFVQVRKVDIE CC84DRAFT_1188400 MCFLVSTSLPEAALLRIESIIIATNSNLALLIDGDNVSPRIIVG LMAEIANIGTASVRRIYGDWTSPHLKGWKECLLNHSITPMQQFAYTTGKNATDGAMII DAMDLLYTGRFSGFCIVSSDSDFTRLAARIREQGVTVYGFGKRTTNNAFINACDKFTY FDVLNCPPDEPSYSGPQSSRPPPVMIQMKSPTKRPLDESGHTGLVMAIKSVSDSDNWA NLADVGNYLKKLSPDFDACNYGYEKLRELVEASGIADVKRRDMGSKPPVFLVHLREST LKQ CC84DRAFT_1177780 MDWHRKLIGGGIGERLREAKIHAKRKSVEGETRRGVVTQRQPNE PSALLRPGADCWLATAAGALDMVPLMPDVDTSPYSSSASPSSGFEDFLPCHLANIPIP DVLTNPLPTSESSSITPEYPLSFYGALYINGMYLRIPCSTVVPAKSEPVGPEVPASLH PTELQLITIHPRWIDRFPFPKMRDSLISLSGVIDDEEFMKDLALMPSFEIVQGKAPWD PKAWKIKKPFAEKWGYLFF CC84DRAFT_1166003 MQQDCWNEGAVQAGCDPNADDSCLCGPFFDAVTTCVSQTCSIGD SLREYLSTPTKDPTDFLCRGSQHSGTAMLVAPRSELE CC84DRAFT_1166004 MADQQPLRIAIGCDDAGVSYKKAIIKDLEADKRIASVTDVGVPE NTDKTAYPHIAVDAAQLVAQGKADRAILICGTGLGVAISANKVPGIRAVTAHDSFSVE RAILSNDAQVLCMGERVIGIELARRLVKEWVGYRFDTTSASAKKVQAIMDHEKKNYEA LQAESKNGPSC CC84DRAFT_1219104 MAPKRALFSLNLDSNADHIYQRVEDHNKEGKDKVKVLESSGPTV GHTDLSIITKPFEQVINRTVEGVIDGTGKKVHSVEGEVHTEIHKSEKGTGTKTVKVKR PAGKIEDTYDTSEL CC84DRAFT_1166005 MATSAPAPPPPALAAQPGLPPNTNAQPHSQTPGHPSFRRQRASR ACETCHARKVRCDAASLGVPCTNCTAFSIECRIPTPKRKKTAAVKTQDSQSEFGEDDR SPAPSSATPGEQQRDKTTVYNSPDGTPSTTVSPAYAAQQAAHNGTYVQFMKPKFARAP IKDAGRVAYLGESSNLSLLVHDRYGTTDTVHYPLPENVRGAKARVNELDNMEIEILHQ RGAFLLPPRALCDELVDAFFKWVAPVVPVINRSRFMRQYRDPKNPPSLLLLQAILLAG SRVCTNPQLMDANGSTTPAAMTFYKRAKALFDANYEDDRVTIVQSTILMGWYWEGPED VTKNVFYWSRVGIVIAQGSGMHRSVEGSQLSKSDKRLWKRIWWSLFSRDRSVAVALGR PVAINPEDSDVEMITEDDFIEDEPDRPAEYPPDPVHVHFFINYVKLCEIMGLVLSQQY SVASKFRRNALDLTHSDMALADWLQNCPPDVQWDKSRHHFWAALLHSNYYTTLCLLHR AHMPPAGSPKANNTNGFDEHAYPSRTIAYQAAAMITSIVENLRAHDEIRYTPAFIVYS LFSALIMHVYQMRSSNKSIVSATEQRLQICMDALKEVSKVWLVAKMVHTLFESILGNK HLEERLQKAAGKRHQKNKPSMPQPPPKPAQEAAQKRKFDDMDFGGFPQVNGPPAPQVS YERSRPQTPAVTPSRDLPQVPQMPQITHGSPQMSRQNNDAFMGPSRSGTRPTTPFNPS YSYPGTPPDFFLVTRQSPNISQELWQNFQPDQLFPAESNLSIQQQSPSQNHSLVDPAL SRPQTMAMHPASNDPSQQSMPSQQPMQGGASMPGFDHNNPQAWHQQMDIMQQNQQNAS ADDNWSNSSNGRQNPIVPTTLNVEDWFNFFGFNGNGEGAETFQNALYHAGLQ CC84DRAFT_1188403 MAAPAAVASFDYDQSLQKYKVTEKEYLEQNPDFNAVCTGIVVFN EKGELLLVKRASSETAFPDFWEIPGGKVDEPDKSLLHAAVRELKEETGLEATRIVRQT THLTFDIPRTQGRIESWMKLIFEVEVKQLEIVLDPKEHDDYLFATENQVENETVGDVH LKYISPDNKRVKLEAFKRRREGTSL CC84DRAFT_1219107 MSSTLDDTSTLLFKLFRNASGGVLITLTLATLIGYFVFRTIYRL YLHPLSKFPGPKFHAVSHIPAVLATWNGEQHRRVARLHQQYGTVVRISPEEVSFIDPD AWKDIYGHGSKGVSGPHKPWSRHGKYINGATSLIIAPDADHTRMRRLFNPAFSDRALK QQEPLFLKYINLLAEKLREGIEEDPDRKFDMVKMYNFTTFDIMGDLTFGEPLQMLSKA KYDPWVTAIFRGIKAGTRLSVLADYPLVEKTFKSLIPQSVMVKKQYEHHSFAEERVAK RLEKGREHEGVDIWDLVLSKSAEKGLSRAEMDNNAGLFMLAGTETTATLVSGLTALLL DHPRTMRELSTEIRKAFSGPEEMTIEKCATLPYLNACIKEALRLYPPVAMGRPRVSAP QGSTICGHYIPPGYMVSAPHLAMSTSASNFKDPLSFVPERWLGDPRFESDKRHAVQPF HVGPRDCIGKNMAYHEMRLIMTRVLYTFDLELCEESRNWGDQNCYIIWEKKPLMCTLK AVN CC84DRAFT_1166010 MARTIFVVGSSSGIGLEIVRLFFSKGWNVVAASRNPSASEDLQA LRSQDSARLLLVALELSSPDTFSPALDAAVKAYGTIDVLLNNAGINIIGAFELLSQEN LRKQLEVNFFGPAQLTRLAIPHLRSSATKSGKQSLIIGITSGSGHFGLPLFSFYTASK FAYEGLTESLYHELAPHGIAVKNVVPVGGIRGTKFGTNNFPDAEPLLLATLMGQQPNL SGEEPERRDVLQKYVENGAKTMGKAMTMSDQAEGAKSATDVAEAAWNAVDDGESKFRY FVGAEGHPIFEAKYGETCNDEAYMEKARQFWA CC84DRAFT_1260914 MYPGSEELRQIRQPSAQETATRNGTSEKRKQQNRAAQKTYREKR RRKLQELDELTSRSGLSANAPPDMSLATPQSSTPFPPAEADTLNTTENSDWLFDFISP AAINLPPPTPYPSSSPTALTTATITRRLSFPSLPSPSLRTLLAAKVSAAYTPTQTPRP PFNPYANNLSLSTLSFAAGFFANALACGAAEHMYCTASAQSSFYRPGITDSPYAATML LAVQRAFDGLKPDLRPTPVQIMTSHHPAIDFFPFPSLRRRLIEGLARSPPSLSEQEFW EDVRSDGMVWWGNVEAVGGGGVPWDARSWEAKGWFLEKWVGVLGEEGDELWRASRWWR EVRGEEG CC84DRAFT_1166011 MPPSIPRKRLRSDSPKPRPPPKRTAAHPRRTAPSSDTPPTVPRT LSQKKKQLELFIGGGDDSELSSAESSEDEFEEVPLASTKAQSETSDGDESEDEEWEDA LGAKHHTKHDVDAEREPVISGDLSMTIDAPRAVEHASYSSKKGPSKRDRQVRTATHCM HVQFLMFHNLIRNAWICDPEVQRIMLAHLNAGCWKAVNRYWRDAGISDGPERVVKGGW TDKVAAGIGKTGAWIPSGTKGVHVYESPQKGPKGKAGKAKPAARAGSSKDKAKKAGSD RNARDWGATSERLEPNTPNLSAGDPLPRLLNYLAMYWKGKYKITAPSLRKRGYLSLAN LRSETEAWKDHKGDANTFGERVENLAAYRELARGCEGSRDVGQQLFTSLVRALGVEAR MVASLQPVGFRFNKAEEGKPKDLSKLGKDTNNVETNDSKPTPKKAGSLRANGAKDNPI DLSDSELSSAISVASGDEEEPGSKDPENAPAWQDRFYGRVIPYPTYWTEAISHLTHTP VAISVLPKITVATPADGKYYLFESTPANAERGHQVFAYLIAFSSDGSAKDVTTRYLRN RRWPGVTKGFRMPVEKIAIHNKKGKVKRWEEWNWFKSVLRPYARPHSKRQPWDEVEDE GDLVPPKPVKPKTMDEGAKETLQGYKNSAKYVLERHLRREEALKPDAPIIRYFTTGKG DNEKQEPVYRREDVVTCKSVESWHKEGREVKVGEQPLKFVPMRAVTVQRKREIEERER TEGQKVEQGLYSEAQTDWIIPDPIVDGKIPRNAFGNIDVYVPTMVPRGAIHIPLKGTV RICKKLKIDYAEACTGFEFGKQRAVPVITGVVVAIENEDLVIDAWEAAEADKAEKEEA KREKHVLGLWKKFVIGLRIVERVNRHHGQDAVEVWQREKDKEAKKSAQPKEQSEWDAF HNYEGDFEGGFMREEPNAAGGFMPANDVVPEREENTAGGFMVSDEDDAQPPISSFHVE GEEIGQDHEEANPTAADTAYQTPISMQSLHQNPALEQRTEVLDGELSDSEGEPLIPAS SNRTRGTLPSRGRGRGRGRGAKRASTSTRNSIIRKPSVELEDNSSLSEPLTDDPASVA DHSPNAEPSDPEPLGNEPEAISPAPKSTRGKRKASAASPVEGRSVPKRKAARKSTAQV KSHFFAGSDEETDATDITDRSPQKAATRGRARGRGRRKA CC84DRAFT_1249227 MPWPTTTSCNLTVSMLVSAFDASMNISSDFTTFVATAVLPLAPD GGVSSTFSLQVSSVHGVSGAMEHDGSLSREDHGIAGDATTFLPRVFREFLSYFGSSEE FTLPLAAEPHWERILFSRRTCPSFAYTEGDRLNSYIQSAIYQQSMKEPASGTVPVRCL KVWFQQERLSCRRRRRRGLRRAEAWRDDAQRWRICGTAAAIRPHVRVIQC CC84DRAFT_1177789 MFKPWKIAKRAQYVPRAICLKRSPLQPSLPNQNMLHRPSVKALE AAASGKRKRRRDDVEPEPDSEQPFKWPRRGLLRLRRGLPPRPFPFLNLPPELRNRVYE LAFDITYRAFPTSSFRKEYQLRLFSEVRLSTKTPPPIPYLGLVQSCRQIRKEFHSWWM NAHRIPLCNTNKYLRTFFPPRPKSDTAYYASKGNVRIYLRRIEMPHRDLLPVIKHMLR FPQRTMEVEQVASISDPLFDGLTQLLGNKHPMWLRWIRTNVLSQVRLAPRDSPPHGLQ HRIILVVKEKHATPWMKTVFGAPSGADRDEDAFGNFLASIGLNNVIGWRIDFAVDYR CC84DRAFT_1166014 MEDLPDAEVPHTDPVPPRFHTGRLPNSKAGDGKPRLLLMGQRRS GKSSISSVVFHKLPPSETLYLETTTRIKKESMHSFMDFQVWDFPGHLDYFDPAFDVDN IFEEIGALIWVIDAQDEYLEAIMRLNTTILNLQHSYPNINVEVFVHKVDGLSEDYKGD TFRDIIQRVQDELSDHGYENAPVSFYQTSIYDHSIFEAFSKVIQKLIPQLPTLEALLN SLCSTCHIEKAYLFDIMSKIYIATDTSPTDIGSYEICSDYIDVVIDVSEIYGWPRGEQ NGDDESLTETGNNDAESLITMERKGNNYLYLREINKYLALICLMGDDSPAEKKAVIDY NVGVFQAALKQVFPRAERDAVDAPAT CC84DRAFT_1166017 MEARNRRPAPAKDEPAEPIKKVASEVSSSGISVLDILRVAGGIL LFSSALSYLTTSGTSLTWGYNPWWTRAREWKNIFNGPVSLTDEELKAYDGTDPSKPIY LALNGTIYDVSISPATYGPGGSYHFFAGKDAARAFLTGCFDTDSVPDLRGVEQMYMPL EPWEKPPPADASDDEKQKHAALETRAREKRKAMSKGELKNRSAQELRKARQSVRDGLE HWHMLFRGDKGKAYRKVGEVKREEGWLAKLPKRTLCENAEKGRPVRKYED CC84DRAFT_1166018 MAPAPTGGKKQKKKWSKGKVKDKAQHAVVLDKQTSDKLNKDVQS YRLITVATLVDRLKINGSLARQALNDLEEKGTIRKVVGHSKLSIYTRDVAGEA CC84DRAFT_1219115 MATVLAPIVQTSTPITMLHSSSDAFQTPSAQAHHTQQRSSQMPR SQSYAQAAGAGYRGTSAPIAPYAFSSTPQLRQDTRSTSTQQQQPLPQQAQAANPARLG HPTHASSSSDSTVSTSGSSSRSAAPSFTTREDGDFRKSVADNLPASTNLFTSVPDLSL SMPDASVKPSPGRYRRTASRTDSSASATVTTPTQQSPNATATVPPSNTRPNHGLQLNT TDLIPPARPGHNRASSVDDMQMQRGATSEQAKRYRRRSLSSIEAMASTAAPLSAVTAA STVARPTLDARPASSSNRRPGSSQSHERQGSSGSTTSSHASNRPSSRHETTPSRAPPA TASAPAAKAPEPNRRIAPSPLSQPISPSEPTNPTPQMSSASPAVKQLTALNDKDGTKG MKSRLRRAFSFGSAAELRRASAENNLNAGRAKLQKDRYQSEEDAEQAAIVAKQEAAGI GAGIYNGQGGFTSSTDNISISSTASSASIMLRKMGHGMKKGTRSIKGLFRPKSVIGVP AADGPIMQPQVSRVTVEAERQKVNVNANPHEIGGGTGYPRLERNSIDAGRSTDTSSLV APSSDSLSRKSIIGGDRERAEVLSSMKKGILKRTDTNSETSSPIVRPVDARNESPKSS APSTPVNERNDYFTRPPRLANPSTRSLPNTPNGSRGNISFSPRLQFYDAWSASDYDRR GDIATCNRLTPMLAQQIKEELNSFKMEMEVHELSKPHTHFF CC84DRAFT_870116 MSSAGLGVQGPARESQHPPEGAWRRRICCEAAKAWGNEVRARDE MRQLYCRRLMPSRRPGDTTRPARATRWALGPARACDSASRNNSRASWPCNIWRADVGV APESSKRAPSLRSSAAGPTRSWMQVFGQLAILFPRHPSNNASAEGQRRSALRGARASD AAFPERPSCTTKLNCGPVSLRAHPILPGTTIIAAWAARVARSPKCHRGRRRPPTSKHQ GRGTKPADPSRWFPSACPATSARVEPRCCTRDITAECARCEPTDVASGRWYCDLKQRI SSPVSCMLPSLHSTLACEGR CC84DRAFT_870162 MRPQQPFQIETSRLQPSGTFRRIYRHSPLRATVEEQLHDLDLRQ NSSLVPHQLRHAHKVPHSSSLGRNGLETTAFLHITIPFPRIVDSEDLTSSARVRFIMF VPQISVRCCFVDLLVGLYRCADRNKFARQQRFELRSNRTHAMHLILVSKGCFGVALGT GAVFSGPRFHLHWALVRRP CC84DRAFT_869830 MSGMSENLLEPCPQLSSSIGWPRQLSPQPRNVRDKALVDWYSLH NDCVYISVAIQCAEHHNAVPHFPPQYIAPVLAPDLHSDRNNSNYYKHLNTETTSEMTP RSAALTGLYFNSHSNSRGPRSSHFYMLSDQDMPLLARILEGSAVQEVQERIQSLPRHL RASGFHKAPSSATGKVQPGYLCDLHKKLKKGLCYELMSLIQHEIFRIGSFVYSVIMSG ELDAWEEMRVRQLEPVPQMYVPGFTPREGAPVGHEPLVPGFVDQKGIFVPVWAYEPSQ CPACMLSRIGADSKVLFALLAGTVARMGRRARGHRTNLKSRRVRFLKEWLEACVHGQR LRDDAFDLGGRMRDIKRQQRRRAHEKRVLVERAREMQAREAYDIRVGMSDHRGMNSSH RPVSRTAAVTTGYRKSIVNMDISEPYSPQRRHRGANGWDRPVSHTSADATGPRECVVG VDISESYAPQYQQASSHPIHNVNPPTDQTPAIGVDAFRPGSWRLRNNIPSSKEKAISG EDARGADRSSAGTVPVGVDILEPLIPAPRPPSNYMPPHCEPDVDERALPATIQSMTSP AVDTLVRDRSRRSGIVFASSTSYERYPSIASSFASNVSLNDLRAPSANPNLVPSPLRI PSRRLPPFPERSPARVLQPHPLSIYGTVSGSGTATAGRYADVSPPSTPNSESTGHAQS QYDVSLPSSPELDGFDTFPPGTPVMHSRPVTPWSGLYGS CC84DRAFT_1249231 MASPEEKRPQATPDSPQDPESGASSLSLDKDVAIKLVGEHAQEI DPEIEKRVLRKIDLFLIPAMIVGYGLVYYDKAILGSAVLFGMTKDLSLSVVHHTTPPT TDTKRLSWATSLFYFGMLAGLYPMTFALQRFNLGRILGGVVIVWALICMLTAAVTTWR GLYAQRFFLGFVESVIPTGFMCIVSGYYTQEEQALRQSWWFSSTGLFTIIGGALNYGF AQITGGALKRWQYIYILAGCLTFLFGIFCFFIPNSPVEAWFLTTEEKVVAVERLRKGQ TGVRCQKIKMAQVKEALLDVKLWLIFVMMGSAYTVNGAVSGFGPLIVSTFGWSTLESI LWQFPLGGLCFFAILACGWASARFQNIRIILLIINCLPVIAGCAMIWKGSWTYHAGTP VAGYSIIGTFGAVVSLTIVIGMSNVAGATKKSVMAGSIFVAYCVGNIVGPQLIKSETK KDHYPELWTGLIISYCITIVASVALYVVLWRENKKREGHSDNEEERDRLAFLDLTDGE NPYFRYVL CC84DRAFT_1096124 MPDPAFSLLLSLPRELRDKVYTFALVSQFPFWWPSPTTPKHNVA LGLLSVSRQVHEEAAPVFYAQNKFLFTNPSDCTMFRVVASPYAENITSVYFRIREKDV KLWATYLGSKDPSRSMKHDLPKLKSLWIFLRCGMMGTPGAIHQALGTHLPQQVHINMQ VLQQAMHQAHAGAHAQPIANVPANGQAPMPFVQFAQNALQHHQQNQNTAAPHPHGLQN NPPANLSPLAALAAQQQSQPPQNPPQQQQHPTHAHGHGHGHHQHGFFTTFMRWEREMG LENLCLSLQETRPAEADVKIVCIVKLPRPELQRLVRVYAEELSLDKNGDARTKFRRVH GVDVSLEVSGYDIGV CC84DRAFT_1094929 MSISKELKPMETTVQKFENVSDEEMSTKAVPQGAAMGTVTITDM EDVFLIPAPSADPRDPLNMTNLGLVSGFGGLLTFYIPGYAAKGADYADITALMTYPTV FMGIGNLICMPLALAVGRRPVYLGSLLVLIGGAVLAAYSEDYNWHLGARMILGLAAGQ SEALAPMMIQEIHFMHERSTSLMWQSAIQTTVAAVYVICASPIAGAIGPANWYNVGAG ICAAVLVLSIPWVPESRYTRSLESYGQFSTDEGGEGNGSRATAPAPVKIADRPALDYE RYLPRTFWSDMRIFVGKPDWLEGWYGFINTFQILLFPNVLWAFCLNGLTIGVNIAIGT TYGNIVTKAPYNWPDSAASYVNAGQIIVAFVALPLLGNGSDFIIKWRARRNGGVHEPE NRLLLLGIPISVGVIAAVIYGQAGAHPEKYHWFAIVFANAGYYFAFVGANIAAITYLL DSYPARAGPVLVVITAFRGFVSFGVSYGVAKFIDDAGYDGSFGTYGGLTALFGLLGIP VFLYGKKIRAFTGRYAMKERSGVASMSR CC84DRAFT_1096483 MSAVSTAHRRRRRTIDLRDPSQTSFECQVCNRQYDRADHLERHL DSHRNVRPFRCENCPAAFNRRDLLLRHQTNHEKNAAKGQIGHYIADRAVKACDACVLS KVKCENSRPCQRCVKKVNKHRIQLAEGNHCLLGYFIQYPV CC84DRAFT_1095266 MGDTASASTDIADAQALLSLCDDAYQQRRVPAFFDQIMVPEHDF VGADPALPAPDLTMWLPDTDWVGEIDLFNNDFGLSIGQTFESQQILDNFFAPAAPTET PDRTSRSRAATERGDEARRRSAIFEQSPWLWVPTRNQHAFSEHDGVTIDEQHIDIATS PHEPCSSIIAISDSLSQGARDRIFQLVSKTSASRIIIPSFPSADCLDKLIKVGIAKRM ETDAWIHPYTFDSESSRLELLVALIAAGCICFGLPSVNRTGLVLQEIVRVALQNLTER DNSAFRDLQYLQASMIWLDIGAFCGYQRKMEIAESNLLQLVTAMRRAGRYDKVAYSSI LPNIDDNADENERKWREWVQFESYKRLACHLFEHDMDMAMVKHRNPLHSYSEMSFPLP FSRSLWLAPSAEVWRSRMLSMSTIGGLPSLHGMLKDEDPITYLSPAVDSQVARSVYLH GVAAQIWEHSKQCALIHEDCDPSLQLWLRSRHQKIYNLLQRFSLPGDSTFAYTRTLHA FLQMYLHTSVDTITRFAGKCGEEEANRAYTLLQPWSQTKDARIAIYHAGQVLRAAREV PNHQLRGQDALMTHHSIMVLWTYSMMMSDRARRTGGNTPVRPAPSDASHGPKVFLDVH PSVNSDHVDAFIHKDVGKPCLTTIPSTTQDTLRGISSTEQVCDLRSPSQIMEIGVELL DAKHPEVERKIKPPLLRALCNLMEGLGRLR CC84DRAFT_1149571 MPVPLQIAYKPVSKPEVGVNNYQGFTPGKTEVLRKGANPYNARP LDSNIRIDHDVEIKVRDGCRLYVDIYRPADVPENEKLPAVISWSCYGKKYSALHMLPI CVWNCCVPKESLSGLEKFEGLDPVVWCPRGYAIVSVDSRGTGNSDGSIPIMGSQDAED CYDVIEAIAAMRWSNGKVGMAGNSALAIIQWHVASLRPPHLAAIAPWEGSADIYREQF VRGGVFNMSNFDLITHYIIKTNNPGGGIEDFAEMYRRSPISNAYWEDKRADISKIQVP VFISGSDFSSIHTMGSVRGWLELPHDNKWIRWSSNQEWYELYCEPSSDRELHKYFDKF LRGENNDWDKTPKVRWSALQFGDREAIDDIEFADFPIPGTDYRDFFLCQDGLKEQAAT ADTVVSYDSTSTTSIAEFSYTFPQRSRLIGLPKAVLYMSCKEHNDMNVYIILRKRDKN GKLLFHLCFPFAAVPTGIKSIDEIPEKDRQSLNLHPGSVGVLRASHRRFIPEKSIHPQ FPFHPHDTEEKIKPGEVVKLEIGIWSMGVDFDQGETISMQVGGSFPGIAEYSAFSKPR PEEEKNKGEHRIHIGPSTPSKIILPFVPL CC84DRAFT_1149574 MAPHAEEPLPDTGVDLIQHDGKEYGDWRDQFHKEGCAIVKGVIT PERAEYYKRKQIEWLQSFNLGFDPNDESTWTADHLPVSFKGGMYFSYASTHEKFVWEA RTEPKVIDAFSKLWGTEELIVSFDGMNITLPRQKDLSWSPWPHTDQSPHRKGMQCVQG LLNYQPNGENDGGLILMKGSSKLFDEFFRETREQDNHVDKPPEEEDFRDLFIFKESDV KWFQDHGCKLEKINLEPGDLVLWDSRTMHYACFPQGERIRHVQYVCMTPRKFAKQEDL DLKAELFNTWQGTTHWPHCNIRKQGPPLRDGKVDPLDRSEPLEKPEITDRLLQLAAVK AY CC84DRAFT_1149577 MKFTISLASLVAVTSAFPLITRDSATTRTELEAGSSSACPKAIL IYARGTSQAGNMGEQPGPILADALEAYYGAANVWVQGVGGAYTAGLLDNLASKGTSTA AINEGARLFKLANTKCPNTPVVAGGYSQGAALIAGAIPTLSTTVINQIKGVVLFGYTR NKQNNGGIPSYPQSNLAVYCADGDLVCDGTLIVLPAHSTYQDEAADEAPKFLESKIGP K CC84DRAFT_1095066 MKVSRASAAAFFAIVPLASALYENGSVIAPCDSPIYCYGDILRE IELARPFSDSKTFVDLPTIKPLSEVIDAFNQLEKPIQNNTALNDFLTTYFGEAGSELE AVPTDELKTQPDFLDRVNSSTIANFTSQVIDIWPDLTRRYAGPSNCTECVNSFIPVNR TFVVAGGRFREPYYWDSFWIIEGLLRTKGSFTQIAENIIENFLDLVETIGFVPNGARR YYLNRSQPPLLTQMVRIYVEYTQNYTLLERALPLLEKEYEFWVNNRTVTLERAGRNYS LNHYAVSNTQPRPESFYEDYVTANNASYYNEEGKIFNNSKALTDEQKAQLYANLASGA ESGWDYSSRWIANPADSVTQTSFPLRSLNTVNILPVDLNSILYANEVAIADFHRLQGN YTAAAAWASRAAERSAAMTALLWDAEHYSYFDYNLTSGAKNVYVIADNTTVRGDTPGA PAGQQVFFHLAQFYPFWLGAAPEEIKNDPSALRRVYTRIEELLDDRAGAIAATNIQTG QQWDEPNVWPPLMHILMEGLLNTPLESTTESNQTSEDYVWTQALALRLAQRYVDSTFC TWRVTGGSTPEFAQLANAGGNGTMFEKYSDESTNAAGGGGEYTVVEGFGWSNGVLIWA VDKFGQHLQTPDCGNITAADISTKRKRSAVQLDTRDAHWVKRFT CC84DRAFT_870408 MNDSTRAPNTGINPCPASLPTGTATTPPSDSSRPQPNAASSSLS NDQPARTLLLLGEPHKSLSLPSSPDRSGAAQGRHGDRNNVRPVISCSSPLRFSPFSSL LFYP CC84DRAFT_1166026 MAPVPMPSALSFELLGKCSVTKARAATLHLPHGPVPLPIFMPVA TQASLKGLTPEQLEEQGCRLCLNNTYHLGLKPGQATLDAIGGAHRFQSWPHNILTDSG GFQMVSLLKLAQVTEEGVRFLSPHDGSPMLLTPEHSISLQNSIGSDIIMQLDDVIVTT SPDLARMKEAMERSVRWLDRCIQAHKYPERQNLFCIIQGGLDLDLRRECTAEMVARDT PGIAIGGLSGGEAKDEYCKVVDTCTSLLPEKKPRYVMGVGYPEDLVVSVALGADMFDC VWPTRTARFGNAITARGVLNLRNAVYSTDFGPIEEGCQCTCCRSPEDGGLSITRAYIY HTTAKETAGAHLLTMHNVHYQLNLMRLVREAILEDRYPAFLRSFFAKLYDDKSKYPEW AVGALKGVGVDLLSD CC84DRAFT_1166030 MHTNSFWAGLSLSVLASAAPVRNCWSPAANLNPSAESGNQQCRA PQHGGHMTAAYPTPTPSLVSMAAEAQGLHNNGSKCFQEFVRRRAG CC84DRAFT_1249249 MARRRMGKGIELQTIRDSWCYRAYMMRSAGTLHATIGLGREACN AWAGARTEHQTHDGSITVVVKPSSRAVAGVGRTRGQIAMSSASSQGRSGQRPGRQALP APRSPLAHRRSTRPRPDSATTATALVCAAIASWMHARAAMTTRRAGAAPVAAPTLRFA PAANPARIVPFPHGCLLPSAPTTLSSRPDASSTRRRRPHVRAQRRRLRAGGPLQLSKQ LVLRAPAAHPSQWLAHPHPRRVVCDEMRARSMGRIVWAGG CC84DRAFT_1149588 MDVADPNPGAGSGAGDVSPTASSNAKARKRTKTGCLTCRKRRIK CGEERPTCANCIKSKRQCEGYNQRVVFKPPIGDWPNHPGVVTNLQYHNSMLPGSRAQT YRPTASSAQASENTLSSLQPRPLSHFDYRSETPPALDHLNTQHAYTGTGPSYGQDGSY SQPLASPQHQPLHSPQHQLPTPTSATSYFPQTSPVHASFQSQYAQNAARYSPTQYQTQ PSHDQNYTYGSHSQAPIQPPQISSASYSQAYSLAASHGDDSHSIYQPVQIPQHDVSSS VAYMPQHAVYGMSQSATATTARDLPVSGLGRDDHISLTQVLEEAAVEEVDDDYWDVDS DAEMADMPGAPDEDAMILSRDFSLMRKIQYQHTNELSLRRYDAFIYEGVLASYKAEQV ANPLKNPRTARVFAHFIHVTAPSLSIYERNPRNPASLFEGPTPPSQQNLWTYILPLKA LNHQGLLHAMLALASLHIAILQRASVTPSYKHYAYALKRLGRSLANPKRRHTIATVAT SLLLAFYEVMTAEHVKWSTHLVGAAQLLSELDFPSLTREARRLRAAQEAQESKFLAGL GGADPLPTIDTMMPDEGMVSAIIGKKVSYEDFGRVLEPGEDGQEKRSPLPGKLDIKTF ETLQDLQWFYMRHDAFQSMVSGNPLITPFRKWSNCPPRAPLGRPDAVFGTHDHVVLLI ARIADFAVRDRPRKLKQVEANGGQWRPSPNMPGGMPTGPPSAAAGPSGSSNTPATPMS PQPQLHGTPGSMSTPVAEQGSGPQQGHRPRAPPPMPAFYGMAPTQGPSALPRSYATPE GFAYEQSPTTSNTPHPKFADLPAALAAAEEEWQEMSRAHATIASTLTNNEAFSPLSDD LYPVAPGGNMTPFGPALVHRSYDISTIWTMLHLSKILLIRSHPAMPPAATIAAGVAAA TTKPYAMLIGRVSAGMQVPASDDIPLSPFLGAALIETTMALFFAGVQYQEPPQRAWLI KRLLSIDRRTGWASAAVIARACETSWERAAEAGRGPRYEGRKTRPYGEDGPIVLDGEG DEGGWGGGGVVRGKVFTVISDDARYTAMGSVRVPWAKNVLASEEELRADMERVELKGR CC84DRAFT_871451 MADNAHLNPAAGAFAPANGTQVSSISFLDLQLKMEDLEREQACA RTEASDLKTLCLTLRTEIKTLKKGSWNITVSPFRDQSDSRFAKALDAVKERTNGGAVL IQDALSGCCTNANVSKKKSNGVTVPPHKRSQNGSSTTSVPPHLCMKTSSGLVAKALHQ RQGDANGSVCPRRVYWSGIHRYSVSGKSPQISVSPASDPLVTDGNIDTPETDLIRQKV AAAYHLTPPPSPKSTDAQVSSAVQQLSITDGPWKPHPIAELPDLPPSITSKIPSPKTT TSFSGDFLRNHLGGNLWGPGLVFVPPPQTSILPDRVYYTVDPTYDPHLPSAPGQHGAK LVPFFNINPEDSPVFDLPETFDSASNVPMFVLRSVPGPDGKLRKRYVYHGHYTQSRWS DKLDHDRMAQCAPASVREYWAEWLASPGRPGWVTKALQNHFFPAPPYRGALPAVAEDE GGSVADEEMAAREAKVVRDVKRYVEALRAWKKDADMKTNLIKKDFLLEAFDQADADEP KALRLWWEYLECVDWRADFYDTLVTLQSRNPNYT CC84DRAFT_871795 MPPKRTHEDPQSLKDKLHSLQGTSNARGRRNNNADLANGSSLKE VMSSNGDNASTHSGQNEHTSGMSWNTQDHAVLQGYRRAYRLDTPSSFKNPGSHIVLSQ GIGKFSPTMARPKSKRRVEKSHLSLAVRKNFNAQAVSETNCIIDWMYTVKNQDKEFRV RFAPPRK CC84DRAFT_1188425 MSLISPALPLKAVAPARIISGSFQCQRRNISQSSRPNRNRPSIK SQPQKQHAPRIRLFHATTPSQAVANPYTTLGVNKNASASEIKKAYYGLAKKYHPDTNK DPGAKDKFAAAQSAYEILSDESKKKAYDSYGSAAFDANGGFNPGAGAGAGGAGGSPFG GGFGGFGGFGGGGFQGGFAQDINMEDLFSHFGGGGRRSRGRSPFEEEIVVGDNIEVQT SISFMDAAKGVTKEIYITPMVECKTCTGSGLKKGAKKAECKSCGGSGQRVTSMGGFHM SMTCSSCGGSGMAVPRGSSCGTCGGDGAVKERKTVTIDIPGGVEDGMRLRVTNEGDAP LTGQASGSNVRGTKGDLYVLIRVASDPKFKRAGSDILHTATIPLTTAVLGGEIKVPTL DGEVMVKVPTGSGTGDKVTLSGMGMKVLSGRRNAKGDLKVEFKVNMPKYLSQNQRTIL EMLADEMGDKTAKRMIVNKMRDGGDKPSGTSTDDHKNESFLKSAWHNLTGQHKDMPKQ EEPKKDDEEKKKASGSGTMATLIPMISVPLKQTNEIDWIQPLKGYIRATYGDDPERYA EECATLNRLRQDMRGAGKDSAAGRDLLYRYYGQLELLDLRFPVDENHIKIQFTWFDAF THKPTSQYSLAFEKASIIFNISAVLSCHAAHQNRHEDVGLKTAYHSFQASAGMFTYIN ENFLHAPSTDLSRETVKTLISVMLAQAQEVFLEKQIADGKKVGLCAKLASQAAFLYTQ AVEGAQENVNKAVFEKVWLLVCQTKASHMASVAQYYQALADDDANSHGVAICRLQVAE TNARDANRAANAFPSSCPANSNLPAETGAVLSELTKKHLANVQEKLAEFMKDNDFIYH QGIPNEAALTQVPKLPAAKAIPVSELYQGQDIQRIIGPDIFQKIVPMAVTESASLYDE EKAKLIRAESERVEVANDEMAASLDYLKLPDSLNVLRGGMDNQDMMVDEDFRRWCEEL AGHAPFTTAFEQSNTDKSEITTMLDASMKQLDMEESVCEKMRSKYGVDWTQQPSSRLT ATLRSDIRNYRGAIEEASTSDAQLYSTFRQYEADFDEMRSAGETDEADVLYSRAMVKA GAAKGKSPGPAQGNLLDDDFHDGPSVADQIASVEELLRRLNLIKKERLQVLKDLKEKV HSDDISNVLILNKKAIANQESQLFKAELEKFRPHQNRILQANHKQGSLLKELTRTYSD LLKDPRVRSEQSKYDMFSRQRSSVMKKYERVYQSFRDLQAGLSRAQGFYSEMKDTVSS LQKNVETFVNNRRSEGGQLLQNIEQKKTQGADQEQQRLKDLMERMSMEPSGSPVSLGR ERKKSIPAPLNHVGYPASSSAHPSYNPAASPPVTPRYPMTTQAQQPYQQPAQPQNPYP SNGFQQYPSHSHSHSHSQSQTQTSYNPTNYGPVSPPAHQQYFSPPPNQHPQQPWGQPP QQQPQYGYQSGTPQPGTGLPQGYVPPPPPPGPPPSQYEQYGAPQYQGGYGQRQSGQGQ GQGQQQGGAADPWAGLSGWK CC84DRAFT_1219132 MATNKTSQRYQASCHCQLIRYTVTLSPPLHDPESWVVECNCSIC ARNGYLNVYVQNECIQFDNVDLNSNLVEKYRFGKERVQHYFCSRCGSSLMAESIEPGF YEGVKALNVRMFQGVDVRTLQRREVDGRSL CC84DRAFT_871434 MMADNTECLRSRTCDLKACLRMSMLVLRMPNHCWWRIPRQEGVR GDGCRSTARSCSPTHEGSDPARGANIGDSCRCRSTGVFGSCLVGFAAPLGITMGPKEA ISGPAGWNDCRSAGSCILDCTKRRDSGEF CC84DRAFT_871908 MLARHSPFSFTIILALKSREEAGRTLVLYATSVPWSPVIADRIL HARHSNCIGHQENLRISYTYLRRLHRGVLCAVASNWLFQALLERLICHRRHPHVRIIL SKAFSRIHVFLRKKNPQTRYCLFGGTDYASLRGNDTWVRGPIDHDIDPSLGVLLGTGP CISASFKTTSI CC84DRAFT_1219133 MAMYENLRGSDQVITHERGLGQMFDMRGGIEKFMSEKGFYIGNY AMLVDMMHSACSNKQPLFTEVKRPILRDPHVGMRKQYFPHSPLRVANNPGFNATVSIE ELRSSLDVLRDAFDGLEMLCIEVFDPNTATTEGLRFRHRRDKFWTRLQARDISETEPN ELTTEGKVKEAIRLTGTIHCCAVVSQIQHDDELNMQYVLRLHEVLKKLQLDFWKTAPY LYLWILLTGGAACSRLPEPRAYFVSEIARVGMSIGFFDWQPFTQSINNFLWLQEFLRK RTKR CC84DRAFT_1197469 MGRPVGYTFGLAALVVTAFCIRFLYKFGSRDKRLPPGPPTIPIL GNAHQIPGQSVEKKFKEWADIYGPIYSLKIGAGTLIMLNDKRTVHDLLDKRSAIYSER PKDQQMMTALAENFAFWDANPAWRASRKIAAHFVSPKNLDETVMAVQEAEASQLMHDL LNTPEDFFNHVKRVTASVASIVIFGFRAPTPDSFWATVSSTPGTYLPIEQFPILNYIP SFLVPSKKRAKTCFDTVSSIWVEAHSQVVARRQKGDHRVSLGDRLISGEMKSDVEMSP RQEANFIGTLHQGAADTTSTMVLTNILYLAKHPWIQKKAQEELDRVCGDHRAPTWDDF KNLPYINCIVKESMRMRPVLPTGVPVRVNRDDWFDGYLIPKDSAVFCPAYNIHMNADL YPDPHNYNPDRYLNRPLLAMSYAGSPDYENRDHYSYGAGRRICVGIHLAERTLWRLTA RLLWAYSIKPELDEKGNELEIDTDAYDDGLLCCPKPFNVRFVPRSEKHVEVIKKDFEA VEDYLKTWE CC84DRAFT_1177813 MPTHALLGATGSTGSAVLHYLLETQPQDLRLNIFVRNKEKLLSA FPQLLQASRPEIHIYTAPVTDLDTLTDCLRNTEVIYNCVAANMPFRGMDVAQSAAASI ITALQNLQKEGQPPPPLLLMNRTMIFNTNVNHSMAAFQRAIMHFMLYHVYTDIEKAAN LYKQAAEAERPVLSMERTGHRLILTDAATTDGLNYADFGAAWVEAVGRRREVENQQVA VMATGHVRTQWWVLAGYVWQGLLTRLVPW CC84DRAFT_872033 MAEGKADAEAAIQRNPHPDFKGVEASRPDFEADRAVHFVKTPKP DWKPGQGANNAEGLSKKHREIDPYAEGRPAIHNYKLLISGVVPRPVGFVSTISGDGKS TNLAPFSYFNMISHDPPLFVLGFAGGVLNAKDTLANLLKTGECTLNIISQDFIEAANY TSLNAPEDVSEWTFSGLHPAKSSIVKPDRVQEAVFNIEAKLIKSMEWESKATPGKKTG VTVIVEGVNFWVREDAVDEAGVLIDPAVLRPICRLGGITYGRVTDLFELPRPQYNDIV KDPETEKLAKPKADGQLE CC84DRAFT_1166041 MASQLPTIDKLGMSDAYQTYKIGDFKLQRGGELPDAFIAYKTLG DSSLPAVIYPTWYSGLISDNLWLTGSDKTLDPSKYYIIIPALFGNGQSASPSNKPDLR PFPEITFYDNVRAQHELVTKHLGVKHARAVLGWSMGAGQTFQWATQYPDFMDLIAPFC GAAKTSLHNQSMLEGVKSALYAAKGTSSEGIGKQHLSSDKAQYREFTEESKRVGLKAL GRVYSGWGFSQAFYRQKLYESVLGFKDIDDFMVNFWEAWACSKDPENMLVMANTWQIA DCSNQEPYNGDFQKAMEGIKAKALILPAQTDLYFPPEDSEIEVENMRPGVGTLKVFPS IWGHWAGGPGDSKEDVKWLDEQLRELFASD CC84DRAFT_1197472 MTPRRILIPAHLSHKSALVLLPPSAIEAPIEAVRRVHDKVFARW PPHINLIYPFLALPSVQGEGSWSPELKEHIRCRIKKVTRQIEPFHMSLLTEGPKSFIH TSKSRTVWLQPSSDLVSGLQAALQTEFAECHHDQRPFVPHLSLGQAESDAQQTRIRIE LHNRVTEYSTDSETKELPWFVDTIYVIERKGFKDRFNIVGGIKLGED CC84DRAFT_1095756 MADSKPKWGVTRSLSDDPPSALDLKANDQLIEYLKSINNFESPE GNDRRDRVLRHLQKVTEEFVKRVGRRKNLPKSTIDTLGGKVFTYGSYTIGVHGPDSDI DTLVVAPKSVDVVDYFETFPPTFKEMSDPKLIESFVEVPDAFVPIIKLEYDGVDIDLI FASLPSQSSIPRDFTLHDQSVLRGLDEQTIRSVNGVRTAKELLELVPQPQSFRHALRA IKLWANRRAVYGFVFGFPGGIAWALMVARVCQLYPLACGSTIVAKTFYLMGQWTWPRP IFLKELETSNMLNLAIWNPTNNSGDRKHIMPVITPAYPSMCATHSVTPSTLAIMKKEF ERGDSICHQIVGGKKSWADLFSRHTFFTQDHKYYLSVIVSSNGDKDKFDAFSGKAQSK VRLLAINIENNVAGIAQLRVYMKGFDRVHRCANDAEIDEVRKGSSKYQVTPAEASQSA DQKTTVYTSTWYIGLTMKEGEAKLKLDISYPVDDYKRLVEIDTDVYDSNTMATKIVHT RCYDLPDDVFEKGETKPTKPVKNKKDDKKKKTNGTKSAKRQFAETGIEVRNMRAAKNP RLTNTP CC84DRAFT_872138 MSTNSTLQEFNERAKAACERDDTSLMEQAFSSYPDPPDRFRYSL HLAIQINAEKVLIHLIEDRGLDVQHLPPTVVARAGRSTAILELLLAHGWDINWRHVSE SGPDAEPYMWHIVGDGDLVAWCLEHGATLSPIRQERLHPNVLTQSQLSCRQVLECAAA KGSVATFELLRSKGAPLGWRPLHLAVRTAGVALDRAAEVEERMAMVRHLIDVVGVEVN ALDHPVGKKTADRQGTPIVYVAELSGLKNLRELTWLLLDRGADPAPGLEEARESDHVA FVEDVDAWKAQHRNAGKSWLIMAKARIFGQ CC84DRAFT_1096097 MAEVAGEGRSKAQLIVGIDFGTTFSGVAFAFATNTEAKEDIITE WPGAGNQTKQKIPTVLYYDQYQKVVGWGPDIADALAPTGYPKPGVQKVEWFKLQLMLS GNTYIDPINLPPLPPGKSEIDVAADYLFHLRQAMRNQLQKTLGEVFNREERNIRYYLT VPAIWNDAGKAATRAAAIQAGFLRDENDNRLTLITEPEAAAMFCTKTGLLNVKVHDAV LIVDCGGGTVDLIAYEVEEEQPFSVAECTAGSGDSCGSTALNRNFSNILRAKIRKMKL PDGSKTAGKVYAKCIMDFENRIKADFRNNGQKWAVDVGIEAEFPEAGIEEGYMTFTNE EILQCFEPVVNRILELVRNQIIAIQAQNRSLQNVLVVGGFGASEYLFQQIKLHVPPQF QSKVVRPMDSVAAIVKGAVTAGITERIVTHRVARRHYLMATLQPFKEGHHPEQYRVPS LDGKDRCKYTRQIFVQKGQRVKIGEPVKVSFFRQVAPGATLMYEDILYACDDDVCPEY TKDPRIKEVVTLTSDLSRKNLEKDFERMDTPQGTFYRVYFDIYLTLDGSEFNAELVCQ GEVMGRCTARFR CC84DRAFT_872183 MRTFACILAAVSALVSMAFAEIPLCPGVFTAIPDVSAASHAAPV PEGYARSFLALDSAVQNVGAYLGLQQLTTYNVTECAAFCGATNGCRAFNIHAETQTLE EPVSSCNEILFHCALYAAPVFQDMASNYGQLENRLSVFASNGYNADEVVIATELLTTT VTVTSTLHHATTVTRIETSTAVSTVVQTSPSSAAALATSTLTDDTTTATIVSTSLPTV LPTPSTLATQVSPSPSSVDADPPNVRLAAHVAADDKPTVSRTYGFDVAATPLELGPDS TVAIEERGTDKLAPTYIRQGSGPSDAYVVAKRRMGLSARRLRVGGRGVAIGGGG CC84DRAFT_1166045 MANGNGAAVRSRQPTQNEEENIFLFYPNLIGYGRVVLAIASLYY MPLHPRTCATAYSISCLLDALDGIAARHFEQSTKFGAVLDMVTDRCTTSCLLVFLASA FPRWSIIFQSLISLDLASHYMHMYATLSMGGSNQSHKKIDETRSWVLKMYYSNNKVLF TFCLFNELFFIALYLLSFSSPYLSPTLLKDPQAPASLNMGTPAAPKPSMIFTSPWSAG AMEMARANKMDSTLPWILCIASFPIMFGKQVINVVQLVKASKWLAEGDRAERKRLGLP RRGSKKTQ CC84DRAFT_1188434 MAPRTDDDFIFTISDHEDISDDGAEQGDEPSKLAGRGKKRKLDD AALVKPKEIQQSKRSKKKGKKAREPTPELEEVDDNDIAEPAEDAEDNDDIASDFEFAP GDVDIGYVEDFDGWGNETVATHDAKDRKGTAVDVDEIIERRRNKQKKAQPADEPDSEE EATGDFEGFGDDDELLAEDGFGMGAESEEDEEADEEGEGSQSGEDASMQDAEQGADDS DNESVAAPVAHPMDLEEAESDAESDHEDSAEAKKAAAFFAPEEESAKSQKKKGAAGGS SFQGMSLSRPILKGLASVGFTEPTPIQSKTVPIAMEGKDVVGGAETGSGKTAAFLIPI LERLLYRPKKIPTTRVAILMPTRELAVQCFNVAQKLAAFTDITFAQLAGGFSLREQEA VLRTKPDVVIATPGRFIDHMQNSAGFQVETLEILVLDEADRMLEEGFESQLSEILTTI PKSRQTMLFSATMSTSVDKLIQIGMNRPVRLMVDSRKNTVAGLTQEFVRIRKGKEDRR LAYLMYICTKVYTDRVIIFFRQKKEAHRVRVVFALCGLKATELHGNLTQEQRIQSVEA FRSGQANFLLATDVASRGLDIKNVSTVINYEAPQTHEIYLHRVGRTARAGRSGRSCTI AAEPDRKVVKQAVRSSRSQGAKVVSRQVPQEESDKWTKKLKEFADEIEEVLQEEKEER AMSITERDLKRGENLIVHEDEIKARPRRTWFESEKDKLAAKEKGAAALNGPAKTGSDG KKKKLSGKDRKKLELNDVRTEGKMWKKGKEDRGKKTLGGGRRRSRIKSAAPIRQPISR LTPAPACSAHHTLLRPAVFSCRPSLLRPAPYYHHPARAHKPTVAENPCPR CC84DRAFT_1075523 ATKCLPDDTCADGSCCNVHGTCGYGPDNCGVGNCTGSTCGATAM CGKYSKDGKVKCGMNLCCSATGWCGTSELYCVNGDPVHNTLPCQDGFGSCEVKKGRTC GLGSGSSTGRTIGYYQGSNTRDRWCNNIFPSDIVSDGYTHLYYAFASIDPTSYSITPA TAADVPLYTEFTALKSRGLQTWIAVGGFDFSDPSPNPTHETWSNVCSSSTNRAAFIKS LVDFMSKYGFQGVDLDWEYPVAPERGGHEDDTKNFVLLVQEMRAAFGTKYGISLTLAP DYWYLRYFDAKAMESSVDFFGFMAYDLHGPWDINQKTITPVVRGQSDIREIANDTLPL WFDELDPSKINFGVALYGRGFTLSDPSCNTLGCPFSGASKAGPCTHQEGAMGLSEIKD LIKMKNLTPRFLPDALMMEVTWDDQWIGYDDESTIARKKSWADDQCFGGTMAWSVDFN SGAGNGLTP CC84DRAFT_1249309 MACNDTSSATREQGPAEWAPFVLLFIVVGTFFGWWCCVGAWLAR LWYYDGQLHRKFPKYDIQPQCIRERLAREEEKRRRESQKIVGSRRFDRDVSTMSFKRV QEFESSSLRQRISRLPAFEQDDGGDGLEFPDMPVDMPADEYNQQDYPHRYDTLEDLGL SELAHDDWLSYHNKEQMTARDQILEDCREDCIQMRPGREAEDVCGELWQEVAKFLATR YPARFWEQKKNGVRKLYDDNLKESHPLDGPYGWLTLDTMARLAREDFCIFVKSPFSAQ YTLLASATCFPSGWRLKARVGCSVESQQEAIQPWERLPEIFSYIEGHRNSSRYKRHVA FIQTSHPHEVDLSKKYFVQESKDFFSGNISFLQPETLHARIEKQMFTRLPISGAMVLT TRLEVEPLVRMEDYALRRLAKDIRSWPSHIARLKGRDLWGRAVLGFIEQYPMASDDDS VIMTDDDEDEGVKKWFS CC84DRAFT_1249311 MLFFLELCYTLAAIAALVFANRLYALYRNYLSARSLNIPIIIRL ESWQDPAWMLLGPKIRSLLSVIGLWDRNAIDYTTIGWSQLDRFASHAKHGPAFALVTP FKTNIMVSDVDAARELFKNWRVWIKNQDLYSMFNTYGKNVNSVNGDDWQRHRKITSPA FKEANSRLVWKATLKQVDSMVLKWLVEDEITLRRLRGDTEKVAMHVLMSAAFGKEYDF HTGVKVVEPGHSISFGEAMHTCIGSLSIMLTPITFAAAKLPSILIPAGLRRLQIAVQE VRTFLRDAVNAERDALRNGMAPKDNLISTLVRANEEEKKAEQGKRLALTDDELYGNLF IFNMAGHETTSASLSYAIPLLAIYPDIQKWVRAEVDAVLTESSTNYYSEIFPRLVRTL ALMYETQRFFSAIPMQPKYTSNRSQVLKINGTDMVIPPHTFVSINYNAVHFDPAIWGS DVDEFRPSRWIKNAGEPGNELFATPDGAEFVGWSSGPRQCPGKRFSQVEFMAAIARLL RECDLRPARVKGESMMHATERLRFKTFDVEHFISLQYKDPDSAGIVCTKRTNL CC84DRAFT_872379 MDDEARQALAKMDMPRRPINFVPAQSGEILKLGHITCRVMEDGS RTDNRIGSAEFTVPAGTAGPPAHWHEMHDETFLVTQGTVRFHAPDNTIVDAKVGDYVT VPIRAPHTFSNPFDVEAKFFNTFTPAFYIDYFKILAEVSKSDEKMSKEKNLEVMARFA TMPAKPLMDEVAKQK CC84DRAFT_1166049 MAERFEMLENNPQVMNHLAYALGLDKSLSFYDIYSLDDPDLLAF IPRPALALLVIIPLTPSWHAARTAEDKDTPDYAGKGEEEPVIWFKQLETIGHACGSIG LTHAVLNSDATKRVRPGSTLDQIRKDALPKTMIDRAKVLENSDAFAKAHGDAVKLGDT AAPAFEVENGQHFVTFVKGKDGHLWELEGSRKGPLDRGALKEDEDVLSPAALEKGLGR LIKIEAESGGDLRFSAIALAESLD CC84DRAFT_1260949 MSNFNIVVLAGDGVGPEIIAEAIKILKVVEKTTDIKFTFKEHLF GGCSIDAHNNPLTDEALAACKSADAILLGAVGGPKWGTGKVRPEQGILRLRKELGTFG NLRPCFFASDSLVDASPLKADIARGTNFNIIRELTGGIYFGERKEDDGDGKAMDTEPY SVEEIERVVRLAGNLASVEDPPVPVWSLDKANVLATSRLWRKTFERIMKDEYPNLKSG THLIDSAAMLMIKNPRSLNGVIVTSNLFGDIISDEASVIPGSLGLLPSASLGGIPDGK TRINGIYEPIHGSAPDIAGKGICNPVATILSVSMMFKYSLCRPEIAKHIDEAVKIVID KNIRTGDIGGTANTSEVGDAVAAELETLLKN CC84DRAFT_1166051 MHASKLLFLALGGLVQSHMHLYYPPTLKGENNPHTQGESDPYLN YNYGCCGREVPGPCKGHLDLVDTDEGKPVVTWAPGQKVNFTLSGSSTTEVKGGTHYGG SCSVGFSTDKGKTFKVATTWNGNCPHREGSIDPSTQSFDFTVPADLPAGDRTIFAWTW INREKEFNMNCAVVTIAGSDQSEDPGYQPAPSSAAPKPSSPPSQPPKSQSSKAPQRPT QTQPSSSQPTGSAQYTLEGCTCSCPYQTWSSACSCYECKSPTTKRHLVERKVLELHKR HLQNAEKLNVPVRRAETVAWTSRPDMLLSIDFPGASCHSKGNPFELEFPDPGPDVVDG DGEYKLAGPDCS CC84DRAFT_1188440 MAGAATLASTQNTSIPGGPTFNDFLRFSCSELNIQRIDPLVTPG IVPSPHLHQFVGGNALAPTMDPSMNISEHATCTTCTYIEDFSNYWTAVLFFKARNGSF HRVPLMGNLGLEGQRGGMTVYYTAPYDKKTKVTAFRPGFRMLIGDPGYRAPSPIAQEY KSIAFRCFTEPWGPAPPASEIGGDWDTRYFPKKPCPYGLRVNNFFPTCWDGVNVDSPD HKSHVAYPSNGTFETNGKCPDTHPVKLPQVLYETIWNTSAFNDPSMWPIDGSQPFVFS MGDTTGYGWHGDYLFGWKGDALQRAMDRYCGTDCPVLETQSIEKANKCAKAVVVNETV DGWLGEIPGGVEVTS CC84DRAFT_1188442 MSGNDNPGNFANRPKEEVQNIASKGGQASHSGGFASMDADKQRE IASMGGKASSGSFEPGSEAAKEAGRKGGSK CC84DRAFT_1166052 MVQWYPRDTRWHGVQKHYFNASTFKSLVLIAYVILIVVESVLMR RWFREETYDLSTTEGPWFFWSRTGIYLIIDAVFSLAMAYFTWKNNWHPVAALVTSILL FCLWVAACLLNTFVVYSNEYSFKHLDEWRRIAYGESGLQATISVCYFVMMGFAAKAVH DWRKNKTGMGGRNRRVDELVLEERGEAKGRVSDAEFVPYGHAEQGRTV CC84DRAFT_872467 MSWPEGNPITSAPPANNVSAQNNHVKRSGLGRPQQDVQQDSVPH SCICLHSRPCCSGCCAWPDLDLLWPFDPFFPTPRGAGHSSTSVAPDIVSSATQMITEQ LSPDTVLRSDSVFVDGSFSLWNENSIYLDQVEEALSWSSLSSRSISGNQCPCVNAQQQ LPPTFFEQEFELKSLGPAGWKSSQSSEAYSPSLLMSLYNCTRTPNSVCECVRPNSTTH MRSDPISKLAIDRARLPKCKLRKRRFPCPLNPCQKVFGSRNDLERHLATRKHRRESGS DRVNKFRCTVSWCKRKSEGFMRKDHWARHMGKMHPDLAVGDGEGGDAE CC84DRAFT_1166055 MHPAVRMRALRIRPSVPRLRPSQRPFVHPTRQASTAATDARSAA TRTKNFVYGTALTLALSFGYLYVTDTRSSIHEWLVVPALRQVYPDAEDAHHAGTKIVK ALHSFGLNPRERGDPDAAGDLAVEVFGHTLVNPIGTSAGMDKGADIVTPVFELGAGLV EVGAITPLAQEGNPKPRVFRLPSQNALINRYGFNSEGAETVAMRLRHRVRQFAYHAGL GIDEEAERKVLDGEAGVPPGSLVPGRLLAVQIAKNKTTSEQDIEAVKRDYTACVNKLA KYADIIVVNVSSPNTPGLRALQNVEPLTQLLNSVVQAAKKVDRKTKPAIMVKVSPDED SEEQVSGICEAVWDSGVDGVIVGNTTKKRPDPLPKGYLLPQDEANLLLEQGGYSGPQM FERTLSLVRKYRKALDDGPKQLPPSPSKPDVPSVGPSTGDEPDSSIVAKLQASKSPSE AIDSAASKLPPASVELHSEASPPPTNVDDLSASAPIATSKPAAVPPRNPAPAPVTAGP RKVIFATGGITNGRQAREILDAGASVAQVYTAIIYGGVGTISRIKEEMREEANKNR CC84DRAFT_1149637 MLLTWSLITLLAVPALAGMNKTGTLCTVTPSGSKDDSGFIMDAF KQCGQNGQIEITEGDFTIAKVMDVLNLKNCDISIRGKLTWNDDINYWTKNSIGVTYAQ RSTAFRLGGDTFSIRGHGQALFFGNGQKWYDVNKNGSNMAGRPISLTLWKAKNVFIDG ITWRQPQFWHTFVAYSENVTMTNLDMNATSTSQWNTVNTDGFDSWNSKDITIKNWVVK CGDDCISIKGNSSNIYVKNVTCYESGAACIGSLGNNAIEYVDNVLFEDFTAIHSSNAA WIKTYPGQGHVRNVTFRNFYFQDVNQPIYISPCIYSYSNCDASRLKISDITWENVTGT SRYNVAAGIYCSKGAPCTGLKFKDINIKPKNGGTAKVLCSNMNSDSGLSCTGTCPSGW KQQLSGNA CC84DRAFT_1188445 MPPAGNEFAAEQLKSQGNAHFKNGDYDQAETLYSQAIQKNSKNP LLFTNRANARLKLEKWEGVINDCLASIELMTENMKAYFYLAQAQLAINHPNEALSSAL RAYDLCVNSSQQTSNAATISALVTRCKKAKWDIRERERIRRRKGLLPELESLLEIAYK KDVDDLEARLEAGEIGRVEATEEKQQLHTEFEQKRDDLRTAFALSDPEHLEIREVPDY LIDGITFEVMTDPVVTKNGRSYERATIIEHLKRSATDPLTREPLTINELRPNIALKEA CTEFMEKNKGWVYDCEDGEEDTVPRWAHLSCGRRDYETS CC84DRAFT_872945 MPSPPPSPHTNHTPAPTDPPTPNDSVHGDEAPRGRLKRRRLSSH TPPRAASPSRGHDRDSGSRHRHHYRKHKRASSSSSALIETPPAAKKNRRRSDAEPDHT FRGRVRNRSESRSRSPILEGEEDRERERARGVRKRSQPPSRGREGGGEDVDNAIRRMR SYPNLYRQGAEKEKGGGVEQGMRAAVLEG CC84DRAFT_1249331 MSEDTDYHWLLSEPGLVDSASGGFALDSHRHNLSVIAARTAPAY HNNASPSIANDLSSNILTPYNTSLEGLLTNTCPATGFNVINTVQNLPSIPDLTVTCSQ LGEISLDDPTHTSPSRFASSCRLHFDPQRLYPDTFYVDTIPSHCPTTLHGLSFETPSS SALCFVDDGQHAPEILGNVDYSEGFEAAAPPNQLENLGLDSLISPWCDLTFDEGQSIN VGWLPDHLLNPSMLYPVGESSSASPQSSQSSTRERRFPCPHKPCDKVFGSNNDLERHL GTRKHRKDVGNWSERANRYPCKVTVCKRNNVGFARKDHYINHLRRMHQGLELDEDDLY G CC84DRAFT_872504 MPRILSFDELPAWMQKDPRIRRGYREELRNVWHCFVSLFYVHNE FVNIWSHLLPALVYGTMLAKEARFALAEADNDTGPTAKMTQFYVVTSFALMSLSSLYH IFSAHSERVARHVLKMDYLGIILNVSATCITSSWFGFRHHAHVANMYISLTLGLSIAI VFLLLKPNADGPEAAKWRALIIAGLMGTGYTPLIHAYVIGGIERLQHFPWQAAVKMLS VDLVGVLFYITRFPESSFPETFDIWGASHQIFHIMVVVGRVVYLRGLKELVGLA CC84DRAFT_1149647 MDNMGPLAHTLNASGGTESAGFLNDLVAQLWPNINIAGCNMVKD IVEPILASTLPGPLKNLKFVKIDLGDVPMHLSEVDTHKTSAGGIQLEMDVTWESKSDI ELDGSMVPKIGIERIHLKGRLSVLLAPLTNVIPCIGAAQVAFINPPKLDLDFTDAANI ADLGIISGTVRQTILGIIGGMAVLPNRFLVKLDNTSDWFKTYQPHIGVVRLTIERAVG VSGPKKSGAKRLLAKIIKDVPDCYCKVTVGAEEVWRTSTKKNDHDPEWNETHDFLVSD FDQNIVLDVDDDDVGADDDIGEGSISIKDILLGGGSKDIALSHKGERTGAHLTVHAKF FNLVSDASALSASESQGEGQFCGLATILIASALGLDGKRDELNPSVKVTWGAKEFRTA IKTYTPGTDIFNPSFDQAFRFPVTRDLLDSQASFRIALLNKETETGAVEIPFADVLNG PDSGVVDAFDVGNGATVRASVTLKGLKIAQ CC84DRAFT_1166063 MEAESSPKLPQKLRHWLLRSRSSHNKVPTATVIKAPPGPCRFLG LPREIRDLIYRELLLNTHPMIVHLRRVHRFEASAKPHPAILGTNKQIHDEAASILYGE NTWFSAAPVKFFYWADELYEPETSTYVVEPGPINNYLPWIKHFVLFPEGPPDWIRDKI VKPGEVDLMLRRMGIQRHNLKQLIIGVASQEMSEEIAYENRDWLDPADEVDMQRKFSW FVQRGSQPAFWLVST CC84DRAFT_872509 MEHRKFSKDLPEEAPPSSLDRDDDFQRIDPRSGVKRGLKTRHLS MMALAGIIGPGLLVGAGGALANGGPASLLIGFGIIGIIAFSIMQSLGELTTLYPTGGA FTQLSDRFVDKAFGVAVGWNYWLIWGCVLANEYNVVSSILVFWSDKVPLWGYFLIFWF FFLGFQMLGVESFGEAEFWLALVKLAGLCAYFIFGIIYASGGLIGQKEALGFRYWNDP GPWVSGFRGVASVFVFCSTFYAGVESVAVAATETKNPAVAVPLAIRQVFWRIIFIYMG SAFFFGLTCPSDAEGLVNGSSRALKSPMTIAIQNAGWEGGVHLINAFIFITVLSAANS SIYIGSRTLLFMAKDGKAPRFLGYTNSRGVPVYAIILTNLFGVLSMMNVSTGGSAAYS YIVNLSGVSTFCVWAAISWTHIRFRQAWSAQGRHPDQLPFKSLWYPWNAYFGLFANIF LALVQGWTTLSPFDAGTFVDAYILLPLFFIIWFGYKFVFKTKFHGKYEIDLDAGRRKD LDMRELLDEPSGEQGQAKRPLWKRLWRDF CC84DRAFT_1219161 MANLHPLAGGLHILLFILGILSLLLRFYSRAFVIRRWGLDDTLA VATLFLYVALQAVHQLMLNAGCGLDGPNECSPTINSSIPKILLATQVLYALTHFTIKS TFLAFYVHLSPARTFRILVYVGAALNASVFITNELLIVLRCQPPKEVFSPGSTPDAKC MSQAVTFWSPVVLNLSVDVYILTLPIPVIWGLRMPAKRRLAVLAIFSSGFSAILTSAF WVFAWGKMRDHQTSPALAINTIISSVELFFAVMAVNMPAFKPLWTRMVGDVTTPPAAS YKMDGWSREKSTPRSRPAPVESSIISSMGKSSRDSRGVLGSESEEDLVEAQTPLHSDG ASAAEAGRRRVWMDEDGIEFVIAVPSRSDKYSSSSERRRDPRDEICFDT CC84DRAFT_1260959 MSTEPPAYTKGLLVTTWLVTALSTISLGARLYTRYWRFSKFYWD DLFVVLAWILSIPMAAQVTVASKHNTVLHTTTFYKSVFLSRPITQLFFYNVLWAIKIS FLIFFRRIGVVALPAVKRYWTVVFILTIAAYLGGWLLNPYDCWVKKGLSQCDHDPAVS RFTPIALTLATAFDVITDALIVAIPFAILSHMRLALRQKMILYSIFSFELVTMLVAIV RVIIAARGIARDRMFQITLLLFLSHIEANTAIIVACAGTLRSLFTQKGDTRSETPAPW DSRPPNNDIVRPPQAATAFKKTPSSRISERKVPDEEELSSLTKSHHSPQPSKPFETDI PIDLGDLLKKP CC84DRAFT_872493 MASTACSILVLLATLVGYFFFKLRKNRMKIRRLQQAGIDMPAEH SFVWGHLLQMAEAQKSFPVTASNDYGIATLAKPFTNGIFLIDTWPFSLPLLVISTPTA ANALQKYSTSLLKPADVNGPLNTLCAGPSMMTMPEDQWKQWRLLFNPSFSITYLTQLA PTVASEVSIFCEKLRRVAREGKVIRLEPLASRLTIDVVGRISFGEPMGHQHRDNPIAA TIRSQAKWISFSPIVEPWTVLNPKRPFILWNNNRLFKKHIGAVIDKRFAEAKAATLEK PKAKALLPAALESYIIDARRNGVSPDHLDPSFKSSLISNLIIFMVAGHETSSTTICMC FNTLAMNPEYLSRVRAEHDIVFGTDVSTAHIMSIVQQEPERLNQLPYTLAVIKETLRL YPPVSGIRMGTPEVSLPDDSGKLFPTEGVKIWTNHTSMHINAKYWPEAEKFLPERWLA KEGDRLYPTKGAWRPFEQGGRNCIGQALALIELKLVLVMTLREFDFEPAYAADDGEIF GSKAWIAGNQGVGGTPNQEYPVRVRFANRT CC84DRAFT_872515 MRFILLPVALTIGLSSAATLIPKTVFDSTSSLTQYFSYNYPWGT DHNGGARMAASHAVISTAGTLTLTAEKVSGQPPATHGGKQIPINYLSGAVYAKQHFTI PSGGGFVFSGSFQATTAKGTWPAFWLTAVNGWPPEIDMAEWKGSGKISFNTFNTSSVL QWKDVDYASPGSFHNIKCQVRDRGNGKDAQVKYFLNDVEVTTHYGKDYIGKPLYLIIN LQMEGSSGTPGPSSNTAYSIRNLEVTTI CC84DRAFT_1207156 MYTIALLTAVAAFFEAASAHGFVTSVTDNGVVTKGSDPVWWYYV QNGQARPVTAGWDALNQDNGFVSPDAFTTADINCHKSALAGQAYVNVNAGDTINFVWN TWPDSHKGPIINYIAPCNGECTSLSPSSLKWSKISQAGLITPGGSGTWATDNLIKNSF TASTVVPKNLKAGNYVIRHEIIALHGASSDNGAQIYPQCLNLKVSGSGSVAPTSGVAG TSLYKRTDPGILFNLYTSPTSYTIPGPALWTAAN CC84DRAFT_1166068 MAPLKILISGGGIAGSCLAWWLYKANVDAQITIIERAPEPRTSG QAVDIRGAAVEVMKAMGLEQAIRDKNTTEVGFEFVYSDGVSKARMAATGDDKNQSFTS EYEILRADLANIFYDATKGMKGIEYVFDETIEDLVQDSQADKVQVKFTNHLPQAYYDL VVGADGQISRTRRLAFGHGPQNGDYLHRLGEYMAFFTIPRNKSDSHWAQWYPATRGRI LCTRPSPYNDTRVFMGVVDWDLKRFSEIQDLVKRRDEKGAKNWLAREFEGAGWETQRV LDSMKTTDDFYMQEIVQVKMGEEGFAKGRIALLGDAGYCPSPLSGMGTSLAIVGSYVL AGEIASSPSDIPAALQRYVTTLRPFSDQCQRLMPGMPQALFPQSAWGLKLEAMLVTLL TSPTWQRIGPWVKMLLPKDFQRDKWQLPKQYSGMPVSV CC84DRAFT_1219167 MKTAYSLLTLLSVSLVVAGPLPINRNDERAVASTAPAPAPAPAI TPEAPSAGDAEAQKAAEEKAAADHKAQADAMEAMHVAEAEAREKAHQEAAAKMEAMHV AEAEAKDKAAQEAAAKMEAMHVAEAQAKDKAAQEAAAKAEAEHKAKLEAEAAADKAAA DKAAKEKENAEKEAARLEAEKLKEEDGKKKTEEDAARAEAEKVKKEEEEKKKAAEELA AKGNASLLHEPAAQNTSVAEAIHPVGNATVVSEATSTVEHVLPAETAAAVVEHPAGPP APLTPPAPKAEEAEAGKHPLAGENVANVTENTRA CC84DRAFT_1207160 MSTDPRQVLQERVAAILVDAEEQGIEQRDILPLQVHGHFRNLLR IANNRISALEDEAEEMKKKKDLGLEDKLNQAQRKLETMDIPEDSKQLQVQLDLTKQSA DFYRGLMNQAEERATMYQEKWQEILRKQTAAEEADKRIDRLETENRELQQSKTMISEE MRKMKDLYGNLRKKDLAAIEQKEERLMASERQLKELTIKLEELEKENSAVEGQYQVVM SSLDAVVTETTNDLNTTKEHARAVQQQQSSTFSEIQPLRKFYSHANDILSIYQGIFKQ LLNDIEPDVTFSSDFCEMVTARLQAASGECEAFLTVRALLTDEGVSETEHSEQLDDLA KTAQHMHKSLELIGEDVAHFLWALQRRPYLRKLIRMKFSVLR CC84DRAFT_1249335 MSLKRKFSVSCLQELPNKVQKSLEDTQARYGALEEGSMLQTDEY ASKNKLIHDPDQPDTLKEALETATMEQNLQPEEEVPMNPKRLDPKVAEMAERWEELVF IVFAKENFRQNLSAPEGHSTTSGPLTNTELRIAYCNKFNKEVGAEAALKRYRNGKQKV YNAYPKHPRNIMYAPKQNKSKRPRPGKVIKSFTGNKGVVNSPVTDIGIEPAGVEQYTE RDKTNTNEVKATQNAGSNLISREERDKIEVGELRQYVESSWAVPEHEHQPWVRILLAN SCERFVGACSIGAEELKSSLAYVEHRQHTNVQELWLTGVAHITLQRYIQCLSPLRLSK LPQWDLTLTPQGSDPSAAVASCKRIIWDFEATLDIYELATQLRDCHVRNLVMDHWREQ MQVNSTYEVGLTEMQLLYDRLSMDEPALQFWTQALQELLPTEDTNMDIDFVDNPSSTS FVVSNRRKAESDELFHYKYHRCRLSDHNNEASYSFDHFYQIARRLLLSEGWQETDPQL HIVEKKLELELFDMCSYL CC84DRAFT_1249337 MVAILDSYEECAAAPVSDGPPGINTVYSDGISPTAGLPVVPGDI TAEWLSQVLKRKVSSVALGRTIPGTATKVFIAVTFEDEKDPKGLPVRLCVKGGFDPDF IKMMPWIVMIYQRECDFYNQVAPKLDNMKLPAFWWAGHSSTQGIVILDDLAAQGCDFG NPAESWPVSRVLAGVEQLAALHAKTWHTQASDYPWLTSDYDQAILTLMNTYDAVVKGP NRPKIHDYLKDQERITKVLEKHYALRNPRFRCLLHGDSHTGNTYLVTDVPRFLDWQMI HIGSAFHDLSYFIGAALSAEDRRAKEWNVVEHYLRVLEKLGVEPLSVSDKEVRNEYKM SFLAGIGWIMCPYEMQIRECVHPMAMRYAAAIDDHKVLELVERLPEPQ CC84DRAFT_873795 MQYITGIMGAFPTFPDVLILEETYQKRILKKRAQFIRAETQNWA IRHVSEEEIIDFKELVNKHLALPLKLLFLEPIVLLMTLYTSFIYGILYLFLEAYPVVF AEYRGISPSISTLMYSGLIIGVLLGSAIVVAFEPRYNRKLKENHGIPVPEQRLLPMMI GAILFPIGLFRFAWTGNYSSISWAAPAVSGILTSAGILTIFLQALNYLVDAYLMVAAS SIAANTFLLSLFGAGFPLFATQMFPKSGVDWAGSLLGFFGCSVHADTLSL CC84DRAFT_1188457 MEHHSGSSVIERLTVFNVFATALTYLAITIALSFLRAPKYPDSL PWVGHGKSWSAALKNTFDGFSKSRDWLHDGYRKYHKQGRAFVLPSMLGMPTEVSIPRS QMQWMLDQPDHVLSTSSAHYDTLNGDYAFISSAILKDPYHERIVHKNLARNLNAIIPE MVDEVSFNVADVCGTDTEHFKKVDLMDGFFMSIIPKITNRMLVGKSVCRNPDFLKNMM GFTTDVVLGLIFLPMIPRSLHPLVGPIYSLGPKYHYWRTRKYTLPIIKKRLEDFRKKE AGDPAYVDWKAPNDFITWTIRTAMDEGRNDELQPSRIAMRICPLNFASIHTTAITAHS ALIDILSADPSVVEALREEATRIYNEDGKQWTKNGLSRMYKLDSAIRESQRYSTMAMS LISKKVIAKEGITSPEGIHYPRGCLLSCAWLPVAHDEELYAKEDAYDAFRFSRDREQF DSMGEDEKASVDVLKLRQSGIVTTGLANQAFGHGRHACPGRFFVAHELKIMFAHLFMN YDIQPLTERPGQRWVGRNFLPPKVDIEVRHRKVY CC84DRAFT_1149671 MYPSGDEKARQDVAVGAIVTMTILGMACVALRIHTRARIVRNMG NEDWTMIVAAILAMTLAIQLLISVKVFKMGYSGMSLSMEQAVASSKLGLSVIVVYKST VTLIKASILMIYLRLSVTKTFEWLCKGSIYLLFTYQAIVIIIVPLECIPLRKMWDFSG TVPGHCIDTATFYYITSAFHILMDVWILLLPYKLIFSIPRPTREKFAVYAVFGLGAFS TICSVIRFYFLVVALNSMDPYYDSLGVNVWSVIEVNVGIICASMPTLRPLLSRAQRVR TRHALKMSEQSDGLSIPWSSKRGGLLQVKEMFITLGTVTAGTFKSSRDSVYEEEQWRD EKPPPVPPKDWREPRLSYPDMA CC84DRAFT_1249344 MHIQPSLTTARGQLPNDSCIGRPQHMCCPFIVREHQHTMIPVTQ KGANTCNYCRVRKQRCDRALPSCSRCTVKLRQCDYTWAKDVPPLQPALSSDSIPMSIG RHGNCGFDLTTRGQEELLHAGIACTKREPRSNENLSELVSDILDLSERKVSGMMEEHA TSIHQWCPLIDEDLIREGRNGAYDDCSSDLMPSPLQLLCVFILSRRPCSHAEHVNTNI LYTTIKQLLAIGQAAGDVSLDLFRAGMLTAVYECAHGLPKQAFVTLSTCAALFDLIKL SLRKPDRDPCNEEVVSSLNAAIIMLDRMIPLSSILESLPLVCPSRQTLSIYIASKIEP VIPAPSPTPYASSPRKVHIRAIVALESGRVSEYSHAAQLGVGAADTYDKVDAAVALVI KKLVDKPQPHTWLHCDAIAMAFCSHLLLQQTQIEQLKAQGTSTSGSVAAKALMALQYS RRMAWDMVHVMIQKIKKEEDLPYLPFAGVCCVIRAAIAVLETKQYTNEDAPNAEEVHN FLVVLSWFARRWSVGGEFASSTLREQ CC84DRAFT_1249348 MSDDPGQKYKSFGLDYVPTIHHDTYPAIEPTKADLKGKYIFITG ASKGVGKAAAVSFAKAGAAGLSLGARSSLTDVVEAVKVAAKSAGHPEPKILSLSLDVT NRASVEAAATQTSEAFGGRVDVLINNAGYLSDYVPLMETDPDEWWYEYAVNMQGPYLV TRSFLPLLRASSHKVLLNVSSIAAHMIGVCSSSYATSKLALLRFTEIMELEHGTGTND GVVAISVHPGGVKTELALHLPQMYHAGLIDTPEIAGDSLVWLAGKRREWLAGRYVSSN WDVDELEGRMEEILKGDLLKVRMAVNPFPDV CC84DRAFT_873838 MVNIISANNSDRACKSKLVRQRTSPWHIPLSWPYHLVAHTHPLN SSPLNLPPSSPSIPTPQQPSSSPTSPPPSRASSHPLPNNHQKRIHSTVLQTRRPYQDA QGKSAPVVEKKDGLRVGRCGEALRQPEFAHAPGRIYRCGATA CC84DRAFT_1260970 MNPAPATASAIAPQPRPIRFVTNHEGPYAKRRRINSACLTCRRK KTRCSGERPICGTCAQNKHQCAGYGDDASPTEVAKDGKKVARRESTSNAAPALKIKQE AAPQQPSRPQLSHASSTPSYNSDFSPPRRPRRHDEEHNNTALTLSTRNRMPYFRYFGP TAIMPGFKQMVVKVRGKQHGTGHTTSDPVESSPGMPSVGSPPPAESRTPTEIPVYDTS SMSPSPLITHLCKTFFAHLGCNFPFLQKDRFMRDLEEKQVDAILVDAVCALAARFSNN PLLTGGSGAMRDEHEPSKIHPPEYGQAFAQRAKSAIPDTFSCPNVAVVQAAVLLAYDE FGANRDSGLWMYLGIAIRMAQDLGMQTLQGLKYEGREGPTPKSVRTDPTVTRGSSQHI SEARRKESTVPEEQEQRAVEQERLDTFWAIFFLDRVVSSGTGRPVTLRDRDIEISFPS LNEVDPTSGWPLPFPALIRIVHLYGRVTDVLNSIKDRSDITSDLRSQLDTMEYHLTDI YQNLSPRLHFNALNFQHYVKLNQGTNFLLLHCWFHTCIVLLHQPTLLKTFEGNAQPLS SNSRDLSMSSAKTVADILSFTDLIDTKTGVGNPFTSQPIYIAACAFLKETALHSASSH PSSRPLTPSAAEAKNPFESKVISLDHMSFDKPLVPNGNAQTIKREQSQAAKHTLLASA ANQNYQCCYRAMQSLETYWAGVKYILTVLDQKAKGVGDPLLYTREEMESALEAPRPEP SFTSPGWRRKLSWGTYLTTQNIDVDAVKVSAAIRKGARTPISGSPMNTSQAIGWSLTG TMNSPSTNVAVMYTSENGKTRDGRPLQPKPTPVANGRPPFTSTLSSDATKFEPTPLKH SAPFFSFDSSAMPPPPATNINTASASDPVLVSDADLLLNLHSPYSASSPNSSRLPVQP TSFTRSSMSIPTNSTLSPQQQQASQVNFSPNFATFAPPVDQTFSDMVIDSQEVDMSVL GADMMPWDLEYLPHDMLYFGENGFGLGLPDDPGTEAPEG CC84DRAFT_1207164 MESIRPALATLRLQATRRAPRPLYQCLHTTASRRATPLPHPSVP GPPPATPTPSPTDALYRVARKKKQASLLQQAKDIRAAPKPGGALAKRFWKDVTVKEAD GGLQVFLDSRPVRTPTKEILTVPATKHQLASAIALEWDLLLSAQQALKTHYIPMTSLA ARAKDIEKADAEGNSKVRDDIIRMLMRYLSTDTLLCWAPEKQLHEVPQGGLTLRQTQM KLTMPIIAHLTTHVWPGVEIKPILEPDSIMPVSQPEMTQDVIRGWLAGIPSFELAGLE RAVLASKSLLIGVRLVHEWAEAFAQSREASDGGRFGIEEAAEAASTEVRWQTGQWGEV EDTHDVEKEDMKRQLGSVILLVGGETS CC84DRAFT_1207165 MAEGHKRSKSASVKNLLGRVSSRNDVTDTEALPESSSVTSFNSQ QPSSGSSHPHTHHVHLGHSRSTSSKHGPRLSTGMTTVNTQDANASGPTSPSYAPSPLS PTPKTTSIEQSVKLFRVFESLRNGDTAAISKAIREQANANTVDSDQSSTSLTLPNRAE GTTILHLAIQCAEVPVIEFVLSNATPGPDSVIDINGRDRDGNTALHLAATLGRAPVVR MLLDKPGINDSITNYNGQTPLDLARTPEIFQQLQLARSIFIDTNVKKVQQLLAAGDMS SLEKLLQDPRIKSTLDVNGGELVTDPVVVEAGGTLLHEAAKKKDVKLAQLLLLNGADP FRRDRKGKLPQDYTKDDRTRAILKRSPAAAAAQRGIQEKTILAGAGPQAGAAPESGMG AKESREMKGYLKKWTNYTSGYKLRWFVLEDGVLSYYKHQDDTGTACRGAINMRIAKLY MDPQDKQRFEIQGKSSVKYHLKANHQVEAKRWYWALNNAIQWSKDEAREETKRTQHDQ EALKQAKIEQLSKEGDSSSLASSKITSSRHLAPTTSLGVPLTTQDTASRSGQSVIEDG PESAYDPSVGGNELGKMVSHVGTATVEGDADDDEEYGDDTSSHEVRPQNKDAFNITAQ SAKLQLDLLEHVSTALQQEKTKNPTLQISDPMMLQALSSYESAVGNLKGLVGDLLRIS RDRDAYWQYRLDREANVRRMWEESMAKVAREQEELENRIGESETKRRKTKRALREALE DYQVGGEKRLSKGSDDFVEAEEDLAALKSKPSSQALKRKATLANIAADMSESDSEDDE EFFDAVGAGEVEVVEMPVETHNGAMEEAPPAYSGDVFDSKHADVAKGFVGYEGGVRKK LNMDDDNRPKISLWGILKSMIGKDMTKMTLPVSFNEPTSLLQRVAEDMEYTDLIDTAA ERTDSTERLLYVAAFAASEYASTIGRVAKPFNPLLGETYEYARPDKGYRFFIEQVSHH PPVGAAYAESKKWDYYGESAVKSKFYGRSFDINPLGTWFLRLRPTATGGKEELYTWKK VTSSVIGIITGNPTVDNYGLMEIKNHTTGEVCQLDFKPRGWKASSAYQVIGKVLDAEG RVRWSVGGRWNDKIYARFTPGFEDADIDKGGSKHAKHDDNKAFLVWQAHARPTGIPFN LTPFVVTLNAVDDKLRPVLAPTDTRLRPDQRAMEDGEYDLAATEKNRVEEKQRATRRK RDAHGEEFVPKWFSKGKCDITGEEFWEFNHNYWNTRYEVAQKTTKWEEHGLEDIF CC84DRAFT_1207166 MSGGWNLRDLPVSGSLLCGALGLVTSILNRSGGLLSFSNLVCSR SNTLNSTNHLPSLYRVRFHSTMTRSRLSRSTSTHLPPPSPLGTHFLGWICLLLGFLVL DADVGVALLLARKKLCFSDIDVVLLQHAIVVEVDRDSDDVVFRVRGVTHVEVRVGHGG AIIVDVFAGCILANMRGGVVNACQGCDRRRGPAEVGLE CC84DRAFT_1123513 MMHITLLAFAGTVAALTDIEHKPFMRKNIDPIVLPGKYASHMHS FYGSDAVTKDLPTTADLQKGCPSGENPNDLSVYWAPTLYYVKGDTYTEIYPATFKTYY ENIDRAEIPFPRDLHMVAGNASAKSQADINEKVTAITWWCDTGADDRNSRPRAAFPRG TCGAHMQAILRFPDCVNPNKVTEYAYAAASPGGKCPSGMKRMPSLRFSVRYNTKAAIP GGWSGVPPFKLACGEIGDGYCFHGDFINGWFEDAAQNMLKAKGQSFMAIDGAHGKGKV PFNQSCKPKDRDPDGGTSDYHRSLEMMGHM CC84DRAFT_1207168 MAAECYANNGSLYANTAATYVPCNLTAVENGEHSSCCAIGDLCM TNGLCMEPANEAKGANHYWRNGCTDKTWQDPACPNVCRGDEEPNHYNAFIFYCFDPKN EFCCAPQGTLEAGVTGRNTSCCNDEDLVFKASAPVIAGTAAAALPRVSTTISSTSSSL LSTSTSSQSSSTKSATAAGETVTSSTPTASSESSGLSSGAKAGMGVGITLGVLAVLAI VGVLFFMRRRKANRNNAHLLSDSKATPPPYVTYESAGTARAELEGTMLPAEMHHASDK TGSTNTISREIGTTTPTKQDAAITRYS CC84DRAFT_873865 MPAELCDLVKQMVYARRLAWYTLPHLAREAVYAPRPVHENVAIC NNSVVRPVTHRIINCPTEVEDCHSSIVPWNLPIEVYANREALSELGRKLVVLAGCQFY TPSPMPHSFAWTHGCCRWSDLYKLGSAVAPWRSNPDSLVKALPFPVTHSHLNINWRDL ERFRSVPGHIGLNIHHSLPSLPVSASIARKRYLHAQLRLQLHVPYCYSGQPLVVLVFR CC84DRAFT_1061631 PSQIKLDGLTQLNLAFAYIDPQSFQIRLQNPQDDGVYREFVGLK DRGLQVWLGVGGWEFSDAGPTRTTWSDMVNSATNRNAFIASTVQFLKNYGFQGLDIDW EWPAATGRGGRPEDKQNQVIFMKELREALGKDYGLTCVLPHDNNFLEGIDIKALAEHV DWFNVLTYDLHGSWDQSNPAIGAKMRPHTDLKEIDTHLEALWATGVDPGKFTLGLAYY GRGYIAADPNCLFYDCSFSGPSTAGKCSTQAGILSGCEIKRIIRDQNLTPTLIEGGAS AMQIAWGDQWVSYDNDRTFSLKKDFANKRCMGGTAVWAIDYD CC84DRAFT_1177859 MGSRLSHRSSLLKSAHLLAAAQVPASLASAAALEIAALRMATAA LRPRTVEPDARLALGSVRCPPNPQSRVSKDGTCGGYTGYTCKGSVFGNGCSRNGYCGK TSEYCGAGCNSKFGTCGVVGRPRSLAAAPRSDLLLRGRLLPRRSALLLRRVLRLPSHP SSSSTKCTASSIHSLPSSIGSSSSSVRCLSSSASSSLSSTSPASISASSSVASSVVSS SSSSSCSVSSSALSSTVSSCSPITDVTIETVTSTTITTEHADVDMVGSMRS CC84DRAFT_874150 MAPLESSATAQSNRLPYALFAGQTALVAVLTANVLHKAYRAARA LPPATATRAQVEARRRHAVVFSVLAFFSLTSVTTFAVLWRALSYLDWAHLGGHETPNT IWHGWYGTGEEGVGSWRLGDWLSDTDLIRASDEVAVAKPEAFVYTTQHFVALIGNAIF MGIEGRRRNLAASTIASFVILSATGSLGYALNLFFIIMLYTPLARHSEDHARHDALFT PKPFVFYVPVWLSVFALHTLPGQLKENKDVILLRWGYVFVPLFLAFAPQIVSKRFGDH YASKAAAHRSYSRVFYQLSIAAFILHWKVFGFAVLANTPLNETSVYDRLKHRLEEVTG TGSSTPNRLYEGLSTLALKLRPISIHPAVSVTTSDVFFASVSLFVWAFIRDLDVGAML DNSVLSFLTSNKSEKHVEFEDEPDTRALPAADSEEPEEVVPAVTPKKRGRPRKSTLTD GATSSSKASSVDPNALKRPTRRGLRKGDFSDADPDDAYEPSAAAKRDVDQMETHGEHI TDDLVGPAESTALALFLGFLGGLGQVSASVLGAEVTAE CC84DRAFT_1166081 MAPTDPVDDLSDAEANYHESSDDDFNPAAVPADEPSSSDDDDDA PAKPAKGRAKRKAVPDDELDSGDEVTIEAARRRKAKKRKGAKADEDELLLSDDGGDGG LIKTRAQRRVELKERKPLARTDGATVDVDALWTQMLAAPLRPIPPPTVQDADALSSDA GAVKPQAPQAAEEEEQVTVRKAYTFAGQRTEEEKQVPRSALEKFLSDGWKTADRAVEE GVSADKEAEPKEDGPKIRRPLRRPSRFDSNPTGYVRTLPPEHQLSWPRKAAIAASAKE NIPPPDAPKAARPEKAQKLNVVDKSRLDWTGFVDKEGIAEELDTHGKTKEAYLGRMDF LAGVEARREEERKKMKTAATAS CC84DRAFT_1219187 MKLSKTIDNWRRRSSVEQSSRWVGQHEGYDTKPLPRLTWVGFSM GVLVSMGGFVFGYDTGQISGFLAMPDFLRRFGQRHTNGDTPEYYFSNVRSGLIVGLLS IGTLFGALAGAPIADWIGRKLSIIFWCLVFSVGIIVQISATDKWYQIMLGRLVAGFGV GALSLLVPMYQAETAPRHIRGALISTYQLMITFGIFLAAVFNYAAEKHQSGNAASWQI TLGLSFVFPAILVGGILFFSETPRFLFRQGKEEEAKQTMCKVYGVGENHYAIHMELEE IRMKLAEETNKGSAIQEWFGMWKAPKMAYRLAIGMGLQMFQQLTGANYFFYYGTVIFR GTGINNSFVTQMILNGINFGVTFYGLYIVEHYGRRKSLIAGSIWMFICFMIFASVGHF ALDRNTPENTPHASVAMIVFACFFIFGFATTWGPMIWTICGELYPSRYRAKAMALSTA SNWLWNFLLAFFTPFITGAIDFRYGYVFAGCNVLAGFGIYFFVIEGQGRTLEEIDTMY LLGVKPWESAKWVVPDLDELDPKTRRALEETNPEIAAAAQRESNAVDGTEKTEKEEME A CC84DRAFT_1166085 MSADQRWTKDELRRHIDQLHGHAQIQLTERSDQNTRIRALELDN QRLREALTQHGVTPPQPIIPDTFGFPTPVTTVNNTINNITFAVSDLGTSGNNAASSQT TNTAQVQPQYVEHSPNVTTPLAMSRQPPSVALPQWRTPCRREQLEGRCRERNNCGFAH DDQRATQGMTRINALPKHSSKTYKQK CC84DRAFT_1166086 MPHATLPSPGFQAIILCGPGASLTTFTSSPKDIPKALLPIANRP MVWYPLEWCYRMGVTDITIVTPPESLEAIEAALSRDPHLTSLPAPKPDLIAPKDLTHE TGTGHLFRLPEVQDAIKSDFIVLPCDIVCELDGTALADAWMVEEAGLGAATGGLTSTG KMPTGLGGEKLGRRGGLGVWYQTKGEGSKKGEETDFIATTPLSTPIVPPPADSLRRNV SNLVYTAPTDTLNDITEENKTLPIRHSLIRKHGRIKMLTTHRDAHIYFFPYWVIEMIK KNEKFESVAEDVLGWWAKSGWQTGLGDKLGLRSILQPEDEPGSDHGSQVIEEEIDVAK FSSTYAGPSQAHDTSQTLASRVRDSSIAQGVQSISKPKLNVPPMLSYVQPSTADAPLI RRVDTAHLLLTISLRLAKLPSLEETNGNPASPFSHQYKVAHKNLIPRKCRVEAENSLL AENVIVAEKTNIKESCIGANCKIGEGARLLRCLLMEGAEVGENVQLTDCILGRRCKIE GGDGKSDDRTVLKDCEVQDGQVVEWGTESKGEKFMRFELPEAGDGDFGDFGDDEADEE DGIALG CC84DRAFT_1166087 MSFSYDRYDRRQSSSSRRGTFSYWVPLVFTVTVATAGLAAWVWS ERRDDEESNDDDLSYGEEDFRKMPEPRDGAAREDETIYSRVQGVMRRTPSPQQLFDTV SKRTAAGFAAAGAAAGAALASIREEGKDDYPDHSRWSEEATLRRNVEAQSEQSKTAVD TNAKSFAASVRGGPSSGGRRKTVAIVVSAESLMDDIHEEHGSYRSENATILSHLNDTD FSRTKLFVLVYTPSLRSRPNSPNRAASLGSSYDAISNPARTPGEGGPEGLSAVEPHPE EPYTPALSARSSDDHLWNTLRSQALRLVENPAMVMPFTTPSGHVHMLRHIAPDLVYIV DALSGPSGKNISDIARWVGQTIVVVGSDGTGLGGLVDTDDESHTGKGKSEHSGHGNRW WESADMVGLGKGVEVVDASRLTDDYERRVGGRE CC84DRAFT_1166091 MQPSAQQIGSYNLSSVLNHEPTHQQNSSPQTTPQTTADAPMATA TVSLMAPLLQNAQQDEQRPSGDLPRPYKCPLCEKAFHRLEHQTRHIRTHTGEKPHACT FPGCSKRFSRSDELTRHSRIHNNPNSRRGNKQHAAAAAAVAAGMIEPNTMAHMMPPPP SNKVISRSAPSSNIGSPNVSPPHSFSNYSPNVSSDLASYRSGSNSSSPNGLARNIDLL ADAASRLEQRHGHHYSYASRHVTSHGYHPYQNNHRLPGLAQYAYSSQPMSRSHSHEDD DPYAHRMTKKSRPGSPLSTAPPSPTFSHDSCSPTPDHTPLATPAHSPRLRPHGFNDVQ LPHLRHLSLHHVPALAPMEPSTHTEAPYVPAQNSGGLRIGDIISKPEGAQRKLPIPQI PKVAVQDLLNAPSGLSSGNSSTTASVAGNDLSDRF CC84DRAFT_875699 MLLTPAPIGQLPRCVLRNKPQTNRRQRSGERIGALGPRFRALAR QQRNDNPTEDLGPAAASRSSRARRHLARSRRARLRRIVSDSSKVSRFTYVHMHAAPTL DRIPVQHRVLLVNNYQTAPYQVSGTRFVTRVDLSLAQRLISRSSRRYFGTLKYVYAWS ARCSASSTIH CC84DRAFT_875637 MTLVKRLQVKLAHALRSRPPKLCPACAYLLFEYPGIPLARDPTA LHRAWSPPVESLHHHVIVSCSRSSRTYRAGSYSFPATFQNIQAVFHRCAAKPPPANNP PHRLSVHPSIVHIHRGPLKTCTSIKHADPCILPCTPSPARISHSTLFSLSGPQRAKYH VPQSQVHMRVPAN CC84DRAFT_875744 MSNSHCPKKHEITFPQQVQSGHLRPKRPSFTCFLVVHSYDPSTA IQKSLHTYIHVHTARILTCSPIALTRPEHLTVRISLPPSRTFQTFLIPSPPRPSPPSV SPASLLPAPMDVLVRPRNTVPAHLHSRFLLNLQHDESHRRALHLPPRAVLLSADLGSE ERATTVSCDGPNSACCRPT CC84DRAFT_875761 MCASERDLRKRTTRPHYRDCRQKRSPAPAVFTLSLPGPGSGLSR FCRSDRAYEASCKLGRSADVAALRGLVFVFGHHEHDAWGICFCVIRYECGEEIRSLLF AYPLYAVSSIPCSLLPRLATAQKATALFRHAAPISASGGYRKGIGMSARETKFVEPDL IVMLCSISVTRRLDHAFLYSAKGLLFLCSED CC84DRAFT_1166093 MANRDVAMLSSSAPQSSHRLPTVSASQALETLHARGSRTVCTGL PQLDKYLAPHGLPGRAVAGGYVRGKVTEIFGPPGVGKTAFGIQAAVSALREGQKVVWL DAACAPLVPQRFTNVLLADGDPVLQASTAPPKVPGTSRMSPDEMRSHFYHYAIPKLAH LLALFMHPPSSFPPPNTSLIVIDSISTLFDNAYPRNADDRAAKNRSDQARWAAGRKFA VMNELISTLTKLAAMHDIALLLTSQTITRIRAGSRALLVPAISGAEWENGVSTRLVLF RDWVPGQGKWTKADAAKLQKTRFAGVLKANGVGLADDGGVGTVVPFAVEKV CC84DRAFT_1197504 MASPNALDFLLSSIEKTWHDVGVRATPLALLTTSLALLLPIISL YLLALSQREQPTPPPAGCRKLNKSGTSNLSDQFAKKYDHGGEPSASNAWKVKALMVYP LKSCGGVELEKAEVGHTGLKYDRQFTFAQQVTSLPTLEGKVKSEWTFITLRQFPRLAK VEVEMWVPDPKAPGYHKDGEWVKSDGCIVARFPFSPDTEFSVAGIKNYGKILAAKLGG RSEPMVEFRIPFDPKKDIIAARGYRKEPVKIFDDVPVALNVGCDLSDEIVDQLKYTLG VSNPLTIFRIDPDSPRLVLQNAPKKEDVGFQTAIGMQDAHPVQIQNLASIHDVSSRVP SRFRPLNALRYRPNIIFTGPPAFEEDEWKKARFGSLTLDISCRTTRCKLPNVDPLTGI ADRNEPGTTMRKYRVVDKGSKAACLGMQVTPLDEGILIVGDEIKVLATGEHYFIE CC84DRAFT_1166095 MLLTVLTAASYLISALLLYSGTVGVLWPLHTARTLFAVPNATPD TATFYPGLAGRNVTCGLAILTLLLQGQKQAAGVVVVCLLCNGASDCLVLVRREGGERL EVHVFNMFLVGAVGTGLVFLA CC84DRAFT_1219195 MTDVSSIKAHADHQPASVAHYGPPPPAFTNGSPALEGPIEEEES STIKCICGFSDDDGNTVLCEKCDTWQHIVCYYESASHVPDVHECVDCLPRPIDRKSAL EKQRQHRELHNIGERKGRPRTTTKSHKKRQKDPLGAVQPNGWAIQSNTDLQYNHDRKS GSPRDLPPPAKRPKTSHRPSTSIVSQAPALAPASRKRGSSVMLNGHSPVKSPVNPEGP IEEFSLEFMNLYRQAEPPSTDTNSFTDLRVTNDIASWLNDRDALAEATEGKSPAEVFQ RIDQSIEDMEKSLAPVVIKQTEEDPNTKAYGLHPQWHLITVETPVAKEGFIGELKGRI GRKEDYYNDPTNRWQLLEHPEPFVFFPPHLPIYIDTRHEGTILRYARRSCNPNMEMKI LTQGPEGGAHFCFLATRDIEPGEELTVGWNINSSIMQQLVKVVTNGDVKKEGLKKIQP WIACVLANFGGCACDNTTGHECLLQLARRTATTYAEPVQPSKSAKGRKTKKNQVSPLS TGHATNSRAGSEAIHRDINEDENGDSRSTSGSHKCSSRDITPATHFSLDDGNGKMSER ERRKLQQQERLFEQMDYEEQHKGKRGKRHSAGSTLNTPSLSSSKQLGHNEPSPSSRHP REHSNGVARKASGGSSRANGRAPAKPKPVYVDSSTQTDDNGVTVDAPAPTARPTRPLM TFKRRLLQQAQDDKIQRERIRSASVKLEMNSPALKDVTSSKPSPTAPSVPLEEPVAMD ISMETAPIEKPKDSTSVHESAPATAADVEMKDAENLAAPRPFTPKNEEMPDAPNADVS PATSSPHIQPPAPPWAPSDAPADDDSAPTASRPNMGEMRVEMPANPTLVIPASNTAVT PGATPNALTAGALAQSPGGVGIALSPFSPAVTSAITPGPTRKKLSLSDYTSRRAKLAQ QSSTASGTPPLNPTQSTSSPTLSNASLPNNTSPPAKSVEPALPPLAEETGPITTTMTA TDTATATETASTTTTATTTTTTTSTGT CC84DRAFT_1096420 MQLLVGLAGWLVYLSLTSHVLSVPSPQSIRSDLTILTHNDLYGN SSTRQAATIVLSARGSKSNAETGCKALQETLWDPADLAEADFLRYLAYEKAHDDIDQY WIRSRSNTGCKAITTLGKIKTVPCNKQLPALCSQSAPLSSTSSNNTGLEWQIAVRTGD GTVIGYRDKLSFRFLGLKYGSFPSRFTYSAYQAPIGQVSALAYGPGCIQSSCTDAACS EQCLYLNIWTPHLPSNARSSKKAVMFWIHGGAFMSGFGSDTTFDGGSMASRGDVVVVT INYRLSTLGFLATSQSGSHGNYGISDMVAALDWVQKHIEDFGGDKERVTVFGQSAGGA SVRALLASPRAKGKFSRAIMQSCPGGMGYSLYPSIAEAANKTNAIYAANGCAQQDEAG RLACLQKADPAKLVKGATIWNFPVVDGTYLTSSELLLDGSGPASDVALITGVMHDDGG PFTSFSKSLNASQKLTDQGYDANDILGSGLFPVPQSGNATLDIFNLTARVTTDVSFRC PTQSTVFSAVKNEVFPVVYSYEIDRGLQLTTWSPNPTTCEAPMTAEHPLGDPNLPYWK CHSGELYYEFGTAIREGRQPRDQEDVPFSQYLLDTWTAFGRTKNPNPDMKFLQARGFT NTSAVLKKTTPWKPTTVKDLRLRVLDVQPRDEGFREVKQCEVLKLPTDYYLSSDHPAD ENPLP CC84DRAFT_1166099 MNDLSTLLGDPVTDPEEDAFLVFSQEVPFQSNLGFIDSHAAELK VSIGGRDLTIRQSHGLLTSSRKQGTTGAVVWKVTPLFAAWISSPNFLFKCGFLSPAST AIELGAGVAGVVALTLAPLINRYIATDQEYVLKLLKQNITDNLPVTSTKRPKTKKKTS RSVPEGKGSIETLELDWELNSVSSLPTQLGLHERAGVDLVIACDCIYNESLIEPLNNT CAQICKLRSHEEQDKPTICLVAQQLRAHDVFEAWLKSFHEHFHVWQVPDKLLTPSLRE KSGFVVHVGIVR CC84DRAFT_1123550 MPRDLKKLMPQARSEVTQPLTAEVPGLQKIDGETIPRRNAKTVD ALRLTPNDDIKTLYDVLKYSSAKFGNAKAVGTRKVVNVHEETKKVKKMIDGKEQEVDK KWQYFELGPYEWKSFVELEQLCIHVGSAMKHLGFVPYDRIHLFGATSMQWLSSAHGAF TQSMSIVTAYDTLGEEGLKHSMLQTKAKIMFTDPHLLPKLVKPFNDAKDIRVVVYSTK DKAELKHIEALTSAHPHLKVISFDDLVTLGKEHPAEPIPPKSDDLACIMYTSGSTGTP KGVLIKHSNVVAAITGVDVIVGQYIGPGDSLLTYLPAAHILEFVFENACLYWGGNMGY GTIRTLSDTSVRNCKGDIRELRPTILVGVPQVWETVKKGIVSKVEAGGALTSKLFWGA MAAKQFLLGSGLPGAGLLDTVVFNKVKDATGGRLRICMNGGGPIAKETQRFISYAITP MISGYGLTETTAMGALMDPLAWTDQALGETPASVEVKLVDFADAGYYSTNKPPQGEIW IRGGGIVAGYLDLEQETKEAFTDDGWFKTGDIGEWDSKGQLRIIDRKKNLVKTLAGEY IALEKLESVYRSAPVVANICVYAADDQMKPVAIIVPAEPALKKLAHENGIKGDSLEEL VHNEKLNSLVLKQLQTKGQQGGLAGFETIAGVVMADEEWTPQNGFTTAAQKINRKVIL QTYQKEVDKAYGK CC84DRAFT_1149715 MSIWAPTQTYTVQVGNGDHKFKPDVIQAEVGDIVEFDFYPVNHS VIRAEYEHPCIPYEMTGRGKVGFWSGFHAPDALLGDPPKYTVRVNDTAPVFFYCSAPD SCTKQGMVGVVNPNASTSLAHQRDLALQASYQLSPGEPFPSEAETPSSTLPNAT CC84DRAFT_875953 MDTTFITIHDLSEEAHILYSSDSIVDILGHTPDEVVNKSVWQFF HPDEIPIAKQLHDRSVRLDKASVLSYCRIKNRQGEWVGCECCFSIVYDVMVCCTSIYR QGMNSQKRAAEAPIVRKLFSSSPEDPRYHMLSHLSSKFTLGPESQTHEPRAALFLNRF TRTLTVMYATSGIEEILGIPSDEMIGRSFYFCIAQNCLNDAVKCLESAKGNDSIAYMR FFFRDPRQDDPPNPLSSESEDDIMTDITSSDDESEASTTNGETHLSRGEFSNSRSHAS NSMDTNGGSNSREPSGNIADRSNVHSEARSDSSSLAAGSPYSDAREEAIELEAVVSCT SDGLVVCLRRARPAIPTPTAQPAVNNGIFAAPWAQQPMFAPALPQLPPYPLGAVHPAM AQAGPPPAMQSTFMQAIRDVAVFAWALVGINGSLAEYADSRSKPVGEAQPPDGFPIWD PSHRPDNRDKHSMAPSGYASGSGSSSSHDPYGFGDPGLK CC84DRAFT_1207187 MAASDNGFGGEQTTSRLAQARERPVGCCGKAWPGGRCGAAVAVR GSTQLHRGCALGGRHVAACRAQGTVRVTQPRTAAASHAGQTADGRRQTADSRYDDASA YGLQIHRRPRLPVPTHAPSPLTAPWREIHHAPRAARTQPLARLRLQCCSARTLHTDSR RGLSARHTPLLWARGRQTLERAVTVCAVGLCPLEASSSGQVNSGRRPSPRLPAATGAG AVSSPLLARPASWPLCNCPVLILESHSFTCR CC84DRAFT_876375 MARLSLLLIAALHLGTALAACSTSPQKWIAHDKVKGHEGSPKVP GGVAGQLIKKYQPYLFVETGCVPFPAVNSNGELNSGLKHSGAMNGKCSKSTGQVYARA TMHKNRYAIMYAWYFPKDQNVDGPGNLGHTHDWENIVVWLSGKTSGARVTGISYSAHG KYRKYKGGSTPKVKYSNIGDGSTHSLWKTDRTGGRQPLIDRGQLSGKARAALEKANFG SANTPFIDCRFKSELDKAWSNDF CC84DRAFT_878083 MAIPDRIGPDHDLKRTFGEKVRRVVKAFTTKDGLVGNYDYAFLF KPNLPFMKRERRAAPFFGLHDRMPVFLALLLGFQHSLAMLAGIITPPIILSGAANLTL EMQQYLVSTSLIVSGLLSAIQMSRFHFYKTNYYLGTGLISVVGTSFATIPIATGALAQ MYQTGYCPVDADGNKLPCPDGYGAILGTQCVCALLEILMSFTPPRILKRIFPPLVTGP TVMLIGVHLIETGFKNWAGGSNDCAGRPETGLFQLCPTINAPRPLPWGSAEFIGLGFS VFISIIICERFGAPIMKSCAVVIGLLVGCIIAGACGYFDRSSIDSSPAVSFIWVHTFK LSVYGPIVLPLLAVYMVLAMEAMGDITATCDVSRLDVDGELFDSRIQGGILADGINGV LSGLCTITPMSVFAQNNGVIALTRCANRKAGFAACFWLIVMGVFAKFAAALVAIPSAV LGGMTTFLFSAVTVSGIRIISTIEFTRRNRFILTAGLTVGLGATLVPDWFSYVFTYSG PNHALLGFYNAIVLVLETGFAIVAFINLVLNLVLPEEIEDEETPELTADEVDGPAEGV EWRGKQKGQSTDGEGDVEAVKA CC84DRAFT_1095522 MPSHQPVAGMTAIDPQYVSQPSPMASTSEPNRNSKYDPKKPHIT DLPITRGNWYKHVNWLNVFFIVGIPLMGCVYAFWTPLRWQTAIWAVIYYFWTGLGITA GYHRLWAHKSYNASLPLKIFLAAVGGGAVEGSIRWWSRDHRAHHRYTDTTKDPYSVRK GLLYSHFGWMLMKQNPKRIGRTDITDLNEDPVVVWQHKNYLKVVTFMGLIFPTMVAGF GWGDWVGGFIYAGILRIFFVQQATFCVNSLAHWLGDQPFDDRNSPRDHVITALVTLGE GYHNFHHEFPSDYRNAIEWHQYDPTKWSIWLWSKLGLASDLKQFRSNEIEKGRVQQLQ KKLDQKRAKLDWGVPLEQLPVIEWDDYVEQAKNGRGLIAVAGIVHDVTDFIAEHPGGK ALIGSGVGKDATAMFNGGVYLHSNGAHNLLSTMRVGVIRGGCEVEIWKRAQKENKDVQ IAKDEMGNPIIRAGNQATKVHQPIVSASAA CC84DRAFT_1095526 MTSAESDHEGPPTKRRKLDLDITDTGCTPPSISEEAVTGEANTT SLQRPISPPPLRSRHHTPRSPTPPTSQLHLLVDEHVSESSRAPADETAEPLCSPTELK FVRSPFQLTRIRDLAPHQNADAVGLRDILGDPMIKECWNFNYLFSVDFVMSHFDADIR DIVKVKIIHGFWKNEDQRRIALLEAAERYPNIQLIPAYMPDPFGTHHTKMIILFRHDD FAQVVIHTANMIERDWTNMTQAVWRSPLLPLQTSPTPSLANAAVPIGTGGRFMKDLLC YFNAYGNRLHALTEQLINYDPSAIRAAFLGSAPSRQKPANARPSSQTSFGWLGLKEIL SAIPVQPKTSTPNIVAQISSIATLGATPVWLNHFRSVLNRTESPIPTIFSPASKSPPP TLNIIFPTAPEIRTSLDGYASGASIHTKIQSPAQQKQLEYLRPHFCHWKYQDPPAPPA LCTPRQQRHEAHRGPAAPHIKTYVRFADERRTTINWVMATSANLSKQAWGEVENKKGE VWVQSYEAGVVVWPGLFRDGRDGGDAMMVPVFGRDVPIAGDVDGMVGEGGEDEENGKG GCSTVVGFRMPYDLPLSPYGEDEVPWCATLPDSEEDWMGRVWNGY CC84DRAFT_1096085 MDVTNLEAAFERITVNDENDDHASYHKAKKLAASNSIKNVNASI AKVTITSSSTQPQNPGHRRTLTESALYSQPSTAKQWHLGMFEIGKPLGKGKFGRVYLA KERSSGFVCALKVLHKNEIQQGKVEKQVRREIEIQSHLAHPNILRLFGHFNDAKRIFL ILEFAGKGELYKHLRREQRFPEPKAAQYIAQMAAALKYLHKKHVMHRDIKPENILVGI HGEIKISDFGWSVHAPNNRRNTMCGTLDYLPPEMLLGRGKDNFYSEKVDLWSLGVLTY EFLVGEAPFEDTQVMTQRKIVRGEYTVPNFVSPEARDLIKKLLVLDPEKRIALEDVER HPWIVKHCKGSERAYERASGGKFRSSSERES CC84DRAFT_876561 MMTLNGAPVDATAPGHADTSITKDGFKITTRKLPILKAGPIEDM TKRLGIAPPEMIFGDNFMRIEHKPSGWHIEFNAFDALDRVDKTGDSMLKVSYSKEWQQ NRQKQFEDIKEVVKPFDWSYSTDYKGSTPDTPALQPTETPIPLALLKRPDPIHFFDDV MLYEDELADNGIAMYSCKIRVMPARLLLLGRFFMRLDDVLFRIRDTRVFVEFATGEVI REYTAREEKYETVRKTLAGTREDVLSIMRDPNRLAEFIPVVEKSSDRVVLK CC84DRAFT_1166110 MPSAKFEEVYKKTREIKTGPSNDDLLNLYAYAKVAQGEDIEKAP KPGMMDFTGKAKRKRWQEVVDANTSQADAEKKYIELGESLIKTHLK CC84DRAFT_1166111 MAKQEDTPDGVPNSASAKPAPRPEAPKLDPIYYTWSSTFSILMG RYVTGNRDVPLERKYFEEMDAIKADSYCKRCETYRDYLLQYSPIVKFMKDEVGKLGGD LNGKNIHCRMCTAEQSGGFHVDHGIMLCANKFRNRGHQEDTMAHEMVHAWDHLKFKVE DNNLRHQACLEIRASTLSGECRFAREFFTKNQWRITEQLQNCVRRRATLSMLARPGIK DDVHAAKVVNEVWDSCFHDTRPFDEIYR CC84DRAFT_1219209 MSAHLKKQPGQLTVVIKLGTSSIVDEKTHEPILSILSVIVETAV KLHKDGHRVVLVSSGAIGVGLRRMDLDRRPKHLPQVQALAAIGQCRLMSLWDQLFGHM RQPIAQILLTRNDIADRTQYQNAQNTFFELLHMGVIPIVNENDTLAVTEIKFGDNDTL SAITAGMVQADYLFLMTDVDCLYTSNPRRDPDAKPIEVVEDIADLEADVSSAGSALGT GGMSTKIVAARLATSAGVTTIITKSSKPGNIAAIMKHAEGQRLARISSRNSVTNFQDE GVPLAQTASLNLHNSTHDVSSPTEPTHTRSHSPQLEAEQVPLHTRFLPISQPIRDRYF WILHGLAPHGTIYIDAGARKALSDKAGLLPVGIVDVDGQFAQQEAVRIVVVKRLPAAS PKVTPKATTPIAPIPSRTAAHPVLNSGHAPYTQPALTHSMSSSAIITPPLYELHDPAP FEIGRAVVNYSASEIRRIKGLHSTQIHEALGYADSEYVALRENIAFFGIEKSRPNTPS AGRSENREGGGVDVH CC84DRAFT_876608 MFLFSASVLNFCASVGLLVTWLGSLLVGTHANAKAQHPCQYFLS TSWKLQCHFCSWFITLPYLENLLPDTSNTCSISCLL CC84DRAFT_1219210 MSRTSRAQFRLPTHLKNSDTPTPARFDNQLCHGQWYLLRTSNQY WKDKLNIRTECLPTGSDCFFYQVKSGGAVKTMKWNTKAVEGEMATFVSQGTGLMRLIG AKWEVIGWSNPVVLDGESQPGGPAWQVGYQHGTLLTSAAINVSCREPGRISEEDWTAI EHWLAGIEDEGFRRAVEELFTVTHE CC84DRAFT_1249432 MTAKTSTLGPESKGIEPPQYCAPTKECLAVRLQTVENTCNQPQG LYEPIVCTKGHYCPRPGDQRYICPAKHYCPLGTESPVKCGPLSVCPSGSSREFHLDGF VVALVLDIILLIIIFVPLHLRVHKLDFKRYRQDSQSSSEEDLEAAARPTFLSMPSDHE TDYPNISIIYQDITFELSESTRPLVSSISGSIQRGMLCGILGPSGAGKTTLMRLLMGK LEPTKGIVEVNGMQTTLSRLKKLTGYVPSDDILPSHLTVYETILHACRVRGPRKWSDE QRSVATQSIMQSLGILHIQHQLVGDQVMSLISSGQRKRVSIAMELIAAPMMLFLDEPT SGLDSTTAMALIDLLKKISETGVIVVCILHQPRQEIFDALDHVMLMADGMQLYEGSPG EAAGYFCSQGFDIPKDANPADCLLDIAASKARSASPHIGTVNMAQQLARMWEQQQCIQ HEAIQHTKDKTESNDLSRDIVVDLEHTAALRGASWLRQVQYSHVRAMKQQLRQPISLL LEIAVGGVAGLLIGLGLYSNKGMHFQGVYLTPFEMLSSAVDYSTVPKIGNMITLAIAL AASAPGVSTFGDEKSLYWREAAAGHRRSAYFTAKVLATIPRMALSSLHFTSFYAILAT PSINFWLLYVLVLLYFYCVYGLASAVSVAVKRENGALLAMILCLIIGAFGGYAPMLSN VQSWHLEWLWRMCPGTWLTEAYFDLVLKRVEHLYDVHAAAAWTGFSLGRTSLDVLMIL VIGTFYRALTFVGLVYFDRDKQR CC84DRAFT_878396 MRITIRDKCSTNASSLFIQSTYRISRHFAMLFSNSTFATFPLDF GFDRPTVRMLSQPQHHSNEHMNHRALSVLVFCILAFPMAFKFTFTREHTPNDRPLLDS SIYISGFFRPIVSIFNTVYFVLSPKTILLYCTIAHGKPTPSRMDLVNETVHLVQGKEY INRLWRHSFGSRALSLHVYLFKHWFAMPQKIIDKYLADDSGSGPKPHPLSSVETQNRT DRLSHSVFKDVLSGSDTASLCQRYVLRLCKALDQSDISMSWTSQPDLVDFVRGALTLA NTEALWGTHLTETSDFCGDLTEFFQDTRKYTYQLPRWLIPKAFARRDRLLSDLHRWQS FATGVDGHVAPWEDSKYNDGKWGSKRLRKWQADFLEMDDADAAGLASVHLTFAWAVNV SLGPAAFWAVQEIFRDPTLLERVRSRLPRAQSAADVTSLLSQAQTREEICADPLLQSI YTEILRLRVCAFVVRRFPHDSVDLHGWRVPRDQICLVSTHIAHMHGDEWNTDGGKEPL NRFWANRFLVLKTDTDTKLAPPATSKLCPEDPWKFSLRGLEGVWIPFGGGPRACPGRH LAKHHMLVTMAAMVMLFDIDIAASDRPLRASHANHGLGTLLPVGKVPFSIRRRV CC84DRAFT_878398 MENLLPTSYDDATIYKIDHAGRVSREIFQTIIGFFFALATIALL GRVGVRLVIRRRLFLDDYILLFGYAALVSATAVIYRFCHLIYVLNAFKYERTVIPTLQ DLQAIGQAQAINYSLLAAIWTAICSVKLCFLAALKSLIANVSIRVTIFYWFAVALSIV TWCLSWTIAWIICPYVGVELILHCSPETPFVKTLALSIMVAFLDIVTDLMIVSIPIWI LRNVRLRLRQKFAIAVFLCLSIVMIATGITRMALTRFKGHDDYTSEYLILYLEACIAV LMACLAAFRSVFVETKRREQEEQLRLHDVADRRAIGRRPENLRGRIQQMRAKANEDAD LQASISGNRSYLPRAKLEGPKLSGVLTFINSLGSRSQSAKSMSSKSRSGALSSVDDME TDGWTLTSTVRPSDVGFERLQTGTGNDVRSIGA CC84DRAFT_1219213 MAQTTQSAAFSSTAVDRTKVGNKRPEGYSRHWEQDGEALPDDED ARNTRKQKYEELVNDYYDAATDLYLESWGQSFHMCRYPRGPENKEKATARHEHYLAHM ISLRPGMRVLDVGCGVGGPAKELAAFAGCQVVGLNNNGYQVRKAAEMAKAEGMEKMVE FVKGDFMDIPFSDAEFDAAFALEATVHAPSLQDVYAQVYRVLRPGSVFGVFEWVLTDN YVSGDPAHEATRSGIERGNGIPSLQTKAVARAAMKNAGFELLVTEDLAEKQDEIPWWY PISGDVKHAKGFKDWLLVIRNTQWGRVGVKIIVRILETVRVAPKGTLKMTEEFITAAD ALIDGGKKGIFTPMFLMVARKPDA CC84DRAFT_1123594 MFLHQLDLTTALRPSLLPEETLLFVQDAVGLYEGKYKIPQYQNG QAYLTSHRACYVDHSEPRQNSVSIFLKDIERHEFYAGFLKSSPKITLYPRPLKQLSRG ASNAANNASNTPERRGSPASAAPSVSATWVCPICSFSNPVPSNFDPATANARTPLPPC LACGIKPPLVHVFKAAVSAMSNRQASAPPPDYRDGSSNAPVPISSRLSSSGSGTIPCP RCTFQNHPSLSTCEMCGGPLGSVVDKRLDIAQSIGRAESPGPSLSSPLKDETIQSIKF SFRGGGDKIFYERLKNALVQRKWLLQSAPPIPKPRPASGSFEDAYSGNADTPAADPVR SKVVGIAGLERRGLEQKMNNEAMIGGAFEDLEALMTSAKEIIALAEQFSSHANLGTNG SSDANALASASALGLVTTKDMLGNGSGSESLYVSELSRNLAEWLTDDTRGVLRREGGI VTLVDLWAVFNRARGGVELVSPADFEKAARMWDKLKLPVRLRQFKSGLLVVQGRDRTD EKTIASLLAWLKESHNDHATDRAWDVQAFGRGVTAQETAERFGWSVGVATEELEMAEE AGALCREQDLEGIRFWENWLVKTPFHTIET CC84DRAFT_878537 MALPTTTVAWSNPLQPPAYNPVAARTTLARPPPAQPAAVPSEKP KKVEWTPEVRDYVGRAFEPENTIAGISKEDTTNKLRDVLSYFAERSQLEGIDWSTYPL PQELLRDERAAAWAQAQNNSLLSSPHGPANSTFDTPQSKKRKSQDKTDLSESADASVP PWRRTNLESRITYANGHSEKRHKKNALSGDATSKFDQADLEKRKQRFQLSKNGNSSTP PWNSPKPDSDADMDNTPCVGTNMSLEKSYFRLTAPPKPETVRPQHVLEKTLAMLRKKW KADKNYGYMCNQFKSLRQDLTVQHIKNDFTVKVYESHARIALEQGDMGEYNQCQTQLK ALYSQNLAGNPDEFTAYRVLYFVYTCNKTDMNDLLAELTPADKIKPEIKHALDVRSSL AVGNYHKFFRLYTIAPRMGSYLMDMFIERERLHALANISRAYMTVGLRFLTDELQFGD DDECREFLESHGASQAIDKKLDEHGKPQFRVKVKEAASVFESLRQAAFALVDIKGQK CC84DRAFT_878510 MKFVRRSVKGEKDTRPHHISIPPKDAIAIVPPKKVIKALYDYSG PPDAAPNAGFLSFSTGDFLHVIGRENDKEWYEACNPLHGARGLVPVTYFDEVGKTVRD SAGSAKSTPANQNAPHDSGYQERSITSPTSTDAGGSAGRMSRTGGMGGMSGMGAMGGM SGMAAMMKGTGAMVYGIVMYDFKAERPDELEAKEGEAIIVIAQSNPEWFVAKPITRLG GPGLIPVSFIEIRDMTTGKAVTDPHEAVRRAGVPKVEEWKKMAADYKNGSIPLGKLEA NSQQTLQQGMERMSLSGKSQAGGASNGGYGQASSVSSHSRSGSLAQQPRQDQRASGSN LCAPVKACVPRYCFADDIFWFIIECQMEDGRHWELQRLYQDFYDLQIQLIATYPQEAG TTGGERTLPFMPGPVTYVTDNISNGRRANLDEYIKNLLRLGPHITRGHLVKGFFAPRS GDYEIDPELVAQEEYRLSQTSGQSSNPSQGASRQSSADQLQATTPQTPYSTGSNFPSH QRGMSMGSTQGGLNPPPMQHQHTGLSATSTSTSTGVGSALKIKVWFEEDNCVVIRMPL SLRYSDLYTKLKERRAVEHPSERDEELIVEYRDEMEGQYYPIENDEDLQIAVERNPKL SLSVMTKR CC84DRAFT_1095740 MPAALPSPPLPTPSISAKSAKGESPRSWNTQKLGLRVGADALAA GAAGVLVAPIITMIDKGIIENASGRNTLGESLKKSARELLVRPHRFLGSKPFALIFSL YFGTYFTANTLDTVTSTLHSTPPSTTTAGTPKFLATSSANLALCLYKDSRFTQLFGSP GSARPVPLPTFALFTLRDCLTIFASFNLPPLLAPSFASHMGEEAQKWMSAASVAQFVT PAAVQVVSTPLHLLGLDLYNRAGVRWGGADGRARRVLRDWGMASFARMGRIVPAFGVG GVVNTKVRRGLMGRLE CC84DRAFT_1166118 MTCGVGYFLFADVFSPDSKTAHFNRATTAIRAHPECQRLLGPGN EIAAHGEASWSRLARNRYLSSSTETDKWGTEHLRFRFYVEGPLGQGVAHVHLTKRPSQ NEYEYHTLAVDVKGHQRVYLENADGKAGGKVAPKIFGARWW CC84DRAFT_1166119 MSSNKKSSLTGYLPDIIMAMAAPAIAYFIIRNLLARLDPDAQQK EEAKRKASAANRKLGAILSNKARDAYDSDSDDDYERRRRGDRPRIEDLNLTAYEQTIA MEVVAPEEIPVSFDDIGGLDPIIEELKESVIYPLTLPHLYSHSSSLLSAPSGVLLYGP PGCGKTMLAKALAHESGACFINLHISTITEKWYGDSNKLVSAVFSLARKLQPSIVFID EIDAVLGQRRSGEHEASGMVKAEFMTQWDGLTSATRSGSGDPQRICILGATNRIQDID EAILRRMPKKFPVALPNAGQRNKIFSLILRGTQIDKQNFDLDYIVRLSAGMSGSDIKE ACRDAAMGPVREYIRKMKKEGRLRQGVNPQDVRGLRTEDFFGRGRGLREVDSPELDDV ADEVVNVKEGGGRRIHTTEESDTTSSSSTESGEDKFRDSAYHDAEGARVR CC84DRAFT_1166120 MRLDVKRQLFARSERVKGIDFHPTEPWILTTLYSGHVHIWSYVS QSIVKTFELCDVPVRAGRFIARKNWIVAGSDDFRLRVYNYNTSEKVTEFEAHPDYIRA IAVHPTQPFVLTASDDMTIKLWDWDKSWKCVQVFEGHGHYVMGIAINPKDPNTFASAC LDRTVKIWSLGSSTPNFTLEAHEAKGVNFIDYYPQSDKPYLLTTSDDRTVKVWDYTTK ALIATLEGHTSNVSFAVYHPELPVIISGSEDGTIKIWHSSTYRLEQSLNYGLERAWCV AYQKGKNGVALGFDDGAVVISMGREEPAVSMDAGGKLLWARHNEILTAVIKGNETLKD SERLTLPNKDLGSTEIYPQSLLHSPNGRFVAVCGDGEYIIYTALALRNQAFGSALDFV WASKEHDKDYAIRESSTSVKIFRNFKERSVLNVGFSADGLSGGVLLGVKGQGGIGFFD WDSGALVRRIEVEPKSVYWSESGELVTLATDDTFYVLRYSRENYLEAVQSGEVDEDGA ESAFEVVCDINESVRTGTWVGDCFIYTNSTNRLNYLVGDQTYTISHFDAPHYVLGYLP RDSRIYIADKDVNVVSFALSLAVVEYQTLVLRGDLEAAEEVLPSVPKDQNNKIARFLE GQGYKELALTVATDAEHRFDLALSLGDLQQAVTIAREQDTEHKWKTVGDAALSAWDVT LAQECFVKAKDLGSLLLVYSATSDADGLRELASLAEAATANNVAFSALWQVGDVQGCI DLLVKTNRLAEAVLFAQTYKPSAAAGLVKEWKNSLEKEGKTKVGRLLGQPPIEGEGDE EMFPEWEEYLRLEKEGGTVEDLAVGEAEVEEDADGVEEEIAEEAEDEEEEEEDEE CC84DRAFT_1094910 TSLPTASTDTIISIPTSEVSPTISTAVPTSIPTTAGITGPGTGV ESSTSAPTATDATTSSVPIPTGVTTSSVGTGATGATSSPSPTSEPSIPTSEAPTTSVT ESSIPSTSQTPETTPFTSSATETSAPAITQPPTKTTQPPQSLVPITSDSATTLVVPSS IVFAPSTFSTASQSAMPSGVAPWIQPPQGMPSEVPQNMFMGQIAFDYGLNYDFVASSG SSNQIFTYLRPAIAQAIGVKEDDVINYGLRAADTTQYKGWVTTLAVFMIPKDKNTTLE VQLGQPASLFYHNVDENGQPRATVNELTSLVDPTWPLVYGSFPAGTSNPLTNQNNASP GATDDSGHGGALPGDTTGSRKINPTSAGIATGAVLAAVAYGAAMFFVARRYRNKKAAH RRSSSVPSTSRYTYGSVGGGNWMSGARNGRLTPTVPGSRGSRGSDSSNGRSVRTQQIS APVMAENSLGWN CC84DRAFT_1249488 MTEESIYRRTTQSSLSVHGVAGSELVEFRQKSQVPLRRGMGWLQ VDIAMPAGRRSVGCRPACSVLRPLAAGARIARRLKDAGQLKIRWRCSVGRGWQAAVAA SSGGCQVGARGSVAPSRNEMRQGRPASRWALVLAGSTHGACGPNSHGSARQETHHSSA GGILAASRPWRAQRAAEAMLRIAASEDRYWLGDGTLAVLFGAQTAHSLLPRNTLAAHT ATSRHLFVVPAALTPAQAPQLYFCAIEKRRPEFPVQRAWPGLGRPFGTTPQGTAASDS LFWRPPCSSSFGETLSARPGRAARRCARLSRSSPFLTTALPTHHLSSPLDNPRPDRIT ALVAVLCARLPPSQPPSPLDIASPSPYEPLPTTALGLTLSSKRCNSVSSCSRPPVLRR SFIANCALPAPYRTHRIGSQPVNQEQPANRPLHCARRYPDQATSTYGITHCVEAFVPA PLRIDSPTCVSPLLRSHCSRPLPLHWQ CC84DRAFT_1207208 MQQFLCRGPFAARRLPMLLAYAGHSSNHTTPYQDPYIRGMSVFA SSVGQRTLLRIELTDSLLSMTTATTGIVTCVGCESARMQQTKSTISNSDFREHLPGPL FHSSDHFILLSSRLSSAWHVVYCRNKTFMAHERFETCQSITHSLLATDRHLTSSVWFR GGMASSLGHISALPIGHATCIPSWTIGLGASTRSIFCFVSFERINNSALLAQLYETFR ASPSGSAARGPPAINGRMSSLMSKPTLADHAAPANPERDPHLNMGLWGEACAFRRSDP PFWRLLATCSMHSWHDFSLPTQRRQQDPASCSLCSRWFASQIHNAHVCAPSKSTQDSS CC84DRAFT_878756 MPMRLQSPLFLYIPSLLFKSSRSTLRLNRDFRSCTCYTHFLSNT TYPSPPHYYLSVSIQTATSTITNPPTTKTVKPSNLCLIDHTTDLRHLKHRTPRPR CC84DRAFT_1095896 MDGDVILACQNRDDHVTRAFRVSSKVLRLASPVFAGMFRPQFEE GNLLLQKECPVVELKEDDASIMSVILEILHFRCDIEDDNTDSETLARLAIHCDKYDLS KSLGPWVAFWFGKAKSVVTSTNDLGRQLLAAYTFNVSKEFKAISETALKTVSPGFEDD WETQELLASLPVNIKDAMSLRIKRTLDVLETEVQKVEATLRLSLRSYETSQRTCTVCG RTHPEQAKRCHRCKSSDLPLNYCGRDTRIAEYFAILRKAELWPTLQPFGNCSISDIMF RILCAQTDVNHACSAGQSCPLFVNLAKLTDKARRVEKKIRGFCLLCIREDYEWDESKR CTHLYD CC84DRAFT_1197528 MKSSLLLPLCTAALVAAVYPPQVVLGDSQQAPIVEADKYLIELA PGETQWVTEDDKWALRRKGINFMDITDNSELGALNSNYGARSVKFPKKIAQNDTVAPL LKQLEKNNMRKHLEVFTSFHTRYYKSQYGAQSSAWLLKQVNDTIAKSGAFEHGASVKA FSHPWGQSSIIATIPGKSNKTVVIGAHQDSINLFLPSILAAPGADDDGSGTVTILEAL RVLLKSKDIVKGDFPNTVEFHWYSAEEGGLLGSQAIFQSYEKEGRDVKAMLQQDMTGY VQKTIDAGEPESVGVITDFVDPGLTEFIKEVITEYCNIPYVLTKCGYACSDHASASKA GYPSAFVIESDFKYSDNKIHTTEDKIEYLSFDHMLQHAKLTLALAYELATHKFD CC84DRAFT_1166124 MAILTTEPALEPQPIDIQAWTVETATAAISQVTIATPGDILGAT VNLQIPLDDASTSAAPGERPTSTAAAKEGGYYKRKEPIRRDSLKRRESLLKGKEGTRR RLRWENDRLLNNPYVEPPQPKDWEVHPTHPVHNVPYYLAPLWDAGLARQHAERKTSAA KAKASSRTVAKKPTAPGVVPKELREKLKRSRGAKGLLMDLESEVRAFVTEWEDSVRRA ADDGLPADPDSSDDEIVFVGRNGKMSDLRSPTEAPCKREIMLFETAEEDQGGSFGRWL VHHIGVYYGLKTWSVTVGDPARREAYVGLKDAKMRTGRNGACGPLPRPLWGLV CC84DRAFT_1123623 MAFAQIPTTMNPGNVSQDIPPLVRCHKLWDNQTARFTPCALPYV ATFPAILGAIVLLTYALRIFNAYKPKWTKPFITEEKENSDELGSMLWRQPLSGTFGLL VVSMVGLTMQLLASFLPMFYYSGIYPSVAWVIAISVIIMERPRAASLSLITLYTGIFL ERLVVLSHGSQLEPLYAPDFLAAVAALLGMLIVLNMPLRDPALPSEGISPVDTPPTVD LRTPEDNLTPFQYMTVSWMKPLINKGKTREMSDADVWDLGYEYKHERLHNTFRVLQGS VTKRVFFANGMDLLITTLLGLLQLALTLAIPVLLQQLLASMQGSNANGNATITYACIA LFFRLLNAQTQVFVIWHQRRAYERSRGEMITMLFEKTLNRKILGAKQKSEEGQPNGHT NGDLNGAVNGHTDEASAKGRTWPGHVRWAFLGPLLFIRNLFTKKPAEPDKKDDEAASM GKILNLMRNDVYEVSQRFWEFSDIITKPVGVIFATLLIWRMLGWACLLGVLALFVTQS LNVVLARYKVYFEKKRRVATDEKLQRINQFIESIRHLRWYGWQDSWLNGILESRQKEL HLRVILIIFETTLAFVVKFGVGMFPLVAFYAYTKLSGKSLRVDLIFPAIDLFNLLDSY LRELPRMVTTLLNAYVAMGRIESFMQEPDKEENDLVPEGTAELSFQNASFAWPGVEKN VLSDITLAFPRGLTVIYGEVASGKTALLQALLGELDKTAGEFVQPDEIIGYCAQTPWL QSMSIRENILFSCPYEEGRYKQVLEACALLPDMAEFKSGDLSNIGENGIGLSGGQKAR VALARAVYSPAKVIMLDDPLSALDHQTAEFIVRKLLAGPLMAERTIILVTHRTELCHG IAKQWVELNHGEATIHEPAPEEENALKRQETQESISEEEAKKREEAQAAAVPDKFIED EHRATGTVKLQVYWRYIRAGTFLWWSVAIFVMVLYRFVDIGQGWYVKAWGEGYDHDHP RGTFNFLPPPGQDVVPWLIGFFVIACITSVTFWWVYIVMYGVGYQAAKTIFKEAIDKV AHATFRFYDVTPVGRLMNRLTSDMNTIDGHLSFIFTIVIWQAVGFISSIVIILTAAPA FLAFGVVLSSCYVYYFRLFLPTSQTLRRLEMVSLSPLMSNFGALVEGLTTVRAFSAQP RFQSNVITVVDNFQAKDHFYWSLQAWLGLRFSTLSASSTLAMAIIAVYTGISPGLTAF VLITASKFVIQTEQLCKIYGQLEMDFTSVERVVELLDLETEAPGVVNPPAHWPTYTGD IVFENVTIRYAPNLDPALHDISLRIPAGSHTAVIGRTGSGKSTLALCLLATIAPEAGQ IFIDGVDIGTVNKQALRNRVTFLAQEPVLFPGSMRKNLDPLDEYSDAACEAVLSKIAG NHDWKLSTSVEGGGKGLSQGQRQLVGLARAMLRRSPVLIMDEATASIDFETAQRIQSV LREEMRESTVITIAHRLEAVRNADYCVVLGKGKLVKSGKAVDMLREGGEFTGMLAQ CC84DRAFT_878856 MNALLCYWLGLIVYTIKSTSSGPRTSRTVFVQSSPVLDPPSHGF IGINEHPMVDCGGRPPRPLKTTFNSGRLTRISAVPTPHPLQETSTDLIDAQDVQK CC84DRAFT_1095074 MAEKNIVLVTGGNTGIGYEAVKALYASPDAHVLLMGSRSLDKAH AAIKTLQSEVTESKSELVPIQIDIEDDASIDKLNKEIESKYGRLDALVNNAGGTYDAV MRENPTPAGIREAFDHSYSLNVTSTHVLTNTLVPLILKSSGPRIMFVTSGMSSLDACS GGSSISPAHKAGLPSIPAGWPKPPVLSQIAYRSSKTGLNMLMLEWQRFLKEDGVKVFC ISPGFLATNLGGMGPEKLRQMGAGDPSLGGILIRDVVEGMRDADAGKVVNAAGVQAW CC84DRAFT_1123629 MASNDMELHALSSDSQDLRPITNKHGVGETSTSPRSSITAREPQ ITRMRTTSWPVFVFVIYATFALFPWICLCILSKRPITKEKAYISVDTSSSQPEHWYHV SEKYFKVAHILQSIATLVTIPVTTAICSMACVVYMQSSPLRRSLTLRQSMALADSGWL SPHVLAKLGTTGSLPLYTAFALALFGSASQILQTAMVGQVEILASSGSHPSYAYVIDP LDYISDEDDAAGSRYRNSYEQRTLSLRSLLELPFTSNDDINNWGTPPDSLYADDTART DRSSAAGHIYVPLVNNFSSGAFPFRQFAPRMNSSIMSEEISSNDFGENCRNETENGHF YARYYYVEQHAYDDNYYNPDVDFEVCVTNDLRISPWNITRDRQDLVEEMYYKTQDRNK GSLGYWKITSITSLGYFEVPSSQNGDVPGPLLEKDPFIDDKVQISENRNAHYKETHLR RRRDNVTYSGNATMLMSGYNKGPLTSVAIALFGPNSFVETRMSNPSNFVIPEDPDCGL RYCTTGRNLHSCIHNAPLYDFLGRSRNCIDIYDSGSVNKVIGQVSTLLFYFTARQQSS ILPALSHALYMANKLWLHQPIAGYNTQGQLRIYYDEGIPTTKPELSNTGIIVGSFFLG LHLLGLLLLALYVARMKPWSSKMGSEVMLKMGMVYSDELARSETKQQWDRMVTTLPGF IGDERPVEEIGRMRLGAAAGLSRKRDRKFEILR CC84DRAFT_1207214 MAPFDDLPVELTEAIIVITPLRDLSNLSKTCKRIRAIALRTMFR RIDFQWNSNNPRGPPVTALLRSILENPDLGKNVKEVVLQAVNYRGFQAPGFNGDILLP AHTHRIPAYDWEMFEKALEGCPCEEKGECDDPTTREGNLNAGIGVLISYCTRVESLSI DIELLMHNYRLPAMLRSTLYRYLGPWGKQSWFEKLNQVTITHTDAHYRTPRDHGRNEA NYAENLPVPTQTYLYLFYLPNVKTLDMSFFPNVSASEGIQKDTLRHTVWPFPKAPLAF TLTTLRLRRSPALPETLMLLLATTPSLVTLDYDMFVPFEKAPVCLATLRQALEYVRFT LKDLAVRIEIFGDLIDEVGGLLSDHELSVPACVNALGSLRDFHALTSLETSFAVLFGQ DWEPKRRPLTDLHSLGDLLPSNLQRLVLSDDLWAVSYLDCWTQLTALCVLRRFFAGQT LEGEWPDRAIHETRDRAMNWVADRAPEWKRATPNLVEFFLDFSKRGWVERGYIGRADQ RESLKQVCIEQGISCEVECSTYDLDDHPCQTQTI CC84DRAFT_1044225 LCLYTASIGLGIQLGMSPFHHWMKDKHVIIGLAVYGLFLTQAAS GYIHHVMFKKYISRTTSSYIHLWTGRLCITLAMINGGFGFQLRSQKIGSWKVALYTVC AVVMWCAYVTSIVIGEWRRNKQMKKVASSTSLSQA CC84DRAFT_1123632 MSSKSDPNSSSPGFNIVEASIAQHQEALVTGRITSVDLVVSYLL RIATYDTVHGFNAFTVFNPNVLDEAAESDARREAGLSRRPLEGIPYTIKDSYKVTGLS VTNGSPALEGLMSNEDSDIARQLRDAGAILLGKTNMPPMAAGGMQRGLYGRPESPYNR KYLPAAFSSGSSSGAAVSVACSMGVFGMASETVSSGRSPASNNALVAYTPSKGVLSCR GLWPLYVTCDVPVPLARSVEDMLAILDVLGAPQQETAGDFWREQTIVPLPIPPKPYYS SLAKLDALCGKRIGVPRMYIGQHDSDPHAKPTTVSPEVIALWQKATAHLEALGAEIIP TDFPLVTNYENDSASGLTNNVLGAPADWNLVERSTLIAKAWSTFLAQNGDPKMNNLAA VDPALLFPKPEPYLPDTWLEVRNWVHYAALPSLEAALADTPLTQFPGLQDVLPALEAQ RKRDFEEWMAALGLDAVVFPSQGDVGLADLEFELDSARQSLQNGVKYSNGNRALRHLG VPTVSVPMGTMEERGMPVNLTFAGRAYEDGTLLGYAYAFEQRCGARVVPPLTPGLESD AVVGWGGVSSQEMAAVLDLTAAAPELTQGKQILLKVGFRAHGTMARAGVLEVWVNGIE AYRNTLDRLAEQNEPREPLTQRSARFVPPLTPWLQLRIDCEGLPLRPQNEILGWDLKP LKPRPAMVVVVLRAENALTKAKLLWLQC CC84DRAFT_878897 MVVGERSCYIPRVVLACLFEMDMWMRDMVSSRYEVFVVHYVVCF CNIDAMGLVAFGILSDRQYRNA CC84DRAFT_1123634 MTAQILPMHAAQGSDFGKLQTFPQKMDTSSGAVDNPTSSPDRDE DRGRRRDRDETDGDIGDGSEAAEGTGGSRKRRRSRKGLDKKFECPHSGCGKSYSRAEH LYRHQLNHNPKQIYHCDFPDCHRSFVRQDLCARHKERHTARGSQLLRKDTFMQNLNPI VTAAMANKSETTKLGPMKNSPTVSSGGQERYGLQSPGSSVAGPTAATQMSPPSYHSPG SNVGRQNSLHRAGSDESYRSSSDVKPQPYDLQSRGAGSFAPPPRPSQFGNFGSGQTSH HNSPHMGNGNYQRPELRAQTSNLSSYGSSSSPYNSAATPTQSYPPSAASNSYPPNSAG YQQQQTFPPFQTLPPPEYPQQSSNTPTPKTEENQFYNGTSGQTPMNGVEATDNRINGV SEAIMEQTPSYAIPMFGGEGYSRSPFAMADDFAAWLFNDQQFGTTNASPLHGPGGSNP SVGLSSQIGLQAPYFNYDPLVTNTSFPQPPPVHPMAVNSILDTSLPETALSEDKRKDL LDLIIGRFNETDHAPVKKQKEDLLEGDKERNDHVLSLHMMQQYIGAYWLHFHPQLPIL HKPTFNASTCPDLLLLCVMCLGASCLDKSQVSEEATQACAQLSNFLAWHLRWEIFMDA DFRPPAKLWVFQALLLLEVFEKMYSTRPLHERAHIHHATTLTLMRRGSSLIGRSAMDS PPSQSGSSGKGSHVNTPDEWWNHWITNEATRRAAFAAFVMDSIHATMFGHSAVMVAHE MRLPLPCNEALWSATSSAEVGRVEASLHAEGIKHTTFLDGLKKTLNGQTVRTNSFGRT ILMAGLLSVSWHMNQRDLQVSSLGVSTVLGGRDKWRGSLTRAFDFWKQDFDKSLVKPN DFAVPGGHSYSNSSADDNIFESRTVLHHLAHMAMHVDIVDCQIYAGANRLLGRSITNL DSNGARKRMQEQWAPSARARDATFYALRFLSAVLVSEEPGVNGQPPVARFNYSARNDF LLNRPWVLYFATLIVWSYGYALDGPVKQPYILTTYEDKETDMVRFLERVGGVRAPDDL TKMPDRNACLGLLLLMRDMFRKTRWELLHEACNLLSKCITMLVPGYQGD CC84DRAFT_1123636 MASAPSPSSSPRLPSPPPIAEDQLSPLPATEEQQKLFSSLDNGA SRRIRPGTKAEDMTEGPPLVELSEIDSAFQLTEHLKALHNFLTHPIGSETTTAVDREI AQKLATPPDNVDKTLWLYELCRFLTQKVNAIIIALFSDSPPCSSTTCPEMRASEWQYL CAVHDPPKSCCAIDYCCHTLDWAANTLTSPKNFPSRLAMGADQSTQHQQQRNLTNIFR RVYRIFAHAWFQHRDMFWKVEGRTGLYILFKTVCDAYGLIPEDNYTIPPEAEGLDVDE EPSKAPPMILKREPSGPSSLDAENSSVIESGDHTLSTAGTTKRHRHSPSVSATSVTTV LEESEEEETEQKKESAAQDENDADEAPASISEDPGPPAEGAADQDASEPTDESEASAA GESHATEEPEKQSEADQEAHANETTTESEATAGDEGASAALAASEENDEDAQDEAKAE AHATSENTGPEKAEKDEKEEAAADQDKAAASE CC84DRAFT_878909 MSGDASDDEVPDLVQPPTANNDDDEPPTLISTTPSISLKIKVPI TIITGYLGAGKTTLLNYILTAYHGKRIAVILNEFGDSIDIEKQLTVSDANSTSASKVP FVPLANGCICCSVKDVGVAAIENLMEASGDFDYILLETTGLADPGNVAPLFWLDEGLG SSIFLDGIVTLVDAKNLLKSLDEGYGDDEEALEGRKEEHGHQGPLLTTAHLQISHADV VIINKTDLVSEEELEAVKQRVRSINALAKVSTTMKAEVPTLEGFILDLHAYDAVSADD LTFAEKGHSHIDPSISTSTIAFPALRDEQVDRFDKWLRTVLWEDKLPDGKAHGKFEIH RLKGRIPVVGANVLLVQGVRNVYDVNEGTETTSEDNSAKLVLIGKGVGQREFEESLLA TLT CC84DRAFT_1197537 MALNMPPATPQRQGPGTFPQTPARPPPFALGAVQQQQPSAPVPS QIQLAARAINRMLDNDNRYPPLEAYSKPGVSGEYELPTSQAWAPFQKLRSYEHPEGMY DQVNEMQMSVDMGLFAEINHAYVAVDNQLYLWDYTARNPELIGFEELKDNITCVKLVK PRAKVFVDTISWLLVIATTAEIHLIAVECQSGPEGVYGVNLYRTNMSVSVRGINVTAI ASSAKTGRIFFGEGATEDVWELNYQQEEKWFSNRCSKKNHVTSSVAIPLPSISFGKRA APVGLQQMIVDDSRNLLYTLSTNSTIKVFHMRTATTLENVIKRDLATIRGQVSHLIRQ VPALENLRIVGVDPIAAPEADSVSLMLTSSTGVRLYMSATSGGWDSGSAPSNMAVMHV RFPPVSPDAQTAPPPSSSTQMQPYQGGTPIGFDSRTLTQTVATFRYAPGTFLCAIEKN PTNPNHELFISSSHTGQLLSERDARFTESGQTITLRGKLQDAGLVTPPFSAGNFGSEY AVQFDAPAAEFAVMTHYGVETVRRRRLVDTFAAIIRYGGGTEGVEADVRRFARHYGLT ETASTALAVACGQGTDVGTDSRVTPITDPEVTEVARKAFIEYGGKPQITESAAVEGLS VDNVQPSPRHDGIALYVARLVRSIWDTPIIRETVTPTGPVLAPNHKLAKLQDIQRSLI RLQQFLDDNKTFIDGLAGPEALGRVSSRREEVELQGENRALTNLLQMINNIVEGIAFS LVLFEERLEEILLLLAPDVRLEVRKLTFHALFASSEGKELAKELVKAIVNHNIVKGSN VETVAEALRRKCGSFCSSDDVVIFKASENLKKAADLGANAERGRVQLNDSLRLFEQVA KSLTMEHLTAAVDKYIELEFYAGAIRLSLKVADERDRGNRALSWIKDGQPSGDARQAP YIQRTNCYKLVFKVIEAVDQAYAAQGNVQQDGVISQIGRRRQEAYEQINNSDDEVFQN FLYDWYMEKGWAERLLEISSPFVVDYLKRSSETNPAHADLLWKYYAHYNDYLGAAEVQ FQLAKSPTFKLTLEQRIEYLSRAKANASTRIAGFSDSGNRNRQSRQELLRNISDHLDV GNIQDDLLQKIKGDKRLQGTRREEVLADLDSAVKPVNDLFNDYADQAGYYNISLLLFH QADFRDLSTIRNTWQLFIEQTHNEALADGKASPWESVAIETETLGRRVGTNQNIFPVS DVFQLLLHYDVEKYRTTSTGALDPDTANPVAWPIEVFAKLHAPFENLVSVLEAMWYAR EQPFNSRNGRKLLAKWLVCVVEKWWEESKRSGEPFGSAENALGLSDLLRVVVESGDFT GQVGAPGEDAEWADRLRVIRVRADEIVR CC84DRAFT_878947 MSLFRLARFPALQQPLLRRTFLTTRPLFHADTVVKSLKPELMTA MRAKDKPRLTVLRALLAEITNASKTNKPVDDDPALYSLLAKQIKASNAAVEEFVQAKR EDLVEKERGQILILEGFLNQIEVVPEQTIRQFAEEVVAEIKGEAKTGAVMGKVMKKIG GKPVDMVMAGRVVEEVVAEKSS CC84DRAFT_878946 MPSERISDFHIPSLVTDTIHETPHLISCRTMRTFLSVAAFGLDV TAVALPSIPGADIKAVSLHNTKSTPSTAPSSTAYHIPCTNPSDYGPDVVYAKPWPTSV TTTATPHATVIARRAERNFAELTQTPGLQNFPDFLNKPFNELLSTQTASPGERDTAMP TKRQGRDNWDKAFASHFSQLAPKHLLEGAGRLVTRPKTAATPMTEQPFPSPFFECPFE IPTHVKHGQKSDQSLPRRWRGGIVSRQSTASTLRFLSVVELPKIRGQRR CC84DRAFT_1166136 MYRSSTGTLDHYDDAPRGGERWDRDRFERMARRAPPPRDVKDDF HFHEHDRFPGGRRDMDIREDYERRAPPPPTRVMEREREREVFREDDRFDRRRRHDLFD EPTPSQIANQALAPYRRKSVVDRDFEVDIHREQTKRPARPQYIRRQSSLDTFDRRPLP RYGDRERDEWRPPANVPIPLPIRERRRSPQRYQEEEFEEERFMERDRPGRREKVEEYR EVEVHRAKSRRRRSPSRSVARSQARSSSTSSFEEIKLARADWGKKGKTRLPKRLCRVQ AVTELGYPYEEEEDFIVITRALEKDHIDEIISVSEKYKETKTTYVYEDTRSVVEQPPA MMAPPPPPPPPMMDYPPPPPPPASVHGNTVVYERSVRGASPPRSHHHEHYEERIEESN HIGGPLTVLVPEERRVVRRERDVRSEREIREEIRMLEDERRMLKYERDGDYEIIERRE KPREVIRIEKDRKGRMALVRSAH CC84DRAFT_1062649 MPICIECRAPVKTLYTSYSKADDRALGKGVRLTQCPRCKRFADK YIEHDFVVIFLDLVLIKPQVYRHLLFNKLGLEDDRFDVIEWQRSILRLGTLLLLFDVY LTWARIEKASFPLPAPPPSPSINITNTAPPPLPPSTIPTLPLILQYLFYLTLVLLSTL SFHLPIRLLCSIPPTSLPSPLRFLLASAYFPAGNALSTALLVSSCTKLFPILLIIWEY DLPSAAAAVGWAVAVNNVAAVEILVGCGWLRAGMLVGIGAACREVVSRAVLGAVGLSE G CC84DRAFT_1166138 MANGWAPFIGLVVVAIFCGAAWFLSPKGEEQTIWRSTLILSASA MYIMWAITFLAQLHPLIQPVRTDLKGPEDFQH CC84DRAFT_1177917 MASEDMVFIENGPSMQLVPSSSLESLDGTNASRHKEFPHTYSPA PPTVAAPSLGCNPCGLAIRSKPGFASYPPVPVKSPGLQHCCHGNCQQNEVLAKVEDSF EMPPGLLNLPPPPVYNGSTSAWLTPGARTKSKKAARAPRSPSPTCKPPPQAISLPPPP PAPVVMPPPPFPLPPVAGSFPPPMPMAPPPPSPPYKPTRSRGLRFLDDDYSHVSTPAD LIPIQYSGESNLDTGRVVRIMPFNRNVLTIFHRAHITDFEEVKWILQHGTTDVWYEKP KRSWLRQQESFEASGCDTDELEALENARPVSLETPRIWASAALVTPSDDDIFDCTAGH TLDDAFSGACPTCTDEKSEALDGTPLVYYVVLSTCQASEPFIHGAHFNGRQIHKLFRC GGREAAVAEAFYASGVNGWSVAFSCVMRADDELEGGEGKIKRVDELWMLGEDEEDDDC TRVFF CC84DRAFT_1149818 MPQTRGQRRSADMEAALKTFFSASRFAVAGASSDPSKYGHKVFA WYLAHALPVSPLNPRVPTISVGSTTYPTTPSPSALDDAASYSLSIITPPAVTKQVLRE AKEAGIQAVWLQPGTFDDEVIEYARREFRAAIGGEGGGGGEGWCVLVDGDEGLRAAGR EEARL CC84DRAFT_878980 MPTYFLTGANRGIGLEFVRQLSASPSNSIIAAVRSRKSDIDALK ELARANANVHVIECDVSCTESIASLEFRVAEILSKTGSNLNYLFNIAGINASSSDTSL SIDPASLQRHMDVNVLGPAKIVETLRRYLARGAIVMNMSTGLASLTVARDVSKCCTYS ISKAAQNMLSLHQARDLRRSHAIVICMDPGWVKTRMGGKGAFVEPEVSISGMLEVISG LRKEDSGKFFRFDGGQIPW CC84DRAFT_1166144 MASTTTFKPLAEAPRDMDQIIAQERASPLPSFNARVAHRLGTAL HTRLLTFPAPACIHISTCTTPPHVLYHATTHDGTALDNDFWIARKRASVIRFGASTWR LHVKYNGDEAAFAGKVGGEGKAGEYAIHGGGVPVFVRGCEWPVAVCVVSGLKQWDDHM VVVEELAGVCRALEEEREAMK CC84DRAFT_1177920 MKCQPSEQIVYESVEEDMGALLYLQKIPETRKASGPRARKVRDN DELEGRPQNRHRRSRAPSPEEELSEHQQHYHLRSSVSMPAKYALRQFDRHVLVRTFIE LKEEIRADGDFIWWLQGDDNVYFRIVQTFAPEEVRAVVRTMTTYINHAAMQSDVLPWP AFKADITKRIVAASNSRDNVLLTFQSTISTILKHHIISLGYMRISAVGSG CC84DRAFT_1219245 MDINQVLEGTLSPDANIRKAAEDQLSQAAESNFPQYLTILAGEL ANEQSQPHIRTAAGLALKNAFTAREYARLRQVQDRWQALDAQIKSNVKQLALNTLSSS DARAGQSAAQFIASVAAIEIPQNQWPELMQTLVQNVGEGPPHQKMASLTTIGFICDTD DLDLREALQHHSNAILTAVVQGARKEEPNNDVRHAAISALGDSIEFVRSNFENEGERN YIMQVICEATQAEDNRIQQGAYGCLNRIMGLYYDKMRFYMEKALFGLTIQGMKSEEED VAKLAIEFWCTVCEEEISIEDDNQQAQAEGSTELRDYFNFARVATQEVVPVLLELLAK QDEDADDNEYNVSRASYQCLQLWASCVGSGVVPPVLQFVEKNLRSDDWHYRDAAVSAF GAIVEGPEENVLEPLVKQALPVLISMMDDQVIHVKDSAAYALGRICEGAPSAVDEQQH LPSLISALFNGLSSHTKMASSCCWALMNLADRFAGEPGCDSNPLSAHFQQSIQHILQV TERGDADNQLRTAAYEVLNSFINNAANDSIGLVATLSNVILERLEKSIALQQQVVSVE DKLTLEEMQTSLASVVMAIIQRLETDIKPQADRIMQILLTLLSSLPPKSSVPDAVFAA IGSIATALEEDFIKYMDAFSKFLYNALGNQEEPSLCSMAIGLVSDITRALGEKVTPYC DAFMNYLLNNLRSAALGNQFKPAILQCFGDIAHAILGAFETYLPVVAQVLQQASSVSM TTEGNFEMMDYITSLREGIMDAWDGIVVAMKSSGKTQLLVQYLDSVFELLRIIQLDSN RTEALLRSSCGVIGDIADAFPNGDIKEYFRHDFLTALTRETRANQDFTNRTRDTARWA REQIKRQIGGAQGVMA CC84DRAFT_1166146 MWKPSLHLHRASRRHRVARSTFNRYAHHRIRSAALRGPADQQQR CFHEYFITHLPSTSLHPDSRVSGGPHHKLPRDKSTPHEPRGTHRSPAAAPPMVGATRE MTVVRIPLRSAKHHFGVSVSRGTRPYNEDSFQAGTVEVPAFAKRRPMSLQRSANGGLT SELTPAGSASGDPQVFYFGVFDGHGGSDCADFLREELHNYVEDAMKLFELESSLTGKG ALKGWPTSTGTPDDRPAEMPSKASGKESLDAIETHTPPGLEPLKPPEPGTQAPANGAN GKKELIGSAPSVRQSTSVSRAEELERALVQDWKELVGGYFRRFKPEYFSRAAGGQGHQ TEKDVLAKRNSFGDMPDKAKDGLGIETVLEYAFLKADYDFVTAQVGKQDEDPVLADKA INDNDVLGDPSPKGKHIGGPKRFKGGSTCSVVLISTPTPSPFWHPSSPSSLITAHVGD TRILLCDTATGQAVPLTSNHHPSLPEEATRLRRYAATFVTDSFGEERMSGLANTRAFG DMASKRMGVSAEPEIRRVELSPAEYSFLVLCSDGVCGHLSDQEIVDIVKEAKTPDQAA RDVTNFATEVKSDSDNATTLVVRLGGWERRSEGGLGSLGTKEMRDWRKSESMDPRRGR T CC84DRAFT_1261033 MSISNMNSFCQHLLEANRLNQLLLNRIQELELELSQVRLTSRPL YTQDTLNPPNPAPSSTPVTRPAAARLKRTETPQQLFLKNMTDTKVYTVGIEPQDDRGL LHDFFEDIRKWAIHYTINLADEVLSHCSTMKDVVAIIGDKSDIHHLLADREMRQDVVS ALIVRDIVFHAIGEGSMFNSKHRNGELCGEIVSSFAMLSHQDYRAKHQLCLRQAALYQ EMYGEHNHHEWRTKQANERTKALLNTLSPFLHWGINTDGEHSLSELYVKGYRIGFRLR SHAIKWQVIFPVAGMQLDLKRMVNRTLNLAGDPMTTWTELTKNPERYFVRFAITPTMI KSDFSAGYEVKEVVHSSLVHVGRQNVSSHRKDTQMTSRKNGRKN CC84DRAFT_1249513 MVHSRLLLVFNLIHLTTAQLPTSKATSALTQPFLHPIRGDVLLP GSIFTIQWDANPAFKNITLQLWDKTSWGFARDLLSPCHPWARNPFCGTIAASAPNTGS FAWLVPNPQNGTLGFGFPRGEHAYWVKMYVEDYLQPGIGNKDPVLSYSQNFAFAREGE AAILVTVQPTATSGEGDGGPPTVFVTVAGDGTASVTGEGDVVETGTGTGTGTVEATGS RGNKTAFVTHPEKVCMKSRRPNHYRDAENAHYADVLKQHTQRPVAAQPNKETLIPPLT LTSPHHSTSNKLAEPSACTIRTRQRPPVSTAPHQICAQRGQPYGALRDGPRRIVACVG RDFSAERSRSETPRAAPELAKRGSGAAASTLTASLGLVRAAGRAKLAWMECGSGLVRD MHGRREREACSAVYTVDYTKLREQRHSFPMRRSGDV CC84DRAFT_1095979 MSRPSTTSSHSVNAFPRPAGVNLLAPEPAAEQHLSPSRSTFSFE SSPKSNLDVQRGVSFEERRPASRGVQTTNGVQPNGSRRRAQFYEEQFAYKDGSTSLAR DRVIRDAPIIAELRTNVIIKDEYTLVTDLSHHLSTRYQRPESSIMITVNHSACLLLAG SFEPTYLLTISALPVQLQPTTNKRNAALIQNFLSESLGVPLDRGIIKFVPIQDDCIAT NGTTILGEIESLERQMGEENGGLKRGLTKSSKRSAVTKAKSSFQLARNISKADQGARA AVTPPLPSPSPLDSGVEVDREESDTLLPIDSKFTNKSSMNRKASKSVEHKSSRVNFTS TPAPPPIPASTPTPKMGKRKSMMNIFKR CC84DRAFT_1166148 MHLSKAQLCILLVVGLLTLCPHEPHCRSSNHQVSFGSTDEMAGS VLPQKPRRLVEAVSFKQWRDYHWKLPVANQSERLCVVAQRCRKI CC84DRAFT_1166149 MPPKAAPTARRKGPSFNPPRPIKPANSASTARGAPKATTTRPAP VKSSASRSGFQPATTILSSDEEQEDEFDDLPSDIDALMEDALDDELRPQQPAALDLST PPIPAPLLVRLLHHNFQDEGTQIQKGAMNLFGSYMSIFVREAIARAKDERERAARNGG GPGSRFLQVEDLEKLVPELLLDF CC84DRAFT_1123676 MYFSKSIIASALLGLAAATTHSGEAISAGLATSSTSAIAASETR SAAPNAAASAAATSGASAGNIATHVIQVGGPNGSITFSPENVKAAPGDLVQFQFHPKN HSVVQSTFDKPCVPIQNVMPNMTTAFFSGFMPTNASVGATSQVLTYTIRVMDTKPIWF YCSQAKHCQGGMVGAINAAETGNKTMSAFKALAASATENLSPGQAPGSGTQSGSGTGT NNGGGAAQSSSAAGGAGGAGAGAGTGATSTDSSAPAQQTTNAASSTFGSQSLFGLALG AVAAILLL CC84DRAFT_879414 MFPSRDPGHVPASPLLFVLRIYTVFLALSSSPIAEGAHHMSRKA PRKLDRRKEPMTPLLVTNKCPEVIYPGISTQSGEGPKENGFKLDPGKSYNQTVSEDWQ GRVWGRTNCSFNDDGTRPKDGGAKACGSGDCNGIVNCRVGGDVPVSLAEFTLDAGDGH TYYDISLVDGYNIPIAIVLQPLQNVSLDDIPPNLTNPSCQGTVGLLAQQGYDPYGDHP NFLRTNSSYPLPFEQKVNDKQVSRWCPWDLQQSPPDKPGDGVYPYPDDNIERPAFNPC YSACAKNNKPEDCCTGKYNSPSACKPSDYSKNVKAVCPDAYSYAFDDQTSTFIIPSGA GFEVVFCPGARSTNILATESDKMLQLAQQGHVSNDRRDLAMPMHRKKHAPLKRSGVAR SILLENPVLMVTPALAAGVLAYAAFC CC84DRAFT_879101 MARAMSVHKLTNSWTFKQAGDISEDAWLPVKRVPTNVHLDLIDN AKIPDPFLGFNELEAEWVADKTWTYKVQLPDVKTPHDGSVTVLVFDGLDTFATVKLNG EVILESDNMFVPHRVDITNKLKQGSANTLEIDFASARLEAIKIKEAHPEHTWVGFNGD MSRLAVRKAQYHWGWDWGPMLNTCGPWRPIRLETYQSRVKDLRIDYALDDSLKTATGS LKAILEGTSGQKVMFEVRNGEDLVFQSSADVRDGVAQVEFKVGDVRLWYPHGYGDQPL YTVTATVSADGVTLHQATRRTGFRRGELVQEPDSVGKTFFFRINGVDVFCGGSDWIPA DSFTPRVDEETYRKWLETMVDGYQVMIRIWGGGIWEEDIFYELCDELGILVWQDFMFG CGNYPAFPTILRSIEKECVANVARLRHHPSIVIYAGNNEDYQVQESFGLTYNYEDKDP ESWLKTDFPARYIYEKLLPDVVAAESPHVPYHPGSPWGDGLRTSNKTVGDMHQWNVWH GTQEKYQIFDTLGGRFNSEFGMEAFPHIDTIKYYCTDPKQLYPQSHMLDFHNKADGHE RRIATYLVENFRTKTDLESFIHLTQLSQAEALMFGYRGWRKQWGQQRYCGGALVWQLN DCWPVTSWSIIDYFLRKKPAYYAMRRVLAPVAVAVRRAHFDWSVVHARVPKTSEYEVW VASNQPTELTATVELRFISVATGEEIKEKIVKQDIKLVANGTTDVLSGSIDNVKEEPH VLAARIWVDGDIVSRDVDWPQPLKYLDFDGRGVEVTAQGDRIVVRASKPTKGLVFEER AGVLVHDSAIDVVPGDEQTIQVRGLGEGDAHLKWRYLGQS CC84DRAFT_882112 MFHTFQSSTGMRSPSAEDSVAGKPTRSTGSRRISTSNACVECRR RKIRCDGTQPCGQCQWYQHPEACGYSKPAQRVIPSRKLVDKLSTNIEQYKTILTKLYP SKSLDQIESLVSMPREELLELALSSPGAAQTTPSPTVENHSISELSHGSGGAESLEAL EQAPPQDPFWDEARKHQLRVQGVSDDVNGLSLSVDRLSSYVGISSITAALKVIVRCAP HARPLIFQSNHETVLPSRANSPAPELADNRPDALPPPAQGQALIESYFERVHPFFPMI EERKFWSNYLLGDRRDAPWLALLNIVFALGSLASSTASNEAHYVYFNRSRRHLSFESF GSGNLEVLQALAIMSGYYMHYLNRPNEAHSLMGGVLRMATALGLHREYSDRSAHDNNH LLNVLGHGDGDTVSPENRRRIWWSLFCLDAWASTTTGRPSLGRMGPSITVLRPGTANN SGILPQPNSPQYLEYLKVLPLIHASEFCTIATKIQDRLVESPLLSHTETAAYDAEIIK WHDELPPILSNPNESCPDFLRRVRLVMKWRFQNLRIVLHRPALLVSALRRCPLHALSA EEKVIVSKCRIVAAKTIDDISAECCPDLISGWNAVWFTFQACMVPLVSLFSDASVPEE TARWCASIETALEFFARSKPWSIAAKRSLDAVSRLYNAYKMQSQFSAQALHNQNGIPP FAQDHLQVQALGHSHHAAGFAYGGAPGVPVPDYGFALNPDTMGGGGWVRDPSVNASTL GDGTLSGFWDDMVWDTNLPDMLEAPFGVGMAADYDVWQGAAQDTGAPCWMQGN CC84DRAFT_882114 MGSALFAMTSAPVVGGAWWWSVVVVHRTSMLCSYELHEVVLLVA IDRHYRYGATNIERVGANVADVRFVRRFNRPMAKYPGKAHFAVWFASRPACVQKRLAG LPNIVEGLFGFFFTPMCRSRQGNLWVVWINQVWKSSTLMSVG CC84DRAFT_879235 MSRKGLIPQARMASFLPPIQEHYSHARPFSAPGPSFLFHPMPSP LTPNSLSDRVCTSPETRSMVTCSQPRPSFLFGSMHSRCRTHPSSLTTPMPVPHLQYLT PSYLTTQSGRSVLAFTVQDFSPSSVRLTCLHRSLLTFRPRTPITVFPLGRKATTQLRC S CC84DRAFT_1095138 MPGRTLPTIPPAEVQAHNTSKSCYVTIGEKVYDVTDFLDDHPGG GDLVLEYGGKDVTAILKDEVSHTHSEAAYEILDESLVGFMPTEPILNGATKSSKPAEI VPLPPSKEGQAELAAQRPLYVATGMSGAEDLSKDTDPDRDYKQHKFLDLSKPLLMQVW FGGFSKDFYLEQVHRPRHYRGGDSAPLFGNFLEPLSLTPWWVVPTVWLPPVIACTAYG YSELGGMPTAGYWLFGLAFWTLLEYTLHRFLFHLDYYLPDNRAALTIHFLLHGIHHYL PMDKYRLVMPPTLFAALAAPFWKVAHFIIFWNWHAALTAYAGGVFGYICYDMTHYFLH HRNLPAYWRELKKYHLAHHFADYQNGFGVSSRFWDRVFGTELDMAPPKVVKTN CC84DRAFT_1188523 MEVENFLDNVAKELEDEQKRKAKSDTGDHNDDERRTRSDRSDRG DRYYGDRDHRDSRRHGRDRDRSRDRYTTRESNGERRHSRERRRRDGSRPRSSKSKDAD TDEDRARYSSHRSSSRDRYRGGRGRDRGDRGGDYYSGGGRGGRSRSPRRDRGDRREDR YRDRSSGPARRDRDTDDRRGSGRRRTPTPEPTEDDRDKRTIFVQQISQRAETRHLKAF FEAVGPVVEAQIVKDRVTGRSKGVGYVEFKSEESVPKALELTGQKLKGVPIIAQLTEA EKNRASRTTGEGGAASATNGAPFHRLYVGNIHFSVTEEDLKEIFLPFGELEQVTLQRD EQNPTRSKGYGFVQFVDPNDAKKALTEMNGFELAGRQIRVGLGNDKFTPESTAHLLRN FPTQAAAYQGSAFSGAGGRGAYAGGSGGVFDRAHGRDDRGISGASALDDTDMAGVNFK QVDRNKLMMNLARNEVDIPTKKDDRPTAKARAPVENTIAPSKCIKIQNVFDADEELSK YGANWAKDLETEIKVECDKKYGKVVHIAVDANSDGEVFVKFDSVSGGEKALQGLNGRT FNYRVIRASYVVDKVYNSLYGAAANF CC84DRAFT_883459 MPATLLLAEQRSELSTRQADASFHIPSDFVAAATICLFLTTVAV AVRTFITMVDMRRLQIDDFATILAGLCFVVFIALMFIASHAGLGQHVTLLSADAVKNA LLYSNILDIVYAPIMLAAKVSILVQVDRMFSGNKQRMIFWSVRALAYVNAFCYTVMFF TNVFACTPRAKILDPTVSGRCIPQNNLIVVSSTVNVASDVLVLLFAVWGISRLQLSGR RQTMVAVVFSIGSFACIASVCRLAFGVQVDKNRNYTQTIWPVHMWSLAEITAIMYMAC CTAFPRLVQYIRGTKTVRPAIKSYKTEKDLFSPTSSFSSSKPCTPEQKHGWGSPVITP PTPPKGSPKITPPTPPNGSPILPTGFVSPQKPNRASVRVTPGPAQGTRGTQSEDRPRG PFRTLSLPVKPSIPRTSFQLPPTRLEPKGPRLNFSIPVRPTPEQLANQSSLSPRYVPE EKTAALAKKAEGALQNYAPFERRISHLSYTSRHTAALEEIRQSLEEVRHSLDGGFIDT TPTPTSESLNVPPTPGSTSTSTPKTPTTPSHGHSPSLAPLASPGMPSPASPARTEFSV HLVESAVRMKIMPVYFAQRRKKSYDARMSTLGNSAIKPMHSFKRSKHARVPSTPGLQT HFEIP CC84DRAFT_1149855 MFASRKLLSLFCLLLPGLGLRYDPEHEGWNLNLNQNAIDPLDYW GEWDNHTFNPSPRTWRFPFYCITLDRYVDGDPTNNLANGTSFEHDWTSNQFRFGGDTK GMMNNLDYIQGMGIKGPLSDFEQAIYFSGTPFINMPWSSDGFGALDFTLLDHHHGKIE DWRALISEIHSRGMYVIMDNTLGTMGDLLQWVGNENVTAPFKWDEYDVRYKSTRQYHD FAIGNNVNTTCNYPRMWGEDGYPLNNQTVLDAIGRPCKDSEFDQYGDMKGVGEVPVWE TQLAKFAGVQDRLRTWREDVLAKINHFSCMQIAMLDLDGFRMDKAAQTPVDVHARFSD YQRACAKRYGKDNFLIVGEVVSKIPYASLIIGRGKQPDMPFDNFTQAVAAVAPRDDDN YLRKFGSVALDGDAFHYPFYGAMTRFLGLDGPIGLEGVDFVKLWHDLLLHTDMGNAYT GAFDPRFLWGMTNQDVFRWPALVNGTQRHILGLTIANLLMPGAPFQLWGEEQESYVLE NQASDYIFGRTPMASQRAWQLHGCYKMGAEVYVDMPFEKALHGCKDDSVSLDHRDPSH FMRNILKRHYELRDQYAVLNDGAYLETLSEQTVNIYLNGSMGLPSPTGIWSVYRGAFP DAQDFSDKGELGNQGVWFVYSNDNKTVNYTFNCQNATEGLISPFSAGTTVKSLFFPYE EYTLGTSAATLNLEGSTETNGCLGSLEMQPWSYKLFVPKDKFAEPRPAITRVVPGHDA RLLSQAREDEPQTLAIEVHFSSEMDCESATRNFSIRSTTSTGQIARLNTSSVVCSDVT VQREQQYVGQIGTAWKMVGELENVYDGIHVYTLNNISAASTDHYTQAVDHFMFRIGRP DNPMVFPATANYSSSLLHRDEETGALTVTHKATGAEKWQYTLTWGVTWSDWRDYTSVN ASISPQPWSGSKDQAWEGEHIEVRYWSEMAGSMEHVMHGDVGSATSRRWPQMHVTGEW NLYGFDSGLPDKMKDTGTGQWYFDMMTEYPTDVILSTWGINPDGQPDKSKLFGDVDQD GVLDWLPPTSLGKNVINITNPGMPYVGVKLIANDGDLRYTYEPVGSAWRQIVIFLLLI LIPLVSGTFAIWLFLKFFYQVKFNQRGMSEKRSTIMAGLPTFSSAYRQIGALKPWTPK PKPMALSDPLRHEGFALQNEGHRKTILIATMEYEIEDWALKIKIGGLGVMASLMGKNL NHQNLIWVVPCVGGIEYPMDEIAEPMQVTILGQLYSINVQYHHFQNIKFVLLDAPVFR AQTKADPYPARMDDLESAVYYSAWNQCIAEAIKRFPEIDLYHINDYHGTLAPLYLLPD AAPPCCLSLHNAEFQGLWSIKRPKDLDEICRTFNLSKEIVHKYVQFGEVFNMLHAGAS YLRIHQRGFGAVGVSKKYGKRSFARYPIFWGLTKIGSLPNPDPTDTAPWNKDDKLPDS IAIDNDGETQRGMLRAQAQEWAGLQVDPNAELFVFVGRWSQQKGIDLIADVFPSIMEK NAKAQLICVGPVIDLYGRFAAAKLQRLMELYPERVCSKPEFTVLPPCIFSGAEFALIP SRDEPFGLVAVEFGRKGALGVGSRVGGLGTMPGWWFTVESVATKHLVHQFQKTIKAAM ASPKEVRATMRARSSLQRFPVAQWLEGLELLQSGSIRTHSHVTNARASKRSGASTPAI SSPLPSAPGSTFTTPANTRPSSRRPSRAASRVNSRATSPIREELDIESIPALPAPSPA VTRRYGPNASGSNDSLASLAEIHPANNSNGYPLFPIPSATAAENENYFAGGDLRRDIG RAAVSRNMSRQNSQDSNVSQPSRSDPVDLIEPHGDPDSPRSVASSSAQGFRSHPSPSV LSLQTVVGEEKNYRMQNVEPFFTDSQGVYAKQFDRLLGGLNGHTSTNELCIEEFIVRS EKNWFSRLHDAKLGVKRSHNKLTKVEKEDHGSNSSSSSGSSASVQMGIVVEDEFELGT DHTPTKGMKRIMQYKIRDWPVYSFLLALGQILSANSYQVTLLSGEIGQTASKLYAVAS IYLAGSIVWWTLFRTLQSRCVIALPFICYALAFLILGMAPYGSSISARGWIQNVATGL YALASGSGSLFFALNFGSEGGTATHTWVFRACVVQGTQQIYVTVLWYWGSHLSALSSS GQNPTGFATSPYITAVTTPVAFLLGAIGISLFLGLPDFYRSSPGSVPSFYSALRRRKI ILWFFVVVIIQNYFLSAPYGRNWRYLWTSNLVPAWGIAILVVVFFVLVWIAVFTVFQR LSIEHSWILPIFAIGLGAPRWAQMLWGTSGMGSFIPWAGTPAVGALVGRALWLWLGVL DALQGVGFGMILLQTMTRFHVVFTLTAAQVVGSVATMAARASAPDRLGPGAVFPNLAL SLDGLGDAVFWVALVLQGVVCVGFFLFFRKEQLAKP CC84DRAFT_883500 MGDTCSAATLFGPMQTRYRLGVVLCAKRSWISPLLSSDVQHGKS TVIFRRIGHKWSVPTLPGPGAVWNYDDNRKLSSWAMWTILHFKSKDVTRSWWDIDIIH RLLTFLRNRPKEPGDSIQAQLTVGKVSVVARSGYNKQADPVLCMYIGNSIPEWVHRYC DGLETQAVHH CC84DRAFT_1197554 MGVRNLEKSQSRKPQSTLGLLALHVSVDESIHAAAKNLEATLGR LDVLVNNAGNQIQPRLLAHGQLREAFEINIYGTVILTQTLIPLILASQGKNIINLSSE LGTRQLTTHLKDQGGKVWSFCPGFVVTNLVGQRKYRVAMGAQSSETSVQAVLDIVEGK KNVEAGTFIGRYDVRIPW CC84DRAFT_883548 MLGAIALSLILRVLPKAVVSLEMDTVYTGDTLTPTGYGTVQEEH LRHIISVILPACQRNRAANNNYTACASWNKLIECSTEPEKQTKQMW CC84DRAFT_883628 MASNGIVAALIALASCATTAAQNASILAPDPLQTPYPYYFPPIN NADTSDLFPMPSCHGITLEEATIDQLQGYLSDATLTSAQLLRCYAKRMWQVDEYINSV IEFNPDADDIAEALDAERRAGHVRGPLHGIPFLVKDNIATKDRMETTAGSWALLGSVV PRDAHVVAKLRQAGALLMGKATLSEWADMRSNNYSEGYSARGGQARSPYNLTLQPGGS SSGSATAVAANIVSFSLGTETDGSVISPAERNGLVGFKPTVGLTSRAGVIPESEHQDT VGTFGKTVRDAAYAFDAIYGVDERDNYTLAQQGKTPTANEGYIQYLADKGALKNATFG LPWASFWTYAGPEQQSGLLHLVSLIESAGATIINNTELPNYATTVSPDGWNWDYGTTR GYPNESEYTVVKVDFYNNIKTYLAGLDNTNIHSLEDIVAYNYANDGTEGGNPWPLGIP AFYSGQDGFLASLESKGIRDETYYQALSFTQRSTREDGIDAALANGGRPLDALLVPPV VAQSYQIAAQAGYPVITIPAGVSATTGMPFGLALMGTAWSERSLLRWASAIEDLQLSS GTEWKRQRPRWYEYLAKNVPVLFE CC84DRAFT_883619 MRRTLNYKIKSFLRLIVFKWWEDQVSMKETAIQECDVDSDDGRN FGNKWERDEQGKEQGEEEGEEEQQQQEEGKEQQEEEEDEGGTKIETYRIVGPYYLFGL TDLDCCTPGSLKTSLQLDPFRVMQGIWAVIGIF CC84DRAFT_1261047 MLGRIFASYALFACYSLAELLTATREASDPTITTAPNIPIELLR KQAVGDRFIGWISIDGEWTSRSCDVGGTYFQSDEIWKCCATTLDGCNAPVGCAAGSLI YSIYTSSSYSYTTIACTEAYDDPDATSWSVCNTGLMYENTADFDPVTNIFCGASASRF TYYRVRPSESTSSSSEFSTPASTSAHPTDTSLGPADSATSTPSPTPLPQPTKPKSKAW IAGAVVGPIIGLALIGLLAWFLLVRRKKKTTPPPPPPPPPPMQQQQYNGPPSPYPGSP AQPPKSYYNAQKPPAAAVVPMGVASHQSWAAPPPHSPTSQGAVSPHVPPQNLYGQQAY PGPGQSPSPPNVHAYAVGGEGKPLGVGGYGERPFSAELDGGQQRLR CC84DRAFT_1166167 MPPRPFPLPFRIGNDICSINRIHDILKPNAGDSTSSLKSPPLKR FISKLLTWPERHYFYKRFPYYKDVGNNTQILRNVSYYLAGRWAAKEAVRKACEHLGDS NGFHSIVILPVSVSSKQSLGATSRPQALVLRERLPELSPQQEDKASSGGMEFDVDSLD GQLCEVSISHDTTYATAVALVPVVEEWQSLATKLRGQDP CC84DRAFT_1197556 MASLSPRLPGVMLLRAEATGPEYARWKREIKSAFTAKGTWGHCD GSVPMPMPGAGPNFFSPVTQPDMQTTLLEDRKAWVKKDREVKLDIFLSVSDDIKLEVF EVGPPLPPMSMNAKEMLEALDEHYDGFKFEDYHHVFCHFLNLHIDQYTNIEDFNAEFQ ATLEDLLDHGHPLSNMQACSAYFSKLRCTQNPWVAKQIKIWDSRDKEPQLAQLMQQSP PWSIIRPLTMSTKAASTHASVPASIPEEPAMDTPPHSDSEDTPSERSVSTISSSKPSH SRDSSNATQRSQEITIHASYEDLTELHLQAFPESRQSNSIRTRQRGNRLPPHRRSSRA TPRPNSRPTSPPRTSPL CC84DRAFT_883673 MPASPHYNSSPSSLSPQSRLSQLSQHIAPMTTTSFSAEVVPQAP EDPLFGLMAAFRRDEDPKKVDLGIGAYRDDNAKPWVLPVVKKVRANSCRRGELPNSSR WDADSSLQADDIIRNDPNLNHEYLPIAGLADFTSASQKLILGGDSPALKEKRVTSLQT VSGTGAVHLGALFLAKFYKPASKPTAYFSDPTWPNHFQIFSNVGLSHKTYPYFSKETK GLDFDGFYNSIQNADEGSIVVLHACAHNPTGVDLTQDQWKKIAEVIRAKKHFPFFDTA YQGFASGDLARDGWAIRYFVEQGFELCIAQSYAKNFGLYGERAGCFHFVTSPSSDAQS TIGRIASQLAILQRSEISNPPAYGARIASLVLNTPELFAQWEEDLRTMSGRIIEMRKA LRSKLEELGTPGTWNHITDQIGMFSFTGLSEQQVMKLREDSHVYMTKNGRISMAGLNT KNIDYFAKAVDKAVRDTQ CC84DRAFT_1207241 MDITPILNQALAAHNAQPVQPHVFRKENLDEFLKEAYRIRAHIA QLHTHLRSIRQSYLSTAHPPRRKQIARANGASADKDAKYLTDAERNELDASAKDLLRQ LSHAITNLSHTEQLRREAESQIAYKKRAKRGLGALGRWAAGGAITAKSPEEEIEEAKS NTVKAHRESIIWYLQRALEECGRFQSSMMEIRITREIEKSKSVLYKARGTMPATDDYP GSNGNNTSTDYRGKSTQLPEESSTSVEQQLDPEQLQLFAQENQDMLKYYEDTLDQVRT AEKSLLEISELQTTLAANLNIQAEHIDQLVADSQLTTENVGSGNKQLKKATERRSTAQ MVFWSTCAFCTTLILWDLFI CC84DRAFT_1096064 MHEGTHENHDLEAITTVPTNSAPYSVFSKKQKYFIVFLAAWGGF FSPLSANIYFPALTQLAAEYNVSSTLMNLTLTSYLIFQGLAPTFFGDFADMAGRRPAY IIGFIIYIGANIGLALQNSYAALFVLRCVQSTGSSATIALGNGVVADVATSSERGTWM GYVTSGPMIAPAIAPIIGGLLSEFLGWRAIFWFLVIMAGVYMVPLILIFPETGRNVVG NGSIPPQSFNMSLLNYLKVRKTRKAHRENELTRAVSRDEQQALARKRKLRIPNPLGTL KVIGQKDAGLLLFYNSLVYTAFYTVISSAPYLFEEIYGFNDLQIGLSFIPFGVGAMIA PMTAGKLMDWNYRRVAKKSGLRIDKKRGEDLKDFPLEQARLYIALPMLIIGTLVILCY GWVLQVEPSLAAPLVMHFFLGLCLTGAFNCMSVMLVDYYPLSPATATAANNLVRCFMG AGGTAVITMMIDAMGRGWCFTFVAGVIAFFSPILWVLMRWGPKWREERRLKIEAQKNA GW CC84DRAFT_1177943 MLAHFLTTLLLLLPVLTLALPHNSRDAATCTPTAYTISSYTANP TKPNQSIHLAFRSAFPDPSLVTDAALSSAVCDASADSSGNFPNELTCSTGRSNLEVDL RGELGSGKLMVIHFWRCGGKNWMSSTPVDIDAGSEGEGFLPQNVREVPCGAPTCP CC84DRAFT_1219270 MKFIIATAALVATSAASNIVRRETTAALNAAFTASKPYAKVVNR CSYPVYLWSIEQEMGCDTEAGIVLKTGEIYHENFRSNAAGGVSIKLSKFDTCGGKDIT QLEYKIVNDQPGYNGNYLDMSFVDCTDNIENCPGRTDGFYMKSGNEGGIHTSAVNNEH CPIFNVYDSEEAAKVSYINWNDRQTKYCQADANLQLFLCGGEAPGADEDTPFASSSAS ASSSAEIESSSAQPTSTSSSSEEAAPSTTEEANFVVAAAAAVTEAPAAPVIKTEVVYV TEFVKARHAHGRRHQHFHA CC84DRAFT_1096409 KLRKAAKLYKEKIVEEKRVAREAAKVAREKERAEKAAERAREKE ARDAAKALQSAQKGKRMASQPSIQSNKRQKRVVDAVLTTDASGAASAAPTKTTRRGRN VKLPCKYK CC84DRAFT_1166172 MSSFKEETILKSFKATGISPFDPEVILQRFNDTTLDEQVSRESS TSALSGSDWRKLDRLVRSAVEDQSSKDAQKLSRSLHHISVQNELLRHEINGLKEALLV KKRHKKKGKPLDLQQRQEYHGGAVF CC84DRAFT_1149876 MDATFKRKQVGKTSCTLICSTIINVLALLIISPLSAALLASDEV LVPRELDFTGLTPRTSAQLLSNATRETYFRTMAALTRNISTSVWNSDSLITFPVWPSS EAMQIGPKLVSSQGSWQAETLTMYHEYACEDMTLVSAELENKTYSALDWHHSYPFNGT QPMVSYKLASRDGCKYELDVHPTVDFAYSGGVRWSKATEYLSDGGMLNIDQRAFEATV SPQSPYTRIKTSPQCKDRDSILIATPWTSPLKLPPWETLLANRTYPKSNQFRIRGLLC NSSYTMRRHTPTVSITQGDATSLEFDAEDSRVSETIPQSLVNSTLFQDITFGWDQWKL YIVGGNPNFDAALVPESQLEQSGEQVTRDQSPTFVGLGLVLGTLSSWNITDMLNDPNL GERAARIKGRFFTENVLDSFTKDTLVQRQAVQGKTTSVETRIVVLQQIGITLATLFFV SFALFLAVLWSSRLARRPLNLPSDPASTIGLSILLDPRSTSLDMIKRAHGESKHGFHS VVRQESFFTSFSTLYRGSNLTASPAGIFLGMILTALLLMNSFSVKSELYQKAFTYETD LSRFGLSISSFAPISIAPTVISIVITLWWDQLDMTFRVLQPYIAMSRAPTSMKSGAGL TYRSKTWMGAAMKAARHRHWVLFLVALGSTLCQVLTVSMSALFERKATNSLSSVTLNR TLQVRFEPVLATIDIASQETQENMGFTFTPSDHANLVMSSAYSGSSYNWLHSATIQLS LGGPQLTWTRDEWNFIPVDISAASQAVNDNSAIVNSTDSERGLLTNVTLQTTAMRAWL DCHQIDEIANRSTWIRSLTAKDLPPDVDPGDFESGYAFNHFVFENTTSSTSILGSDKL VQCCMNGTASNPQDAVIGYWSPLQKSLHPGLRETSPGWEAPGFPYQDRTWPLPFVTKW ILGKPITLRNYDRDILLYKDPPALQAALCQPIIDTAEATVVLDTESHTVHSYEILREP ETLKSAWSDVFVRHDISDSRSHYNANYTGPLNITTSFGVLFADAMFGSGASSSLQTYP VEDLNDNKYNIREPTLGLNMDLMTYSMFAIADKDPQVLLNYTALTTYANRTFQTFFQH FVNEKMTWTKEGWAYQKLGDTSLEGLGQAVDENGTAIADRVYIRPDTNPTVTASVSKR IQTLHMNSVATYLSAGLIIWLIATTAIVTLLQRRYTGFMLRNVELIADVLMLVAGSEN FLSLVQERGLDLKRDTEVKTMLGWFKDRDGEVRWGIEVVGGRNPVEWVTAPQKDFG CC84DRAFT_1057982 MAVHDAESFARRGEQLESITIASLALSWLMIALRAYTRVFMIYT IYCAFILYIEAHGGGTHVTSVAQLSGLTKRAVGSEATYIATVMMLKISVGILFARIVV QRWQLVVIYTTVAISCISASASFFYCLFRCGPDLDEYVTRQLNSLCTPRVLDRFFAYQ HAAFAFSTDCVFVLLPIPLLWNTNMSRKAKFSIGFILSLATLGCVCSAIRFRYVDGLT QIEDFFWNATNISIWSTIEPGVGIMAGCMATLRPLMKC CC84DRAFT_884367 MVPRRDGLDAQKRNGDDRPSWVIDDDSPMTATSSQFSRPTDDDD YTQYGPLSSTTWDPPPFASQHLASSTFITSSTVNTTPQLSTGGYTATVTASIFPVASD SPSTDFPSIEGGSTADHERKEHSNQGPMYAAAGAVPVIVIAIIGLVVFFCMRKRRTQR RRTQRQQQAATQNHVVPEVKFQQPTVHAYRAPAVPVSRAPSYTSYEDNMQRPTSPPPV ILGPISAGSSGNYMTGIDTSDIISTRNERMGLGDPFVDGSSLHEEPPPPYKPRSVASR NSSLRIPRSSMSVSSQHSRYSSQRQVFRPLQNPFEDPREDDAVSNLSGPTLHRNSDNM SVVSDFSYQQDPQVGRRSP CC84DRAFT_884389 MSLDHHIYGSSDWHLPSPTSTPKSVGPDPTLKTPRTESFPQSHF LDAWSTPRVNGQQTPAQTPTFAISTPIERPSTSQSLKVRTPEDPDFHVNHFAVTNLPL PPVDPSRRLSSSPDPQSVHNTAAILREHISTRPRPLSMDTSQMQTPPPTRDATSRRLQ YSAGNVSTPATVIAHAPGQAHPGDGWFNETPLAYPSLQFSPDMVQFSSTGPMSAPALP QSRLFWDQSNEPAQMDIDMPFAEDPFGPTPHKIEGNMSWHTFHTPANNQVNPQAFQAL QGLQSSPGPRSSFTTSNGGDVSCSRPSSFVSTSGGVDPSMLFSFSSPGPASSFNMSMP MPNKPAENRQPYETQLRESMREKEAARKAKGQHSRTSTNSSNASFEGRPTLQRSNTDS GFRRSRPSSMESRSSNSAVAYNIPRRSSPLKRSSGGSLMAIPEVRRPRTRLIIDETGR ARTETVPADDEDEDRQEPPKNPLDLRAQYPDLWNDDDDSDSEEEVTPQAVTLSRNASF NVPQRRTSKHARNDSGEISRSNSFKVPRPAPRPSSVVFDKASFDTVRPVRRVADNAHR RFSMMDFPTSFDDTQEGADQPSSDSPGDALGALKKAVAGRQKQRSAHNTLQAHNQRWA QASVDMAHGQPALFDPFSNAFSVSPANTSDTTLTTPSTDRSSLSNDATRCVCNGSDDG RPMILCESCRNWLHMPCVGLNDNNVPPVYVCVFCTGQTPVARGGRVRGPMPGLFDSPL THKSVYRR CC84DRAFT_1261057 MSGLRNIAKGGWHPGGKGEGGKESWRGDFKGVNTVAGWKNKAMG KSSDPAEDAHAHVSAPLSTLKDPSLFPPPPKHRAYHGDAAGPARPRATRTEEEARQRQ EEEANRPRPGPYTADTTGLSTAHLPKPPAFRPGQTPPPAVAHSTKPRPKPGLPPRLPP RQNSNPNEYAPAPPPTYNESLQDNTGAGGYLNQGAMSRLGQAGVAVPGFEIGRNASPP VPPRQTSSPTPLSPPPPPARKSSQLSELQARFAGTNPSSSSSPGAPPASGTTWAEKQA ALKTANNLHKDPSQVSFSDARTAASTANNFRERHGDQVAAARTKASAFNQKYNISGRM SSLASGSKASPPPSPSTGGPGKKLAPPPPPKKKEFGGTAEPPPIPLGTKPKF CC84DRAFT_1177951 MSGAGPSLYPGEFPKWAVFRFPPDAAPPAIPPPVADAPFTSFRN PFPIPANIYNAVLQPIVPLTVAAVYATTISLLNAYNRKHGNKPWAISKTRAFYIFVIF HNVFLAVYSAVTCWAMIRSLKHAIPHYTEPNALVGTVDALCKIHGPRGLGDAVTYNSE TQQWQSTNRNIQLDPNGLPQSDDLGRVWNEGLAFWGWIFYLSKFYEVIDTLIIIFKGK RSTTLQTYHHAGAMLSMWSGIRYMSPPIWMFALVNSGIHAMMYTYYTVSALGVRVPQG IKRTLTTMQITQFLVGVTFAALHLFVSYTVPVSVAYEVAENVLPKLNASSIASAASSV ASTAVPAATGAGLAFLKKWIYRAAGDEGLAENVYAAPATTPAAFAGAPQHAQHPIQHA THTRTVYRTQYEHVPCIDTSGQAFAIYLNLIYLAPLTILFMRFFVKSYMRRGAPNTKH RTTQQHIAKSTRDAIHGVDREIESLGKSAEDGVDAFVNGRGRPAANGEKKSAKPNGEQ RNGNGTLSPENRKFVDSLNMKVSQELERIGEDDVGSRERARKLAKEAVGGTPKKERGF SPEGDQTAILGRPNAE CC84DRAFT_1063609 LREISTLASWTVSSSKPGCSVPQLRHPSPQLFWQSDGPQPHYLN IHFFKLVRIVGLRLYLDFEQDESYTPTRIVFLAGSGMNDLVEWGEMRLESPRGWVWAD FIKMPTLRCHLVQVKILENHQNGKDTHLRGLQVF CC84DRAFT_1249587 SSRTQSCAYLIGLFCFGTSTHRNVHESHDLSEMESLQPTSSSTE PQATSKTSIRSKTWYILSKPFVGYRSLKRRSRFHGWQWGVVAGIFACTFVLFMSIAFM IIGLTAKMSNQGGIATLLAGNEDQVSRASTALHVIIHVLSTLLLSASNYTMQVLSSPT RAECIKAHERGS CC84DRAFT_1096202 LFNNLFTSLALAYEWDRLGKERKSLRVTKPQGQHRETHFLQLPL KWGIPVNFTSGLLHWLASQALFLVRVDRYDREGALKEESSEAACGFSLPALLTLSIVL IVLLFLVWFFGDKIFETHIPLAGSCSWVISAACHASVKEAAPWLEKVQLGVVSEEERA GETIGHCSFSALPVEAPKNGRRYK CC84DRAFT_1249603 MFWNTLATGALLAQSASAQNMLRFGCSQLTVERADPLVAPGANP SPHTHQIIGGNSFNLTMEPAKYDPPSKSTCTSCTYSEDFSNYWTASLYFKSPENGTFQ RVPQFANVGLQQDGGMTVYYMPYSAQNGKMTAFKPGFRMIAGDPMLKKNTNKASICHR CLGNGEGFAPCDSKDTAELPAKYCPKGIRATVIFPSCWDGKNLDSPDHKSHVAYSNSG GLGTPNCPSTHPVRIPQVMYEVMWDTGRYKNDAWYKNGKQPFVYSFGDGTGYGQHGDY LFGWKDDSLQKAMDALPSGKCANANCNVLKIQSAAEAMKCKKAQQVPEDVGVGGGWLT ELPGGAAVTYAS CC84DRAFT_1219277 MGVTATATQVRAPTVPVVVSAVPDVKTLRDAIPSKCFERSLVRS LSYVVRDVVFVSALFTSAVYLAKLDAPWTVTAPLWLLYSFVQGLFFTGLWILAHECGH DSFSPYLNVNATMGWILHSALLVPFFSWKFSHARHHRYHNHMEKDTVFVPSTKEEFEA KEAKGLGLFAKLVDHTAADTPILTLGSLVVHQVFGWPMYMFLNAGAGYKSLVQGSRDT VARHKQSHYDPRANIFTAAEAPFVAISDLGLLLVIGALYAASRSLGVGTTLLMYGLPY LWMNHWIVAITYLHHTHEKAPHYDADNWTFVQGAMSTVDREFGFIGRHIFHGIVEYHV VHHLFPRIPFYHAEEATEAVRGLLGDKYIEQRTNFMADLWTAFTTCKYVEQGAKKGQL MWAAPAKAK CC84DRAFT_884491 MASSGSMPCLLAALLFTSLTLPRSAAAPTATRACDTVDDGYRCS PKTSHFWGQYSLWFSVPSDVDVDPPEHCDVSFASVLSRHGGRDPTMSKTLLYGATVGL IQNTSTAFPDEFAFLKDYEYNLGADLLTDAGRQEMVNSGAHFYRRYKDFLKGKTPFVR SSGQARVVESANKWLEGYAQAAHKKTGAIDVSIPEAAGVNNTLSHEICTNFEDGPLSD IGNKAQDIWVAKFVPPIQARVNGKLGTTLSTTEIIYLMDLCPFTTLASPTAQISDFCH LFTEDEWQQYDYYESLGKWYGYGNGNPLGPTQGIGYVSELLARLTEKPVQVVGSINST LDKDFATFPLHRKVYADFSHDNDMTGVLAALGLYNNTKPLSNSTIESTNETKGYSAAW TVPFAARIYVEKLSCKKAKEEFVRIIVNDRVQPLDFCGGDRYGRCTLSKFVESQSFTR TGGHWNQCFTSS CC84DRAFT_884501 MRARERSTADELQLAALGHKGELQRNFSFLSMLGLAFAILNSWT ALSASLSLALPSGGSTSVIWGLITAGICNLCLAASLAEFLSAYPTAGGQYHWVAVISW KSYVPLLSWITGWINVSGWIALVASGGLLGSQIIIGIISMYSPAYEPERWHQFLIYIG YTLAAFLINAFMNDLLPYVNKGAITWSILGFVVISITVLACASPNYASGDYVFRQFVN ETGWPDGIAWLLGLLQGGLGLTGYDAVAHMIEEIPNASVEGPKIMIYCVAIGTFTGFI FLTCLLFVSGGNTEEIVASPLGPLLYIIHNATQSQAGSVCLLMFPLVCLLFATTAIMT TSSRMAYAFARDGGLPFSPFFAKVHKRLGLPLNSLILTTVLTVIFGCIFLGSSSAFNA IISASVVALGVSYAIPVAINCLRGRKMLPPRAFTLPNWFAWPANLLGVAYVIVTTILF VFPPELPVTGNNMNYCIVAFAVIIIISTIQWFVDGRKNFTGPRTDMGIETLEAVQSKK QDDVHSAADNKKYDGEPTV CC84DRAFT_1207257 MPEEFEDSRECVREGVLEPEREGECEPRSRSSAEMDQREQGCGG ERMTGDQPVCWREEGMGLLMLMSLVEVTTDLISNALQSYDPVEKHVPDAPAAACKKSS EIYERPGRLNQISCLQHTAVATVSIPSECGTALRTAAMLNSPHHSTRTFSVAVMSKTP LRTLRIHASPSPSRVES CC84DRAFT_1188539 MYFASLLRALAVLSTIQTTLGTPINDPVNVPPASCNNPPIRQEW RQLTNAQKKAYTDAVLCLTTKPAISGLQGTTNRFDDHQAVHSAQTPNIHWVGHFILWH RYFVATFERALRESCAYTGAQPYWDWSLDAEPSNLSSTRPFETAIFDPRTGFGGNGPK VEPTPEQNPLNITGGTGGGCVPSGPFAAPNFWVNIPARDCLRRDFIPWIMNSFADPAL VANVLAQPDYTSFARAIEGTPSFAATNIHGSGHFGVGGVLGQLGNAANSPADPLFYLH HGNLDHVLWKWQQQDLAIRLKEVGGPVTPFDYGGVNVTLDFKINMGTLAGDATLKDLL DTEGKTLCYTYDE CC84DRAFT_1050559 VFFRFWCRYVHTRYVGLDDWSMLCALVVAIGMGIQNGFHISWGT GRHGSDLDFLAFECPKNPSQAWSPTFPAECNNLVATYFSTASINILTDTVILVMPLRA FWQLQLHPRKRWALIAVFLVGGIAVLASIVRIYALYTYTYTKDVAYDAIFILLLSQIE VNVAIISASAPALRPLFKKTFRNTS CC84DRAFT_1166181 MSPRLKALQGAQLGIVALTLLATFLAAVVPHKHKSFTFGLLYPL LLTSASTTFLIAREQRRAREGALTKAKYAKYALLKLAAAFGLGFVGFVLDIATTDGKC DVQRPGETGLWIRCVKVETWQGAILWLNVFNWLFLWAGVFYSCCMSRRTEGAIALGGE EARIGLDNETENDEAIARDLQAQDPNWRA CC84DRAFT_1197570 MTSSNTTWPPGEVWKDLIPFGPLANCTLALCPVEWSVFTYQPSI AANSALLAIFGVLLLVHAAQGIKYRAWGYMGCMVAGCVLQIIGYGGRIMLHGNPFDFN AFLMQIICITVAPVFYCAAVYVLLAQMMTTLDPSLSRLSPKLFYYIFIPADVTCLILQ ATGGALSATGATFDAVNTGVSVSKAGLILQVVVLTIFLGATADYLLVYRRTHGAALLR RLSVFLGAVGAGVVCVLVRCVYRIVELKDGYFGPGFQHQWVFVALEGVVMCIAVVFLA AGHPGMSLYRLKEEKGPARQERQAEVAQQGGVGYH CC84DRAFT_884580 MPGYTTAVFFALQVLLTAFLLSRASSNMTSPPSKQWADSPCPLI QTPQFKTKKMDIFTVGATHMSHIHNAILRGYNSIYHQAPLISHEDKDDFIGYCLAWSK FVQSHHDSEEGELFPTIEDALGRKDLFEETHREHEAFLPGLARFASYLATLGEPYDFD GGELVRIMETFQRPFCQHFHAEIKTIAAFADLPEASRAEEAAAVFKAWGKKTVSKAGT TDVVPFFLLNHDVTYEEGMWAAWPPMPAPVRWGLVNLAGAWHWAWWKFASCDAGGRPR DLWALVQPRRPEMGARS CC84DRAFT_1063954 LSTIMHRCLLEGDYQRASRAWGMILRTEAHGTPIEPRYNGLWGL GAELLLRRRSGDEQDRPLSDEYQYSEDGFQLAKDYYERLILQYPVRNFQPHAIDATIF YPPLFSVWIMQVLERSRRERRRLTDDEAATSEDRHKMQQEELAGAREICRRLEELVQS PPYDKNAQLLVLRGHAGLWVSDL CC84DRAFT_1058242 MTRARSSLSSIPSLLHRRMPSRSRDGESTLSSTPSEPPAPTPQI ERLRHRHTTSTGYERSPYLPRVQVPSPELDLSFEELAGSTSNASSDSPLPRLSSARRD GRASSMLPSFRPDRGLRNMTNSLRRRRSPIRREEDQAAMLSRLLSVAAAATAASLMGD DHRAVSEARNVAGDGEDGTFDSFLQALQNGRIASALRQSGNSNGNEEGTDTPGGNTQP LNFFRMFRFGSSHGNEHLDADTVDRDGRAENNGEEDEGDGRMVPIIIVGIRSINPGSG TERDDNIPPFLDALSSFPTPATSPGEEPMEGWTRQPPNTTRFSHRRRASMGGMNNFSS SYDNQRYRSARPRPWSTMSDSPAGPLPPPSTPASAGLSAVSSGATTPTFTASPPSPAI PSSASSRRGSFIRRPTASGLEPTTEEPTAHRRTPRQRRLSESDFTRFGSGSSRRNGVV EPDNNPGEGSRSWIIYVLGGSYPENHPILTTPSLFTDSPTYEDMLLLSTLLGPAKPPV ASEEDVAAAPGLHVIRTYDNGRLVAEAEGGPPHIDLMAEARCLVCLCEFEADEEARKL IKCGHLFHRACIDQQWLITGRNSCPLCRAPGVDE CC84DRAFT_1177967 MAAWPPARHCDAKTAPHPLAFGICSLGDARELDLAAEDWQSDGH AEGASVQHRLQREGLMCMHLARRPASYGPVQGTAISTSGWRHDPSDGRLPCWIVPDGN GGAFAVCTPSGSSWGGRVLCTTHTAAGPCPASAVTLAARCRIYSSKVDPTATLRQKTD TQEELQDPGASGVVAEQGTPGTAVSWEFQSRFNAPRALRLNNLHHARCWMEVRDGGRC TGTRADGRVHVVWLFCVPAPPGQQLQAGARRTRSPILRRRSLRFGHARWQRLYQGICA AALAPRRVREQAQGVRRAQQTQQQQPPGARPSAVQRWPPLDSTRLDVTAHGPRKPGQL PCAHHLPCCRHVISSHLAAGPTCCKGDPSLGATRARIFQPAISARDACSHGWREACSQ TGPRGVPCPAPCSPDAALP CC84DRAFT_884601 MSGYYPPNANIPPQYYSLNPNGLPNSNLQNVPPMMAPDNPYAHG LPESPVYHAMPGPFPPYVETHMQQPYMGHYQDGPGGMDPSQDANARARRRPGPGEHVK HRRTRSGCFTCRQRRVKCDEAHPVCERCRKGNRECIYPEAQSTQKTGRSGSKSGKSSS AESGEGSSPEDHNDDAKERLPPIADDDEDEYEEDDMEVEEKGQGAREASNTPGLTLDR SPSPSTEPSLKTPSLSSRPPINRKMSHPTKNSGATVKPSQRKDIQFYLDYFKNHMSAH HYSLKHDTSKFFKTDYLDHAMRYPPLLFAIVGYAAYFHTLTQPNARMHTFLQYYDESI SRLRANMMKAKKQGLSTLLTILQLAAIEEMLGDWVNLMGHQKAANQMLTQLYTPKTIT DSPFLLKVILWYSRFDLFVGLQSGGEAILSRDWYVAVHEHFQKESAAHPDNLGLRYDE RFAYSRLVAKDSSDFFFKKGRGMISDADFMEELPKLGKKVHALETNIDPMLLDPNHKV HSLSGNPDHDSVVNAFEPDLLFRGSRWTTNYLKLDMWGIIFMFNLSSAMALQKPFDPE IHKRALRSAQLFEAIRNYPEAPPGSIIEAQASFAIATLFLPKDPKTVTWCRKTLAKVE SAGYIYSDVLRNRFLGSWGLPPSDWWLPNDEGCPPIIRSIKDFIQERTTAPKDEVSEN LREMRGIFGTLTISDSPPDDNSSNATEETVGLGSVSTNTDDMLYYTGSPPEYEYGYDS KFSGKEAHSSGQFS CC84DRAFT_1249637 MENFQKLGAFGKNISASFTPFAARTQQLVKETLGQDVDKTQLPP DYIELEKRVDALKKVHNTMLQVTSQYSNEAYDYPTNIRESFNDLGRTVGEKVQLLSAA TSPAEAQAALTAPPSAKPQPKTFSHAVARAAINSSHTLQGEHSGAGEDPLATALEKFA IASEKVGEARLAQDAQIQSKFLAGWSTTLNTNIMFATRARKAVENSRLSLDATKANVK GGAFSLPGQKAQQHTLNDEDLTEEQRAKIEQAEDEFVGQTEEAVGVMKNVLDTPEPLR NLADLIAAQLEYHKRAYEILSELAPVVDQLQVEQEVRRHDSSGF CC84DRAFT_886100 MMPPRTAPQKTLAEPTLYFAFGSNLWLSQMRTRCPSSAYLGVAR LHGYTWIINERGYANVVESKARPIANTKPDHVYGLVFRLDANDEAKLDKNEGVPIAYT KECLSVDFWAARGPNDSVDTTRPPTEVGQDMLVYIDRRRVTPSTPKDEYVYRINRGVE DAVRLGVPNAYIEGTVRRFVPAEEEGNKGRKSVEEVAKKQAAGFVDKALGVDGES CC84DRAFT_886083 MPYSHHSHSGQFCGHAKNTLLEVVQDAIAKGFHTFALTEHIPRP IEDFYPEEADSHTAESLAKLFDDYLVEAELAREAYASQIKLLIGFESEWIRPSTHQII QGIMERYTFDFFMGSIHHCHTIPIDFDRATYEKARDAAGGTDEQLFEDYFDEQYEMLQ ALKPPVVGHFDLIRLLSDHRDADFKGMDGVWKRMQRNLEYIASYDGALELNSAGLRKG LAEPYPCSPVCLAFLRMGGRFVMSDDSHGIDQVATNYPRLLQYIQKVGISEIFYVDKE AAPNDSRFSAGFSSITVDNLLQLPFWTTAR CC84DRAFT_886086 MGVEKQIIKAGDGATFPKKHDEVAMEYTGWLYDESKDDKKGKKF DSSVGRGNLVTEIGVGRVIRGWDEGILGSHESAPMSLGEKATLTITPDYGYGDRGFPG HIPPNAILVFDVELKAVNGSTAS CC84DRAFT_886247 MRPDVESKDAEYLCTMQNLQTTYHGHSALRVPAHFAGLHAWRLK PHPSPANGLINCPVSALPSNRGHTCIRNSYDTYPPRSNLGASTQKPIFPVWLPRLDHL LSGYVASSPTHVVAALLLFPNSFAPVSYFRSLQAYIATQRTGRGFKAAVFRLFRSKSR TRKSTASMRSPCMIWTFV CC84DRAFT_886264 MAMAAALLYTHRSLQAKIYGLGRVLPRTWSDRVASRGGGQFRRG WVCVSVSLTWPHRRNPAAPTGHDNNVTLPRCFEMLCRVLESAGQHSSMSKTLHAGRLE HSTCGPDVLVHATDAILLRLFLSVFPICILHLAKNSCLKDLPTYRIHHSIRAKELGPR MTQYGNRRELHANRFGRTQSRVRLG CC84DRAFT_886272 MQFLTSLFVSLALFGATGTATNFTGDVVNGVPVISYLNLSDVPT RSVSRYYLRVGELSGGLPVHIPVFVARGTPESLESGKKLSLSAAIHGDELNGVRVVQR IFAQLEGQVESLNGTVIGIPTVNPMGIYLNQRNYFTSHNSGWLTNINRVFPGTAAVDG GSLPELLAYNIWNNVWANKSQVDVGIDLHTPSSGAETSLWCYADFRLPYVERLAKLLQ PDTLKIDPGEPGSIETTFVSNSIPSITVEMGLAKVWNASLIDRVYDFVNRVLDDLDIV PSNSTQAYSPDLSKTYIATTFHDSVSTYGGFVEQLVTVDEPVTKGQPIANIRNAFGDI LETIYSPETGKMFQSPQDPSIEPGASVGQIAYNSTDPECADGCIL CC84DRAFT_886285 METLIIRDDRRPRTASHNTKDQVDYGDGHTYRMLAASLRRYNER PAQAASGPKRKLSIAPLQPQRTSLLSSQARKNSPKTRRLFISAANKAGLAVPAGQGKH ALRPQALQAKLLERAIASGIIAQKARITHPSVPPSPRAARSGLKKEDADAKPSVGSPF SVANISCYSTATLWPFVNTVVTATGECRLS CC84DRAFT_886284 MPGSLARKERRRKEREGMRSPAAAKTAYVESVDDEGEPRGGAVQ KTEDKPADSNEGELPVPQTTLSPQERIANLTSDIPSLVPASEPPKGSQPRPQGPARPP PTSPATKNQDRDQIDQKQSKNRSKRGRPPRIPPESEPPFESLRNAPSSVYEEAIATLR NMSTEESARLRNVVISQMAAEGFPIVTEGLRSKRIQDPSYPVRSIINPPWAPSARKKD VMTEEEAENLRRAMFAKMSQSTDQDWVASMAQSLEKLKIEKSLALQASIGNVDGPSQS VEIVTPEQEAPSGEQAPIVQPKKREDTETVKSLLVTNIESKLKSLPHAGKYCTQ CC84DRAFT_1096552 MIDQKAFDEGTFNTNPEKFKETIDVLPQEKIAEAPTVLANVHPA ADSDSHTDRVARVDNKAKKLRDSVEQARTETLPSQEGATLDRGFAQHSSSSDYASSSG DNGSKLHAGQTRRITNDGIIGFKACPFKGPARVASKEHRRKVSLKLPINVATPQRVCA RSSTQHTRGVSISTDDSAVAPYEAEERELGYKHRHIFVGTASLDDFLEILEVTSTYTT TKRQVVKAFGILACAEQVHARQSSNSSDGWNFVTRTTHDDILTHTDYLTEAHVKLGSI SLGKFLGQIPFDDKGDVAAVKVVDAFCLASHLDGEARYGAQSKAKAFRSWYVRESAVK QDS CC84DRAFT_1123774 MSFFNGAVQSLRLRNLNSSPDFMVMASDPYSAGNGFLDVFKHKR PAHSSHPDFANLALLVFEAVMEVVCVSLPGYIVARMGQFDADAQKFVANLNTQLFTPC LIFTKLASQLSADKLVELGVIPFIFVVQLIVSYLAALIVSKLYGFSKRGRNFVVAMAV FGNSNSLPISLVISLSKTLSGLHWDRIPGDNDNEVAARGILYLLIFQQLGQLVRWTWG FNVLLAPADAYKEEDDGRNSIMENGEFSDGEADRLLDDSHSDYESGNVTSYATSISTT SSDSDSITQRENAQSTTTFVTPTNGNVTVKGPGNMNGNGYPDEGVQFGTVFSYKTDHP PKGPKKVAFQVQRTLQRFARSIRDVIARASRRVFLALPVWLQRTLAKLYHYTAKFLGG CWEFMNPPLWAMLAAIVVASIPPVQHAFFDPGTFLSNSVTRAISQSGGVAVPLILVVL GANLARNTLPDEGNRSIEDIKVEKKLVLASLVSRMLIPTLIMAPLLALTAKFVPVSIL DDPIFVIVCFLLTGAPSALQLAQICQINNVYMGAMSNLLFQSYVVWILPSTLPQAPAS ASPKLQPSSRSVHDGTSPRPGSSKVVSIADPEIESISPLMRGQHKDLGGGDYFDLGQA AKQFRANVSGKRLIGRPSVERLAPASRQSTEGNPSYNSLLSDSSEGLPSRQSHENLIK HVTTWLKTEKARRHARKSKRKVAAAKVASAVEHALGGSKSEHSEERRGSDSSDGSVAL DQLAMILERSMSLKSTDGSPRFHRVGSHSRKLSLFKRNSTISSGEDHFDSIDQLVPSC EAILDNSKTMTYGAGGPESESTDDLTKVSTRRAKKEKEAWAAFKYEIVRLTHTLKLKG WRRVPLDMSGEITVDRLSGALTNAVYVVSPPKNLPEQRSREEGVPTPKNPPPKLLLRI YGPQVEHLIDREAELQILRRLARKRIGPRMLGTFTNGRFEEYFNARALTPQELREAGT SRQIAKRMRELHEGIDLLPKEREAGPFVWQSWDKWVDLAEKRMSWLDQQIINGTQGSV QSAADRWKDRGLVCGVEWPVFRKTVEKYRAWLEEQYGGIEKLNERLVFAHNDTQYGNI LRMTPSGESPLLLPANEHKQLIVIDFEYSNADLPGYEFANHFNEWCYNYHDPSPHRIN TAIYPTPDEQHRFIRAYLQHNPTFKSSRGHSSNPPTPHLDPLQSSGSSTALAATATPT TISAFMLDARAQPGERYSYHEHEAQVEQSIEDETRRLMAETRLWRMASSAFWIAWGIV QAVVPGMPNLDEEEGKEAPVSAEAGVLKSATKEVRDEAAAEEKEKQSEVSEMERAGDE RGAGSAGTGASADEPQAEEEEEFDYLGYTQERALFFWGDAIKMGIVKAEELPEEIRSR VKTVEY CC84DRAFT_886475 MDSAASTPSGDDFDSDGPFGSPSSPPASSPDVRPSLAPGSPTEG RPPARSVSTSGATANMTPSSTPLGNINAARNPRPSNAPTISSRGSGLNVPQDIMARMK AVHLGRQGAPPGRAPSQPDVMSPGGGGPASPGGPMFGNPGGVPPNFRMPPQVKPSMTT MKSAPVVPGRPGGGAKLSLAEKRGLNLAGGLSGSPAPSNGTAPPAGKRKGPGLKLGNI HGENSSTDPPKQESMMDKYKEFIDKETGSLKFKGKAVLDSKGVQFANGITFNISLDEV DTLDELGKGNYGTVYKVRHARPQMRKPGQGLAGNKAAPGSPSRKNFEGEDSSPAANKT SGGTGIVMAMKEIRLELDEAKFATIIMELDVLHRCVSPYIIDFYGAFFQEGAVYICME FMDGGSLDKLYGDGVPENVLRKVTLATVMGLKSLKDDHNIIHRDVKPTNVLVNTRGQI KICDFGVSGNLVASIAKTNIGCQSYMAPERISSGGMAQAGASAGAGTYSVQSDIWSLG LTIIECALGRYPYPPETYNNIFSQLSAIVDGDPPDLPEEGYSETARDFVHGCLNKIPK LRPTYANLLEHPWLAPLIKPHTILEEEEEEEEAAVAAEPDVAAGDRSTPEPIEAVHDQ EVADWVKAAIERRRQGKLGKGAEKPALHAAPLDAISPPLNGGPNGLEEAAPATTEES CC84DRAFT_1261080 MSGTDYTYDGEGQFFPYFIVTLTSFVTIPVTYSLLKPSKELEST ATRISSDFAPEHADLVEKQRKKQKRRERRIKRAIVSLIGWSVIAGMIYLIIVTAQNVP KIWDPYDVLGVSRSASEKEIKKHYRKLSLTQHPDKRREDLEKNITADAINDHWVEVTK AFKALTDEEVRNNFLQYGHPDGKQSFSIGIALPKWLVSEGSGKYVLLMYALALGVILP YTVGKWWYGTQRMTKDKILVASAGKLFREYDNDQGESGVVGALSSGEEFNEVMAGHKA DNGLSKLEQKVLSEGTGALVLGALSKKDRQKLDELEDSRRRKVLTLLWAYLGRVELQD ETLNEEKYEVAPIALKLNEAYTSIALAYGSTKAVLAAYHTSQLLIQSLRPGASPLEQL PHFTPAVANAAEAERSRAHLSIQEFLDLPVAERKARVVAPGLLSDSQYTQALAVASQL PVLHVEKPFFKVVGERFVTPSSLVQFVVKARFIPPGSTNVPEVDPKDLLDIDPDEGDV AAITGRKDDRSGQKPIQPPLAHAPYYARDHAPKWHIFLADSKQGRIAVPPFTFSTFDK PILDESGKPTYNVQTLKMQFGAPPQPGAYTFVMHMICDSYIGMDSKMEVTLNVEDASK AEEVEEEGEISEPDEDTIAGQMRTLKSGGVPAPKKRRGGDDDSSDGSDTEGDVESESE TDTDTSDEE CC84DRAFT_1207274 MGIKTLFTMALATGFAAAKPINTPAELPAYPMSRRQGNAVQNGF GGQGFNFIDGFNRFNDQAQVVQVKQESLQIQNNGFQQQVVQQVNEVLVVNQQQNGFNR DLNNLFRKANFRRERNQESTVMLVVQQIQVSVADDRGNAFQQDVFVQSAIVANRGART TNTVMLFESQAIIATQVLGGRGNGLAGIAGVGNGRGTNAAVLPTKTADVQLFGARPTW SVIAEDPAATLGAVWQAELEDLQKADQDAADNQANDQAAQQVKASLDKANQEQQQQQA SASQSAETSQSAEATSTTSAAAEATSAAE CC84DRAFT_1166197 MAEEDALVVIAPAGDLILDVRQAEGTPRFSYRVHSKVLRDSSRY FENLLSDRFREGEQLATALEALKVAGLSDLADAPVDALPRVAILDVGRTSALSVRNLV ADFLRAIHGEDLSAATPPVPNLANIAVVADRFDATDTLSRYVRKRKYGALLDAKSGKG KISIGMTEERVRQKLLVGLLFDHAPWVTRYSKHLILRDSSQWQPGVEEDHTKPLWWDL PNGVEDELIQRREYILETINSLQSHFLKLYTSGERQCKLGYDTSVQCDSFQLGEMIRF FIRLGTVRLQGTIYDNTEPTYHTGDIERLLESLRQCSSYQVDRNHAHCGLRTRLLPLV DLLQNQLCLDTTSLDVGLCLECWNNHRDNYAWSVAKRPVLWAQHPSVTGNRMLSKGHR RTPSSCLHRHIVVRDLFMATDRNWTARDAVY CC84DRAFT_1166198 MSMFGSLKKKLSRRGSNPLSQKSAEANTSTTQGGYLAPSNSSSF TNPSPATRRPSSSSTNPFARPGANDPPPAYTPGPTAPALVSRQPSIGPATDDDPYAFL RNFDTVFLIDDSGSMAGSRWGQVEQALSVIAPICAERDEDGIDVYFLNNKKIWKHQRT AGHIIEIFQDAKPGGQTFTGKRLYEMLTPYLARYKKYPANTKPVNIIVVTDGQAHDDV AGTIQDVAKKLDRWEAPPWQVGIQFFQIGNDPEARNMLKQLDDELAGSDDLRDIVDTV PFTSEAGAQLSATGIMKVVLGSVNRRLDRNSKELHR CC84DRAFT_886762 MAEEVPKYDAERQGSLTGVAEGLASEDDAAVLAKLGYKQELRRN FGIIEVFGIAFSIMGLLPSIASTLAYSLPAGPAGLVWGWFTASAFIFVVGLAMADLGS AMPTSGGLYWWTHYFASPKTRNALSFLVGYSNTLGLVGGLCSIDYGFALMFVSVIVIS RDGEWEPSNGIVYVVFMCCVLVHGVLASTISKAMAKLQTVFVIANFILIAATIIALPI GRKHERNDAKYIFGHTDNLTTWSPGFAWFLTWLSPIWTIGGFDSCVHMSEEASNATKA VPYGILMSIGSCWFFGWIICIVLAACMTQDTSTLLESPFGQPMAQIYYDALGKHGALG MMSLLFIVQFLMGLSILVAVSRQSWAFSRDGALPFSSFFRRVSPRLLIPVRAVWGCVL VACVLGLLCLIAPAAASALFSLAVAANNVAWGTPILCRLVWGQKKFKPGPVYTGDKLS RPIGWLAIVFLVFGIVLAMFPAGGPSPTPQTMNYTVVVNCAVWGGSLAYYFIDARKWF TGPKITLSEDDLTDEQQAAIREEGLQIEGVPTTVESVEGGGEKGEHVGAKI CC84DRAFT_1261085 MFLSTIQGLVVYLTYPHPLFAGAISHISLLIDTLTPLLTDLDVL FYACIFFILAIIGSLLTPLIDCLLLHSLPLRRPRYLVIYAMLCNVVIMPVWMLSEARR GMLRVI CC84DRAFT_1166202 MESISDTESRSSQDAPRNEGAADTTEENKFQKAIGAWRNIDLTS LVPQLDTVASDLVAHQRDTLTQRKDLAQKTKDFRKLDDASKLNDIKGLLKSYQGFIDL ISNQSKSVQAAFFQLYSPLSEAPDPYPLLEASIDSLVTAEETVPKITAENERLQKTVA RLTSQLEDAEKKLEEERIARKTLEDSRDTKIKDVEASWEAVLSEKQDNWESKEKSLEE KVESQDRLLKELKASYEVSQRLGKGEDAEGDTGRGATAAELEIVSSELERTSHRLAEV EARNEQLRRELAQSASAHVTQPVPVEDDPAFLRLQSENSSLLRKLESARFEKDSDKAR LETETRSLEREIKALKSEKDALREKVQKWSDYENVKQELEVLKSIEFATGDDDDEATE IALSQNGGASKGKGETLEQLLLARNKKLSNELTVLRVSHQDLQTRLEALQEELSNTNM ELEKSKNLNETLEADLEKVQQEASNAFDPSAMSVAGTYTSRYPQSSFAPSTRRGRASS PTSSIISGFDPASGPQGATLSAPGNAGILPMVTAQRDRFKKRNTELESELQKSYTTVS SLRSEVAALQKDNLDLYEKTRYVSSYNRGPAASTGASHTPLYTSNDTSSGLTLDRYRS AYESNISPFAAFRGRESARAFRRMHVLERFVFRVTRLVLQTRTSRNVFAAYLFGLHFM VFYMLFSYTGGSAGAAIAEVAAAGGPIAAAADEGTVWQKEGFDAAGAGT CC84DRAFT_1207279 MAEAPRPQLSARPPPHHGAYMLRKLVSGLPLSADGQQTDVRITC VEVWDNNLYVGTSAAEVLHFVLLPAESNDPTEEPTAIIASRLEPSFNQPSDAGVQQIL LLPAVNKACIRCNNYLSFYTLPELSPDSNAKPFSSSWIGGLDLNAEQGHDGAVVMVGQ KSKTRLVRISEDGAPRALKTIEYGGCVTSVRRDAFSCAADAHSYALLDVENAQKIGLF PISSLDPDAGDVGGAAEDITATLHPPSRSVSSAGAHPRGLQDEPRGHSRSSSLGLFGT AGPGSRAASPRAPAQRYGFDVPESLSRNMSPAPAPSPGRSPTRRLPDRTSSLPRSGAT SPDKPLPPPPATEEVAQAEEQPEALPAFVPLKPLVASPTPNEFLLTVGTTPSDPGVGM FVNLDGDMSRGSITFASYPDAIVVDGAGIDMSMSMEVDMDAEEGYVLAVVHSEVDGAF TYGVEIQRWDLDAAEAEASKEWLDLRALGSFESEDQVAVPLGLRTVVQPVDLTLSEIG AKLMVKRLQSSDPTTAKATQEKADLEFTERLSKLQTNIVLWAGDQIWWALRSPLVIRL DSRLEEAQFASSGDTGRIQPNRRLLETILGDIRGQEPRNESEYLSLIYIRQKASVLLF MEMILRTRDNIIVFENEKRITEQALIEGEIDPRIVLSVLPILNEEVVQGPDGIQISGG ITTLIEQFLEQNKLSAMPADVKGPFGDNLLQVVKKYLSFWKKQKGMASVTNDPYVFQS VDTALLRILLLQDQGNPKGPATAGSVRSELNEFVDKDVECLDRAIVLLEQFKRLYLLS RLYQGNSKPPEKAAKVLSTWRRIIEGEVDEGGEFIDGENEVRKYLTRIRDQSMVEEYG SWLANRNPRLGVQVFADDRSRVRFDPTRAVAVLREKAPGAVKEYLEYLVFELKHTQYV NELIAFYLDTVLTELEGVPSSRDTLLQTYETYRILLSPKPTYRQFITDNAIDAEWWRA RLRLLQLLGSNQPAPSSYDVASVLARLQPYEQELVPEMIILNGRQGRHPVALRLLTHG LGDFDTAVSYCLYGGSSIFRPSGSGYVPQSELPTKSEQSRLFNYLLQEFLRLDDLSQR IEQTGILLERFGGWFDIGDVLSIVPDEWSVDVFSGFLIQALRRLVREKAESGIVKALS DAQNSQMSAELVEKREDLGPTFERVS CC84DRAFT_1166204 MQRRMLSKEDEASAGAEDMEVRREDQEKINRFSSLHQKETAIED ELKAKMKEKEDLEEISGELELVDEEEKVPYKIGDCFVSLPQPEVLELLQSSTEAIDGD VDALKERLDTIHDEMAELKKALYGRFGRSINLET CC84DRAFT_1149964 MADDQHNLERTYSRESRLQRDGLEQEATPVALVRSVTQRPSRAR IPTIYDLDKDIEAASDGEKEKGDEIGAKESATPESCSDDDHPGQLERRPLRFEHGDPE NPNNWRMWKKLYALFVAIMSVMNSTMGSSLAAGATAPISKHFGVTSEAQLILPTSMYL VGYVGGPMIWGPLSESYGRKWVMIGSFAYFTVFAIASAVAPNFAALVVFRLMVGLGGS CAISVVGGVCADIYHDPVSRGRSMAIFMAATTFGPILGPPISGFISVVSWSWAFWIGA IFAGASWPLFFFFPETYGPVILKYRAQRIRKETGDMSVIAPIELEKTDLRHIITTVLT RPLRMICFEPLVLFTCLYLSFAYAIFYIYFQSFPLIFIDIYHFNAGLEGLTFLPIGVG AIVSAGLYLTWDLILRRAQEANKPWSRNEEMRRLPLACIAGPFFVISSFWLGWTARPD IHWAVPCFASMLFGMGYLCLFMALLNYLVDAYEVFAASAMAAASLSRSSFGAVLPFAA KPMYRAMGVAWATSLLGFVSVGLCVVPFVFLKWGGKMRAKSKFCQYLLLKKREEAEAV ERERDEKASREALAGENNQGRPREKEEV CC84DRAFT_1063133 IRSVAVPVLFHPDLHKENIIVAEEDPGIITPIIDWQSSSIEPAF WPADEVPGLAQRIPDPPDKDPNEPKREACAKVFVASIQLAWDNGAVAFREELIRTSMH LVELGFSEPCP CC84DRAFT_1219307 MSPKSIRIIGAGLSGLALGQCLRRVNIRTVIYERVKANPTRNNY GITLYKSTYKPLLDTLRMTEDDFCRQVGVHNPDSGALVSDDQRLRVNRAALTSLLESN LDIRFGHKLNNITSYGTSRCVTFQNGTQDLTEDFSILIGADGVHSATRSQLNLSKPVF DLEVLPYIVFNGKRRMVYSNLPPGLLDCFTTPNGIQHVQNGVLLSIKADFWNPDKQTV AVSYTLSRAADKADQALLDRNISDAETLAKSFVDEVATLGQLPAPFDSIFNTDTMSED RLLHWLMRSSLIDGKVVQDTALNHGVILLGDAAHAQPIPGNGANLAIADALEAAKHMD ASGKFDAAAFLEARSDWMNGKRENERALKALHPDGARKARI CC84DRAFT_886873 MDGLDWDTSFLVIWFWVSIGCLDGGGRRALCDRYHIQSRQTILF MSFLSLIGCPGRDSLTYKLRDIRGAWKGESEAFSPKDCSGVEATTEMGKLLVLSTSSK VWIAVLKSPLL CC84DRAFT_886942 MASAKQSENLLLTEHFTWPPISLIDDIINTVNDSLYSCNDSLET GMLSAPPAQLGFLPQNPEEGEERVAERARLEIEEGAQKLETLMVDAVDRNFDRLEIWT LRNVLCLPKEEGFEGWVRLGHYEGLKIPTAENELTPEALYALRRKLVETEKLHAALAA EKKRNEVQIARLRALLVPPTQRQAPRASTASAAEEKENTERETAPFAFLTHHPAAQTL GVQPLPASISSAKPETRTPLTTHTTFTTTQLPHLRQLLASLKPHLASTALPSGKAGET EERAKERRSYIESQSRRVLERRGVDTRDGVEGVIDGNRVRGDEVRALEGVVGGLKGGE KGKGGEVEEVGEGDRMDVD CC84DRAFT_1095577 MSTPSTATATLPPHHQFYPHHQAYQPALSNGQLPNGTARIGNTL YNGYASGASNSDLRRTTAAHRSTQQLPPIAPEMSDSAAREAKRRNPDWNEFYRNGLPK EVIVIDDDDDEPPARAPQPAVPARTATNGSTRHTDKKRKTTASTAYDPVYSQHTSYST TQTPYYDSPNGHSTPADRTASAHKTTAGTSIGSSNGHHISPLDNSVVGQKRKRTRAAV QDEAKEAKRRELDDQDPFALYQPPPNPVIKAKEVYVSVVPDKSHTKDHKVDDEDGHYM VHPEADLTERYQIIKLLGQGTFGKVVEAWDRQKRTKCAIKVIRSVPKYREASRIELRV LSTLKSNDQYNMNRCIHLRDCFDFRNHICIVTDLYGQSVFDFLKSNAFVPFPSSHIQK FAKQLFTSVAFLHDLNLIHTDLKPENILLVNNNYQTFTYNRTVPSSSTLTNRQARHRK VLLDPEIRLIDFGSATFNDEYHSSVVSTRHYRAPEIILNLGWSYPCDIWSIGCILVEF FTGDALFQTHDNLEHLAMMEAVCGGKIDRDIIKQAYKQGDRRNSSSSAARYFKNYKLD YPNTETQKGSKKFVKAMKKLHETIPPHTEFNRKFLDLLKRIFVYDPKKRISAKDALQH PWFQETLLDDGTEAHKIRLEREKKLRLRQEEERRLDRYQQREPRGY CC84DRAFT_1096177 MLNAKKATRVTPHVRSPTAVPPSRAVQASALPARSLSPKPSTQC PRSFRNLTVEQIGAEKHYYLTEDGRRILDASGGAAVSCFGPGPNDRIADAVNRAYRQF GGYSCSLSFTNGSTRAFVDALVESTGGHMEAALLYGSGSDACEAAAKLLLQYHAENNQ AQRRVFIAREQSYHGTTAFALSWGSYWARREPFQDVLMTVPRVAACNSYRGKKADETV QEYVARLVKELEQKFRDVGPEKIAGFVCEPVVGAALGCMPAVPGYLQAVRELCDKHDV PLVFDEIMCGWGRTGTLHTWQHYGVVPDIQLLGKGLVGGYEILSAMLVGKKLGAMEAI RKGSGTFNHGHTIQGMPKTCAGALETLKMVQELLPNIKEMGDRLMKGLQTRLGAHRHV GDIRGMGLFIAIEFVQDRLLKAPFNPDDRISKAIQEMGRLQSYRLTTHNIHVYPGTGS ANPEGREGDHIIIAPPYDVDADEIDLIVNVVGNLIENFFEEFKPTTQKS CC84DRAFT_887660 MNPRVEEVSDSDSDPPEMEIDDASALISPARIPASALPSDMSEM LQPQAPGVTASADREKSKHFQCLYPIYFDKSRTRAEGRRVGAELAVENPLAREMADAT ASLGLQTVFEPDKLHPKDWSNPGRVRVLLRQDGKQLNRNVKNKHHLYILISQYLKAHP TQKNSPFRLPIRGLPMPKEMPEPAVPKGWKLNKILPLHSPALTGGGVSENFLQDMMAE MQGEMGGAAGGGAIAAGGAGAGASKSKKDKKKK CC84DRAFT_1261094 MDIYAKLSPLQDSQIRLLHVSPCSDPSEICCVLTVVSLRSQPSY SALSYTWGSPFPDYSERHDKSTDPTITCNGIKISVKSNLHDFLLHCATHSDPAFRGLL WVDALCINQGCHPERTKQVQLIGDIYKHAERVVVWLGVEDDATAMAVELMKRFAALNP AARSARVLQSRFSPASQDPLFDPRHWHAMARFFERNWFERAWIIQEITFARWAIVLCG QHTLKWDEITTMSKFMATDFSATSIWSKHFEIAANTDVEFAVSVRARRANFPAKLAAT KANQLSSSGDGLLYALIRSRVSKCQDARDKVYSQLRLGTADIIPTYEKDVAQVYITAA TYILQNSDNLLLLTCVEGSDFQNISNLPSWVPDWSVTKDLGLRITGYRQFKAAGNLPR TAKVVNGGRVLQIQAARIDIITKSAETKAEILDFSRPTRLWEMLAELDDIYAPTEETK EEALWRTLITNRAHVDGAIQYPASISPVQESFVKWIISRYFTAIRKSPLLESSSFPVF ESSGSIVPSRKAILEIVENASPEDRAAVEKEASIFHSHYSHAMFVRPFRTQQGFLGLG TQSLSEGDSVWIVAGCRVPLILRKVEDSLRYKLVGGTLLHGFMDGQWLRRDGVRFNVV ELE CC84DRAFT_1166211 MSSQRWVFKAQHGFFTHDSDPESWAFRATTLPLLGIKSRQYATD MVFDPNGEKTQWQRLEHYVRTMNSANPAHQRWRIIYLIRHGQGVHNVKEREVGREEWE RHWSKISGDESAVWEDAELTAEGEQQAEGIAAFFRTGQVSLPDVIFSSPLRRCLRTTE IAYGDVLGERRPVVKEKLRERLGVHTCDRRSARSYIASAHPVFEIEEGFTEEDELWKA DIRESLDQHIVRATQLLEDLFERGGQVAIMSLTAHSGAIMALFGATGWKKVPVAAGAV YPLLVVAEKDSVETPS CC84DRAFT_1096539 MPSFATITAALALTATVVAGPVERRKAFSIEQVHKKTFAKNGAA ATVKTLRKFGKAVPENLLKAAEIGPNNILAVQASSGSDPATPGDAYDSSYLCPVTIGT TNPTTVNLDFDTGSGDLWVFSSLQSSSQLSGHDYYKVDSSKKISGATWKISYGDGSGA AGTVYADKVVVGGVTATSQAVEAATSVSSAFSSDQDTDGLLGLSFSTLNTVRPTPQTT FFDSVKSSLASPLFAADLKYHTAGSYDFGYIDTSKYTGAITYTDVDTSQGWWQFSFSG YSVGTGSTVSSSINGIADTGTTLLYLPTAVVKAYYAKVSGATNSATYGGYVFSCSATL PDFTLVLNGVKQRVPGKYINYSPVQTGSSTCFGGIQTNDGIGFSIFGDIFLKSKYVIF DGSATPRIGFADQPSL CC84DRAFT_1166213 MAPFGSAQRWVPPTKASKPEEMPTAQPQPSPFGTSQRWQPPKAA PAQQAPSSPFGTAQRWQPSKPAIPAQDDTPAEPALKPAPKQPKKPKKRKRDSDVMPDP AQDEATPKKHKAVLSKFEKVSRKVKEQPQQPPEEQESKPDVVLRDLQPLPQPAPVPEP AFEPTFSVLPNWLSQPITVESSKRVPFDQLGVEASFVKKLARHGYSEALAVQSALLPM LQPGYKQHLGDICVSARTGSGKTMAYLLPIAEALKDRTTPVLSAVIVVPTRQLVDQAL QVAEELCAGTKIKLGTAVGNVPFATEQKQLVKMRAQYDPKRAASLHEKAKQQWTTGFV ENRGLVDDLMTLPADHVPRYDSGVDILICTPGRLVEHIESTTGFLLRSIRWLVIDEAD QLLNQNFQGWSTVLMDALHGETPEDFMDAQERLRKERKLSGRGPALPPSTAQHITKVI LSATMEKDLTKLGALKLKRPKFVHVQDEQEHPLAATEGDSFDLPTTLTEFAVPVSDGH KKPLYLLYLLLNTVFQTSNGDEHKEASDKESGEDSSEDSSDDPEDSEESSDGSSSDGG DSETEEKPITKPSIAKHKNRVLIFTKSNENASRLSHLVSVLEPSFKEYLQTMTPSSTA KQSKKLLKAFSSGSVKILIASDAASRGLDISGITHVVNYDIPPSATSYVHRVGRTARA GKSGEAWTLVTKSEAAWFWKQLAKGNNIRRGGKTVARVQWKERSVEYNRRRTYEAALA ELQEAVAGTDG CC84DRAFT_887683 MPYNIAMVSDNFYPQPGGVESHIYQLSSKLINRGHKVIVITHAF KGRKGVRYLTNGLKVYYVPFWTVYRETTFPTVFSFFPIFRNIVIREQIEIVHGHASLS NLCNEAILHARTMGLFTVFTDHSLFGFADAGSILTNKLLKFTLSDVDHVICVSHTCKE NCVLRASLDPLMVSVIPNAVVAENFRPLSHDSVHTRRNNAPGPPQPLGPNETITIVAI MRLFYNKGVDLLIAAIPRVLAAHPNVRFIIAGTGPKAIDMEQMIERNVLQDRILLLGA VRHEEVRDVMVQGHIFLNPSLTEAFGTVIVEAASCGLYVVCTRVGGVPEVLPNHMTEF AAPEEDDIVAATGRAIAKLREGKIRTDLFHGQVKQMYSWTDVAERTERVYDGVVGAVG SEDFYGGDAARGHPDVRSFALIDRLKRYYGCGIWAGKLFCLCAVVDYLLFIILEIFAP RSRIDICRNWPKKILEGEKVNTTRIAEASDGMPRRRDRRRRGSE CC84DRAFT_1149991 MLFSKTLALAMAFAPAVLAAPTPSQTLGDLTRRYLSPDVIRKLE DGVCDLSKAVMPIAPTPLPAVSTGLTLGHVAIGRGTQNYTCASSTSADVPKLQGANAT LYNVTCYSVRAPSVLASIPAIALTQSIDLSQDVQKYTVSGHHEFTAAGVPLFVLKTDK ANYGYVQAKGAANSTAPESAVKGENGLGSVPWLKLNAVEGDYKEIYRLETAGGVAPKT CDGQAAKFYVQYAAEYWFWK CC84DRAFT_1207291 MYAKRVSFEDETKNYDDSSERLGPALLLDIFKPRSHLRKTPWSK QRVFDITVLLCSAFQATSTSVLYTRRCARQDTVLLGVDHRIGTMAIGSSIACIVCLIL VATGWDWEDRLCAPGEPGLAPGPKVNDYFYGSLYQFGSDVDLRGTPNVTNKHLLDWAF ALCIHLIHLGYFGRFFPSFQRISHDFDGRSAAAMFLTIVLPVLIILPLFLQFIMQNLF FRIWLRFRVTTGWKSKLTRILACLFMVYVTVLTIRSEILKVDEVVKIKATNA CC84DRAFT_1261100 MRDTWNEEIYGPLAALVEQGTDTKAYKNRLSGFTADTETDNALK LRGIQTLIFAGCNTDQCVAATLMDAAWLNYDCILLSDSTAMTSPKFAQEAVEYNMEGL SFKMTCEGLVNGTLETE CC84DRAFT_1166218 MARMVFMRPIPTAARCHREPLARPRISVLERVQRSYATTSQVQE TGINSNGAALPPAVSAGLQQRKEAVRNAKPFSEFLTDSFNREHDYLRISITERCNLRC LYCMPEEGVPLSPPAHMLTTPEIFYLSSLFVSQGVTKIRLTGGEPTVRRDIVSLMQSI GSLRSQGLRELALTTNGISLHRKLDAMVEAGLTGVNLSLDTLDPFQFQIMTRRNGFDA VMRSIERILEMNKLGANIKLKVNCVVMRGLNERDIIPFVELGREKDIEVRFIEYMPFG GNKWSEGKMISFQEMLDIIRVKYPGLRSVKGHKNDTSKTYEVPGFVGKVGFISSMTND FCGTCNRLRITSDGNLKVCLHGNDEVSLRDMLRKDNNGQPIDQEAFERIKQIEIDRRD GRLSDETILGWGKREQELLHVIGAAVKRKAEKHADMGDLQNMTNRPMILIGG CC84DRAFT_1066569 HLQTSLPHLTSSGAAHMVSVASKPSTERTAIATGTVRFSNPTPL SLIHAASNKKGDVLSVSRIAGIMAAKHTPTLIPLCHPIALTHVGVSLHVVLPFSASSS VVGKDKDAKDFGSIVVESKVSCTGQTGVEMEALTSVTGAALSVVDMCKAVDKGISISD VRVVLKEGGRSGTWR CC84DRAFT_1207293 MAAPQLPQLQELSTFPTAYPETLPSFRADEPPQAACFARLRRRL PEFLSYRNDPTAPSKHSRLRAHLPKFRAPNPPDRPTLKQWFQRCWLDVLTQLLCILVA FIIYLTAPPLMTRYFPLYPGIHSAPWGLKHGQPYINEYINTAWSAAISFFVPFLLMAA VSGWWFNSFWDGNAACIGLGYALATSTLFQSLIKVSIGGLRPHFLSLCNPPIPPAYGA TGHAPGVMYYTTDVCRGDPDKLHEAQMSFPSGHACAAFAGFGFLALYFNAKFGVLGHK PREDEKGDVKSGAGIVQVGVEEVQQDQRSFTRVPHWRLVLFAAPVLVAVIMAASKIRD MWHHPVDVVFGACVGVLFALMAYRMVYRAVWDQNKNHLARDILARDMGGGERANGGVQ VGEPGIDDKGYVV CC84DRAFT_1070043 FRPAQPTPLQRFLRKPLLFIATYLYTHQPPLKQKRRNSNNSSSQ SNGRTTPHHITVVCLSDTHNTQPLIPAADILLHAGDLTQNGTFAELQATLDWLAALPH RHKFVIAGNHDLLLSPSFVASAPSRVFTTTPGCTKEDLEWGDVTYLEDSSATVTVRGR DVKIWGAPWTPKYGNWAFQYPPGEDVWQGKIPGDADIVVTHGPAQGHLDVNGMGHAGC AWMGRELERVKPRLAVYGHIHESRGREYVRWDRVQRMYEGVLG CC84DRAFT_1166220 MLWLPHLPTLGSTSASAVYFSDGLLLLPREFSVYGHIDAPKPGV LTILKFAFMDRDCDAAIDRNRLWFFCGVISPDWCNLGLLLVMFPRLRRSLLNRLPLGW WLNPVVGMIVTHVRRVFALL CC84DRAFT_1197605 MALATKDQSRNIFAKLKIKPANKVCFDCGAKNPTWSSVPFGIYL CLDCSANHRNMGVHISFVRSTNLDIWQWDQLRIMKVGGNESATKYFQTHGGSAALASK DPKTKYTSNAATKYKEELQRRCVVDARQYPEEVVITDVPEATGSEGTTPAGEDDDFFS SWDKPAVKRPSNPPSRTGTPRAQSPFLKPGATGNGTDRPKSPLAGGSASTPPVARPAV KKATSGVAPKKNILGAKKKGLGAKKVVASDGLDFEEAERKAREEAERIEKLGYDPDAE AAETSATTKPKAPEASNIVAPTPLSPSRGGFGTTSKPEKSSKDVERLGMGVARLGFGQ VGAAKSAAAPKKMGGFGSTSKPVADDSETYAREKFGTQKGIGSDEFFGRGSFDPSAAA EAKSRLTGFEGATAISSNAYFGRPEDDVPEDDYGDLEGAAKDFVRKFGITASDDLENL TSLLGEGASKLQGAVRNYLNS CC84DRAFT_1123846 MAIFKKHSLRAGNNRTRAAELTLRESIFPLCLVTILFFLWGFSY GLIDTLNKHFQEVLHITRSRSSGLQAAYFGAYPLASLGHANWILRHYGYKACFIWGLC LYGIGSLIAWPALVYRSFGGFCVAIFITGNGLGSLETAANPYLTVCGPPKYSEIRINI AQAFNGIGTVIAPVLGSYVFFTDVDDANPSLKNVQWTYLAIAIFVFVLAFVFYVTPIP EITDADMAFQAEETHAGTDVKPFWKQYRLFHAAAAQFCYTGAQVAIAGAFINYVVDTR QGTSSATAARFLSGAQGAFALGRFVGSFLMSRIRPRWVFLVFMTACIVFISPSITQRG NTGMSMLYVTLFFESIIFPTIVALGMRGLGKYSKRGSGFIIAGVAGGAVVPPILFAAA DSQGQANYATGHAPTAIAMSVPLAFFVAAWSYSLAVNFVPQYREVVDKFHTTEIGVVN AHADEESGSAEIVKSEAPLQTSDVNAPKI CC84DRAFT_1166222 MWSVLTFVTLLATALAAPLEKRASRTSPPSGCLSVGSGYTYSTI QAAVNALSQSSTSSQCIWINQGTYKEQVSINTLKGPLVLYGYTSDTSSYTGNKVTITY NLSQASGVSNDGSATLRAEIGNLKVYNINIVNSYGKGSQAVAVSAQGDKTGFYGVSFK GFQDTLLANNGAQVYAKCYIEGATDFIFGQKAAAWFDGVDIRVVNGGYYITANGRDSS SNPSYYVINKSTVAAASGQSVTAGSYYLGRPWRNYARVVFQNTSLSNVINAAGWHIWG TDSPNTDHVVFGEYGNTGAGASGTRASFATKLSSAVSISTVLGSSYTSWVDTSYLS CC84DRAFT_1096525 MDLEKLEITAVEWKPERKEWIIMISLSIISLMVALDATILVTVL PQITQSLNGTAIDAFWTGTSYLLSSAVFQPIIASVSEFFGRQQLLLLSLAFFTVGTVL CAVANDFTVMLVGRSIQGVGGGGIITLSQVIFCDIVPLRFRPKYFAIVLGAWAVGTII GPVVGGAFVQHATWRWCFYINFPFCFLGLVLAFVFIRLNAVAKLTLAQKLRQTDWVGA GVFLGSTTIFLIGLSWGGIQYAWTSVQTLAPIIIGLAGVAAFVAWQLWIRPRSLISMS IFYCLSAIAAFYCALVNGIVLLSALYYVPFYCMSVRGSSPIRAGVELFPAVFLLLPGS IVVAALTTRLGSFRWAIWMGWVLVTLGCGLLILIDLHTKYVVLAVALGIMGVGFGQVL TSVNVGIQAISKVDDAAMSACMYAFMRSLGMPLGVAISGTAFTNSMSSKLSSYGLPTE IAHDSERYIYVLRTMATDDARRTALLESYMHGFRTVFILITALSASALAVSLLIKHFS MNKKLQARYSVRPQSCSAH CC84DRAFT_1219326 MSEPQHTAIPGFTLIAPTIWEQRPIGRGESFSNIEPPSLIIVLP WTGAHGRHVAKYTEAYQTMFPSASILCITTSTKDLCLRSSKRKQQRLQPAVAHILEHV ERNNDRANILVHAFSEGGSNKAVELAEAYSQTTGTRLPCSAMCLDSTPGHPRYLRLCN ALKKSLPPIPILNCTGLLVGGALLGGIWILYKCIKGHDNNVISRTRWRLQDPNHWDPT APRCYLYSKGDRLIAWKDIREHVGEAVKSGAPVMDVCFEDTAHCKHAAAHPDRYWGAV ALTWKRTCIDKEKRDSKIDLQKDTIEPVVSIPKPTTNYISDHNMAAATNKPTALRQSS DISVSSNTSSKRSIRVAASSFRAALKKPFRGWTKEALWAKSGLDDVYNFPTVRRRGAG EDSTDSHGH CC84DRAFT_1178005 MSITTETLVLPRPGQERVEEKPPIPGPTESAFTDTFGALLPPAK YLYTANGRAAYYEILPTAPGNGFTTLDRVLLIHGVQTPALGLFPLVRALQKVFPQTHF VLFDHWGHGLSDTPYRPHDQRLFHGLIDALLDQLNWPTVHLIGYSFGGALSPAYVAAH SAKVKSFILVAPAGLMRLSDLSPEEQGHMRGDGNETAAQEWVHSWLEGGKLVVPGDWE ERVAEGQVVAPAVKRWQLQKHAGHAASVVGIIRDGGVFNNYDAFSEASRTGIPSLAIL GELDDIVNEQTLRDVGITNVEVVSGAGHGVVRDNVPEVATAITAFWHQISPDGK CC84DRAFT_1219328 MLFLLCLISAKAFRRNELVTVSTLYWYIVLFVATFIFVRPLIIL SYTYSENNHSGTMGM CC84DRAFT_1219329 MSPILSTLLFAAAATAQVTTSIWMPSPYQEDTHIGFYASVVGVS EGKTTLALSFDNKTDLATAGYIVEDEPNTMTFYGSSRFESVTTTTDVSDGLALTMGYG CQETSARGGVSVGAMCRFASEGPAVYSSVCEKYSDYTDVYTTTKEFAYGDDYTVTETT TIDYRTRVPSYCKSGSTLPESIIANTYMIEQEEIATYQVVITAGADKLSATAGATPSS SGPAPTGTGAFTLHKGQNVVPTETGSALPVQETGAAGALLALHPALAGLGAAAMAFLL CC84DRAFT_888378 MMDMSSEMARPCWRNRSTLQHQTRHYPEPLPREPPARQQILFRQ PRARPDDFGAWLKESFFESSMSLSQRMIVSSFSLTLLLIVNSSGRRTEQDHNLGGTG CC84DRAFT_1219330 MLIECIIAAVVALLPITLAAPTNITGALAVSSSLMHLEARDRIC INCKNLCEHTRNLHEAYACTAVCHKRPGHESLHVHLDKVIDEHECLNSKERKNLALNL FRDQAEYINMMGKHEIKSFLRSHLDSYILHGADHSVYSASERIDAEFESFPSPPPGDG IRIDCGVMCNDTKTKSCADKHGLGAEYINYEGACANICPYRPDNQQHCIKTLNNDHVL ALFLDFDPLNYKWKYSDCMERKGEERKKCFEKVIFGECPHFRAQEYPGAKYCR CC84DRAFT_1219331 MAKGNFELLALENPLLDIQGVGDEKLLEKYGLKANDAILAEEKH LGLFEDLIQNYNAVLIAGGAAQNSARGAQYILPEDSTVYIGCVGKDKYGQTLEDINKK AGVKTVYRYDEKAPTGRCGVVITGQNRSLCTDLAAANLYNVEHLKQPEVWKYVEGAKF FYVGGYHLTVSVPAILAIAEHAAANNKTFVLNLSAPFISQFFKDQLDSVIPYVDILIG NESEAAAYAESHDIASKDVKEIAKEIAKLPKKNSKKERTVVFTQGTDATITVTGSEAA EYPVHAISSDKINDTNGAGDAFAGGFLAGIVAGDNLKAAVDKGQWLAKLSIQELGPSY PEPRQTYTSS CC84DRAFT_888453 MLPQLFYREHYCLFESLRTVFQHGWHRSLLFEDIEGVLPGHPML YVISSSLWEANLRFLTTTIRDISFRDLRDPSDSTNDKLHDQRQDLDYLRTFVTETLKW YPPTLPSYFLSLPKYDQRHRDHIADNSEHPIQNLRRILKDAENLQGFLIDTFQLLMSS VSVRESRLSIEQARLSAEQARRSAWLTQLASVYLPLSVVTGIFGMNLKEISEGPPRWW WAVVVLVVLVVCTVGIYYSLREVEKIAEERRQRRVEGRQVKRVNEKPRDGRGGV CC84DRAFT_1166226 MGLKRSRSDSLPSSDLPATPSSRAHSVEAKLVHLDRDAASAVSD HPAVMKCSLPPHGPLSFASFEAYDVHYQQAHMNRCSDCQRNFPDAHFLGLHIAENHDP ISAARRDRGEKTYACLVPDCDRLCSTPHKRRLHCIDKHQFPREYDFFVVNDGIDRRNS MLRPPHRRRSSTMNSTTSTTSRRRASEANGEAVELVKDEDVRDNDEEEDKETEAKDSP RAPVKLRGRGGFTHPRGSRDSGRGRGRGSGESSTAQTSTQTAMDGLASSMSALQFVPH SLYSRGRGRGRGG CC84DRAFT_1095963 MATHTHQLLRHEQPPRPPPHLLTDPLRRARAVDEQLTTLTEGNA SASELAALLHEYRVACENVLFADFELASANQIEPQLWAAHLKVNTIFRKENRSVCPSQ HLKKHAKERVVEFRKLQKNYLQFIKASQRFYRQYILNLDAQFEGIPELRKVAGTWKDD ASRASNRQRIAASLKGQVLQSCYQTLIQLGDLSRYRETELGDEKERKWGPAIGYYNLA ADIYPDSGHSHNQLAVIAREDGNHFRAVYHIYRSLASKYPYPQAQGNLELEFKRIVAA WDKGELISNHRTADGTNSGRALNAWFVRLHSKCYKGEDFKEHDELEGEVLSQLAVELK ERSLEGVLQKIISINLAAEYFSTMQLQGPSPPTNILKTYFYFLRLNVKTFFTLLQVMQ PELERSSEGDDVTQNGERAPQLSDKITAVARRVLPGLRLYSTWFTRFWHVLNANIADT LTKVEVQELWKAYAATLTLLTSSFPADQLPQDPSDSYMLEEDIETIGFQPLVSPETMR VWYKGGDMKPKCTDVERSHPNVEMLMRVRDLLIDGLMLTQNQDAPLDLDGPRFIYREE GLPSELLASPHNRNSGSPVMPVETVDLPLFTPPVPVAEDQKSHSVVAPSETASTTAAK DSAMNQMVDDLVGPDEGLDPLPEEDENIPPTPPANTFEDTALVSDGTYGPATFSISDL VNTVQNYKKPAASPSPATPLLSTPMGRVASSSSSRGPANLPSLPDGQWNTNSIWNRNY NGTPGPSSPLMPNSANDVRNSPLNSVRPPPGFSGHVRGDSATSLRSSDFPMSSANPAT RHVSGVQGGLGSGAAWGNPAASNWGPVYGNGSANGAYGHGSHRASDYGLASPLLFNSS YVDRQPSSYGQTPPNGQGG CC84DRAFT_1123871 MADADVDVAQLTEEQQLALQQFTAVTDQELKDAVPLLQRCQWNV QIAIARFFDGEPAEDPVAAAAAAAAAPQDTRRQETLLNAFGSPRSSTSSRRSRIEPAP RVVPQPENQVAVRPPLLLALLFAPFSLVYSLFSRWFRFVGWMFPPLTRLFGGGNAAAA GRRNAAGGRRPLNPRDTAARFIREFEEEYGENQLPFFENGYAQAFDLAKKNLQFLLVI LISPEHDETASFIRETLLSQEVVDFIRDPNNNIILWAGNVHDSEAYQVSTSLNCTKFP FAGLIVHTPQVSSTAMGIATRITGPTPPAQFLAKLRTAITTHSEPLARARATRAEQQA TRSIREQQNSAYERSLAIDRERARKKKEEAERKAAEEKEALARQAAAEQHAADLAQWR KWRASSIPPEPPATDKDVVRISLRMPNADRVVRRFASDAHIEELYAFVECYELLSSDD NLADAREPAGFAHAYDFQLVSPMPREVYDVEAGGTIRERIGRSGNLIVERTDVGSDDD EDEENE CC84DRAFT_1166229 MLHTSSHGQQLLILLGFLAAGLCQDQKADSIRACLDQGGVQATI STDATWAKDTAAFQFRIAPEPVAVALPQDKSQVATALSCARNASVKVSAVGRAHSFQG YGYGNPGNLVIDMQAFTGLSFDNATNQLTFGGGANVGPAAKYLWDNHRRHFPHVRGSH VGLAGSTMGGGFGTTSRFLGIPTDNLASVEFMLHNGSIVTGGPGSDLHWAAQGAGPSF GIVLSATLNTHAIPIDGAVSYSLTLGDVDVDIASAALLKIQQWVTSGQAPDELSLRFQ LGTFASAGFFYGVESDFDRAFAPLVESVRSVAPAVNLTKTVLPSFWDAEVAAVGPGMN DAAGGQLGGRAFFVQSWTVTNDHALSQKQAKALLQSYHSLNRTDLIGSGFLDLWGGIS RDIADADTAFAHGKNLWLIRVDGQLVSGIWPSDGNTHMQALMKPFESALKKSAPLRSF VNYVNSELSVKEWSSRLYGSKNFAKLRKMKAAFDPEGMFSGYGLAIPAK CC84DRAFT_1249743 MLFALLAVLTASALASPLSLADTQLQARDAPCTGGVEGPFLETN FPDPSLTNVDGTWFSFATWNGKDFRGAESKNFTDWKRFESGKLLAIEEATWANANRMW APDVMRRAHDGKYVMYFTADDKQKEGSQCIGAAISDKIHGLYHPVNDFVQCNRSSHGV IDPAWFKDTDGRQYIVYKAENPSGRLEIREVAHSGPKEGVQWIGNAVYLLKPRDQGFW DGQNMEAPYIFKRNGIYFLTYSTHWTNNETYDVQYATSKNIMGPYTRVKEPLVSSGKK FGCELAGPGGASFQRFGNANKLRMVFHALGPERRVQQRPFYTATVHVNGEKLEIKP CC84DRAFT_888760 MSRLTTDRLFVAILTRPRSRRTWKGCAMDQYRRVIKSIRNATRP FSEPWGREANMTKGRYPADYFLQVFTYFVKGMPHEYHLTKPRKLEVYRCSCSRKTLSR MYYGGCGFFGRLAFVTVYQGLIPVYPIPV CC84DRAFT_1188589 MNSDRQCISSGALAASYPAYNLSIPIDHFKNSSRYEPHSDGTFN NRYWFDASHYKPGGPVILFMAGEASGDYRFPLLDKGIIYQLASAHNGIGVILEHRYYG TSFPFKNIATADARFLTTEQSLADAAYFARNVKFPGLESQNLTSSNAPWIVYGVSYSG GQSAFLRKLYPDVFWGGISSSGVTEAIIDFWQYLEPVRKYGPADCIWTQQFITDVVDK VFIDSKNATLKGQFKTFFNYATTAIDQNFVSNLYSGVYSWQSRNWDSTIGSGTFSNWC KIITSSKLQYSSSAATNASAAAILKATGYGGNSTLTLRLLNYAGYLERSARRDTISLD NLMPASSLIPRSASSAKAAVPAAQFDSWGYQTCTEWGYWVTGSGFDSSLGKPLLSRIL DLKFENSYCASEFGITASPNVTQVNKYGGFNISYPRLAIVNGLADVWREATPAAAERS RPSTVSEPWIVIDNPPEDVWDGLRGAGHHWEANGVTASQTPKPPKAIAAAQADIVSFV GTWVQQWKDTRGRATGREK CC84DRAFT_1166230 MRDRFFIATMGLGTSRLHVRKWILMVIMRLGFFATALIITSAKE LIPRARVMA CC84DRAFT_1166231 MQTIADLHSNRDTEVEYVQKVYLEIQEAVVYEAELGKAGWLAGG YATHSAILEQLH CC84DRAFT_1207310 MPTWLSTVSEGDDAYKETGCLCHIGSSSGVDEWWSFLHRRAIAG CSLENVPAAQLRRQLQPQRPETPPQPSCFVPFRRDADFVDRGTLLDQIRERCAAPASR VALVGLGGVGKSQLAIEHCYRTAEQSPETWVLWAHASNAARLEQSFREIADQVKVRGR KDPHADVFKLVHDWLRDAKNGQWLLVLDNADDAAVLSPTGGRSNTLQQHLSRYLPSSR HGSVLVTSRTKRVAMQVVEDSDIILIEPMHDAAAHILLRKKLGNIEEEDSSIAQLAMT LDHMPLALVQAAAYIRERASRCSVRQYLEEYRQNDSRKTSLLNREAGHLRRDRAASNA VLITWQISFDHIRNTRQSAAGLLSLMSFFDRQGIQEALLHRQSSTVAEHGLAIQLNDG FEDDVLALRDYSFIAVTRDASTFEMHSLVQLATRTWLENEGQLDKWRKQFISILCAEL PTGEHKNWEKCQALFPHAQAALAQRPQDRESLKEWALLLYKAAWYAWQRGTADEAEQM SVTSMEVRSEVLGEQDAETLTSMEMVGLARTLGGKYGEAETMYRQTLALKEKVLGDKH RDTLGSMNNLASVLNSQGKQGKYEEAEAMHRQTLARREKALGYKHPDTLGSVYRLASH LAYQHCYNEALALYNRACAGYQAVLGKDHPTTRMCRQHYVNALALQEKSELTISFMMA DGSARARIGKVPKLLRGLAKIGIRSSKSSARQG CC84DRAFT_1095093 MLPYVPIIAVIFDLGDVFFQWSRTTKTEISPGMMKKILNSTPWC DYECGRITQAACYESVAQQFRVDVCQVCEAFDQARASLKPDAELVSFIKDLRRQRPIK VYAMSNIAKEDFATLGDKIDLELFDDIFTSGEHGRRKPELDFYREVLMEIDLRAEEVL FVDDRVENVRAARNIGIRGLVFDDKTTDTLKDQLFGPIVRGYEWLHRSGCDFESVTDS GVCVGDNFAKLLIVETTQNSDIVHFGPYSKGTWNFFSGPATLVPGGIFPDDLDTTSLA LAVLPPPQPEEVTSILDRMAEYVMPDGSFLTYFDKERPRIDAVVNANILACFYRFGRG HEFPHTYQYVRDVIERRSYLDGTRYYPSADCCLGFFTRLLQSAATDTNLQCTLRPLLG SRLKERVAKNGNALDLAMRIIACTYLGVECSVDREALLAMQLEDGSWEPGWIYRYGST GVKLGNRGATTAFALKAISSSTIGEVM CC84DRAFT_1095308 MEKLKTLLIANRGEIAVDTLTSSSSTLQVRICKTARKLNIRTIA IYSEADAASQHVRDADEAVLLPGSNATAYTEEESILRIAKEKGADAIIPGYGFLSENA HFARLVGDAGIAWVGPTPESIEAFGIKHTARALAEEANVPIVPGTKGLVEDEDAAIKE AERIKFPVMLKATGGGGGMGLITCNKVEEVREGFRMVQSRGKTLFKNPGVFIEAFYPA SHHIEVQVFGNGQGQAIHFGERECSIQRRHQKVIEECPSPFVEKRPDLRAKLGDAAVR LAESIKYGSAGTIEYLVDDKSGDFFFLEMNTRLQVEHGITELCYDVDLVELMLRQADA QLTGQNGLDGTTLKQMQPAGPSGSAIEARVYAENPLKDYAPSPGLLQKVEWKTVSGSR VDTWVFTGSRITPNYDPLIAKAMVYRPSRDDAITGMHELLTQSAICGPPTNLEFLANI VEDPRFRAGNTMTSFLRDFNYAPHAIDVISAGAYTLIQDLPGRPTVGKGIPHSGPMDP MAFQIANLLVGNPRGKEGLEITLSGPELRFVTPAIVALCGAPMEASLDDNEFPMWTSV KIEAGARLKIGKTTGGGCRSYLAVYGGFPSVASYFGSKSTSPLVAIGGYQGRALARGD LLQISDYIPEHFVCMSLPEHLRMSYRNDWEISAMVGPHDEGYFAPEFIKTIYDTKWKV SHNASRSGIRLIGPVPKWARADGGEGGAHPSNLIEYGYPLGTLNWTGDDPCIFPVDCP NFGGFTSSTTVIKADWWKLGQIKAGNTVKYVRFGLQDALKKRKRNDDFLDSVAKAIEA NNGFKGIESLQNNHISFHEGEIGKAVIWEKEADVTTPRVRYRQGGDDHILVEYGDESF DLNHRCRVTALENTLNSEATPDDIKLNLLNTVGCCTTLLVYYNGAKLPRSDLVAYLKD VESKLGDLRSTKVPTRIFKLPISFESKLQDEATQRYMTNQRPHAPYLPDNLAFVAKNN AFTPQQLKDIYLSGQFMAVVVGFFCGNTVSLPVDPRQRISAPKMNPSRVFTPEGTVGW AGSCMSIYPVDSPGGYQMTGRTVPCWDYYSYKPGFTERPWIFRDFDILTFYQVSEQEL DVLLGKFRAGKYAWEYDEIEFDMAEHNKLIEQTMEEVKKIRAKQAMAQEEMTKAENES LERWRKEKAESQIDEGTVEKLLDDPNIVSVEAPVDANVWKVEAKEGDEAGEETVIVIL EAMKLEISVKPPEPATKAGKLKVEKLLVKPGDTVMAGGHLALLRRS CC84DRAFT_888811 MPAIKHPVKINVDLGEGYGNFKCGPDDELIPLIDHANIACGFHA GDPLIMHQTVLACKKHNIAVGAHPGLPDIQGFGRREIKMSPEELTAAIRYQVGALKAF LDAEDVPLHHVKPHGVLYGMMYRDKEVCRAVYAGVPKGMTVFGLAGTLHEEVAKEMGL PFVAELYGDVKYNNDNTLVIDRKKKPWHPDETKRHVRSQVEDATVITVTGEELQLPVG DYEVSLCCHSDSPGALDIVKAAREIVDQFNTSHFPKK CC84DRAFT_1150051 MYTALLLGLLPLASATIKGKEGNCAAEHIKFANPSHVCNTLKAQ FANLTFLPEDQGYEKETKVSWDASSWTNPACVFVPRNADDLSYAVKAMVKTSTLFAMR GGGHMPISDAANINSTGVQISSTNLNILALSEDKQTMSIGPAFRWGDVFEFMDGSNLT VVGGRLPPVGVPGLLLGGGISYFSYAHGLASSNGKIKAYEVVLANGTVATITADGDHA DLYWALQGGGNSFALVTRFDLQTFPLQQTLRAEATYEESEETKDVYLDALLDYTLHGD VDPAFAITPVARWGPNFTVPSYEATLLFNGSVAPSHGPATKFFNGSIKSVNDSSTLKP QSLAEYSRLTKFAFEEGGPGYGFRQRFRVVPAKATREAMDIIHDNWFDLLKERDLANR ITGFFCGLAYNAVTRTMARMSEGSPQNVDQEPAFWVEESISWSNAEDDPQITKFLEDV NAKIEAQLKEKDLMARYIYLNDANKGQGVFESYGNENVRKLQAIRDRYDPNRIYTDLM PGGFKVAHAKAK CC84DRAFT_1166235 MSPSAIETVISSSPAPDATKESSTNGTSSTQPHHAHEEYQYLSL IRDILQDGEHRPDRTGTGTYSIFAPAQMKFALSRPTTDPSQPPELILPLLTTKRVFLR AVVAELLWFVAGSTYSKPLSDAGIKIWDGNGSREYLDSVGLSHHEEGDLGPVYGFQWR HFGAEYKGHDVDYTGQGVDQLAEVIDKLKNKPYDRRIILSAWNPADLKKMALPPCHMF AQFYVSFPQAKEGEERKRGVLHSILYQRSCDMGLGVPFNIASYALLTHMLAHACDLTP GTFTHTMGDAHVYVDHVDALKVQLEREPRDFPTLKIHKEVGCSIDEWKAEEFEVVGYK PHAGIAMKMSV CC84DRAFT_1261125 MAEERAAHHLLNVLEERGLDANLDLDNVLLAFEDDDIKREAAAW VEEYLHEDTLLTKEELELYQTLKKKGILHQYEAEEEPVRPILDHELASAIDSLQTSTA AIEEQCKVLEAQKEALMKLKALDKPNFHVEHERNERRRKENQEKARLGVSVNDVSTTI NEQLADTQRDIDAEKATLRSYLTERLASDDQILSRLPAIVSKIVTEPEVSEDEKSIEQ WCKAIISYRTAEIKAKVDAVYLSSLTDYSPRDLPVASEEELGGRKAALKAELEDLHTE IASVAEMVVDHELRKPMMDMQERKEQDATQARSAWLNYVVTTLDYMAKRLDTVTDYTK NIDEFQEAVAHINQAAAKRMQDTSKEVARPEIRRAKSSRNSIFSPALKLKPTKALDLP VALQDALRHTSISFNQDSIESLQESLAKTQIERSKKLQGHYDSDSSSTQAVLAERLSK ADADLRLIFGPLYKHTPFQQVRLTDAKLERELGKMDRELNDASEQLLNAEANGLSLSD PKVRAFIAKHGR CC84DRAFT_1166238 MARGNDMGKLLSAGGAAAALGGAYKLGEFLYKAKRLRDVGPANA VYVRLIGRVRSDLDEVRRLLAVKEVHDALEANPEKAKWVYGCMRDVRGALENITPHTE RVGADIEEGRRVGLRHRVYWLLSEKEKLENREKEVSVAHASLTEVIGYLTGLEPEGTK KSHDKHGKHEKHDRHDRHDAHHDVHVDKHVDIDIDVRHEGPHRHEREVWVERDDRGPR RVIEEREEWIERDTIRRGPRRVEEHIVEHEHRGPGHYDVRETLVDEHGRPSRYDEREV YVEQGRDPRHESHYAAYHQDHRHDDRRVERGLHVERDPRDPRHVEARYYERGPDRYEE KRYEERRFSEPLGRRPESFEDRLPERDTWMQSESRRPAPGQYSRYNDYAEYGAPQPSG AANYRLPPNPEYEREVWVEEKEDYRYDEYGNRLPDRYFPNLPRNRL CC84DRAFT_1166239 MALYIGAWQAFDIVLYLYFTGSMLTVDWPFQQKGFVEGSMCFVH EDRGAAIGKLGLAREGSLSARRAVAIAAGCV CC84DRAFT_888893 MDEEGVRSRFTEYLNGHDGGHTSCDSERVHSEDPMGGSHEAQFS DVSLSDEKAHEFATAHNIHIHSSEAAAPLQPPPPPAPHANTTRAQHPLSSYEPLPHEE RTPRTSLVRRAFSFVGGTKRRTAKYIIISVLLLFIAAFLIYVFFSKVLPAYSKLRDLK DRLAVLDAFHDTVAAKLGVWLHDGRELVDNVKDMVGDGVDKVVDAANNVLGKVRVSVS HVNLER CC84DRAFT_888892 MWRRLRCLCGGWGEGSEGWAGIGSGQCKALGCLDGRCGCNRGRG VWSGVPDRHEWEAAGVYQFSAAACGSK CC84DRAFT_1166240 MATGAEEVKVPEPVHGAQGSSFNAPDWELPEEGTATRKRLHLGS ASTRWAIADRFDRVLPPYKRYVGLKRRTFLLVLLGALLAVIALAVGLGVGLGNKHKDQ NLPLPSNSQTFTGDLTYYNPALGACGIESNDDSPIVAVSHFTFDAVQIGSDPNQNPLC GKKIRARRRREDGKTVSVDLTVVDRCTGCQPTDIDVSPTMFDRLADHDLGRVDVTWAW L CC84DRAFT_1096050 MAEQTLNIPQIIVFIAVTVLIVRWFLKPSGAGQPSSASNRAARL NPAQIDHLVQMFPQLDRRTVAWNLNRTGGNAQAITETLLAGGTLQQPPTSFQIPPSRT ATPSAGQRQAPRQPAKPAQPDLITRYNLASKLGQAAESSAVETPKGKAWSQDKNERQA NLQRRREEMILAARRKLEEKAKAEQKSA CC84DRAFT_1166242 MPATTRTLETMILQAHRAKGIRYPTSSQKQSFKTWYSYALRRGG AGNVEAKGLREYGRQARDLTPSGRTYM CC84DRAFT_1207317 MSCIVDWTNTTSQANHDKCPICPKRSFIKEELQPSSSGTDRLNR ARYNSRHHIVEPRNGYRGNPRYAARAEMISENVELSRPGEREGGAGGHGVQVNPCFIE ISMSRHASRCKILAPAWELNTPRMDPRSFDASSQQRAPRHENVHPSRACNVPQCNAGR TQPPPSRICNVSQENRGYGLPAPPVYHTTAPSTRDIVYVDARRKPSLISRSQGPSPPL EEYERYRAGGNTRSGSSTEDIWTASDEMRVLGPEWYQALSLGFCMDTVLCACACSIVV PEWMQVYCALINPRRGNHG CC84DRAFT_1261129 MKRPSSRPEPRSKTMSRYLCLALWSHFAAAVVLSAPTATITPSP ALHPRAATTVGYYSTGFQDGTVLWGTVTVLSEGGMVATSGSLFAVCQANPCNIGTCSA GTFVIGSTTTACGAAQSSTCSSNLLYQDVYDTAPLTNFYCDVVTETGFTMYKVTPTEP VSASARSTSSASSSDNASDPSSASKASSATAASSTGSQSSPLETSGTLPGSAKPKKST PIGAIVGGVVGGLAVIGAVVVAAIILTRRRRATTPAQPSYTAVPPPQESKPYVGMAVA PPPNKIPEATTYPYAVEVGSTSAPPPQSPQSPVPQYTAYNQQYSAHNGAIEELPAHRM CC84DRAFT_1166244 MIRDSHYAILSGETEIDISNATRAFSSAIPLLDPIENTTMPESW VRAQMLIRANSLAYPSVGVRPVLLERLTQLLNMDVVPRVPLRGSISGVGDMVNQSYVA SVLAGKPSVQAWIGDRIQGGRRLVPADEALTYAEIEPLEVRAKEGMALLIGTSSSSGV SALAVHEAVCLAATVQVLTAMAAEALCAADMCFHPLLSELRPHQGQSECARNLRSFIE GSKLIVWDSAKDEFMARSDKYPVRASPQWIGPCLEDLQLAHSQILIEINSATDAPLID QSGKMIHGANFMAKSVTSAMEKIRQGCQSLGQIMFAQCTEIINPTTSKGLAPFLVVDE PSQSGLFKGTDTMAASLQSELGFLANAVGSNVQFAEMGFQAINSLALISARYTLTSLD ILAQLAAAHLVALCQALDLRALHMQFLYALAPKFKLLTRRCLSECTEPTTPSSDGPGA GIAYELWLTLSERINTTTHLDSRSRFSLALDYLQTIFLRYLPANSRSLEAVQQWNADC IHEACATYAAVRTRYLANPDATPILGKASKRCYNFVRRKLEVPFVGNDYFRNAERDDG TRLGSRVKYKTMGSMNTAVYDSFRNGGFYAVVVECFEDV CC84DRAFT_1261131 MSTEKFVVTEQWSPTQLIREYPHALTRNDADLFLAVRESRSRNS TAPSENAVTIIASYGNGFPKECYEALWDEILDSSKGDEARSIWMAEYALQGKSYARNA DVLGDDSR CC84DRAFT_1150073 MRRDEIQHARQTSANVDDLGPEKAIYKDSDKALEFLKLNETGEE RNVVDEKKLVKRIDWMIVPIMFACYFLQYLDKSLFNYAAVMGIFEDANITTEQYGNLS WLFYLAFLLFEMPHAFMMQRFPLAKYLGTMVCLWGTVVACTAACNSYASLAACRFLLG MFESAISPSLILITSMWYKRHEQPKRVGFWYMGVGMAVMAGSLISYGFQHYKGTRFNN WQIMFLVVGIVTVAAGIVVILFLPDNPMSSRLSHAEKVVAVERLRENNTGIENKHFKF YQFLETMRDPQVWLLAYITTAASVPNGAVGSFQSVLIKSFGFSNKVTALLQIPGGFIA VVSVITGTQIAARYNSRGVMQIVWTAWGGILGGGLIAFLPTGNRAGRLVGNYFTHVTG AFLPLSYSFAACNFAGHTKKVTMNAILLMSFCLGNILGPLTFRDEDAPEFTPAKITIV AVDSTVVVAVVILLGYYRLENKRRDKAQIQHRPDIEFSDLTDRENLELRYKY CC84DRAFT_1197628 MDSQSYDAWWSQGNYSMPPHQTTIFELPPDLSKVPSLSGSPVSS TYDQFPGALPQEYYPQIAGEAMHFGNYQIPMTPPEFSSDHDSPYCSPRPPPSVEPVYS HTQMSQQMGQLSAPTERQHTTSGRRRAQNRAAQRAFRERKEKHARDLEIQLAALNEKY SKLETSHTELNAAYEKLRKTIELLTQDDDADGDEEEGKLSRRRSSNPETLRKLLEIIH GDFKGVAVKNENP CC84DRAFT_1197629 MLLSTILFNAFATLTSALPTTLSERAGGPSTKPIPDTCKLSDPH EQTASAPSDFIPAPSVKSVELYAAYYPSPSSNTTQMAQQCLEQCYGYGTSIECKGAFW AENMVVPPGYYGSPGGQLETACVFYTRALTEADFEEAPEGQGTGAYARNIAC CC84DRAFT_1150081 MRASISSLGVLAAGASALQIPLLSSSKQAPIQPAGDYHGEKPLV NSSALQDLISGDKLFARAKKLYEIAKLGEEEYNHPTRVIGSAGHDGTLSYIYETILAL GHYYDISNQSFPAVSGNIFESRLVLGNEVPKSASPFGLTPPTKNREPVHGTVILVENE GCQLGDFPSSVKGNIAFIKRGTCPFGTKSEHAGVKGAAAVVVYDLGSKDGIGGTLGTP SPNHVATFGIGSEDAKPILKKLKNKKYVDAIAYIDAEVKQIATTNIIAQTTFGDPENC VMLGAHSDSVAEGPGINDDGSGSISLLEVASQLTKFNVSNCVRFGWWAGEEEGLLGSD YYVASLPKEENQKIRLFMDYDMMASPNFAYQIYNATNAANPNGSEELRDLYIDWYKDH GLNYTFIPFDGRSDYDGFIRHGIPGGGIATGAEGVKTKEEAEQFGGKAGDWYDPCYHQ LCDDLSNVNVTAWVVNTKLIAHSVATYARSFEGFPERTDSVAAIEYTEGVKYHGSKLF I CC84DRAFT_889097 MTVDEQQPLLASIPETLQDGIKHTGVILDFEEGDIENPREWPVA YKWAMVSLLAFTAFTVTFTCISVVPVANTIVDELSNHTAGPSVSALLVTIWELGEATG PFLIAPLSEIYGRYPVMNACNILFISASLLAAVSESTALFIGARALTGAAVATNVLGP AIIGDIFDNEKRGSAMSLLMLAPLLGGAIGPACGGIIAQTLGWRTVLLIATALALTCE IAFVTCFRETYKMVILKRKVMHIQEEANQEISGATKDEAGHRLWYAIKRPFTVLFGSS VLLAMSLFGSVLFSYFYVLSTTLPGILEDRYGFSPSQIGSSFLCFSVGSFVSVLVCNS SLDRIYIRLRDRSSSKKGRPEYRLPLAITGGILLPFTVLAYGWIAQLQLPAALLLLSV GLMGSTLLMTMVPLSAYVVDACGLYSASALTGVIVTRCLMGTFLPLAAGPLAEELGYG LAFTLLSLLSLALAPIPMLVFRYGERWRQRSEFTRDA CC84DRAFT_1150088 MARALVLAAAAATLFQTTHSQCTPASTSKTYDYIVIGSGAGGIP IADRLSEAGKTVLLLEKGPPSSGRWGGTMKPSWLQGTNLTRFDVPGLFNQIWADGNGV ACDDTGVMAGCVLGGGVAVNSALWWKPHPADWDRNFPDGWKSTDMAKSTEKVFQRIPG TTIPSTDGKLYLQQGFDRVSKGLDVAGFKQLNKPNDYPDQKNFTYGHPEFFIEHAERQ GPLRTYLETASARTDKFTLWTNTNARRVVRTKGHVTGVELECKNGAGHSGTVNVTPGT GRVIVSAGTMGSAKLLFRSGIGPTDQLNVVKSSSTDGATMISQDQWINLPVGYNLDDH VGTDIQISHPDIVFYDFYAAYNNPVAADKDAYLSSRTGILTQVAPNLGPIAWTQHTPS DGIVRHIQWQARIEGNTKTAMTITQYLGNGAISRGRLTITPQLNTQVATPPYLRNATD KEAVIQGIDTMRKALSNVPNLTWIKPTSGQDTTAFVNSIPTIPASRNSNHWTGTNKIG TDDGRSGGTAVVDLDTKVYGTDNLFVVDASIFPGMTTGNPSAAIIIASEKAAERILAL QAPAKSRVMRDAQ CC84DRAFT_1056011 AGFRGLSVVSDRIVWVSGTNNSVYRTTDGGTSWSDLTITHKETF TNATGTYPVILDFRDIEAFSAQVAVAMAAGDGSLNQTSVWYTEDGGKRWRKSRTPKNP IFYDSLAWENKHHGLLLQDPANATDGSMGLLETHDGGKSWREVTTTGLEAQGQAAFAA SGTCIAFVAGRWYIVTGGSPNPSRVFRSSNGRSWKDANTSLVGDPNVELGYSGYGMNS VAFRDSRNGLVVGGSFSTGVPASKNNVAYTRNGGVTWTSSNSALEYRSAVSWLPGKSK LAVAGGQTGTNLTRDGGVTWEGLTDEHRNEFFAVQCIKGGVCWASGRKGAVGRI CC84DRAFT_1261139 MCNARLALALLATCAADSFSNYGEIDQACTMLESELPGCVYYPN STAFEQSVASYIYAGSRLRPNCILGPKQAEDVQKAVQVLTQYPSVEFAVRSGGHNINK GFANTDLGVTIDLAALDDVEVSSDKSYVSIGVGARWGKVYATVEQQGLSGISYYSPER GWACDSVKSFDIVLANGSLINANAQSNADLFRALKGGSNNFGIVTHFELDVFEQGPLT GGSMDYGNVTGEQVVNTITSFKQPQMFDKHAMLIAIFSYQAHKHLFSHSATFFHTRPE KYFGSTLEAFTRVEPQTNVTLPVMNNTAGFFAGLSGSYDPSYAPNNFMHWTCLQFRVT KTILHKINGLYREHSLRLANVPAYRSANLTVFLVLQSVPATRPGNSLGFEPDSHPEKD QLNLLIMYQYDEGRMEVALQREINRLTKEVEDIAREERALSQFIYLNYAGSDQKVLEG YGKKSVEKLKRVAAEYDPDGVFQKQVRGGFKLSDVHF CC84DRAFT_1123932 MLHGQHLPWRAALRHASRSAVRAAPSTVPSPYLPAQCTASFARC PRRYTSPPAALLTSRSFSTSMSRRKEQKPKTPPETSEEPKEEGEEEQNKQPKAQDDAD SVEAKSKAPEPIPTASDTKGSSAGGGAAGAGGDGGGKKRKQSDKSLVKPSIPDVYPQV MAIPIAKRPLFPGFYKAITIRNKEVGQAIAEMVKRGQPYIGAFLLKDDEADKDVIDDP SEVYDVGTFCQVTSAFPIGADDNFAMTCVLYPHRRIKMTELKPPGANKPQESSAEGVE GADGASVAESTLMEAAVKEPEAEQAKGDVVASFEESSADATKAPRYYEATSFLKGKDV SIANVENLFEEPFDIKGNKVIPALVSEIVNTFKAVALLNPLFRDHISTFSVQTTMNVG EDPVKLADFAAAVAQADSHELQEALEELNVEQRLSKALIVLKKELMNAELQSKIVKDV ENKITKKQREYWLLEQMKGIKRELGIESDGKDKLLEKFNDKASKLAMPEAVKKVFDEE ISKLGHLEPNASEFNVTRNYLDWLTQLPWGQRSAENFGIKHAREVLDEDHYGLKDVKD RILEFIAVGKLRGTVEGKILCLVGPPGVGKTSIGKSVARALNRQYYRFSVGGLSDVAE IKGHRRTYVGALPGRIIQALKKCQTENPLILIDEVDKIGRGHNGDPSSALLELLDPEQ NSSFLDHYLDVPVDLSKVLFVCTANMLDTIPRPLLDRMEIIELSGYVADEKIAIAERY LAPQAKVINGLDKADVILEKEAIVELINKYCRESGVRNLKKQIEKVYRKSALKIVTDL GEEVFPESEALTEEGKTAQQEAEKDQTDVKETSENVEKETTEKPRVALQVPDSVHVSI NKDNLKDYVGPPVFTSDRLYDVAPPGVAMGLAWTSMGGAALYVESILEKALSAKSSPG LERTGSLKNVMKESTIVAYSFAKSLLAREFPKNKFFEHAQIHLHCPEGATPKDGPSAG ITMATSLLGLALNSKVREGVAMTGELTVTGKVLRIGGLREKTVAARRAGATTVIFPAD NMSDWLELPENIKEGIEGRPVSWYNEVFDLVFPGLDKDASSKLWEKELKSKKGDRKRK EYKKREDDEEESGDEDD CC84DRAFT_1166255 MEVVYKKVDEYVDSLAPQIQPRVAAELDVFQQKTIDSLEDQVID AFRSLFKKDSNKDGSRGDLNDTAPDAYGGQSLPFADEIAKLTRNFGKISDEAGDDLRD IFNLTEGRDGPGEVQARGEESARGSGARGFLASALDVVQDHLDDNRKSSGGAGFQLDG LLGVISNTVKDASRNPEEKARLISPEIKEKVGAKLREQHTTIAEQFTRIALEHIKRWL RGNTSSRDLGDGAKAEIEDQVKDIVKGIGGLFGKKHANEESSRGFNERAQGDNADERS GGFSKVISDKLSTGLAKVHREVRLEFRKILGAIERQLFELLPDQFQRPLEKILGGNPF DAQLDRDAEPNADRGFGDDIKAKLLDKIRDLVRKVQESLRENILGVVNGGHRKFERES WVFVQNIVEQKVQRYLPDVKISVPDDIGNEGVSVGAPTAGVQLGQNTAPAQGRYNSPV TQEQHSGQSHQQQEYDTPAQSQYRQDYRSDNSSQYQSSRPEQQHEYRQEQHQRQDYRP EYDQPYQHQQPSQYQSSQPQGYNQDHGYQQHQDYPSGGRYQGNQDYQRHEPNQGYQQH GGYEHSQGEYRGSQGYGGGPGYQGGQGYGQNPQY CC84DRAFT_1166257 MAEAQRPKIGVGVVIHNGAGNIVMGERAGSHGAGTMQCPGGHLE YGESFAECAKREVLEETGLEVGNVKFLVATNDVFGEGKHYVTVFVTCTIVGENTVPQP MEPEKCARWDWIPWAQMWAWAKEQAEAEAGGKSVNKQMFLPLVNLYREYPGMEHCLA CC84DRAFT_889318 MPTNAVSLHDAHVPGQATVSSAVLLCDVWLLSLAFRFQMTPACQ ATSGSAQHDANFALGEPSRRQHMIARLNKSQAPLLLGLKAAVEAGDPRNSAYSALCHW EPRAVWHSWTSEDHTSEKPSLFRSALAL CC84DRAFT_1166260 MFTASYIIAASQLFAFAFGAPLVGASPKQARSLVARTAYKVFGG DGTPAQGWPQESEWKSFEDLWSANVATTLQSCTQFGMDNNSQEESDNIKKAIQEVSGT SGVKAEFLLAIVMQESKGCVRAPTTNYGFDNPGLMQSFQGTHSCNPNGQGVVPCPYDM IKGMIEDGAGLNGDVGLKEGLAQVGGDDVSKYYKASRIYNSGSIAGDGNLNGGIATHC YATDVANRLIGWTDADQHGCDEATIGSVGGGSANTAPAGPSDGGETTTPVDTTTPPAT GGCAKTWTPNAGDNCSTSGVDFATFQSLNPSIDANCANLQAGTAYCVPN CC84DRAFT_1197637 MASNGVQNVFAPVLAAHNTMSSGADRAQKEQAHQFLEQFQKSDE AWTTTLAMLEANNVDAAAKLFAVTTLKGKIVYDLHQLPRETLPKLRETIIRNLATFHA GPKPIRLQLCVCLANLAIQMTEWKDVLPLILSTLGSDPATLPCVLDFLRVLPEEVTHG RKIALTETELQMRTSELIEENAQQALNLLVQYAQSSPAASQNPQLLNCITSWMREVAM DDIIKSPLLGVIFAALSAPDAFEAAVECVCALIAETRDVDESTNTILVLYPQVLALRP TLARAAEEEDTETYKGIARIFAEAGEAWCLLIARIPDQFGGLVEAILETAALDKERDA ISHTFKFWYDLKQYLTLEKYTPARHQMADIYSKLVDIMIGHLEYPKPESGDEKDLFEG DREQEEKFREFRHQMGDVLKDCCEVMSVTECLQKPYNLIQAWVQTYASQAGPNHVPEW QKLEAPLFAVRAMGRMVPPDENVMLPQLIPVMVQIPDHPKVRFQAVMALGRYTEWTAQ HPDTLQPQLDYIMGAFDHTSKDVTRAAALSFKFFCNDCASSLVGFIGPLQQFYAKYLD VLPVSSQEEITDGVASVMAKVPLEQLYPTLKLYCDPVLQSIMSIANEAKDDPSQKLLA DKINLLTIFIEVVRPQVPAAQEHPAVKYCQEIFPMLGNIVSHFSKSVPVLERVCRCWR YMVLSYRTAMRPLLPLLATQLVQGFESSRQGCFLWATASIVREFSAGAEEFDSSLTND VFQFYEQQAKTFLRILSDLPPEDLPDMIEDFFRLAGEMAMYYPIESISSSLMDTILLA ACSSLTLLKEEPIIATLHFLRDLLGYGRNLSPSSSFDNSRHEVPEQIQTRVKQLVAAA GIQLVQRIMTGMMYSFPEGCFPDASGVLLDLFELMPEQVAGWVQQTVGMLPQGSITPQ ESERFLNNIRQRIQTGDIRMIRTILQDFTTSYRRRNVAPREGLGRLEASRFRFSG CC84DRAFT_1166263 MTGGRGRGGQKVLLPPINFIFKLLQQRATVSIWLYENLGMRIEG KLRGFDEFMNLVIDDAVEVTLAKKETPEERRKLGQVLLKGDNISLIQQINN CC84DRAFT_1123949 MAAVAALKKDLRTKIKKILADVPDASAASQTSNAINTLLGMPEY KAARRISVYLSMPAGEISTTGIVRDALSQGKTVFIPYTYTLDAPREGQPKSIMDMVEL HSMNDFESLQPDRWNIPTPSEDSIPNRANSFGGKGASHGDITIGSEDAGLDLIVMPGM AFDSNFGRLGHGKGYYDYFLRRCHDASRVPFRVGLALTEQLLSPNEAVPMDKSDFRLD ALITGDGELRRA CC84DRAFT_1197640 MRASLATLLLGAGLAAAQASFADLVGTWSSKSNSTLTGPGFYDP TNDRFTEPKHTGISYSFSADGHFEEAYYRAVANPSNPRCPKGIIQWQHGSFKKLDNGS LALEPIKDDGRQLYSDPCTYKNSIYTRYNTTELFERYEVLTDGYTKKTRLNLYKFDGS PLMPLYLAMSPPQMLPTSTLNPLTTSTAGAKPTGKVKRSDLPLNVLSKRTPQQQQADR WWWFGVFLTASGGILYYFF CC84DRAFT_1123953 MDDLATNLDGLKLAERLHGVRQGEYWLHLEAEGPLEKYPAKQHA RRVRERLDVAEGLIYLPGAPARSNEDSDMPAPFRQRRYFYYMSGCNETSCQLAYDIRR DILTLFIPRINPDRVVWNGRGSTPAEAMDKYDVDEVFHTDQVESWIHDWFLHYRHDQQ LYVLHKEQMPPLRFPWPVDCTSLLPAMYQCRMIKDDHEIKLIRKANEISSKAHKQVLA NITKFHNEAQVEGQFLNTCVSHQAKQQAYDIIAASGPNAGTLHYDANNEDFEDRQLMC LDAGCEYELYASDITRTFPLSKSWPSKEAENIYKLVERMQEACIQKLAPGVRYLDLHV FAHQIAIDGLLKLGLLHNGTREEIFKAGTSKAFFPHGLGHHVGLEVHDVGQQELMSLY KHPKYDKVPSLYPEDFHLPVYDTKQCMAPTDPQSPHLEEGNVVTVEPGIYFSAYVFSH FYLPSPVHSKYINTEVLYKYFPVGGVRIEDDILITSKGYENLTTAPKGDDMFTIIRGQ PTGSTIKSRDTRMDTLQEPLRLAPGISKRVADPLLETISPAATDPRATIRRASVEEDP TFQDHWLFNDSRKTAQSTRDERKEKSAQTARHAVCQNNHVPLCGTASAGVEHMYMGWQ NQVRNNTASPYLGIGIKAPVQKCQQCVVLSEAVDRLRETLSRSTKTSPTTSPRLGSGE FECRGSNKPVPPTGNRFVPPMGPKVSEMRQINPLPPRNFADRTLRELSAPGYEIPSSS TIQCHEIIDNTTTTAPRAKTQRRSVTFDSLPAVRPQSLTARSEYLPRPSDWYTESPRP MNMRANLPVHNYSEPPRTTSSVIPEPNPTSQWNFTNLQRHVPKPQPPLPEAWASVVQR KRVSADDLARGSLSAAVERGKDREPCTHPADFLRRPDPRPSLPNLRQGALPAITRREG AASVRSNISNSQNRQTAYMADLSAYDHGLRHRRSMGSALRSSANGCMLPETQPPLDSA SRNAAATVSRTQGISHWNEQRQRLERLERETRPLYGRSRPGGEELQGVDYTR CC84DRAFT_1166267 MRNSLSIIALAGYVAAAPGGYQDGGYGHEGYGTGSYAVPSSSAY VPPPYSASKPEHSTPVYSTPAASSTPEYSTPPPASYPVYTPPVHSTGYVPPPPSYSAP PSSSYYVPPPPPPSYSAPPPSYSAPPPSYSAPPPSYSAPPPSHSAPPPSYSAPAPSYS APPPSSYYVPPPPPPSSYKVSTPPPYTPAPPSTHYPTAPPAPSSYSVVPPPYPSHNAS VPYITTTYTTTKTVTVPCTTVITPSAPGYSTIVVTPSVPGYTTVVTHSVPGYETPSAP PPPPVYTTVIPPPYVPGYTTVTYSTTVITSTVTTSYPAPTVVPSGYTPAPPAPPAGTA PGYSVTPVPQPSTTAPAEFPGAASAQKPAMALLAGALAFLALA CC84DRAFT_890338 MLVCQLGHVAPLMARREASAFARTPGVSRRGWLHGWLLSRWRGT ASRRLWRSEARWLWCRAGQRPRHTTAILAGLFIETHGRGPVRGLAASRLDAGGGWKSC RRPSLTRRSPRHDITSILHPTVLPSSGLSQVSGALTIWCVVCTLPYRLCS CC84DRAFT_1166269 MHEILTLQFGQQSNYLGTHFWNTQESYFTYPPDEESPVNHDIHF RAGVAPDGSDTYTPRTLIYDLKGAFGSMKRVNTLYEAEEDVNVDRSGVWPSKPIVQRA APIQPSPYQTHLDAGLDPPPLTTSTVRYWSDYSRVFYHPKSLVHLSEFDMNDSLMPFE KWEVGMELFEKMERDEDLVDRDLRPFAEESDGMQGIQIMTGIDDAWGGWASGWLDRLR DDYGKLSIWTWGLGDQGGNMSTPREKRLQQIENSARSLHTLAEQSSVYIPITNVPIKL PSYLSMVDTSPWHVGALQAVALESVTISSRLRTALGGRGTLQDLEATFNSTGKRRIAK LELSIADPDVLSEKASAQIAQAEKAGSTTSHQPSDSDEQLTKFDIDTFTKDYRIGASR PTKRSHVFGRAEAARGDWNLTEDRDPHDRFGDGPALQRFAAPLLFPLLDSFPASIFDI GTGKGQKVAVHSGLTTSTAVADQVRAVEQIVRRMHMVGIDERETICNGLQTMAEEYDE GWDSGSDSDDDD CC84DRAFT_1166270 MNLASLITKAKQKAPPAESSDKKFLKRSELEAQRQAAYRAEQEA AEKAREDRIARKRKAEEDDAAKEEERREKRRKFAEESRRKREQEAEEEERRRRKRLGL PDLPPKKEEGEEGTPVPEDEDIEEEELFAKLRALDEPAILFGETHKQRLKRYKKRVGA DSLKAIMTNGPIPTTLQLVPEKDMLVSLTIPKDKDGREFLFRQLASYFTMVLEEWENT LARRTIEVKNSYPGKQAYNAMVQARENLRPLFKKMEQYDLPDSILEPVVEIVLAAQER RYVDANDGYLRLSIGKAAWPIGVTMVGIHERSAREKLHESDKNAAHIMSDEITRKYLQ SIKRCLSFAQTRWPPTDQLQLMG CC84DRAFT_1178047 MASPPPPAKVDRQTTTPFLLRLFYKQNAFHHPDDFDPARRLPPN LQIYTWQSCTLRELSSLLLTTLPNLLPQPYAGTRVAFRLIYGDVNGPQRPGTPARFTT RDLGSVIVGAQTPADQDKESDEKMDVTEALKQLDGDPDKSLADAKFVIGDYVSCAVFP PHADGSVQAAPPPPPVGPTRGPPPRDGFGGRGGGREYANGYGGRGGHEGFGRGGGRGG GRFDDRRDFGGVPSGEWRRGEAPPEREPGYGWRGGREGGRGRGRGRW CC84DRAFT_1188618 MDGHATAAAGAHQSRQNALADIHFASIMDADLTPSEDETPYERT LQLETVQSAIGISIGQQQTRLTSPKTPAAEKAAALEDSDGGALFEGWEQPPEPSPLPI PREFPRDPPASRASAQTRGAEASEKERPARLPSPWRAQPKTFTKQDNHRAALRDTLNT KSRRRTMSGGASDYLKKYIPFNLPSIPKTSSLLNFSLPSFSVSSFDNVGRHPYAHAPQ YRNRVSQPMRRGPSETLSQHDGTSLAKPIRGRSRSRSRSLTRPQNTTADSVSRQDSSP ETGGDTEDTDGTITPPEAPKPEVNMRPPPILRRSNSEGSLLLYRSRSIASSLGDDSRF EHVSEQVNSRLKAIKDSWQDSNFKLSVPSMPNFSFSSREDLFRKQSGTSTPRAANASL RRSGAKVPSADARGTGAGSAASHPYFTAALNDLEGDLVILGGYRGSILRSAEPPHRQL WVPIKVGLNLRKVDLEIGLHPEDEETMEERIIPGGMLTHIGPVDIAKRLFKRLRASEN ARNGHLRVHDYGYDWRLSPHLLSRRMIKFLENLPCNQPGVPPDRRGAIVVAHSLGGLI TRHVINQRPDLVAGVVYAGVPQRCINILGPFRNGDDVLLSSRVLTAQVNFTIRTSYAL LPLDGQCFINKHTKEQYRVDFFDPKMWEEYRLSPCIAPAIPVAAPAPTSNLSVSGLLN AMSQALPTLPGRKNSMSTPKGDDSNTGSSGSHNSASAAVRDTARNAVDMVAEGGAQAT GFEPKMQTDVAHSSPSSTSTIPHDQAVAYLTRTLAEIKQFKEELDHRPEHTSSNAYPP IALIYGKSTPTVYGAKVESREAIKLTSAYDELAFASGDGVVLAKAAMVPQGYRVVRGG VVPSERGHVTLLGDLEAVGRCLFAVMGARKRGIGLGEAALKGRAPEKGETMGVTF CC84DRAFT_1178049 MSAMLLLPAPVASNSFKIGQLLADPLHPDVDSFHNENDMLSLRA PTVQTHFREMLECDDAGQFIGRHSHTSTSNERSVLIEAEKMSHTTLRDPLSAFRYVSR RASSQAYLHQAALHRKPLYYVTAIQTLSNYHYMDDDPNIAIAKKPQVRRHDSGISLNE QDGNVILAVELTKVYCRIGSPDEPQRPSDIGYAYKYYPLQGEEQRQLAVGFGPAVDYQ EFRNLVGISSDSDYTDASADSDSFDEDEDEGCMCCSSSISNASYRRY CC84DRAFT_1249779 MATTQANSLGGWTVTFATTVFWAMLSWTMFLVIDYRKRTEKPCL MTSILLFVHLWLACLFLAAAKVAENHSDSLLVWLCFQLVFRYYKTLVLTYFNFRYKPS VASANFALRSTDVSVIVPTVGTDVSATFKEMVSSILWNNPKRLIFSTTTEHAKKSVEE VLPGILANLKLGESAYQTQWKLPSLEVYTEISVVSAQVASKREQFIQGVNMVSTSIIA SADDTVSWHPNFFSGALPAFADSLVGFVGTHKWVKRLPCPPTDSSLSWFTNQWNNYCF RFWNLVGALYLIRHNFEARGSDATDGGIFCVSGRTHLVRSDIVQDQAFQDEFLDERIL NSLIEGGIGPINADDDNFLTRWVFYKKNMKVKFQDSEEATITTVLGKDGGKRFKDQCL RWSRTTMRQNPQVLFIDRTAWWMHPITVWTTYLPWLYNAALIWDPLMVGTLYFSKFNQ DSKHRFTLMAILIGFIWATKLIKAAPWFLKHPLDFFLFVFPFPVFAIFVYGHSILKIW TAFTFWDLAWTGRKLPTDAKAKEE CC84DRAFT_1150128 MDQPKIANEKVGSFVSVAYGDVEPQGWDAQNTKRLLRKLDWHII PFMSLIYLLCFLDRTNIGNARLDHLEQDLKLHGLQYNDCLAILFPFYIAAEIPSNIMM KRVRPSIWLTFIMFCWSVSMIGQGFVKNYSGLMATRVFLGVFEGGLFPGVNYFITQWY VRKECGFRMALFFSAATLAGAFGGILARGIAEMNGVGGRAAWAWIFILEGLLSVVVSL AAYWCIYDYPSTARFLTETEREEVQRRLQAEQGHLSNDFDMKYVWQAIGDWKIYIHMF ICMAGFCPIYSFALFLPTIIKSMGYTANEAQLMSVPPYVAACFFTIIASWYADRVGKR GIFMLGFQLVALLGFSLLCGSGKASVQYAGTVFAAIGIYPQIPLGMAWNSGNIGGSLK RGTGIAMQVMGGNCGGIIASYVYVSRDGPRYITGHSILIGVVSAAFFLTLFMSTWCRL ENARRDKVAAERGVQDLTEEQKLLERELADNVPWFRYAV CC84DRAFT_891159 MTNTSPAIAKGKARADDNDASSSSTSRPPPRPRTPPPQPPVQQP ICATPSSSTVLATSSSKRKRQTRIDYYFGSKKQRISPIAFELSPEEKKDVKALYEDIK KEEREAKKAERKVNQQLKRETEDAAARERQKKTRRRQNWNIWCERNAKPKATFEIPEN LPRGELWAMHRSITMCEKEFGLRRTEVFCLEHCCKPNWRTEGDTDITLFRMDDVQKLA WRKEAMLAGVECSNEEDLIAEGRLLFLQKQMAKEG CC84DRAFT_1166275 MRTSTALFALAATTSTVIARPGAWQYTTDLEIIVSLSNSDKALG SRTGFLQGQRQEQPPDNSKGPFKTVNIIVGKNVENKDIRCKLIAPGGQPIKARRGENN VDQTFADAGKGEWAFLEDSEVESIICDPAFKKGDGSGNGGDAAGKIVRVVLSDQATET GVAFELASDKRDEEAIKPSTVFSAIEIQAPADVKATLRCQIEDKSGNIIVATRGPNTD KTFSDAGKGAWDFKIPKRAQVSKIICDPAFKADPITSN CC84DRAFT_1166276 MSPPAATASPALRRRLLADIAEVQQDPYPSVHLYLNDEDITHAC LVLAPPGEKPLHLEIKFPTNYPLQAPHVTIQSHVDHPNIFDSYICATILNTEEGWTPA YTLKGILIQLLSFFSSDIIEQDYGGEVDLASYRAHKRARREISSQYRSNKRDWDNTWS YECYCCGFNKSWNNSMPKARSQELQAPRAVGPSERTKLLELPDEVILNILSWMDTTDV ISFADAIPTIKHMLTSYDFVRLRELQCFCLKKSFMKAKLGIGVSITGGRKPIFRSEFD LLSNEAYNLFDVRRSVQGVWFDKWLPIPLSRRHWKTVQQNAGHALEGLRVAAKLQETD KVAVLYHFMNTIVVQFSNDAARSWKKPDSRSTLSHASEKAVEAYFALFHLLLCFATEN PITVNHANRMVARFLAGPRTKTHFPDLGQLLVAALISDTGLTQELTFQIIKEAILRNV VWMLDTKGAAMAELAYLEPTTVSDYRLQRTFEGSRTSYRLLMFLKLFSSMARTPGKTL VQLREELFDTHSAPPPGVSAIMAQRIRAIQAIDSFPKFLTVMGITQMPSRSEFTAFLR RTISESVDANYSRMPMTQSQLYLIRRMWEPTVERSREVQITPQLEVWFERGEKWYGNG WNGRPSFFPSEGREGTGGRGRGRGRGGRRG CC84DRAFT_891206 MASHNTGRISHSFARKQNRQTIWPTPDPTTSDIQLSAPWPGRYG SFAAPNKRWTSAFDTEARASRMSFLVDGTLLPRAPVANNQHEQWVGKRAERRQTWLAP VAQAGDPEKVWSGEFDVERRPSWVGRESGALVDDDEIALLKEKEQFLVCWEEGDIENP LNFGKGRKWMNAMVLAFACFMVSIASSGFSQGTRDLRTEFNVDQVVALMTTSAFVLGF AVGALVLSPLSEVYGRRELYISTFGAFVLLTGALFFSSSMTMVIVLRFLGGLAGSFTQ AVAPAVVADMFDAQERGLVLSIFTLAGLLGQNLGPIISGFLDAYHGWRSIVIFVTAAS LPTWLVLTFTFPETYAPVLLQRRANRLTRETGKQHVVAGATPKPISTQLRVGALRPWI MLIYEPIVTLLSLFLSVVHGTLFLLFAAYPIVFQQVRGWPQGIASLPFLALVIGIILS LFYVALIDQRRYARVLARNNGIAPPEARLPPAMLGAIVLPIGLFWFAWTNGPETFWLI SVSAGVFFGFGMVLLYMSLTNYLVDSYLAYSASALAASTVLRSCAGAAFPLFTNKLYE KLGIHWASSIPGFMALVFVPCLAGFYRWGHILRTKTRFGQEAAAALAAQAQK CC84DRAFT_1123974 MATELTVQSERAFQKQPHIFLNHKATGPRKNTRTRRWYKDVGLG FRTPKTAIEGSYIDKKCPFTGMVSIRGRILTGTVVSTKMHRTLVIRREYLHFVPKYSR YEKRHKNLAAHVSPAFRVEPGDQVIVGQCRPLSKTVRFNVLRVLPRTGKAVKSFTKF CC84DRAFT_1261160 MDPTRQFMDRLGVTVDSKSSYLLVAVLLVAGTYALAVPIISFIR VLFSLFILPGKSLSSYGPRGSWALITGASDGIGKEFALSLAAKGYSLLLVSRTQSKLD TLASEISTKYGPKISVKTLAMDFGQNKDSDYAALRKLIDGLDVSILINNVGQSHSIPV PFVETPADEMNNIITINCMATLKVTQTVAPGMISRKRGLILTMASTGGFFPTPLLATY SGSKAFLQQWSTALASELEPHGVHVQCVQSHLVTTAMSKIRKSSALVPNPRQFVRSVL GKLGRSGGAQNVAFTSAPYWSHGLMIWFLSRFIGERAPIVVKINKSMHEDIRKRALRK AARDAKKQ CC84DRAFT_1261161 MGLDITSHLPPFEGLLPKWLLLVSVISIANSIQAYTTLHYAARM YNPNPDNTKIPSNLTQYTSPSSKPTPLQPGELPSQVTPLSGRKWGTWTALTGILRLYV AYHINEKAMFELGMWTYGVAWAHYMSEWWVFGTTRWGAPLAGPVIISTGSLVWMFLQR EYYLGQ CC84DRAFT_1166281 MGEPILNDISHRRFNLLRGSWVLVSPHRNKRPWQGLQEKPSRTS LPDYDPECYLCPSNTRANGSKNPKFTETFVFVNDYSAVKEEQEEYHPEQNEGSLASRL LKAEAVTGKCYVICFSPSHHLTLADMAPAEIIPIIETWTNLYTAHLDPKSPLAEAAKA LSLPAFSQGDIAIPNAQYRYMQIFENKGTTMGCSNPHPHGQVWTTTSLPEEPNTELQQ LKKYRQEQSGCHLLVDYAKLESDQKERTVFENESFWAGVPYWAVWPFEVMIISKQHKR ALVDFSNAERQQLAECMAEITRRYDNLFETQFPYSMGLHQAPLQGTEEEIESSHFHIH FYPPLLRSATVRKFQVGYEMLGEPQRDITPEQAAERLRACDGELYRKKLEHLTNGHAG NATHVCQEQQKQ CC84DRAFT_1166282 MAAMMPSASMANDYDNDALERDLIDPDDATLDDLDDPIQGTSDR APLTGNIGSRSGASTQSYLTSAVGGEDRRAPTNTIDETVWETLSRDLLAIWEKLKQVL WPKYLLGGIMQRGGGIGAAERGEADGVGGGLGGLAGRWPDADVILQGGMSEGLRDWDL WGPLIFCLLLSLFLSRGAKDDQKDFVFSGIFAMVWLGEAVVTMQIKLLGGNIAFFQSV SIIGYTLFPLVIASALSATGIVPMLVRIPVYLVLIAWSLAAGISIMGGSGVVRNRVGI AVYPLFVFYIALGCICFIS CC84DRAFT_1166284 MVDKPLSVAAGERASAAKSDQLASPARSDRSSDSEGRPVREKLK ETRIDAQGTADQAPSSDQAMADAAPNGQVGEASTSGSDNERGRLRRKRSREDFEDVAE DAKPLGKKHERHTRKKSRDITSPMGSDTELLKKKANGTIAPIAENDGDVNAPSTATST SRQATPEGVASDKDGATVTSPKNKRKLEQTAVSNDTAAGPSGATSTTTKPEERDTKRP RDRVDSDLVSKVAETTSKIPPGSGFSNTSAASPFATMAPKSAAKPAEPSTKDQPQTSE SAFKSSGFGAFASSTASPFAAAAKTTTPSPFGAATVNKLSSFASKPAAPASTSSGFAG LGGATSSFANASSAGGSAFGGSLGGSAFGSVIGGKPGLSTFGGGGSITGLKEKTAPEF GAAETKAASDSEGDDDAGDDQDGESGGNEAERRTSQHLLSSTGPLETGEENEDAAWTG RAKLYTFVNQDGKKSWQERGVGPLKLNVTREEPYKARFVLRADGTHRLLLNVAVTSKL RFGDASGNEPNDGRLLFAAPTTTGEVESHMLRLKVERAAELWRQVDEIKSSKMYA CC84DRAFT_1150151 MDAILRSLANVNETPATFFGPALQGHDVEFDFTLLFEKIILSIL PSALFLLYAPLRAYWLRSEQKKAKWSRLVMGKQLLYIMLFAAQLSLLVFTATSRGIAG SQSAIVAHTLLVTVVLASSGLSLLEHVRSPRSSDAINVYLFFSTIFDAVQVRTFWIHP LPTTVSVAASVCVAFKSVLLIAEAQNKIAWLMEDCRSLAPETLSGVYARRVFWWLNGL MYRGYRATFRPVDLMAIKEEFGSKRLLAALQSKSDGKSLLIVCLRAFKLDVAFLVVPR TMMLALSYTQPFLFQAIIAHLDKSSEDRDPIVGYGLIAATGLLYLSLAISKTYYQHKT YQLVVKVRGTLVSMLYDRTLESAPGALSDRAPVTLMSVDVDGVTDVVPVYNEIWAGTV EVGIAVYLLQRLLGVVWIVPFGIAFLSSGLAVYLSRQMGPRQAKWNAVTQERVSATST VLGFIKSIKMMGFSKYVIGDIQALRDKEIRTFRVFRRFTVFLNALANLPFYAGPVLTF AIFALLGKGSFTIERAFTSLTLISLLEMSTLKFIASLPQIASAAGCLKRLEKFCSEVH PLVSNPSSAALNLNHNGSMGDSEPRVEPDNNGQRALFSFKDVTLKTGADTSSFSLRNV NLNINRGQLVGITGPAGCGKTTLIKALLNRLPIIEGGYVQRSNESIMYCAQTPWIPSG TVRDAISGQTQSDEMWYSKVIGACSLTEDFKSLSAADQTDVGSQGSNLSGGQKQRVAL ARALFSRQKIFILDDILSGMDAHTTAHICRAVFGAEGLLRELGATVIVASHSLNVLRH MDTLVKFTLDGHIEQLQSSQEIMAETEPSLYPTKLTQSVETDANTNREDTKAVSIVET EEFDTARKIGDWAIYKYYIQSVGWWRIGLLAGSHLLNAALDNFPTLWLKQWSSFAQTP DAPDRTTFYLSIYGVTALLGLMCLLFGIWFIYMVMIPAAGKNMHNTLLGTVIHAKQSF HDTTPTGTTLNRFTQDMQHIDRDVPSATLRCMHATVECLAAFVLLSISASYTAALIPL LLVALYYLQKFYLRTSRQLRLLDIEARAPLFTVFQESVDGLDTIVPLGWCTKWRETLF TTLDASQKPYYLLFCIQRWLILVLGFVVAAMATVLVTFATQVKGLSSAGSVGVGLIAL LNFNDRLNLLIVEWTTLETSLGAIARLKAFSHETASEEDVPDAVVSDQWPSQGSVEFR NVSAKYRADLPPVLNDLSFAIPSGSTCAIVGRTGSGKSTTLSVLLRLVNPSSGSIIID GHPHTSIPLQALRSRIACLPQQPYLLPGSLHRNLDPDSHRTDADLRAALDRVRLWPVF VAAAQSTSPATVLDHPLNPAALSPGQTQLLVLARTLLIRPRPRILILDEVTSSLDDAS EEVMQQLLREEFVGKGCTVIAVAHRLRGVMDFGQVIVLDGGRVSERGIPRVLAGQEGS VFGGMCGEQGITA CC84DRAFT_1219389 MRRSTASPSSTRLDPSIRYYIHRTPGNAIVPLIPADQLPFRLKD YPRHLSHRELSAGGWNFVGETSGIAWPLALCYSSRDAALDSRGKEISELSGDVHGGDA GVAKIEAVTHVPGTGETTGRIAADGDAVLLGEDEGEVKQIAFRSSEAMSIPDKVAARA SCAASVGRTTTRSPSLTESLAAIYAQEARRVGYTKCSPLAAEEKEHCRHWIKTGACKW TTAKEGCRYKHTMPSVEKLAEIGITGVPRWFGERDPNPKAQGVVPCAEEEGGSRTRVG DASQGKAGGMCGVYTTNSVDEPMLMDMDDASGAEDPEVVAETTSAQGLAAKTAETASG ASISSPVQSGVSVDAMRNEASAGHPLPTLPSSGAPPKARLAPTVPDSQVPPLDTSSIP NVAVTHSSLSTPPPNMKTSSPAPATAPRSQSLQPKDPRSTPCSPQTGSPRAVLHTKKD AVSRWKNEEAAERKRMRKGERSSKRKARVSQHRAPDQKKGGRVGRKGVKMEAQGVAVA IQADG CC84DRAFT_1166287 MAGSLPQCVDTVIIGNGPSALILSYILHGHIPYYVGGHHDALLD QRLRRELSLLHLTPDLHDHFLSSIRYSTAALPVNTLLDTLLRPNADTEINPTSCIQWR YEPERAVAHVAIGDTPQPGGQWVDSPVNASANIGTLSYAEQLSLPGYSYAEHMAKSAD AAQCDFVRPSRQQVAAYLEAYPGAVGIADAVYTGMRVDDVYRTAKGFAIGSLGIRCKH LVLASGIFTVNIPPPPLLAPIAQIDAEDVPLLVVGSGFSAADVIISAPPNRKIIHLYQ WAPSTRPSPLRGCHHSAYPEYATVYKQMKLASISSKKTRSARSPLLRRKSNPFSTQRD WASFYEGFPNAQVLHSSISDATGIAQVTLRLESGEEITRQVGGLAYVVGRRGTLDFLS PSLLQELGPFDTPSGTPTLISGRTLRAKAEASLEVAKNVFIIGSLAGDSLIRHAVGGC VFAAGRILGAIPSSYPSSSTSSASTPRSVSPSRVSEATPDSSTTPSPKESPRVTANGH EDLHVDRRKLAQAVEVASMENRIWADSGWWAGGLGWTSSS CC84DRAFT_1166288 MVLKKRFTSLIKRTNSNEEPVADNVDTPEANAARGVRLFCESGA ANSGEEVLHLPTIVESAVASPQAAQAAANQIRKFLSKENYDRPHVQYNAVMLIRILAD NPGASFTKNLDKQFADTVKHLLRNGRDPSVSQILRETLDSIHRDKAYDTNLNTLFAMW AKEKKLMADAAKHVPRNGGGIMQAPRWPDPNLPQGFNSGHRAGGLPAPVELAARIEEA RTSAKLLLQLVQSTPANELLGNDLVKEFAERCTSAQRSVQTYINCDNPPPDDDTMLTL IETNEQLSLAASKHQRAVLQARRAIGASPSPPVPGSTYNNNNYSALPPAPAPATTYSP PPAQQSAPQSEIPILPPLGLESNEPKANNPASYKTDDLPLPPALQAGPGRPRSNSNTA QEAQDDPFADHHTYSAPAGPPPGPTGESAPTNSYTPYRQGYQQTPSYLGRQESAANNI TMHGAAKSNLTEEEEYARDYPAGNRPKTPEEPHQRHGSDVSPITDRGTVTYRY CC84DRAFT_1188632 MQKDAAPEVPAGDVTTRRYHESDAEREFRIQRGFPEFEKDMPSL GDRFKFSLKDNLTSYLGSKNPFYAPVDPQKDYVWLLDNTAYQNRLGRWKAEYVACYFV KNSGKDLSAVVGWVADKVGLAKDEQAEATIEKRIQPLLDQILPAHSVTVDLQGMEVRL GPSGRDGISTDEIAIPGHGYKDGEVITSKAVNADATPINTTFATAKGWAIISDVDDTI KKTLTSSPVGILKTTFVDDPEPIRGMPELYKHLMQKLDTPPVWYLSASPYNLYPFLRQ FRETYFPAGTLVLRDASWMNLAGFLANLTQGTEAYKVDRMEKIYEWFPKRKFICIGDS TQSDPEAYGEMFRKHPKWIGAIYIRKVVDVAETNESEKNKPERFEKAFKDVPREAWHV FEDPSELYAKVDALVAAAK CC84DRAFT_1095450 MATGLLLLIITLQLAIWRTVSPPTCATQVGSSYRDLAPEFPVEV IQWAADASFVPLTASAFLQPRVQAQWETLFPPSANAAFPADGLVYNSTSVTHQLHCVY IMGKIFSSVVTGVAAEDMPEPGDYEAHFLHCVDYMRQAAMCAGDVAIEPRGEREGERG AVSLENAFNGKHVCKAYGSVREYLEQELRAGRRDILPLDD CC84DRAFT_1096179 MSLGKTAKLNTGASIPTLGFGTWQAEPGQVEAAVYEALKAGYKH IDFAKVYGNQKEIANALKRAFSELGIKREDLFLVSKLWNSQHRPEHVAAALDDCLAEL GLDYLDLYLVHFPVAFDERGDVNQNLFPLQDKSDHPDGDVKMLDDISIVDTWKAMTKL PKEKARAVGVSNHTKEHLQALIDATGVTPAANQIERHPRLLQPELIKYCAEKGIHVTE YSAFGNNMIGEPLLVQHDTIKAIAEANKATPAQVILAWAQVGGHSVIPKSVTASRIQE NFKEIELSKEDFEKVEEIGKKSPRRFNIPYIANKPRWPVNIFGEPEEKDAPHKVIV CC84DRAFT_891976 MSKRHNRKRTRSRPRHRDGNKSNSNHIRTLSTDTSSSFTSTTSS VSQPQNTHVPIATTSANHWHQTYAAWQDRRLYYESTREQALEAQRVRFFGGEAGDDNS LLEPMLKVVTDLFDGMTDFEDP CC84DRAFT_1207354 MSVTRSAFVLSFALLTHGQYGPGGQYGPDGSNEGYSSAFNGGGS SSSNNGGFISGNGILGGNTALIAHGVLASFAFVILFPTGSILIRLASFKGVWLVHGLF QIFAYLVYIAAFALGVWMTQQAPAQANLIGRYHPIIGIVLFVVLFIQPVLGLIHHFRY KKVGRRTFWSYGHLWLGRLLIPLGMINGGLGLLLATETGYGRPTTGQIIPYGVVAGIT SLLWLKAAIVGERRRTKAQEVPVGVYKEQYA CC84DRAFT_1219396 MPSLPWSKSNRSHSSVETSSGHPSPVPSGPGSTLAVNETGARYP PSEQLAQQQQPAQIPAGVPQPPQFRQQQQPFPQRATSHRHSSGIALDQQTLQQLQGGP QPTQGYDQQPRRGSYQPQSSYTPEPKKQGLRSRLGLTSHKDEDRSKSSKVGRRQSVRK SDPRELEFRQREEEHRLRAAQWNQRHGQHGGSSSHLAPSDEHDEDDLDPFLQQEDEQP RVPPKDAQFQHPQQYSPNPHQEQYNRPPLARVSTEGSYHAQGGVDHYSPEHQGLQPQQ HPPSYQNYQQPPGQRPSNPNDYQAYPPPQNAPSPLVGGAQVPPPPQQTYYQYQAQQAA QLAQQQSPQGPPEPQVQNPRYSQQSQPSPQQPQPHQGQDFQQVQHGRSPSQAQGEPNA PPPSQTVPQIVQGGQPIDGQHSQQLRPPSSHASLAPPSPLQSQPPTFQAYDQQGQPSP STDLHSQSVTPPQQDNMAPANSGQRNTLRKVNDGGQQQAPPSRESSLLQQSSTQGQAQ GQPPVSPGIQTFGANVVPTASQGQPYRGEKGQTSQSGEMGRATPPPRTTTDMSDDEIA QLVKEHDVLREKYQKVKRYFFEQQTQVHQLQNTLANQRLSLSRTSWDDSEYGTRFNRL DGLIAQLSFAIRKDWKAIPPWLQPVVNKTAVETGKQEMTAVGRAFISQWLVENIFDKY FHPDLETGLSTQLKTIQLNIRKYAATCHTTEDEDALNAKVINWRLATLEGLADQLKAA QSGPNRATLTETLNEKLIASIQMYLNDPAPPDLNGGVPMIVELAISVAQHLPLESREV QIDYFYPGQALVSEFMKMESGIPALTDPIREIDETDRASLRSVASKLDDNASIGEPEQ SSQQPSKEPADKKRSMFGFGGSKKPSQNQATLGKSLGHQPNPSQQSLSQSTKDDAPQP RVRLSVGVAVQIRGKSILIKAPVYST CC84DRAFT_1219397 METADVSPQYKATSLSAPSPTASHIACLTGARLQIRSLATFELV RNIPLPQSHDVRRSQIAWSPPSVSPSGAATPPRRSSVLPQRSHRILVADDDTTRVFDL RDDKWNAIISNGSGGMGKNVTVEFGRDEDEVLVWSDFASRVMVWCLKTGRTVDIRDPK FSGKDSRGWGYRPCSEEKGVSGRGSVLALLCRSPGVDMLMLLAPKTYDVIKRVELATQ DAQGVRWSSDGRWLAVWDAASVGYNLCIYTADGHLYRTISREIPDELSEWGIEGLGIK TLEWVPGNDWLAVGGWDRRVRILSTKTFSPVVYLDHTAQICVPRASVYTEQVDARGFR SFELAQQPVTPPKAPVEKNDTGLMKQGISTMAFNKDGTLCATRDDSTPTTVWIWDLRS LQPMTILILHSPIKALQWHPHYPSHLLIQSVHDSPTLYLNTAQPLSGSTSSSDLTTVP PTILSLADNMTKPAGSAPVRWTTRWLNTEPDRKPAMVVGHQQGYILVWPEGKDQILRF EGQDDDESDDSLYDILTGRTPVPPLRDSARRISHGSEDAGEDVYDIGAQSVQGLDSTS SFDDTFREKREARSRGRSVFDESGLDEMF CC84DRAFT_1150174 MSNNEETQHVPTTNKDEEEEGSKGSGGLLAPIGDPIGKALETGL RPIGAPLEKGITGPLGKALGGSTRGVLGPLLGEKEERSEVLGGNNVDSYSKKESLGGK EQNGQNPLGLDQTGRWGFQDDEKK CC84DRAFT_1261176 MSTPGSYASPGSAASPTSSVASSAPSPYVAVDGSDLTFPSECPE NGKVIFPTRDMYSTITGARYYSRIHAQLPFQLWPMLIDIISFYPGLSDTFLTAHRNII LIYDMDLSAHERHYQTVLQMLQSQKIGWDVNRCVYARATPGEVGFAFARGGGRRTPGL MMVDIGRGGG CC84DRAFT_1207357 MPTNYNTGYWNPEWDPIGKPEPRDTTFTLTVYTPPRPPPKYLPA FLTREPLDTKPFHVIRAKSPTPDYTHFERRDLSDMPTKIVKTKAYRVPAALRCKHLRE STVCNEGCYVLEKGGKEVRRFRCLREECEGHVYCGNVKEDDEGVKCFGKKGERLVCIE GLP CC84DRAFT_1053526 NQTVLQHEFAQSWVGASPVRSTWEILYACLAALVTSFSSFVQLN PPAYQERWQSVFLRKAKWVIITILIPEAAVLMAWLQWRCAVTLQ CC84DRAFT_1261178 MHNTIDRMTLTPVAIRTLAELGVFVDVHTTQITDLQRVDVLGRT VAVWQLTWLLITVLGRRVQNLPISLLELNTAVNVAFAMCLPLMWWRNPNNISHPLTVL EDKPSRSIVAMMLMATSMTKNIVFELGHESVDPRGMIELDYLCLAGDDSPLSNELVQK TADPVIASVYSPVFGVSPISVDQWDPHLLFAPCRKLFTSGAQIALSAKDLRRWSMASL ALRSPTRVGITLPTQGSNVQETAGRFRHCLVDRARNLEFDSHYRDNVDGRVFVYMAVV LVLHGALHLTAWNWVFPTPTEKLFWRVSCFGLIGGFVIVVPVGRFTEYIYGRGLAWQT EKTGFLNQGVVVLLRIIRQITRISAVLLGGFLAACSIYLFLASFLALRRSPSGVYARL EWSSYLPVLS CC84DRAFT_1207359 MAPSTDVQIAPLSDRDIPETFRMMSLSFGTDAPFINAYFLAHTT PAGQASGAERLLAWKHSAPNSQFLRAVAASEDGGEVVIGIGIWTYMTEKPPQTLDEAE GEEGVSKYWPEDGAREWMRALWREYVKPRTAAVVGAEGKGVYAVHPEYRRLGAGAALV NAGLKAAEEKGVGAIIESTDAGRPLYEKCGLKCEIEKMVFDVGEQFSGKKTPKLCFMV KEAAAAS CC84DRAFT_1207360 MASTHGHSHQNGADGRNACCEPQAKKNQQHVGNHKPYTYAKIAR KRGAIRILKLYPSNPQNPDVECELIESGTDGIEKYEALSWCWGKEQKENFINIRQKNK IYVKKVQPNLFEALKALRYRTKDRYLWVDAVCIDQENLEEKNHQVEMMFEIYGKASKV CIWLGTANDSSCLALRFIENEVLHLKDFDRLCEQRDASEKWEALLELMQRPWFSRRWV VQEIALAQEATVYCGEHSIGWRDFAVAVELFVEVETATHRLSEVMRKDSRDKHVPGLF EYVSALGASLLVDATDRLFRDFKQEHQISEEKDKHEDEDVDSDSDNDEDVANLTPNGS ENKPEKSTVVAKNQMRPLLSLEYLVSSLTIFDTTMPHDTIYALLAIAKDTTPQAASRH TDDHRSTDYVRQGLEVFTQRKRYNVNYDLPYVDVCREFIEFAIARSMQVDPSRALDVI CRPWATNQKMLNATKVQQEKEHQRKISDMKKERVESQRRPIHRGSSFGSRRDSAQPQD QGEESKKLQGEIESYEAKKKEDMELPSWVPQLSGAPFGMYQQAGITGLKMSRKNADPL VGLPSTTHKIYAAAETKKVDTKTLKFRKRSDPELNHYSMYVRGFELDSIERVEQASLN GQIPREWAELAGWEDAKGKPPEAFWRTLVADRGKDGKNPPVYYSKACAESFNKGGYQS GAVNTADLIYWERNSVVSQFCRRVQAVIWNRALVKTRKGRFGLVDKDVKEGDLVCIFY GLSVPVVLRKSSMKEPSVYQKELEWEANFLVNMVYSCWKDVKARRHQHELRKRAEMAT LIRQWLKKSKWFRNNILTLQGPVDPESDQDLIRDALKAFDDFRVENRKKAWPPIATRL EKERRATLARKQGSAESSKVNGHSKEVETTQPSRASTGISTGRPAQSTSAKEEDYGFV HETLKDGTNTRKRLVDWWEFEYQLKAFRRWKEIIKERKERRVEEWRDIMNMVEQMKKK NDFERFCVWRQRNGWHEYTDNEKCFTDDEQSYAIDYQKQTQAGSLGSSRVSYTIQKDS QTRYMQTVVTVELLEKMLAQKKLEQAHLYQEGNGDISGPSYAGTAGLHDTGDGHTISG AQELFDSDDAMASSVATLLPSRVDTSAATFETNPRLPVRPSWIKPPQREAMQKAREKS KERDRNHNEIKKLQKRVKTTFFSQEEPKKKERTPEDIRNQEKAMQDLKEALKERYGDD GWYSYKMLGECYIHGMMDGEAMLLQNEGDPTDKEHTGHIPSVVFEIR CC84DRAFT_1166295 MSEPSDINARPAKRARLDEPSAEALPKAPIVSDTPTSTNEHDLE REARAGITEYVCPDNLGFTGVLKQRYTDFLVNEIGLDGEVLHLRKAGVEGKPEQKEEE EVVTAKESANGNPKRKIEALEESGPVAKLKLEESVVQETTVGSGMGAVQENGVAPPAE TENGPANNAPTSKEAVEPPKEDETFVVQEADLADLHAIFGEDTTKDIVALVRAIRRKE DRKAKDFRAVIAPPITDKDVRTRAHQSLRRIFPNLLESSMEADQSLRIKAVPPKERKG KNKKERGDRGNNRENGPDRRKGQLAWEELGGEYLHFTLYKENKDTMEVIGFLGSKLGG GKNPFSFAGTKDRRACTVQRVCVKRQTAERINHFNKMLFSAAVGDYSYEKRDLGLGDL KGNEFVITLRDCHFQNEEGLDLEQRVKLANDIVSKAITDFSKEGFINYYGLQRFGSFA ASTDTVGLKMLQNNLKGAVEDLLAYSDTALAAAEGRPEDPSIMISQDDRNRAKALHIW KTTGKGGPALEILPYKFKAERSIVQHLSSRNSKSGRYDRTSDWQGALQIIPRQLRLMY VHAYQSLVWNTVAGKRWSTYGSKVVEGDLVLVHEHKDKEANAEVATKDSIDQDGEIVI NPAGDDSALAADDQFERARALTAEEAASGQYTIFDVVLPQPGFDVEYPRNEIAKFYEE FMGSERGGGLDPHNMRRPWREVSLSGGYRKFLARPLKPLEYSVHSYFRPEEQFVETDL QKVRKEEGKEEVQNGEDVEMADEAANKIAVVLKMQLASSQYATMALRELMKAGGVRAF KPEFMGGR CC84DRAFT_1095418 MVKTPDIKCQCHAGSEGARWERETHAGHQKLETRRFGNTPAHWR EPTLASFRQSFKPTFTSSRLTLAITMVAFSATALFLTLRVLLSATPSSAAPVAQADTP ATGAASGYWLPELASKGQGKAAFNEAPDTYLVYRNVMDFGAKGDGSTDDTAAINKAIA SLTKTSSDSEVRCGEGCDSTTITPAVVYFPPGTYMISKPIIQYYYTQFIGDAKQLPTL KATAAFEGMGLIDADPYIEGGNGANWFTNQNNFYRSIRNFIIDISAAKAAAGVHWQVS QATSIQNVVFNMADASTPEGQAQKGIFQDNGSGGFMTDLVFNGGAIGAFLGSQQFTTR NMTFNKCGTGIYMNWNWLWTMKSNTFNGCKVGLDMANAPNNQTVGSVLLLDSKYISTP IGVNTSFSETSIPVGGGTLIIDNVDFSGTETAVQDFQGKKLLAGGSIVKTWAQGNALA SGGTQGRVQGNVQNGPTKPQSLLGKDGGLFERSKPLYADVAAGQFVSLKTAGAKGDGK TDDTKAVQAAIDGLTDGQVLWIDHGAYILSDTIVIPAEKNIKIVGETYPLLMATGANF EDMENPKPLFQVGKQTGDKGAFEMSDSIITTKGPAPGAILMEWNINAENGAAGLWDVH FRVGGFQGTELQSDTCSKQNGTTHDADPKCIGSFMQLHITKNSNGYFENVWLWTADHE LDLKDHNQIDIYNGRGMLVESQGPVWLYGTASEHSVLSQYHFQGAKDIYLGAIQTETP YYQPNPNALQPFKKNDKYFDPDMSAKSDKTAWAVRIIESDNIWVYGAGTYSFFQDYSQ DCVAANNCQEHINEIDAKSTNINVFGLSSKASVNMITQDGKGLALDSDNRSNFCATLG IFAQA CC84DRAFT_1178074 MAAGGRARARSGSEECTTLDTCTRRSRLAGESVDSVPSHFAGVK QAEAVDQSLAKGAAGATAESRALKQQGVSATESLARMHRLTGFAGDNPATSASAPGAG CPARGHGKATGRQHIDTRRQSSNSVALKSHPRSAVPPDNSRRQMSPARREAVTNKGPP PSPRSAVALPASPSGIFELALCDCSTTASPRGRALIDIGQTHTAVDRVRSGASRASPR REGACSL CC84DRAFT_1261183 MAALNFSEVVGSATSSKKLINLLRGWPNASLLPTRLIEKGSRSV LQDPSIAFPALLYAPDNGDLKLRQNMALWLTNFYRPAAPISDERIVVTGGASQNLANL LQVFTDPVYTRNVWIVAPAYMLAFRIFDDSGLKLRAVPEDEQGIDIEYLRSEIKKRED EAQAQSDSSEPIKPHRPWSKFYRHVIYAVPTFSNPSFKTMTLKRREQLVRLAREYDAL IITDDVYDFLQWPASLTAKNLSLDKAILPRIVDVDRYHDGGAEREGADGFGNSVSNGS FSKIAGPGLRTGWCEGTSKLAFGVSQTGSSRSGGAPSQIAATFLAEMLASGDLQRHVY EVLQPSYGRRYQKMVTAIEKELIPLGARLPQTGRDVVGGYFIWLTLPTGIESSVVVQR AKEEENVVVAQGEIFEVPGDTKHAGTHFENDIRMCFAWEDEAVLAEGIARLARVIRTL QREQQDGGAQSAQSSMQHATEASAKDFW CC84DRAFT_894284 MLSAPKKNAGRRLSSLFSLGSTDSKDIKEPKESGSAASSDSGSR LSKVKKRITSHSLTPDYPPPSHSPRNVSDSTPVTIQTVESVAAAFAPLEPPPPLTSSN PNSRSSSPSRLSKSRPGTPGTPTAGLLTHATDAEVKKSRRRSRLFGYGESSDERVDAS HRHLDGPLAWVVGHKGKVEYSLTMLLNGERVPELWDDNGDTLVYLFPRTSDKGPSFRI DSSVYASSQYMTKLLHGQLYSETAELPDRSVRNSSATYGYPSRTGSPDASVIDSSEGS KGSRALSDATEDDHSEKHLYMPIALSTDQAPVTPTNSEPKLATKDIDILVSYRNFFAF LIGQSLVATKRHSEIFDIFLRISDILQHYNFSNVDGSTYGEVAASSFNAYVDELYMAD VRQSRVKTIEAIVLGEKMRSMSLYTEGFVHAVGKFDSIKELNDPKYEMISPKTGTRLA RAALDLDNREKSINNKLKEFEFPAMFAGIMNSAMADEKKAVRFGKWKSAFMSTRSFAL DYYKTKYGGWLPKARSKKNNLTTSGLNRLVLREIYHDLSDLYDLLVDRTNLTNRTADG FMSEDERTDFESIAALAMRKVLSEYDRSTPPVQPPIPFDIPLYPTLREVNRDYPSGDR KKDAKARGKKLSKDQIARMVKAAHNADAEKNTAFLDAFRHFEQKQASGCTMEELYELR AGQWLFLYAVIQSLPMLVIDAPGVRFTDGVEYFLCEVPRAGTPWGREDTSRARTWFNV AGGSQVVSLPTDVVENGVEGVFRRSHCWQMASKWAQNDTMLNAAMHEMNAAPLPPPPG FLDASAAIPRPRPESQHSDRRRESVMNLGLEALPLPPSFAPGGAAPSPGSNSPMLRPV SMHDPNKTFDSILESVPDKKGKKDKKKK CC84DRAFT_1166300 MTSSLPGNRDLPASQYDLSTYWGRVRHCADVSDPRTLLTSSAGL DNAKQLVTAYKTGKMQDMTPELWKAKKIIDSTIHPDTGEPVVLPFRMSAFVLSNLVVT GGMLTPGLGTAGTLGWQVVNQSLNVAINYSNANKSTPLPTSAIVKSYFIAVGASCGVA LGLNSAVPRLKRLSPNAKLIAGRLVPFAAVACAGVLNVFLMRGEEIRKGIDVYPVLTD EERQRVDRGELKVEALGKSKKAATLAVGETAISRVLNATPIMVLPPLILVRLQKTEWL KQRPRMTTPVNLGLIFATSIFALPLALAAFPQRQAVSAKSLEDEFHERGGKDGLVEFN RGI CC84DRAFT_1124037 MAPPGRRRHNLSPAAVFLGLVFLFSSTASAASAVLGIDLGTEYL KAALVKPGIPLEIVLTKDSKRKETSAVAFKPLKSGALPAGEFPERFYGSDAVALAARF PGDVYPNLKQLLGVSSEGDNVKVYNSRYPALNVEGLEGRKTVALRSGVFAAEEKPFSV EELLAMELKNVRDNAKVLAGSDVSDAVFTVPPFYTVEERRALEVSARLAGLNVLNVVS DGLAVGINYAMGRTFPVVNEGGKPEVNLVYDMGAGSASATVIKFQGRSVKDVGRYNKT VQEVQVLGAGWDKTLGGDALNSLIIEDMISSFVELPGAKSASITAEKVKAHGRTAAKL WKESERVRQVLSANTETQSFFESFFEDVDFRYKISRSKLEELATDYASRVEGPITRAL EVAGLELSDIDAVIVHGGATRTPFVQARLEALVGKSKIKANVNSDEAAVFGAAFKAAG LSPSFRVKEIRDTDTQGFTHGIQYMFNLKERDQKIFSPSTKIGATKDLPFQMMGEFEF TLYQATPGPNGETVKQPTLHFASGNLTRAVTELIDKESCDRDSFNNYVQVRLSPITGE PEVTSAWVTCETEVKTGIVDGVKNFFGMGDKKDQEPLKDGEDASESASSSKSSSKSSK KSKSSKTSSGSASSTSGAADAAASDAVPKKKTVRSAITFTVKQQGYEKHPRKEFKRMQ DRLTAFDDSDKARRNREEVLNALEAFTYKSRDYLEDESFIAVSTETVRSTLEEKLSAA SEWIYAEGQDADHKTLKSKLKELEDIVKPILKRKTDSLERPEAIKKFEANLADVKTAI DLVKAQINDQEAALSKSAEAASKASASATESLTASASADSLDDLEEDPHASASESAAA TEPTEVPIIYTEEDLTFVQGTYENASKWLEEKQAAQKKLKDSDDPAVSVKDINAEGEK LSNAVIQIMMKKARIYNAPKPKKPAAKKPKKDTKKSKKAKKDGKSPSQEELEEALEKA GLKKDSIKFANFDHPEEILDKDGKLKTKLDLPEGASEEEINEAIKKVMDNVQSKKAEE DAEKEKGAKVTGGVHDEL CC84DRAFT_1166302 MVGPIVDLDAPLERDTIVEVRHGKMKPMPGLTIESDIDKSIVDG PIWVSKTGIDGDEHDMTFHGGPTKAVHAYCSAHYTSWQTSHPSTAPRFTPGAFGENLV FVHLNERNVCIGDIFAVGPSAPSSTSATLTLQVSQPRQPCFKLNHRFGLKNFAPETTR LSRTGWYFRVLEEGWVENGMEIRLVDRKYAEWTLERLQGVLYRGAEGAAEMQFLLGVE ELTDEIKGVVRRRLTKLEGATKKKEVLWTDWKVVDKVQQTRRITSFSFAAVHDKVDAT PEAGSHVKLRLPNGLVRAYSIVHGSRGRFALGIARDENSRGGSKYLHEHVSVGDILQI GSITPGIKPNAMASNHVFIVAGIGITAFLWLVEEMVGVNWNVQVHYAVRSAEEMPFRT QLEKLGSRAVVYDKSKGERMDVESVIKGMPWNSQVYVCGPRRLMDDAVKAAQDTGLGE KDVHFEAFGADVGGDPFEVVIRDKEDRTVLVTEEETLLEVLQREFGDVVGSSCEVGNC GTCKVKLRCGKVDHRGTALSAEEKKSEMLSCVSRGVGKIEIEIGE CC84DRAFT_1124045 MSIPSRSKFLSTSFKEFVNRHALAYPQKALTVQLHNAEERANMS SSARGFPKTSDAPGEQFEPRQTATSEDYAPDPPKSLQLSPARQRLVDDIIALYSCQPI VERVKRYTPDSVYDDQFVYANDRYNMAGQWFALPKLFKSSINESYQIIRSDDEMIQFK NKQSWTFRLIPKTATITGLVSLSLDPATKDSDFMQIKYHKDQANDKDYSHEGLGFTFK KWQAGNVVKHMDAPELKEFEQDKNAAKEHVRKYGTGKEEGAAPKTLRISSLKYPSLGK YRRL CC84DRAFT_1219412 MRLLTFLAVAIVAPIVGLAAPASEAPSYARGENLCSQYKSPPKL CTPDPTVTVEETAKRAYQFYKAFVIDGDARTMFSLIDNSYIQHHPGYANGPQAIWSLF CNGKPVGSASGSSWCFDASTNMSYAQYSTTDRWRWVDGCVHEHWDSGEKIPKDKCYKL NTTSIY CC84DRAFT_1124048 MWNPWRENLKDTPKESLNWRLWFGVFVFGLMGAARGIDEGLIGT TAELDPFIQKFGLDDPNKTKHQKAELLSNITSMVQMGSILGALVAFLVTDKIGRLWAT RQLCAIWVIGITIFLASASTGSLGMVYAGRFIAGVGIGQTTVVAPTYLAETAPRAIRG LCVTAFSGAVYLGIMLAYFASWGSSIHISSKTDAQWLVPNSMHLMFAGIIFTLSFFAK ESPRWLIKVGRHEEALQNLMQLRNLPADHPYILAEVFDINDQLNREREATKGTTWLGP VRELFSSKANLYRIQLSVFSQLLGQWSGANSITIYAPQYFAMMGTTGQNEKLFATAIF GLVKLISSLLCAFVLIDYIGRKRALSSGITLQLIAMLYMAIFLFIDKSVAVKGAPQSA SMKSAAKGAIFFIYVSGFGWALGWNSIQYLINSEIYPLRLRALGGSFAMTFHFVNQYG NSKAVPLMFIAMTHGGTMLFFSIVTAIGLAWVWFFLPETTGKSLEAMDELFNLPWYLI GRKGAQMTKGTGGMSEVLDTEGEKAVGVQMEEVPGASRNRSVDQRV CC84DRAFT_894954 MSAQDAQQALSPPTTLYRMYHSPPVQTATQPAHVHEHTQNAYHD PVRIQGSMGDLSSTVKGIMIGIFSVLGAAGFVLIVAAIVYYFRYTQQGRIFLDRLSRP GEYDDEQQFAKEEAEALEDMDDIQRTEYLRAKAFIQANPPESVQTDISLSQFLAIQEK GVSAWEFEPELEIANCFVEGRTEIEFFDSECSVQSNLPIPKQNEVYYWEAKIYDKPDT TNLAIGVTTKPYPLFRLPGFHKYSIAYTSHGSRRHNQPFTPTSYGPAYVQGDVIGVGY RPRTGTIFFTRNGKKLDDVAHGLKTQNFFPTVGANGPCQVHVNFGQMGFVFIEANVKK WGLAPMTGSLAPPPPYGSEQGSILLDSGREGVREGMAGNYISAGFGHGRTSSQQMRLG RHQPTSPGPQRSPTDISLAQLSLVDSNEEYDVGEGTSAEAARHEAVHGLGFLQPGDLP PEYTSPVGSPNLSSVASHADSYWEERTPLIQASQPQPARQASPPIPTYDAAVRDSPAP EPPQVRVRSATETSRTRRGRNQSRS CC84DRAFT_1095123 MEPAPEKDPDAGSITITWDGPDDPTNPFNFSQTKKWRITLLACF MTFVIQINGTAMTSAAEQINESFHISDESFPHSYWPVFSWTLSGAAAPMLGLPLMERF GVRWSYMMIYATLIAFTIPQAVAQNFATLIVTRIFTGGCSATLANITGGILSDIWRDG RAKSFGTSLFIWGLLAGLNTGPIIGSAIVHYTTWRWIFYSQIAFYSAMFPIIFFSLPE VRPDVILTRRARHLRKTKDLNVYADAEKHHTSLGEILRETLIRPTRMLCTEAVVFSFG MWSAFCIGTAYMFTQSIVQVYAELYAWDYYPTGLVQSALVIGEIIGLVAQLGQDELYF RSARSNKEKPGTPIPESRLYLSIPASFLGLAGGLFYFAWTSYPSIPWIVPTIALALVG FGMFISITAVTTYILDAYAKYAASALAGCAFLENILAAFLPLATQSMYRTLEFHWASS LLGFLALGLSCVPVVLFVFGQNIREKSPFMGESVYVHEECGGGEA CC84DRAFT_1261193 MTEANGTTELPKTCKAGVVVNEGPDFTVAVEDVPVPTPGPNDLL IKLNATGICYSDIHYMLNDLPMGSMSSYGVRSPGHEGAGVVVALGSNVTTWKVGDRAG IKPVYDCCFACELCWSGRESYCDAAPQIGLNFPGSYQQYVLSPARYTQRIPDGVDDFV AGPIMCSGSTIYCSLKEAGLRAGSWAVFPGAGGGVGHMGVQIAKAMGMRVVGIDGGEE KRELCMKLGCEAFVDFTKVEDVAAEVVRICDGKGAHGVFVTASSAPAYASAPGMLRIS GKIMCIGIPPIGTAFAGADPLLLIGKNLTFSGTKVGSLLDTARALEFAARGQLKPIYE VFPVAKLPEAVAKLRSGKVAGRLVVDFNA CC84DRAFT_895050 MAPAPQLAWIGLGNMGRGMCKNLIEKGNLDKPLILYNRTKRRSD DLAARLGADKTKVVDTINDAVKSADIIFMCLGDDAAVNSAVDAILQEDVKGKVVVDAS TVHPDTTNALEKRITAKGAEFVGMPVFGAPAMADAGSLICVLAGAGTSVHKVKPFTKG VMGRADIDYSDQPAGNATLLKVIGNTFILNMNEVLSEGHVLAEKSGLGTQNLHAWIEA MFPGPYAAYSSRMLAGDYYQRDEPLFHVDLARKDARHAMAIAEKAGASVPALKVADAH LAQVKEHLGDKGDIPSIYGAVRKESGLKFENKN CC84DRAFT_895055 MFSCRAQTRGSLWKTARLPRDNSCACGGTRTAHPFSTTTRIQTE PGRHGRKSEAKERQRAERDQLTRLLKESPGKRDARNFLKHFDQWQPTPKSTPANPEAP QAATEAEKKAHHDEWRLGRTGVNLGNLYEPTKAVQNNPVFTREELPDKLPHDKDLEPL HLALVKLRQPQALDDETLGGIALTLSQMAKLGLNIAVVVDCEGNDGPVSLDLDPTWES TVREQTTRVVDALEEFNAPGAFAIGHALAYTDQVASSAGAGLQGYGDVEVKNSQLLFP PIEDGIIPVIPPLAYDSQLKKIRVSPDDVLLALTREFAGLTPNVDTSGSVVPESAGKT QDEEPRRKPVLDRIIILEPLGGIPAEHRADGAHVFINLEAEYRDVRKELERPSLIAES TENPSISSFGSSNPLSKFVETEIASMPGTETKHVSTDSASSRHVKNLDVVHRALRLLP PSSSAIIITPAEAAISSQAALQKSSSAGVRTRRSKNPLIHNLLTDKPMTSSSLPDPLV TRPLASADPNPATFLKKGIPVTILPDPRVYPWQPPSPSNPSIELEKDPRINFPKLVDL IEDSFRRKLDARDYLERIRGRIAGVIIAGDYEGGAICTWEAPGSLSSAPPPPDSPLWV PYLDKFAVLTKSQGSGGVADIVWGALTRTAFPQGVCWRSRTTNPVNKWYFERAVGMWD LPDGYWTMFWTTEGVVEEAAKAMKAKQGGLTRWDAYVDVCSGVVPSWADAKKPD CC84DRAFT_1166311 MTKAKPHSRSGSATVPVIQKELPVRIMTEAMLPSGGLALSQHIA QETSSVASTPGVATPASEASVAGGYFAQFEGFTPQVDAGFLNQFNKLAIHENWSKREK ARRRDEAIEAEFTHYFGTDYHDVAKWQELCGLVGLQAEGLSSITKCKKSLSARKVMVN LVNLVDHLKNGTPLKKFKNFWEFQQYTLKPGRCMNRSLAKKNAFVNVLLRHVA CC84DRAFT_895103 MLQYSPLSGYALQLSSMAALDPKIRQQQLTYIAQLGADSDFTLD TDAATTAEFTRLAVDYLGWVKGGEQWCRHWRKCFQEDYRCNCCSGNLSGSHRPKSPPV AALKRSKLSRPSLLEQISSERTRV CC84DRAFT_1219422 MYLWNSHALAAVLLMSAPHAIVSLSCTQFSPSPVPIPQLPDYFS PHHYLDATTLFHTEAIRQTLTLYPYIIDGRAFPSLSSIFATDAVANYSAPLGVLNGLS TIAATLEQALASFPATQHLLGPQTVRICDSKKAISVTYYRAVHLLPQNGTVGPGNILG GDSVLYAYGQYQDTWEKRDGLWKIVYRNLVYMAPLVTGIN CC84DRAFT_1219423 MAPRFASVLAVALAGCQLAIAQNPDDTAEVHPKLTTYKCTKAGG CVAQDTSVVIDWNYHWFHTADWLSCTTASGAINTTLCPDEATCAKNCFVQGDTNYTAN GVTTSGDTLTMYQYTKNSDGAYQNASPRLYLLGSDGNYEMLQLNGQELTFTVDLSQLP CGENGALYLGEMDKTGGRSEYNQGGANYGGGYCDAQCPVQNWRNGTVNTSGAGYCCNE MDILEANSKAIAFTPHPCTDSDCDRNGCGFNPYSQGYHNYYGPGGTVDTTKPFTVVTQ FNTNDGTASGTLTSITRKYLQNGVVVASANTGGDTLTSEMCNSLDSTAAAFGGLATSG KALARGMVLTFAIWNDATGYMNWLDTGSNGPCSTTEGNPSLIIQNNPTTHVVFSGIRW GDIGSTFSSGSGSGTTTSKAGTTLTTSKASTATASTKATTTTKASTTSSAAGPAQTHY GQCGGKGWTGPTACVSPYKCVVSNDYYSQCL CC84DRAFT_1150232 MPSSTMWTTVFQTTAMYAACQILTHHASENIFQDVWENPAVMNL TAITKNVTVASNTTNTSNAVASKSAFLPTGFSYEKDGLPIDHATADRLIEDAIKDMGK GSDEPSELSIRVTLENGTVLNKTLSIPKVQKEPEEQKEPEEQKEPEEQKEPFYTKELP LEVVAYLFMCTLQYCWLLALERALPARSRRRDVVVKEKDEMDEDREEEVVKKWIAQGR VRRASLNWCNTLLKWILGLTVGRVWYYAVLHVVRRSLRLGSPRKTLENMLEVVAINYV GSLVYITPLAELIAFVAVPAYKQILFVAGADLACHVFLVTVVGKLAGWAVQTDFAQSM IRNMTEGVVQGRERDEAYRMFKNEL CC84DRAFT_1095237 MFFKRRSRANSYIENDGPQRSQSYDDYEKIRPESSHTQQQQQQQ QQVSSPTEQDMYPRPQQPQEPQYSRGLSNGVNSMSLGQPTPPLSSGLKPEPMPDLLTQ AFNQAVRPYTEKIEQLESQLADMQAWVEQLEQQRAEVHSWIDKRGLRPDVPPSIAKIM DTTTADGAVTLNAQLDRKITIVNFDLHRLQDDLNDSISSAHFASAMLKFLPDIQRLAL LPSGPRHAFDLVLKLGGNLNSHGGLDSGDEDDLAARRDFYGRLDAAMVDVVSRRFGEG EEWNVQREIKRIEKTAQYLSTYGIKPYFPQTLDVMRRETEQRGYQSANGAQSGSPPRY H CC84DRAFT_1150237 MAQVGRYRFLTIAVIFHLIYIYSIFSIYFVSPVVHGMREHRLEN KEAPAKRLVLFVGDGLRADKAFQSFPDPDPANRGSEDAKVPRPLAPFLRSRVLDHGTF GVSHTRVPTESRPGHVALIAGLYEDVSAVTTGWKLNPVHFDSVFNRSRHTWSWGSPDI LPMFEQGAVPGRVYADTYDAEAEDNSKDAWVLDTWVFDKVKALFAEAKSNATLDAELR QDKNVFFLHLLGLDTTGHSHRPFSWQYLHNIQIVDQGVREMTELIEGFYDDGKTAFVF TADHGMSDWGSHGDGHPDNTRTPLIVWGSGIPAPVVNKSGVARGHEDGFSSDWGLDHI ERHDVAQADVAALMAYLAGLAFPTNSVGELPLSYLNTDLKTKAEALLVNAQEILEMYH VKEGLKRSHELRYKPFPGLGDETHSVEYRVSQIRKAIDKGEAEYAIRQSQELIQLGLQ GLRYLQTYDWLFLRTLITFGYLGWIAFALTTVIDLHVLHGNSKTIRTVSSKVTFSSIL VLLYSVLFKQQSPWIYYAYAFFPVLFWEEVWARLPAIKQGGKVLFAGVSSPADIVSLS FSTLGFFGLLVALGESYFTREIYTACYLLASSWPMFYGETFMKKNYGAIFSWIVSCML MSTFTLLPANKAESLSNIMCGGALMLTVGVLYMAVKALNDISSSNLERADSDGGIVPT VIYGAQTGLVALAMIVTRSSVITLRAREGLGSGNLYVGWFTLLASLALPSAYPRHANR HYLQRLIVIFLQFAPTFIILTISYEGLFYFSFCLCLFSWMRLEHKVHLHTSSSSDKAA VTANPLKPAVTAATTRLTALKKGEYRSLTLADARIALFFFFFIQSAFFSASNIASVSS FSLDAVYRLVPIFDPFSQGALLILKLMVPFAALSAAFGLLNRRLGVAPSALFMVVMAV SDVMTLSFFYKVKDEGSWLDIGTTISHFVIASLLGVFVAGLELVSEGIIGGVEFDDEG TQKHTNGHANGKAGVKHSDENDAIKQT CC84DRAFT_1261201 MDEIAPEYDVLVLGTGLTECVLSGVLSVQGKKVLHIDRNDHYGG EAASLNLDAMYKKYGVTPDKQAQEALSKTRPNDWNIDLVPKLLMANGELTNILISTDV TKYLEFKQIGGSFVQQGKGPKPRVEKVPSNKQEALSSALMGIFEKRRAQKFFGWAVEE YKPDDPATHNGFDISNATMKEVYTKFGLEATTQDFIGHAMALYTTDDYVNAKGRVHET LERIKLYSLSMLRYGAGKSPYIYPLYGLGELPQGFARLSAIYGGTYMLNTDVDEFIEE GGKVVGIKATMKHDDGPGMKFETKAKRILADPSYFPGKVRVTGHLIKAIHILREPAQD TDEAKEFLPFRKNADSMQLIIPQSQVGRKNDIYVAILGAAQNVTPKGFYIAIVSTIAE NDANPHEEVAAGKQVLGKGIEPLVTFMSPSIPLYEPIESGEKDNVFISKSYDATSHFE TTTDDIKNIYRRVEGKALVVDGLREGTFNLEQ CC84DRAFT_1166317 MAIPTSRILRPSLPSAPRDTALSLFASPTQCTRRTPLARFSTSP SLLKGPGRGDNNKNRGVSGVRHTGLRPRQTLSVKNKDYENQKLPTPVQPTSQISGDPD HGLYGFFKDKKLLLTPVEEGRHGRAWTVNELRNRDWETLQQLWWVCVKERNRLATAHY EHRRQGAGHGQTEMEERDETIQETMKAILDTLAERNTAYEEAYKLAKHDPTIDLGRTG HQYQESSYDAEDMYQEDDNLSDPVGVVPKEAAEGQPPQSETISVQPSSVPLPEAAPSK QQPKQPNAL CC84DRAFT_1095100 ARVEKRKANTMAARRYRQKRVDQMNTLESQLKDTQTERDALKVR CARLEGEVETLRALLAAKK CC84DRAFT_1166318 MSFRIHTTTDFRRTYLSGSLKERKEGYSSEFKRHEACLLVGAHS GCATPSTTIPFAMRKPCTTQLLGLACAQRRVASNTHVNDITKLNYRCLIAFVLFANAG SNTRAFGGDEDHELAGAYRARWMLCAPSLPRLVLKDTVGIHQFLCSSSPIQKELIRRA RSDPQAKTCSCDNASTTAKAQLYTPPTTNSYTDPRGQFTSLEELQRAMNRPGLFLLEV V CC84DRAFT_895253 MFQNQQNSARGGPLGGNRLQNGKLGSGSQWAFGGPMGAAPGLPN AQSRANGGGLSSFAQTIGSSQSHTPLNLEEFPSLSGAPQAQQNTSAQQMWSNPTLRTT QQHSTIQRPQGQGTQQGQVGQPANQPLNQAHDEGVSTAQGQFSAGGDDYRFGGQSGVG QLSGSAQPQTGNIDEFPPLGGSADVGPDRRTGMIQNAAAYGGTANANAFPGLGQTRNG LSSPTDSQQDRAINPTLGGRGITAASASRSPFENMRSGGGTPGLTDNNARAGHSLGNI QSMSFMGSTPQNLPPVGQRPQQNQFESAFGSDTVGSPNGQAPHKKLAEMTEAERYTLP GLLSMIPMDSPDYSSLAVGQDLTVLGLDLSRPDNSPLHPTFGSPFVESNAKPVIPPDF KLPAAYTVTNVPPLHTKVTSFSAETLLAIFYQFPRDIMQEIAANELYNRDWRWHTKLQ QWMMKDPDLPAPVRLSPKVERGWYLFFDVTNWRRERREFELDYDHLDQRHGPAMAAV CC84DRAFT_895490 MNRFRRTDPEPMPAPNTIGCFACSKCGTYYTHALPWLTMSLHWS TCARMTARPGAYVSSDPNPMWHSQIDIPPTPTQLFIVGPARDCRACCRIIYLAHPVGT LAGSCAFDAPHCLLTYKARFQACHAAHLDPVSQGAIISELHARPGCDNLQSAPHTNYL PALHAYNMPAYRSTLHGMTRSTHIRDRSRVRDLEYDSWIQAGHAVSPIDELLCSIAGK LCSGPVSHIDSVKKADCDPLPESAFLGIRDDTGTKQRVELYTDDEQVAREYAFIRKVD YTIDRTFFSQHGVDIARIWLRHCLQNHSACGSANTYVSLPTRLVAVGSSTRNPHLYIP VPGEKGSYLALSYCWGEGESLKTTKDTLDQLKSGFVLESLPKTCQDALVVARQLGVQY IWMDRLCIIQGDEEDWVQESSSMCSVYANALLTLAALHSPGCDTGLYTCSGTHPFKAA TAISEVQLSSGRKGTVVASRDYVDTWDLFFIHMGPETDPDPQPSDYLESRLWTLQEIA LSRRVLWFAHAELGWSCKEGTACECYPQPTSIKDPDEVGAHITSNLAPDSKHAKKDWL PIWYRFIEEATQRLVTKDTDRLPAVAGMASAMKHHIGGRYIAGHWEADIEKSLLWEIE ELKFFRHESTARLPPIHQYYAPSWSWASISRPLNHNGALIPDIVEGKMDCKVIGIDFW PSSSNVNGPGLAILTMEAVVLAVSPPKSCNGRFEHKAKPEGRYIELYKGFSREWTPDP RGNHRPIRDKDLSVVLFLRWPDDLEPNEVGFVWGLVLERVNEDEYKDWEAQLPKVQHQ GSEQVESGLDGQKLRDLRVIHTDVIEGNVYRRVGIWEHQFRNQPWEEVVKDYQRQIHI I CC84DRAFT_1150254 MADSLNNDGDTKVPGTVHLVDLSGTMTGRHAESGQRDIVLIPSP SSDPDDPLNWSPHRKALSTVCMCIYTLMVGIASAAIYSVLVPISEETGISLYTLNQGT GYMFLFFGWGCLVWQPLALQYGKRPAYLLSMLATLATQVWAAHTTTNGQWIASKIVQG FAGAPIESLCEISVTDIYFTHERGRYIALYGLLLAGSNFFAPIIAGFIADGQGWRWVL YWCAIFNAVGFVFVFFFMEETNFVRTHSGIAPGTSIISTPTKSEAPITTTVRPRRKTY LDKLKLFQKADLHKPNELKGMMTRPLTFLTFPVIFYAGFSYGSNLVWFNVLNATASLI LNGTYGFSASIVGVCYVSPLIGVAVGAAYTGWLGDAFIVRKARKNNGIMESEHRLWLF VPSLLFIPFGLILWGVGSAHHIHWFGPVFAMGVIAMTNSVGLQVSVAYCIDSYRALSG EAIITVILVRNTMSFAIGYGITPWVEDMGLQNCFITAAFVGLAQVCTVFVMIKYGKRL REMSVSRYKGYVDEMAKSGMAH CC84DRAFT_1197684 MRHTFISSVIGRTTSASAQNIAVSTYAPLPSNASSPPINKSTSY RLETFMEGICMVTDGIYYNIFFVKCCSVIVIDAPPTIGTNLLRAICNITSLPISQVMY SHSHIGHIGGAFFSSSSFGSLKIDTFIGHNETAERFAAVQDYQHQPYPTVTFSDLYTL NVCNQTLQLDYLGLNHEPGNIVTYAPYQKVFTPREYVQDLFNTCLAALTASGQPDDNG TNTLSNEVTLRGVVKANPNNAWREGVVNETIGQWNDALYDMNVYGLSHAQTLSEAVRV DWGIQRPYGVVN CC84DRAFT_1219433 MAQTAPSPPQLTLIYSMQALLGDRFSLGPVPNGQERIVIPIVGG TFKGPRLSGKVLNLGADWRLTDANGAIRPDARYNIQTDDGTNIFVQTIGLPPGKDGRT MLRGQFETATNGTYAWLNDVAAVGVLTRNGTASVTIDMWEATPPAL CC84DRAFT_1197687 MASAPTTSTLINGKPIPAALEGKRILDEYEDFDKTANAWSIRKK WTLLTIVALCQTSMNFNAAIYSNAVEPLNEHFGISYARMGMVAFLVPYAFGCELWAPW SEELGRWIVMQLSLLGVNASILICGLSPTFSGIIAGRVIGGLSSAGGSVTMGMVADMF DSDSQAHAVLWASLWSCLGAVIGGICGGPIQQYLPWRYIFWIQLGFGCVTQAVHYFVA KETRATKMLDKEAQRSRKCKKDEMYGPNEAINWGKKWYQRFDIKEIAETMWRPYRMLF TEPIVLFLSLLSGFADALIFSFFESYGYVFAQWSFTPTQISLALLPLAGSYVAGYLSF FPVVARHDARRRRGEALPPESRLWWLLFQVALLPLGLLGSAFVASGPPLHWSGVLVFS VLIGVANFSIYYATIDYMVASYGEYSASATGGNGFARDFLAGMCALYTGRMYKTLGIR NAQLVLFGLAALFCLPVWVFYYFGPEIRKKSRFAEQLAEKKEKKSCGSRSGRDRLLDP VISVA CC84DRAFT_1150261 MSRAASQTSTVPGATANGVARSLSLKTNTKPALSNPLQPSDIKL FVTNLRLLDLDGRPDWPDITVQTFSAKNADQRQRIHAVEWALFRLFEIWDPSETSQKL QPFFPPLEPLQSRNLRIALHRSLDALKKDGVLGREAVLRKTMLDECKGEKFHEILSSF SSVVLKKSLSTKPRRHVNEAVVRTLATSAVLSNEAQTSLLPLAIAHKAALTNLLREKE EKRRRYTDFGLLLDAKAHDINLRIRRTVETPRAAKPAVPQKETDAIKKQLKDNWIGDQ KWVDVMLHGEDFQGDAGFLDSSFSRVWRIVEQGGRLEDAMPEIGLLENLQLRVDEQKT RLEKWKKFHEKMQRGSPVTDQGPKTLTGPVMDLKFDDHLQLQLRPKASESEPVQRPKM RSAYENIILEMDEGLREAASARYNQSSAMTRKRAGPAAPSPAPYQELRSHPTNQKTAS WSDNLKKTTTSKIESRNIVPRKLSNVARPAAANPFDSEATLLGQASVPHTSMPVSPMD SSTEHLPSEDSENNVSDPSPAVASPGPLPPAVPVSPPSSPPAPSSYFPSEPPPFEPPS LSTEEALAAQIVSTIGDATPSPVKKHQPRLSLMERTRMSMVRTTSFEPTDESPSLPLP EPATVQDKHAALMERTRLSMAAMSAQPRASLAPKERKPKRQSQVFPINQFDTPRARKS EFLTVKEEDLEKTPKEDLFSDDVDYERVFKSRPRIATSPIFGTPAEEKDEEGFDEGVT GVDLADVDDDDDEDGYEQDSPLRRRTYR CC84DRAFT_1166324 MAPASLTSKLDMAKCTRMALIHDMAEALVGDITPVDNVSKPEKS RRESETMDYICHKLLGKFSGGLNGQQVRAIWQEYEDSETLESKFVHDVDKVELISQMV EYERKHQGSIDLGEFTWVTKKILSAEVKGWSDELLLERLEMWKGFGKDPNWADGTKPE SKPTLP CC84DRAFT_1207388 MHLPTNFLSLAVAATVVTAYPGSPSSRRPPFKGNFTISQYQLYP ENADFDSETGKLYVGQLWNASLGIYDPYTQHHEIVEFPGISHNPLLNMGGVGVNSRTG RVSLVANGPLEFPTNGANIAGDRWLLSYDPKQKKEIYRVNLTATSQGKYGGFQDVEHD PAGNTFVVGTFPGTLTKVSKDGKKVTPWYLPSTINSTDKGLTGIAAIGWELLAYGDAS GALWKFDTRASKGVPTVVPVSGNHSFAPSDAIYLPPKYKSKVLLVAESAAGVSVFEST NAWKSAEYKGTVPLPQLDPGTVLVAPVQVGDGIYQIVAYFGDVGLGGPGTAGNRTQFP FYDISDQVDELVGDCGKGKTENQRRSVAGEVPAADWYYKHERRSEVEETPTADWYYKG HQRRDEGAEEPVQTAGWYYKSGGY CC84DRAFT_1207389 MSIHRSSQSTCSFPSLTQLGFREPIIQALPQTVITPYPRRVAYE RRRGGVRGSVDARTLLAIATGSGYLDFLPTGVMLIATTGGAHPDIEQEKCIARMTETD LRAAPRLTTNNENRQSGGHASHSHGWMTSKIKAYIHKASTIGHHGKFIRPSPAGNLKQ LTTPSPWVIIARPSAFGQRT CC84DRAFT_1219438 MPFFGRRQAPAREEMITAQFPNPKSTHLSPHSVSISSHRTPATL EEALSLAPTPPRTPPHLSHSKTSSASSNELRHPLERSQSSEYFLEIKRSPAPKAPMSR KSAWGRTIRTEAPGEDAFESDAFAVEMPTTREPIVEVPKEASVFRAKIPHPSQAQVDA YQTYKQKAHQVRERNSTEGVKVPSKIVSYDYAYSNRKGKSATAPLLADLTPPDSPRQL SPAGSFPTSPPIAQHAWATSAAAQQPRNQHLLSSGAHAQSARSISDTNKIAFTKAPSS LGSNTSSPLGPRYQRGDSVTGASRSPSASPPRIKVRIVPKYNTAQTQAQTHTRTANES PQKEGWWALYNRSPPTSSAESSRPSSPEKHTQFAYTTSASEQVKATDTVFGYTTNTIK GTPAPVKRTFADILKDREKKKIEQKRTLASRWAWLRPTGPRVAKPTSSSVPAPPQRPT STYVDPFVQHATPPPTIPSTSRPASPKKIARPAPPPPARPTPKGKFDSGFAQITSFFS VMIKVCLVLYALVAVYFVLDAVREAVHALGAPFRFIKIVGKYGWVGALWAGKWMVKGW ERWGFKIALKGGWRTLARRWW CC84DRAFT_895652 MDQHATTEHDPIQLSLDAVTRRLHRPAFLSNLTPSRLPSRAASD SSLSPGEAPGAPHIAEARSLPRDRGHSHLNIVTLEDAVEEADLVLPLLQEPSADGSTS AIDANVHDYLEAAFWGARNTYSRMASAGFQTFAHGHQDLVLAVDFNYFGTRMVTASSD HRLKVWDKKEESWSLVESWKAHDAEIVDVKWNGPFMGEVVGSIGEDGRCKLWQEDVTE VPMSGNRFKLITNLASQTNAPFMSLDFKNIMQETWLALITRDGLLLVYEPTDQSNLNE WGIIAETWVCGNNPPERQEEVGFKVAFHKEKLPCWTAIMAGLDRKSLGLAVAAMNKVL VFRTDKSKRFFKVAELEGARQIIRDVAWANGSMRGYDVLATASKDGAIRIYELSTPRS DKSLAAGASASTTIDTISSPQVNKSRAPSGIGAGLAGVSKGPDPSQDHEQGPGRITQT VKLVDELNSHQGAVWRVAFSQMGDLLVSTGDDAAIRTWKKAVNGHWLEYSEIGTSGDN CC84DRAFT_1166326 MSTALSSTEAYSLLSLSLAAVGVLLNTFTGEGEPLIASIAFSCL AFSSCYALIRWLGNAFIRRGFKGKDLCKLKQTEIPETMGAVCAMVYLFTIITFIPWPF YKDIVVATSGGGNRDVIKELEEVETGRLLHRFPHNKLASYLSAILSLQTIVLLGIGDD LFDIRWRHKVFIPAVAAIPMLIVYFVDFGVTQMVVPIPLRPYLGELFDLGWLYYAYMA LLSIFSSNSINILAGINGIEVAQSLVIAVLIVANDVLYLSPFTAYPHPATDSHLFSLY LLLPFIGVSLALLKHNWFPAKVFVGDTYCYFAGMVFAVDGILGHFSKTLILLLLPQGF NFIYSAPQLFHIVPCPRHRLPHFNARTGLLECSRVEFKKPLARPVAEGLKVLHRLRLL DVETDENGQVVSSSNFTLINLWLVWFGPMREDRLALGLLAFQTAVGVAGLLVRHRMAL LVFTADNWY CC84DRAFT_1124115 MPSAVKPPRTLYDKVFEDHIVDEKDDGTILLYIDRHLVHEVTSP QAFEGLRNAGRKVRRPDCTLATVDHNIPTVSRKNLTTTEKFIDEVDSRTQCMTLEENV KAFDLTYFGLDDKRQGIVHIIGPEQGFTLPGTTVVCGDSHTSTHGAFGSIAQGIGTSE VEHVLATQTLITRRSKNMKVQVDGELAPGVSSKDIILHIIGVIGTAGGTGCTIEFCGS AIRSLSMEARMSICNMSIEAGARAGMIAPDQTTIDYLKGRPLAPKEDSPEWKKAVNYW LTLKSDPDAKWDHEVFIDCKDIAPTVSWGTSPQDVIPITGKVPSPDDFQDEVKKNACK RALEYMGLTAGTPMQDIVLDKVFIGSCTNARIEDLRAAARIVEGKKVASNIKHAMIVP GSGLVKNQAESEGLDKIFTNAGFDWREAGCSMCLGMNPDILSPGERCASTSNRNFEGR QGAGGRTHLMSPVMAAAAAIVGNLADVRKLAPPSTSAAKGSPKIEVQADVHMEEPNSD DDLENSMDLPADSVSYPSSTSTKGASAGMPKFETLRGYAAPMDIANIDTDAIIPKQFL KTIKRSGLGSALFHAWRYEAGSDAEKKDFVLNQEPFRQSKILVCTGPNFGCGSSREHA PWALLDFGIKCIVAPSYGDIFFNNTFKNGMLPIRIDDQATLEKIAEVAKSGKEIEVDL PNQTIRDADGNELAKFDVEEFRKHCLINGLDDIGLTMSSEEHIQKHEARRTREWPWLD GSGYLARHRKGPVKIEAAAVPKTNRGEVVGEPLEW CC84DRAFT_1261216 MAAPTQVELEDPLFNLRLSITSNNAPILATTPNPTGASDTVTEL SQASHISFNEPTLHGAHHKTFDFNTPTRYAPDGKPVDLRSIYFAWLNKDASVTEYVAA TERLNEELPGGAGGSVIHLGFANKVELISWLSGDEVASDNLKPLESVAATAAAGDAAA IAGGKGVPLQQGANAAAGQTMMDARLLQIYDGERRMGDHNTALRGSKPIDFSSYRKLA TSFLRSHPSAAQKKESIPQSLPTNMKKPARRIEQPIILLSPSASSLLRMSNVKSFLEE GVFIPPSALDTSSNAASNLLQMTRVLPSIDPRTAFRFILVDGTAMFKPNYWSRVVAVF TTGQSWQFKSYKYPNPIELFSHYPGFYVGYGGEDEPENVKAWGRGITSVQVDKWTGSE KGRWRDRELVERIWGRIEEGMRRGGWTKDGPGLQGQGR CC84DRAFT_1166328 MKSFVNNKADNTARPQSANSNRRLAAAQAKVQVPKANLHNEPIQ MYAGPLRALGTGQPNPPPSTLQNSHRQQLDDHQHRRYDTDAGSSIDTTIHEHSTFNQG EDQRIQQRHSVQRNGHDGDEYSDEDQQSASEDGSDEDGESGGDDDQDDYHDQDQHQGQ DHDEFIEEAKKEMKTQGLFHDEGNSYPSTTSGPPDEQCDQRGLRQQALVDHGDYDRPE NPHLQPPAQGITTRYTLPTFQQARPLAPGPSNMPAPSVYQKGAAIRKSAQQASAPLEV LRGVARQTNAAAPNIIPSNGQTVPQALRQPKGAHEQQISVPAGPHPQHGAPLPSVKPF PPPTTQASVANPTPILALRSAPTQTQLLLKEDPAAPYRSIEEDAPNDTEGPIGDYDTP GLFNMDYDELRVEDFDCEPRRTARVLSTDMLNRDLNERLPYVQENLAPADQHQFFRSL PTREWEDAGDWFLARFGDIIKRAKEARQNKRKLARAFEDEVEKRYRKVAKRQQNVEAA LGQMKEKGQGLIPKSPRASRAPPSKTPRSSKR CC84DRAFT_1166329 MADCYLYRLPNELLIHLLTPMTTPELLPLAPLSRRIYTVVIRIL HNRLVIASELESHSVLLECFHPSAKLTEPPYFCSSHGIDGLARYDELSESEKHSPSRL GDMRNMYSRFRPHRRELDDGGRRVRRPGDIPGSRTHPGIMQDGYEGDTVKQILSLDGH ELFTQLVAQSHLVKTGPRHELFTYPVEIEEGVIRVWREWLRDMATKSQSKEIKEEKVE LAGPGKGKAPTTEALQADDVGDSRVLWVSPNKNTGIRFNVRERKLKREIPILVRADEE DLPVSYEIEYDELLLRTSHLLLVLEKSLVLDDNTSGKAVVFGSFG CC84DRAFT_1150286 MVLVKLLGQLAGSICVGLLSYFLWRLYNARLVFWRLKKLGMPMP PWNPVLGHLLVLPAIIKHLPKDVQQSWIFRCTARDFEASDGLHYIDLWPFAMPMILVT DPLIANEVCVEHDFGKPEMLKPFFSTIVGGDGMFTMNGAEWKKSHALFAPGFAERVIL ERMGQVVGECEVYVERLRNEANKGEIFSLDELTCDYMMDVIGTITLKYVEFALTQDMN VRFHSLTSRSDPLASAMRDQINIHVRDEHMNPIKRYSPFQLYKEHRNSHIMDSYITSE LHKRYTDYLSSATNSKSLADKSIIDLVIADHMRDRPASATLDTDFIKWACAQIRLFLF VGHDSTASTIVYSLYLLSKNPSALARLRDEHTKVFGPDVSTAPSALRANPRLINQLPF TTAVIKETLRLFPPAGGFRGGEPGTYITDSHGKRYPTEGTGLNVLHNCIHRNPRYWPQ PDAFVPERWLVGPEHELYPRTKGAWRPFEYGTRNCPGQTLVMLDAKVTLVLVAREFDV RDAYGEWDAENRREGGVKDVDGERAYQVGKGSAHPSDGFPCRIEQRVGS CC84DRAFT_1150289 MASPQKIRTKLTDLLKIQHPVLLAGMNVAAGPKLAAAVTNAGGL GVLGGIGYTPDMLREQIAELKSYLNDKSAPFGVDLLLPQVGGSARKTNYDYTKGKLNE LIDIIIDSGAKLFVCAVGVPPKAVVEKLHKHGILYMNMIGHPKHVQKCLDLGVDIICA QGGEGGGHTGDVPTSVFIPAVVDMVKGHKSPMSGAEVMVVAAGGLFNGKSLAAMLTFG ASGVWVGTRFILSEEAGAPKAHQEAVRTSGFDDNIRTIIFTGRPLRVRTNSYIQNWEE NRQAEIKELTSKGVIPVEHDLEKLGDDLDDDTMDNARPFLMGKVAAVVNEKKSAREIV DEFVNDAVKWLAQANSLVVSKAKL CC84DRAFT_1166332 MSFVCTSRHRFSSLSFLSVFSECAAETSGRRVFTNGRCIFTARA PTRKASTQGRVATPSPSPRQKYDIRYSRSNSRPLIHQSAMMKHRAFVALGSNLGDRVA MIEQACNEMDQTGKIKVLRTSSLWETKAMYVLDQDKFVNGVCEVETSLSPIELLDELQ GIENKMGRVKVIDKGPRNIDLDIVLYDNDTFFNDRLQIPHKLMLEREFVLRPLCELVP NCSLPPHVDLPAGSFQYQLSHLPKSHDPLSPLTPLAPNLEKIASQDAQRKTHVMSILN VTPDSFSDGGKHFNLSSSQLADTIRSHIASGATILDLGGQSTRPGAPQVSSTEELERV LPAIEAIKSIPEADRVAISVDTYRADVAEAAIKAGAHIVNDVSAGLLDENMLPTVARL GATVCLMHMRGTPETMNSLTSYPDGVIAGVAAELLERLRAAEEAGIRRWRIILDPGIG FAKNQEQNLELLRGLEKLRRWPGLQGFPWLIGASRKAFVGRITGVTEARERVWGTAAA VTAAVQGGADVVRVHDVKEMAQVARMADAIWRH CC84DRAFT_1166333 MFSYAHSTRSTHLIPQPSDLRLQPPESYLLIQDFLILTCALLYA LCYFFYTVRTYSDRTVAGTPLYMATTMAYELYYGLVMTSGESTAMARFERCGFLLWFA MDVVFVGVAVCRCYPRERWGRMAGLLVVGTGMGIAVLRWLGQVWPDEREQLTAYWTGI VLQVPISWGSLILLVQRRNTKGQSLEIWITRYLGCFTAYGVFWWRYLNAPQNWVYVNN FWSWFVILFTLFPETVYPFVYVWAHVQEGKRRGVVANGKLKNS CC84DRAFT_1249991 MSTPTLSERPRKRRAINACILCRKSKVRCDGGRPCQRCTRNDTE CQYFDAVRDGNELRIERLEAEVEDLKAAMHIVHMSEHCASNTSPHDHRSVAGSASEPQ WKSPSMKANAVQAGLVTWTQATCWYQSFFSGSNYLIPVFCTSIDTLESVVSRSPFLFD CIISVGCRAEEGCNSSTYHRLQSRLREHLTDVLVTTQIPSLEMIQAITVMAGYTENGM LLVALALRFAMQLRLEDAVEQLMDKISTRMAPISDEEKQLYRLARVWHGVCNFELFFA LDGGKMPATNLRTTSRRVRALVNHPSRTSTDIRLLSQVELNILRSNAYVSIARHSRNL DETSLRSTVRDTAIELSLWLEEWSTLICSDTAAPDRPRAMTNLRIQYEWALITLYLKA LSVFGNLLMTDFQREMVRSAKEAAVRHLQHLLETPSTPSSPNPLSPTQPPPPTYLSTF KWAIDFVWAKCAFSVLLVLKLSLLLRDPLPSIILLLRDAHQVLEELHKITVGHIAYFQ ILQTSVEKCEGALREHMARLQQTHGGEGGEMTGRGNAEDDFQEYAPSEFVFEWDFPGL NLRHVPLGWQDLFVDLDSVL CC84DRAFT_1249996 MSKDDAPAPAILEEIGKNEEVNTHEEYHKPAPVTKGMDKAAALL ASNERIIVTPEENKRVLRKIDLVLLPILLSVYFLQSLDKTTLSYASVFGLIEDADLNP NSDQFSWLGSIVYIAQMVMQPLVALLLVKLPIGKFLGVMVFTWGAILCGMAGAKNFAG LMATRFLLGAFEAAVAPAFIAIVQMWYKRSEQTNRNAAWYAMLGIVNILGSLLSYGLG HIQSNLLHSYQIIFLFCGLLTAVVSIFVFLFTPDSPMEAKFLKGNDKLIAVERLRMNQ MGVASRVWKWDHVLEAFIDPKTWLWFLMLTAVSIPSGGITTFGPLIIQSFGFGKFATI LFNMPFGAVQIIATIGGAWLATWLKKKSPVLILLCIPPIIGIIVLMVVGREKQHRGVL LFGYYMTSFYPGISPLIYSWSGQNTGGDTKRKVTTSILFVGASAGNIIGPHLFKPSEK PYYRRGLRANLALFVAIIVFVVLAMVWITILNRKHAAERERLGKSAKVVDLSMETSDH PEGEEAVNASQGGVGDKAFDDITDIKNEDFIYLY CC84DRAFT_1096146 MVQLEVVPTGYQRVSKEDDTPSVCLQSKKHGTAFDFSFEALRPG LFRTTFTSKEHRLPPHRAAPVPSRKLDPKALKTSHSYDASVFQVNDVTAKVDWSSGPP LVSITLANQKAPIYTDLPNRSYAADGPGIAHYTRYNHKTLHVGLGEKPAPMNLSNRHF VLSATDSFGYDVHRTDPMYKHIPLLINATPSGCVATFSTSHARGYYSVGSEMDGMWGR FKVYRQEYGGLEQYIITGQTLKDVVTIYADLVGYPLLVPRWAFGYLAGGMKYSMLDEP RASDALMEFADKLKRHDIPCSGFQMSSGYTVAETEPKTRNVFTWNKHRFPDPKGFIDA YHKAGIRLIANIKPYVLANHPEYETLKSAGALFTDSLTMASAEARLWSAGGGESGIGG HIDFTSEAGYRWWYDGVRELRKLGIDCMWNDNNEYTIPHDKWQCALTEPNLKQEEGQD CGRDVGFWGRALNTELMGKSSHDACLEVCPNERPFVLTRSATAGTLRYCASSWSGDNT TSWDGMRGANALSLTAGMCLMQCYGHDIGGFEGPQPSPELLVRWCQQGIYSSRFAINC FKTSPENNSVGDVIEPWMYDETTPLVRDIIKRRYELIPYLYSLALESHSTATPPQRWT GWGFEQDPEVWQSKLLTDGETQYWLGDALLVGGVFETKQETAKVYLPKDPANPDLQFL DVNATQMFYDAGQWVEIPAKWQGEGIPVLARVGSAIPVGKSVQVRSQGDEENFASLPE DDYRGVEIFPPKGSSHGKEFRNRWYEDDGVSPPPVEMSVFEVKYTSEDDKVYVSFGEQ LQHGFDPAWKALEVVLPRGDDRTVVFNGKEAESVGADDRGRKLFRGRSIRKV CC84DRAFT_1250001 MGVSCVSVVSVVSVVSVVSVVNEHFGLCVRSNAPGVQTARDGRA ARHRRVVATRWGSLRVAGSLGAVGLMYETGERGSAVRLWVLVDAEAARTIKCISMLSS LEPWRGTETKPRESDGRLAASGSDDGWFRRRQRPMRRGLDRLPRNTKVRWRPPGRCFS GTCSHSQVAPAAPAVQRASPSACCAVGLLWCRPTARCIVIGCRARRSARPSHLTWDRR IRAALSSPPCSRPASSLQPPAFPTVPPPSLTRADCPRPTGSFRRCTPGASAGACLFTD SSTPRPHRASSVAKIRAGKAVQKQQEPPMAIGEPQLHTPSVPRPDSAVNSVWGLPAPR RVRDVDNCFSRAESGAPASPEHIARSVVRCAPVRPTVANMPSFLAADNGESSRSRSRQ RARTDVGEHRSVWDRLSFQKIGSWLKKDDGPSSPTKDTAQPEAGQESARPHSSGEHAA APAGLLHRRSSRKVVPGLPRPLTFKRMNSEKREKLLEVPVSPEQRRATSADRRASSGL KRQLSPPPVAAPSMSAPDVLSPHSSEAEQRPHSTIGGEPDSNIPPGSRQVDYGLESID YLSMGEPPPLSMADDDYLERDSQRSTSDVDDVQLQEELEAKWILNLSMHFRDMSDREK FFITFAEEPNKWRRVTVSVDYRKLEPESLEADLKSLHYQRDKSARIYESIRDSLPDIQ FYETVTNLKLQTDDGRLHVHVTEDVNEIIPYPPLSALDHLDCKQFRESDICFDSHISG FVYKISVRNKTYIKKEIPGPDAVEEFLYEINALCSLSDAKSVIKFEGVIVDEKSELIK GLLISYAEQGALVDLIYDYKNSEQMSWERRERWARQIVEGLSEIHEASFVQGDFTLSN IVIDHDNNAKIIDINRRGCPVGWEPPELARLIESGQRISIYIGVKSDLFQLGMVLWAL ATQEDEPERQERPLTRSLNRYSAVPEYFKDIVRACLSDSPRDRPSAKDILKRFPDRTL ERSRTVDHASRQSVSPHRSDKVYIDPRTAVGLDDIHPRLHHSHSRSSFSHVNMPSTEY IGSSGSYILPSSTQARGRSQNAPRSDSQNPRSEYSPYPAPRSVMSLDDSELENELASL PASRETRWEQVYVDGDTKLVQRGCAEIDVQDFGIQEPKDICITTPPGELDSSLIASKH SDETSLSLTTVAGLPYDSQHLGQTTMSRDTSHRRSIENKASFSDRVHQLADLPASDER AAYIPNPTTLADLENEIAYASSVPSSAAPSRVGTGLSEIFARPTHKDSGFSEPILERV SFDNEHVRQSLEGTMADIKFAHQEDQRVNERLWDEKDVAIGNGVRGEQLLIGAESSPS AHTASVSKNYVTEHEAQQKLLGEKVSDTTIRPPGILIPDFHHTVPRAVDASPPIHTPA SSHRAAPAEPLASSYTSTSSTSRSICSFDAAPKV CC84DRAFT_1166335 MDGGSATIVFPTGGGKSLCYQVPAVAFRYQDEAFGSRTREMSGI TLVVSPLIALMKDQVDALVRRKIKAAVLNSSTSRDQFLATQEDLRNGSLDLLYCAPER LNNEGFIASLKAVPGGIRLLAVDEAHCISEWGHSFRPDYLKIARFAKEAEVQRVACLT ATATPKVAQDICDAFSIPKEGLLTTPVYRPNLRLLVQSNPKQSDNVTKLVKFLRQYPG PTIVYVTLQKGTEDLADDLNKRGFIAKAFHAGMKQEVKTQTQDEFFTSDKMIVVATIA FGMGIDKANIRNVIHFDIPDSIESYSQQIGRAGRDGLPSVCLFNLSTKDFYLRNIFTY GDRPSVRSLKLLLNDICVMNSKRLKVGDTFAVSTYHQSKEVDIGATMLSILYAQLELH FGLFRAAGSKYTDYKFKTHDAGLISSDNDPAARAILKGSKKASTWTYVPVDQIEQTSG LAREDIIRKLNEWNDRGAVTNTASGVQNIYRIEKPLPSTPQQIDAIVTELDKTMGAQE KQNLDRTRALINLVTDKKCYSLALATYFGESADDVSEECGHCTWCETHEQVQLPNEPP QSPDPALVKRILEQVPARDDPRYLAKIAFGIKSPRMTQEGIYKKDVFESMNVCDFEEL LKIFTKECHM CC84DRAFT_1250008 MSAPDSSSESITKGKRYSANKDLGWESLETGSKFGPEEKEEEQR EGGQQAWLTVAGSSLIYFATLGTTNSFGFFQNYYEHTFLQGVPASTISFVGTLQITLT NVLAAPAGALFDCYGLKALYMFSAISCSGAFLGLSFIHSGSLSQIFLVQGVLLGLANA CGSQPALVVVGQHFEHRRALVMGIVAAAGSVGAMLGQFIPYYYISTYVQATNPTSSAK DYLLPLMNASSIIGRILGGLAADSTGAGNAVYPMTILSGLLCLGTWAVTSSIPLLVIF VLLYGFCSGVFVAVLPVIVAQITPADKLGGRIGAFYMVSAVAQLVGSPIGGALIQGRS GLGSDQAQGYLGLIVFAGTTLLVGGIVILISRLLHDRNLRSRY CC84DRAFT_1094969 MQSIYILVGTLLACHGLYLFIKRRIRARNLPPKYWTIDPFMNFD WIFSTALNANMQLTLFRKYGHTYRLSKLTDPMATIITCHPENAYTILVGQDWGVEFRK AGMGQMLGSGFICTDGSEWKRSRKMIRPAFNRPNIDNFDVLEGVADKIIADIQTSDGK VEMGSLMYNALMHSSMQFILGLDSTGSNDGRPMDVQTFNKLWQEGLLGMGIRLLLGEG FSRTFLPKARYQDVCNRIHGFIDFAIDEREKKGLDSTKKTKTMAEIVTPQAKDRADAR SQLAQTMLASQDTTGVLTCNVIHILSSHPNVWAQLRKEVSSAGPELLTWEGLRSNTTI QNILFETLRLRPVFPQTGRFAVRDTVLPSGGGPNHDQPLPVPAGTFAISNSWGVHVSK EIYGPDADEWKPSRWNKFKPGSKEFLAFGAGPRSCLGKDKALAEAAYLLARLVKRFES LESRTPEWKPDASFSMKNKKGYRITFKV CC84DRAFT_1124150 MANGDKKPDEVDGVARERQDARPDFSIPPPRKKLPKGLQATLDS DEKMWEVLTDGRAEDSTDTNVRYAAYASRVRTIMMSAHRYVAYTSDIGESFRPVAHPY LVRSAYGISWLYIAGDVANEGYKAYMRNQRILNPETAAETVHGKNIVKKAKSLKDTMT DTARVKAGGSSGEGSSLTGGEVVPGSIPAIEDWRAVAAQRAVFQSVASMGLPALTIHS IVRYSGRAMKNVKNVRLRTWGPIGLGIAAVPALPYMFDEPIEHLTEQIFYHAFKLVGG PKAVEGRPVTGQKELRKAESGVGDSPRPEL CC84DRAFT_1166338 MATLGTTFLGAYLSMGGSKKTEQTTPPINAKSKEEESFVKDFVK KAEEKVTGTKH CC84DRAFT_1095539 MEIEDEVEEVPESIPEPQKPASRRAQQTSSTARQTSASLRRAGS VSDTERDPILRRKVGDLSKKLEAMTVRYENLKEAATSGKESNFDTLKRKTEQTIKGQD AVIKALKQQITEMQSRTAEITALRKDLAKAEKENARLAAENLKTSESLAAAHKEKETL STKLAAARSTVQPEAKNVPGSAVKARSTGVVLPGQMEAAKKAQFQDQKVELYSDLTNL LVMGVKKNEEGDDVYDCIQTGRNGTLHFQLTVMTDGDSYQEHEFVYQPLLNEQRDREL IDLLPDYLTEEISFPRAQAPKFYTKVVDSMSKRFILEDD CC84DRAFT_1058286 MAPRAAVTNLSFTVDSASEDESMDELNALPTPDSNTENKAPGRK PRGKAAQMAISTVATKAASKSKTATRRVSGDTVLTAKKQGAAVMKKTSARGGRRAIAE LQHETGDETEEVDEFDTEEDLVAPVEPKTARRGRPPAKAK CC84DRAFT_1197708 MSTKTYEFKTAVVTGGGGGIGKALSQQLIKNGKTVIIVGRTESA LGESAKEIGAAAYYVLDTGDIQQIRKLVEKLTSEHPDVDCLINNAGVQRLIDEIDINM RGPIHLIEELLRHFKSNPMRSLSTFHKSLDSWLSLISPIYCATKSWVHFWSMSLREQL EGSSVNRENPDDNKENAPQTMTTDEFVDELMQMWMKGETRIAAGPGNKIVNAWEERMQ PLFENMAH CC84DRAFT_895961 MTVKELPESRCDVLIVGAGPAGMMLATWMARCGINARIVDKRST KIFNGQADGLQSRSLEIFDSFGFADRALKEANHMLEMCMWNPGEDGTLRRSDRVPDAI VGLSRFTQIVLHQGRIERFFLDNIKKHSKDSLRIERAVLPESLSISEDLCDDHSPETY PLKVQLRHLTEEEATPAQSNGETIQDGLFRSNLAEDDTDDLIAHSRANAGSTEVVKAK YMVGCDGAHSWTRRQLGFELEGEPTDFIWGVLDIIPITDFPDIRERCAVHSASSGSMM VIPRENKLVRLYIQLTEIKPDASGRADRSQITPDTIIKAAQKIIAPYQLTYKYCDWWT AYQIGQRVGTNFDYKNRVFLAGDAVHTHSPKAGQGMNVSMQDAYNLGWKLALVVKGVA QPSILSTYQSERRRIAQDLINFDHKFSRLFSGRPAKDILDESGVSMTEFKDAFVKGNM FASGLSVDYGSSMLVAKGGDAAEQGDGTDVSSSKTQIAGNQELAKNIKLGMRFPSYKV LNQSDARPWHFQEWFKSDGRFRLVVFAGNVLSTAQKDRLDAFCGKLTASAFLAPHIHK DIDVLTCHSAKRIETELLRDFPEVLHPFDDKTGWDYNSVFVDDLSYHEGHGEAYKNYG VDQERGCVVITRPDQYVGFIGELDGEGVKGVETYFQGVFVS CC84DRAFT_896005 MTSAPSPHDRMLDLDALESESQPTFVIKTSDQAIPFELLFCNDA FRRASLQNTILGSFKEATLFRAWALAIRLWEPQHAFANRTWTATKAGAKKNWKIVRAV EKPHSDQNRMTDADNPVADIRADKVTPHRSRKDFPVWTLNKRPRIPLRGFPTSNVVAR WESMQTMMEMTDVGVFEYLPNGKLIHANDAWYRLSNHPRDLPDHVEFSFMDLAHPDDQ ALIMSAWNTLVQGNCVTFEMRWKAKPGSEDDAQWVLSACVPVFNEDQDLVSIAGNTID INGQKKLQEVQRRQIDEALEAKRQQENFIDMTSHELRNPLSAVVQCADSVISTLQHII PKELDSIDSKTQIQRVEQEIATCIDNLQTIVSCSLHQKRVIDDVLTLSKLDSNLLRIS PMLVQPSSVVSGAMKMFEVECSQMQISLEFEEDESLNCFEWVMLDPSRMLQVLINLLT NAIKFSKDRLLRNIKVTLGGSWTQTFIEDGSITFAKDIQPQYNICDKEEWGKGRKGFI WIRVDDTGCGMTDDEQAKLFSRFTQATPRTHVKYGGSGLGLFISKSLTALQGGSVGVK STADVGSTFLFYVSTRVPESPNHTQHHKLQQPTLPHALSAEEGMKNAKLNILMVEDNL VNQRVLSKQLQKAGCIVYVAGNGVEALELLKKSVHWQGEKNQDGNGTTPVWDIDIVLM DIEMPVMDGLTCASEIRRLESEGMLAISSASCPLTTQSTSCLASRLTSFHDLTSNVRS DVYQYRRHLPILAVSANARGEQVAQALAAGMDDAISKPFRIPELWPKMRGLVPRCA CC84DRAFT_1178121 MANANGDPSVGTTAFTVYPFSRGSIHITGPSLDDPADFDTGFFG GGKGHLDVTKHGVAYNKHCEMIRRMRSDRGYTASHPPFASDPPAACVDLTEALPADVQ DIVSNDDAVLEKWIRDHMGRAMHSLNV CC84DRAFT_1219462 MSPPSTPPRHRYLSRDERLQAQTLHLAGHTQTFIANLLGFSRRQ VAYAIASNRVTPKKRSGRPRKLTDAQVDELEAYIKSSRTARQMSYQALTEGPFAEWEV TQYAIRTALRSRGYTRRVTHAKPPLTERNRQIRATWAQEHLH CC84DRAFT_896047 MGEDERTPTPENATLFQAFEWNVPADHAHWKRLTKVLPALSSIG LSAFWLPPGCKAASYQGNGYDIYDLYDLGEFDQKGKRATKWGTKEELLELSKLAKEKG VGLHWDAVLNHKAGADKTEKCRAVEVDDADRTKEVSEPYEIEGWLGFDFPGRGDKYSK MKYHWEHFSGTDYNQANEKKAIYKILGDNKGWSRSVDEENGNADYMMFADIDYSHPEV AEDVKRWGPWITKEVGLSGFRLDAVQHFSERFTNEWINVLREECGHDIFIVGEFWNGN VDQIIPWLEEMHHKFSLYDAPLVYNFSTISTSTGADLRKVFDDTLVQRFPEKAVTVVM NHDTQPGSTVETHVEGWFKPLAYSLILFREQGYPCVFYGDMYGMKGDHEEPPSCGGKL ADLVLARKLYAYGSQEDYYDDPNCIGWVRRGTWDHPAGMAVVMSNEGPGEIKMAVGEM HKGQIWTDVLGWEEAEVEIDDEGYGVFPCPGISVSVWVRKDAKGRDQFPVDLDSNIYE Q CC84DRAFT_1250040 MSLVQHVSQLEGQDREEALVDLFLPPLERAESVARSERRLSLGS GFDSNKSIRRLASYDFLKPPEETVENYGGVTQYTVSTAKRIAQVITTVLACWFASGIV FGFAALKPILIQEGVYQDLCTPEELKRGVEVCFEQDLRLNFFFSLASTTANLSALPVG ALLDRYGPRLCFLLGCLCLAAGSILMSLAFQIDEFDGYTIGNFFLALGGNFIFLPSFQ IANAFPKYAGTIVALVTGAFDASAAIFLFARLIYDATNRAFKPQHFFLAYLIVPFAIL LAQLTFLPTESYKTPGQLQQKLEKAEDVMRDVHSSDDELSDDEMWRRRKMRSNRRKRR QQKLDKLVGSPDRRRRLEEREEHRQDAAGVWGALHNKPPNEQMMTPWFYLLTLLTVLQ MIRMNYFIATVREQYLYMLGSIELATRINEFFDWALPIGGVISTPFLGILLDNVSVPG VLLLILVIITIIGVVGSIATLWAGYLNVILFVALRPLYYSAMSDYATKVFGYATFGRV YGTIIFFSGVINLSQTAIAALTKTAFEGNPVPVNVFLAVAAFIVGVALVTYVTVQTYR MQKKLQDEDAMTVTNTDVGSIMESLLEEDEPQQGYGSIAPPRQSYEY CC84DRAFT_1166343 MDGLQVAKTSASASALAQSCIESKDAMDEVLQIRVSGIDNALKR ALDRLRKMNSLSSDNSSLVMKLAGLDVPKAERKAVLERRRNDRRTPYIMMKVTNQSFQ LPGMSQRVFKQMDEIDDSLHCVLDAARANFYAHDTLLARVDEDDPYCMDETSYVVKKP ETVVMGVEKEQVGDGKRGG CC84DRAFT_1166345 MSAQAPPTSTGSTKLDAIKATAAAANPANPKGLDLYSRFAFAGA VCCAVTHGGFTPVDVVKTRIQLDPATYNKGLVGSARQILANEGFGALWTGVGPTFAGY FLQGAFKFGGYEFFKQQCINQFGYETASNNRTAVYLASAATAEFFADVALCPLEATRI RLVSQPTFANGLVGGFSKILKQEGVGAFYSGFGPILFKQVPYTMAKFVVFEKVSEMVY QSVDKSKASSGMQTTINLGSGLIAGFAAAIISQPADTMLSKINKTQGLPGEGTMTRLI KIAGELGLRGSFGGIGARLFMVGGITAGQFAIYGDIKKALGATGGVEISK CC84DRAFT_1094907 ITIRGFRVNALIDPRATGCLISPKVVEKYNLPYYNKENPMWLEM ADGTFSQGYGKG CC84DRAFT_1095635 DDTPLQAAYYNGLKEIIKDELVHHDKADDLDELIELAVRVDNRL YERQTEKKELGKPTFR CC84DRAFT_1178126 MATPASSVGSRATTGSSASGPTSNQATVLAAQASEIQQLKEQMA ELIGQLQVQAAGAVATNIAPKMQFKVPELFDGDKEKLKPFLTNMDLYYRYNKQILATD CC84DRAFT_1250065 MPTKTGTSNMGPSAVSSNGSSSASSGNSEPDSTPFPLPKFDKPR PHVCETCQRSFARLEHSKRHERSHTKEKPFECPQCTRCFARRDLMLRHQQKLHQQGAT PSRPRSGRRKSTTGLPANSAARVRKDSVASSVGGPSSANSSLKPRTNTISHVDPAALN SLLTSHNASLGHGSNPGHSDHASLSGLGEPSAYDFRSMSSVGGGHGQHHGLPNLDTHL GFGMGGSLQTAPILRVGSDEFESEPYFSPSSSTISPKQLHHFNAGKGNAQSPFNIFGN PFAANAIDEDGLPRSTGLDSSIIFPEPNGAVGGGASPLAISTTSQSRLSELMVDGSGQ TSGQPTSAPMWHQPLVRHATINPTGHATNAIPSVFAEFMMDNDIVSANELADSGVPAD FYMSTRPAFSTMSPTAGIPVYTYQLYTAGGFNHDGMLGGGGCLILTMAAIRALSEFEQ SSPKTSLSQ CC84DRAFT_1150338 MSTLEPESGIWYVICWLVLITRLVSRKLHHGSWKALDVDDYLIC IAMVSMTALMGAMHMVVRSSSNLIAPDEGISEFSPDEIQRRIYGSKLALVVEQMQCCT IWLIKACLLLIYKHMTALLPQHKVVIWVSAYTAISFVVMEILYLGVWCRPFSQYWAVP AANPQCSAATNHLITNAIFNISSDLMIIAIPMPLLFKVKLPMKNKAILIGIFLIGTFN IVAAVLNKYYSFTHPFGLEWTAWYLRESYTAFLCANLPLTYPLVQRIFRLSSWPHNSY DGRYLSGSLQRSAWRSNRRSEQKSRIGLKSNPQHGGISKTVSINVSNSRSILELQRSE SEERIYGPPTSRIELEQKTFPGTSEQHLWVQSVTIQMGPVSPSSAKAERMTTNASTKS FEDAHVRYHVLNF CC84DRAFT_1250070 MTSKTLAVAASLIASNFIIMAPTVVNASPPSTKDVTAVLFKSNY DSVARECARTLGTAGYGYVQVSPPAEHIQGSQWWTSYQPVSYKLAGRLGDRGFFLNMV KTCHSAGVRVIIDIVINQMTAGSGTGTGGSSYTKYNYPGLYSFYDFDDCTSQITNYVD RWNVQHCELVGLADLDTNEDYVRGAIAGYMNDLLSLGVDGFRIDAAKHTATEDLANMK SRLANSSVYWKQEVIYGAGEAVQPTEYTGNGDVQEFRYAFDLKPVFNNEKLAYLRNYG EGWGYMSSSVAGVFVDNHDTERNGETLRYKDNAKYTLATVFMLAYPYGAPDIKSGYEF SDTDAGPPYDGAVNACCQDSWKCQHAWPEIMRMVAFRNVVRGQGVTNWWDNGNNQIAF GWGNQGFVAINKEDSLLTQTLQTSLPAGKYCNVQSNSAVTVNSSGHFTATLGPNTALA IYAGKSSC CC84DRAFT_1250071 MPCGRRTGYRAARQMQAVLSISGQKKKHLQHIDLISTNNMKLLH TFIATAFLATVSCLEMGDLVSGQVYNASDVAELLKENPLDPGNGEGYEFPEGEGPTLP EDAEILENKYCYVQAFSGNHCDGKAGSKVRMERTNGKHGFMVISGFGTFLRITRQHAK TSIWKVTIGKECDGRSFNRVSIEAEIANTCFVGCGN CC84DRAFT_1094999 MPRGRPRKYQSQNQATEAAQRLRQQRYQCQRHAQAPPEFIQYEP IPTNITANTTPGLSVRISNDIPIPRDSLAELEKAPD CC84DRAFT_896255 MHFTSLVVVLLSVVVNLARGQRYLDGSCNTTAANDCGGRGYCAV PGSDVFDHVCCVADCEAAGNDCIVDTELVDATCY CC84DRAFT_1095027 MSSPQIGIDRLPTRRVSNEPREAMNCKSCCKRKIKCNRTRPTCE ACQVFNCPCIYDAVPKKRGRKTDVPEAMRRR CC84DRAFT_1095824 MLLDKGANVNAQGGPFAYALQAASAGGHEAVVKMLLDKGADINV QGGRCHGNALQAASAVGHEAVLKVLLDKGADVNAQGGHYGNALQAAS CC84DRAFT_1207412 MAPKPDDARSDADLWMIYANKIRETYFAGQDVGTHNRIYIPPLN TQAILAGDTIEQAVTNYGVARAGDSLITPDNPMMTFSGETYSQKCLRYLQSVQLGGVS DLGLEQIARDRKNAITEKQTTFNQTQNDAVAAYQSDPVRDPSQSFSDWVLDKYPAYGE AKDDLAGAVNSYDQIMTQIYGAGFKSLSKDQKTVAAACDAQVKSIYNMEVSAALIGSK DIVTGPKTYAPAYHISQGYPTAVKGWIRRAADLNPPSAGFSFSSKDASNYSWAQVGYS STRLGASVGWAPFFRAEYTYNKEKKTEDVNVGSQSSEIKFELKALGIQSFDIYPDNSW APQDLKKNYPHLFASASPDLWDPMFRASYVVVGYNVSIKITMDKSTYDKIKSSIQTAS SHSGGASASLFGFKLNLGGNASYSEENSTDYDKVKTNDSEYSFEIPASNNTLPVLLAT LGTEVAPKNS CC84DRAFT_1178134 MLNPRGLARPKGFVPMTIPSIYDVVNFSDFKDRMDTPRLGAQVQ QESIGKFLEQQAVWAAQDGTRVIHTSSKRMCLSADKPLLAGLDTFCFEITNNALGDEV LENALGCAIRLCTVGALAIQFPSWPPWTAASSAPSWGYRL CC84DRAFT_1219478 MKRRATAKSSRAVHAKAVGAWGEFEVTHDITDITSADFLSKIGK KTKVLMRISTVGGEKGSADTVRDVRGWAMKFYTDEGNYDLVGNDLPVFFVRDPIKFPS LNRSHKKHPQTNVPDSSMFWDFHNNNQEGVHALMHLFGQRGVPASLRHINGYGVHTYK FGNVADGSFKYVKIHFKPDAGVQTLDDAEATRLAGEEPDYHVKDMYNAIENGDFPTWT MYIQAMSPKDAETYHVNIFDPTKIWPHKDYPLIPVGKLTLNKNPDNYFADIEQAAFSP STMPPGIGPSADVMLQARMFSYPDAARYRVGPNYQQLPCNKAKYVYTPFQRDGPARID GNYGSDPNYVRSSFLQAESKEVDVAHDEWVGKVALWQSEVSDEDFVQARELWKIFLEQ GNDQVFIHTLGDNLNKAFPDVREESIKMFAKVDADIGKRLQKRIDELQASNKTTKEHV KAPYGIQEASGGGY CC84DRAFT_1150342 MPPKFDPSEVKVIHLRATGGEVGASSALAPKIGPLGLSPKKVGE DIAKATGDWKGLRVTVKLTIQNRQAQVSVVPSASSLVIKALKEPPRDRKKEKNIKHTK SIPLDEIIAIAKTMRFKSMAKDLKGTVKEILGTAFSTGCQVDGRSPKDVSDDIESGEI EIPDDE CC84DRAFT_1124185 MRRRRSDELPQFVREVEPRAFRWRRRSWIYIGIFLLACWILYPR RPSYDDSSTTKTKGSKHAYSLYATDSATLCHALLLLDALARYGSKADRVLFYPKHWDL VISDSKDRDSQLLVMARDEYKVKLHPTTLLSVEGRTTDEWTGTWDKSVTKFMAFSLAS YERVIALDSDITLLSSLDELFALPPTPIAMPRAYWYETLPQPLTSLLMVIKPDLDEFQ RFKQVIYGGGNPALVNAHKFDMELVNDRFEHSAMVLPHRPYALLTGEFRRSNHSAYLG NTFEAWDPEKVFKEAKLVHFSDWPLPKPWIMWPSEGLAEVQPDCGGSHEGTCLERVIW KHLYEDFRQRRKDICRLLSVPAPDWHSIRGAARSNATDGTTHQAPHAKGEADARSDSS TEQGVAHDA CC84DRAFT_896423 MNRAATLATDPRLGTQHPRCPQARNNARAPTATVRATQHAAAAL AKTVALKMQHPALHTARIAKTRMPQSRRRPARGHLATTSSTLLSKSMLTSSIRLRPAR RQITPSDTLALVRDFGTKTNGCHLGAHVLCNLASRKGAAGPTPKQQLQGAMMAKIAFE TSRNGAVWGFRSWGRYGPLSADGVEAS CC84DRAFT_1166350 MRDFILASLATALLAGSAEAVAANPLPKPTEITWGSESPFAVGS LTLGGVDSQIVQDGFDRAVKAITDLKWIPQATEAPVRSFEPFPTASAAAKRKIRKRQY NTGTNSTGTLLSVNCQVKDTSAPLQHGVDESYTLEIVKGSNSIDITAETVYGALHAFT TLQQIVINDGSGQLIVEAPVSIKDAPLYPVRGIMIDSGRNYLSKKKILEQIDGMSLSK LNVLHWHMVDAQSWPVELDIYPDMIEDAYSANEVYTKDCLKSIIEYAAARGVRIVPEI DMPGHASSGWKQVDEGMLACINSWWSNDNWPTHTAVEPNPGQLDILNNKTYEVTGKVY KELAELFPDNWFHIGGDELHMNCYNFSSLARDFFAQNHTMGDLFQVWVDRALPNFKKQ ANKTFIMWDDVLLSADAAATGEVPKDVIIQAWNNGITNINNATALGHRVIVSSSDFMY LDCGYGGWVGNDQRYDVQVNPDATDGSPNFNYGGNGGSWCAPYKTWQRIYDYDFTEGL SDEQKKLVVGSIAPLWSEQVDDVVISPKMWPRAAALAELVWSGNRDKDGNKRTTELTQ RILNFREYLVASGVQAAPLMPKFCLQHPHECDLNLNQTVLWTQAA CC84DRAFT_1178140 MFTLHSYILPCGHMKYSHICPCQDNFALPTIEDLEVEWNATCIS CTDPAIQAEIGRLDKTSPRCSILQPPQQGSPRSMPEVLSCPNEDKYVEQHKIGFGLHE QGPTQNQAGEHTETDMGSLRRQGFDDEWMEWVVECKEAGMDLELLLMRGQDDEATGSE EEDCQSHRC CC84DRAFT_1188698 MATVEPVYGFNKTSGNAEKDNAGAEKILYNEQTTSSLGSDSGDG FTWTEEEEKAVRWKLDKVIVPLTTFLYMLCFLDRANVGNARIQGMGDDLKLVGVRFNW VTSIFYIIYIFVEVPSNILLKIVGPKYYLPLLVCGFGLVSLCSAFVHSFEGLLVARAF LGVFEGGVMPGIAFFITCFYKREELLLRVGIYVSAASMAGAFGGLLATGLARIPPWGA SGMIIHDWRNIFFFEGLVTVLVGLGSPYFMPKSPQECWFLNERERRIASQRLIMKNAG DENEKVSPHHVTRAFLNINNYICAFGFFFINITVQGISLFLPTILRDLGWTRTQAQLY SVPPYVCACVVAIAVAFFSDRVNRRGIFLAAFTLLGITGFAILRWSTNANIRYMGVFF ITLGAFPGGPGFLSWAMNNASGPAVRAVSGAYVVTLGTAGGVLATWAYVASDGPEYHT GHTINLAGQIAVLVLSLAGIAYCKWENRQRDLGKRDHRLNGLSEAQIKDLGYRHPAFR YIT CC84DRAFT_1166352 MSTRRESLGDEKSVSCSEDVPLRGRIEHFTWTWFTLTMSTGGIA NLLNAQPNTFAGLTTIGKIIFIVFIVMLVFNFMMIATRFIQSPGALTASLTHPTESLF FPCMWLSLAVLLMNTQAFGVPSSGPWLVTALKVLFWIYLACTLLVAIGHYHVLFTAGK HVSIHSMTPSWVLPVFPVMLSGSIASSIASSQPYEDRLPIIVAGVSCQGLGFFVSLIM MALYLGRLMVDGLPAPKLRPGMFMAVGPPSFTSLALIGMSTSIPQGYGYFAEHPMAMD VLQPLALVFAIFLWSFAFFFYGIALVACVQGYKDLYWSLVCWTFVFPNTGFAIATIKI GDQLNSEGIKWVGSIMTILLVAVWLLNLGMQARAIYKRTMLWPGKDEDRDELRDKKA CC84DRAFT_1124199 MIAETISLGILSLPSVLARIGMAPAIILIVGLGALATYTGYVLG QFKAAYPHVHNMADAGEVLLGPFGREFGGAAQTIFLIFVMGSHILTFTIAMNTITGHA TCSIVWGVIGFIVLWICTLPRTLKKVSYLSIGSFISICGSVIVTMIAVGVQPSPKLHL DATRTIGFSAAFLSVTNIVFAYAGHVAFFSFISEFRDPREFPKALFLLQATDVSMYIV VATVIYRFTGQDVTSPALGSASNVVMKVAYGIALPTILLAGVIYGHVASKYVYVRIFR GTKHMSRRTMLSIGSWAAITLTFWLIAWIIAESIPNFNNLLSLISALFASWFSYGMSG VFWLFLNWGCYARNWKKMALTALNLFVVAFGAAVCGIGLYASGKAIHEGGGSGSWSCE DNSVS CC84DRAFT_1150362 MPAKTFSKKLTPTLVKPAINDIYLSRLDGSKDSVAKQASIPETI TALDNYPPLLRIPSEIRREIFRLVLPSSTQRFTLYTDCDSTAISKKWNRKCRPHPDKL TLDILRTNRLIYHECLSILYSENLFHFYAFNYLPVLDFIRHLSPEAKSLVRKVRLTPL TENGEEEPANHNAFCTVVHDSLPGLSELQADPLVFF CC84DRAFT_1124204 MSFGGQTPTIVVLREGTDQSQGRGQIISNINACLAVQSTIKGTL GPYGGDLLMVDENGRQTITNDGATVMKLLDIVHPAARILTDIARSQDSEVGDGTTSVV VLAGEILKEIKDYVEQGVSSQTIIKGLRRASNIAVNKVMEIAVDTAEGNKRDTLQKLA ATAMSSKLIHRNSAFFTKMVVDAVLSLDQDDLNERLIGVKKITGGALQDSLFVNGVAF KKTFSYAGFEQQPKAFTNPKIVCLNVELELKSEKDNAEVRVEQVSEYQAIVDAEWQII FNKMEALYKTGAKVVLSKLPIGDLATQYFADRDVFCAGRVASDDLDRVCRATGASIQS TCSDIQAKHLGTCQRFDERQIGGERFNFFEGCPEAKTCTLVLRGGAEQFIAEVERSLH DAIMIVKRAIQNRNIVAGGGACEMEVSAYLHAFADKDVRNKQQPIIKAFAKALEVIPR QLCDNAGIDATDILNRLRVEHKKGNIWAGVDFVDEGIANNMEKFVWEPSLVKINALQA ATEAACLILSVDETIRNQESQQPNAPNQPLPPGAAQRALGGRGRGRGMPRR CC84DRAFT_1166355 MDSTEQELEAFRQRWLEEVKRKQPAVAAPAPAKTTQASSSKGPK NKGPDVPSHARHHGEEIDEAAPHVYQDLGEKQHGRRLDETSAQAAAATANSAEPQSAL EHYEKAVEKESQGSLGDSLNLYRKAFKLDDGVHEKYKAKHFPAKPPQPKPKPQDPNPS GAPVTVPNTAHHSLDGLQPTLQSMLEDFSSLSILGEEPPTDLSPQPPCPIATTPEEIL VEILEHVAVQDVAAFARLAQVCRRLAYLVTTEERVWKRISLGHEFGFAAMHYTWSCQV DGNPLGDDDEGGYILGPDFEEPVVATPPPQNITSLLVPSAYPTYRTLFRRRPRVRFNG CYISTVNYTRPGAASPMTLTWNSPIHIVTYFRYLRFLRDGTCISLLTTSEPADVVPYL YVEHMHKNHHSLPSAPMKDALLGRWRLTGPEVPGVEGVEKEGTLEIETAGATPKYTYK MYLGVGSAGRATKNNKLVWQGYWSYNRLTDDWAEFGLKNDRPFYWSRVKSYGMSWEES GMKA CC84DRAFT_1261250 MAASKENVPDADGVTLVQKMLSATTGSVLTSLLVTPLDVVRVRL QSQAHVPTPALQRPSTTLSAGSLTQFRDLHPNLGITTCCREVFWSSNAAPVCFAGPTV APLNPADAACAVEEVERRTINSTWDGLRKISQNEGLPTLWRGLSPTLVMAVPANVIYF AGYDWMRTAQTSPFKRMVPDAYIPLAAGATARILSAVAVSPIEMFRTRMQAATHTATA VGHFRETMDGLREMVADRGVRSLWRGLTLTLWRDVPFSAIYWWGYEYGRNAITDLRGR TQARNDGSEFRMGRGEERIRRRSRSRSRENHTETLVDSFVAGAGSGAVAAFVTTPFDV GKTRQQVLHHTKEEVGSAARALRPEEQSMPRFLWHIFKEQGASGLFKGWTARCLKVAP ACAIMISSYEVGKKMATRVNERRGRLREDA CC84DRAFT_1124212 MFDIEDYDQIFPYGSYAEGLRRDIAECQSTLGGRTFFERLLELL NIKWRKIYPPNGDDGLRELHNRICEAPITLHYKHCLIFYLLRDLSPHYKTSPEWATQF ATSVHLETRFWTFIEGIWELDHLQFDNAVGHLTHPSIIPTFPDEILLTLVNRRHHQLS TMSETDILPLAYYNCVKPPLATDAVRQPFVRYLAARNVTETYYWIRARPEHEHRQLLE ALVEQTLDHRAWGAGDPSDIESIYPRQEKAVELVGLPFSEEEEEWVEKFLTEGKGRTL KGAEDTVLMRKIATGRLHSFVAGKSKQGKAHHGVDWKTLKEGVKKGLGPREGEEGFKV CC84DRAFT_1057311 KKFVMHEKVLTERSSYFRNAVKDSWVEATDGVIILQDVDEQTFA LYQQLVYRGRVPCLEVNTVKESSPERRDPNRDCAHPEVCSNEYESLCDLYAFAERMQD LKAKNSTVAALILKLNDELAYTHHNQPGKVCFPSAEAIRTMYENTSGNCPGRAVLAHA Y CC84DRAFT_1150375 MRRFAESTPCPAPHLFASHRDAHDSRRTSMYAPAYSTDGDTTAN IEYTTELKASLRNAKPRRPARSMRQSTFNPSLDIFEDVAQEEQAALETKRRSRASVMP PGVDKKSSILAHKPQRIHIPAPPVSEAPPQRPQRRRVSQLLVDRHEAPDGNATAQLQE VALDQRELRKQGPRKDPRRRTIYVPSDDTTMFTIHPGQPTRKPRNPREHSPDTGLELV TLSEEEAENLVSALKQDKVPRKSLAAPPKRAPLLQTARQSQSFSHDVQGQGGGKENVP PGMQVFEGKAGTHIEFNFAKEDQKKPAPKPSRVHFTSKTSEASSQTRTKTEGTQKRLR PQFSQEASPAKSIKAKADSVASSVRTISSRTSTTTRSSVKTVKSRASSRPSTALSSSS PFHTDRSPPTALRRRKMERGPVTITMMHEVGRRAPPKEKYPVLTEDLARPELYEDNWL TYQEIAITQLINSMFDSASKDPNAEQSAEQLRQKLLDMYHEPSMPSLHKRLQASLQYG ALSIPKDLLAQTLRLKDDVGLRKKFLNLWVKSYDLTSLRAAAETIIGRQLNVPSRLSS GSTSSDDGSRLMRAERRAIENFLDAFLIRNEDAVRVKSGMGSIASIARSDLGDDFGSQ AWSWRRTALRSLMLVYLLDKAKNANLLSGCLFQSTSTYKTSTDVLHALASLLLPSHGD ITRPLGHLNYKVSHVQYPLQEYTYHIDNIAIDLRDGVVLTRLVELLIYPPPTLTAQRE DTVTITMPTGDVLTSAVDFTSKESWVLSQHLKFPSIGRAQKLYNVQVALAALDGVRGL PIQVVGGMTPEDIVDGHRERTLSLLWSLIGKHGLGTLVNWTQLTKEIERFRDAWYSRR DNYGQRDLDSEDDEPTSELTGLEHHKRLLLSWARCAARTYGLRVTNLTTSFSNPKVLE AIVDTYLPCTLLDTTPSSSLSLAAKLEAIGCSTSFIALFASPKTSILSKDFTLLTLSF LASRLLPLNVTHRAASTIQRVYRARLARRALHQRIVKAQIAHEAAVVAQARERLLGAA MLVQRRWRAVLDGRKKDLEAHAVLFQSLARGWAIRRWARRVTGGKVGGKEKVRRVRGG W CC84DRAFT_1178150 MTTTEFTVSCKRSQDCSRLSRCPVVERTMIPELPRLQPQLQTRN KTLLFTRRNSKTRADAEEKEADPSRQKQRGVKSRGQVEYDEANDAWQKEKTVGLRAQV EDNKTPRKIGPRPLPRKRREPKRAPRPKPLPVLLSLSGIDACPRKGERTRHVPRLADG MAYHEHANTKNTYKVMSTAKAIALVESDENAAPPMATTALQKTLAKTTEKKNISPSRG THSPGLEPRRRELEKQARRELQTPADIEIEEQITKRTAKMADRLTALTRSNQELWLES QAVLDEFLGYAAYVGDEEDVSDARAKFVRVRKRNIRGETYDWPVAKRVREDGVHD CC84DRAFT_1178151 MSRIVHKTSGGNAAFHNFHNDYAHIADPNERRRLALAEIDKAPF GWYHVRAIDAYDLFAVNMVVSMLGVVFWQNSAHPGKIPSHADTAIKVATSTGTVVGQI SFGILADIVGRKKMYGMELILIIFATLAQSLSAHSPACSIVGVIVFWRVLMGIGIGGD YPLSSIITSEFATTRWRGAMMGSVFAMQGIGQFAAAMVSLIVTEAYKSTLEQAKTVDK CTGACGLAVDKMWRIVIGFGAVPGCIALYYRLTIPETPRYTFDVDRDVIQAGSDVKAF KAGMPRGHVDEITRARAKDSDALQLEVPIASWSDFFQWVSKWKNGGVLLGTAGSWFLL DVAYYGLGLNNSIILQQIGYAKGDDVYQIFHNQAVGNLIITCAGAIPGYWVTVATVDT IGRKPIQIMGFIILTGIFVAIGFAYHLLSGKALLALYVIAQFFFNFGPNATTFIIPGE CFPTRYRSSGHGISAASGKVGAIIAQVLIGPLRTRGAKPGSSDSPWLNHVMQIYSMFM FAGIFTSLLVPETKRKTLERLAGEVEGTPEYDPDNVRNRDTEKAIELREGVRLRTRDR VWDGQ CC84DRAFT_1207425 MFGLKLFVGVAAVVGVVVAAVPEDSVCATAPAVTTTVTVTECGQ VTTPAALPSESQTHSTASPPVETSWLPSSPAPAPVPASGSPASPAPAPPASSDSASGP SESAPPAPPVSSGGPSGSEPSSPEPTPTATDNPTPSTTKPGMVPTNAGSLPGVSLGMG SLVVAGMAINAAVAVLV CC84DRAFT_1207426 MKVLLFTVLLGSGLNAVASPDGPDDKVTALSKDAPAYVVGPNVY STSTFYTTSYFTITECASDAINCPTNALNVVTSAVLATTTTTICLTSGLPTPSALPEG SAAASLTISLSVGVPSATGPLPSTAVTSANPHPSGSGFVPAPGLPPTSSPETLPIPSS GIHIGPGPALPSVSGLAPAPGSPLTSSQGNPPNPSSGNSIGPVPAVSSSWLPNIPSGS GFAPYPGTPTSSSIGHLPVPNSGVPIGPSPVLPSQSLSAVLSGPGFVPSLPTASGPGN VPAASSEVPVGSSTALSSTALYAPSGSGSSPSLPGVSASVPLAPVSSGFYVPIPGTSA VIVPGAPPSSYSLGSEVPPRPRPSAWAPSVPASNSPLTPASHTGPIPTPGSGGPSSEV PQVPTTTGSSHGYPSASGSGSPGSPSGSSPIGTPYNSKPTAMPSESGFVSGETGTEGI KTSTLASSSSSPAGSANPNVPATPSAYPHVPGLTSTITHPTSSVEEGTTTMQVTSIVT YPQTTFVVTVPVQTSSRNYSTETEGINTSIGTDASAYIPSGTGWWPSATANGTVISPT PSSPIPINNEGKQTFGSGKSSLLAVVVALALAHFA CC84DRAFT_1097039 MAVATESQYAGSVVDFSDNDEENEIEAGPSRYYAERQADAIAKL SKQFKCAVCHEYIMSGLMVHLPCNDRYCVSCLKELFIQATRDETLLPLRCHKQPIPLQ LIARYLSADELAAYERANIEVSTADRTYCSNIQCGKFIPRDQIEPGTHRAVCDLCDTA TCAICKNGFHYGLDCPDDPALRETRRIAEESGWKSCYHCGRILILRTGCNHMTCICKA QFCYVCGERWKTCRCDVADIDRIEERAEEVVDRDAGEVLPPVERQRRVERVRNELEQN HECEHPGRFQKLWRHEGYGGRRGFQCEMCDNRHWKYILQCRHCFINVCEECRRHRV CC84DRAFT_1052566 LEDEIAALTLQLEEIGIYSEAGKGKYAVDNPPDIELAYASFQAE LQSYRAFRSDQDLARSIGAAVYSDGPVIVDLTAQEVQSHEDRLFAL CC84DRAFT_1188709 MAESSANSLAPTSAAPSNEPSRASTPANAAVEKAPDEGSKLKTF LGVLRRFIGVSDLAAVRFSLPAQLLEPTPNLEYWNYLDRPETFISIGDSDEPLGRMLG TLRFWFTKDLKYVKGKPCKPYNSTLGEFFRCTWDVEDTQPQLRHSPNSTTSSSASSVA GDKRVKLSYLTEQTSHHPPVSAFYIDCPEKGISARGFDQLSAKFTGTNIRVVAGAHNL GIFITLASRDNEEYQLTHPAAYLGGLLRGSLSVSVADSCFVTCTKSKLKVILEYQEEG WLGRTQNKVVGVIFKYDPSNDNKTKVKDVSDKDVLVRIEGCWQQKVYYTLGSQPFAKT PEKDRNLLIDLDPLFVVPKNIPPIEEQLPNESRKFWEGVTTAIVNKQYSLATTLKQEI EDKQRKKAAERKETGKEWQPRFFTGSVTPVGRPDLTPDGEEALKRLHEDKYALEPNKE YAAF CC84DRAFT_896581 MADQAAQLEALKAAASNHEARVKTAATKDEALQQALAAAENLMK AAKLTASPAEKKQLNQRFNAVADVARSVKSNEWTPSKPIVTIRPQQQNVNVASRPKSK ADGIGEWAAEVAQSFGPIPSSSHPQPAYPTQDSSSGTRVATQSTLPHGTSFTSPPSQS PSQHPTLISAHANGKHEDGWRHSGGGISHSKLDLAAQDDSRNRKPELTQKGPPRAGST TSVSHVRKLREPISSRKRTTKEEIILLKASLVNGVKCPPWDKIPSTNEFAADDSGFFT QSRELSLSPQQQQHFKAWTRAKDALPRGSSGSHEHPVMSSPRPIDLVQDAATDCSVVA SLCAGIARTERGYDKILSTKLYPFDRNLGRPVLSTNGKYIVRLHFNGCWRRVTIDDRL PQSETRALHVVDRREPALLWPALLEKAYLTVRGGYDFPGSNSCSDLWTLTGWIPEQVH LQETDIVPDQLWNRLHKAFAFGDVLATLGTGQMSARQERELGLEGQHSYVVLDLKETE HDRFLLVKNPWVEGRGWLGPRPSPEELYASSTITDSSKDGLATYHRDTIPTKDRPSPT TFWIGLEQVIRHFDGLYLNWNPGLFRYRQDIHFEWAVDGSSEEENRCIVAHPQFLFHS EDESPVWFLLSRHFRATVGDSREESDAFNDGSIRPSTLTDTSDDIPKGYMSIFVCPGN GNRLYVKDTCLERSDYVTTPQCLLRWDAEAHSTYTVVLDQDELPASAYTFSLFAFSNS KIELEPAIPQYPLQKVVTGEWTKQTAGGGTGSSQYFQNPQYALEVKQRGPIAILLTST NRKNALHVKLTLGHGKRIYRLNSRDVLVDSGDHRARCVFAEAKDLEPGMYTIVCSLFE AGQTGDYSLRVDSTCEIVLKQIPRDGAGLMLNRLAPVCFRPEVNEVVAPMVVRRLAAY TIVVRFLKATTSRSAGVLPTTRSPLRFSVEYGRGPERRFLITSERGQYSDAVILRTEA VNIDPEMYPGANLSLVMDRLSGPGGPVEEWYEVEVYTDIPNACEIGVWRDRK CC84DRAFT_1124227 MAGASKLEKLPPWGNAVAGSAGAVLANALVYPLDIVKTKLQVQV KRAPGSYAEAAASSPDHEHYASTLDAITKIVKAEGVAGLYAGMTGSLIGVASTNFAYF YWYTIVRTLYLKYSAAPGTAAELGLGAVAGAVAQLFTIPVAVVTTRQQTTTKLERKGL LATGKEVIDSEDGWTGLWRGLKASLVLVVNPAITYGAYQRLKESLFPGRTALKPWEAF ALGAMSKALATIATQPLIVAKVGLQSRPPPARNGKPFKSFIEVMQYIIEHEGALGLFK GIGPQILKGLLVQGFLMMTKERIELSFILLFRYLRQVRAEKLQKLANIAAEKAQQSRP VLLK CC84DRAFT_1124229 MADHADPNGGGKKDAPLRSIANAASPRSPPAPLQMASSPHSGHR SSFAENMRGLPNSPRASRQPSLSQQALQDLLNNPPTKGGDPKFAGRDWKSVHVGEVVD EKQVRFAQLDTSVEEATNLLIEYGAPNVILLREDKSSSTAVGTFDYSDLNSYLLLVVG LAHPDEGDVKSFDELAKKGREGKPIPLKDVKDLGKKEPLITLPASADLAKAMEVFGSG VHRLLVVKEGTTDVIGILTQLRLLQFFWDNRQSFPAVNQLYPLLIKELNLGTQSVIAI NGDKPLTDALELMNNEGVTSLPVLDAQNNVIGNISHVDVRLLTKTTSLPLLRSSCIHF IGVILSERGVNDGKDSFPVFHITPYSTLAHTVAKLVATRSHRMWIVDAPSPASSGPPT PSVNPSVMPLPSPITPLPSAAPAPVSAPSPLLSGAAAPAISASGIPGASLSGRLNGVV SLTDILNLFARASGLHPHDPDEARRARRRSSSSSMRRSIDSSRSESMSRSFGRRSSVS DREAAHRGLGIVRGRASGAGTG CC84DRAFT_1188713 MPLVLFTPAPSDTSTTIINGVSFSIPALNTFHYALYTNNTISNG SECYLAFDGFRPSMLDNGTWLHATSCYIPYFEMSSRGVTSIVFGVLFGVSVMFTLMNL KKHGAQHLREDKRFRLVGRRWQWYWMLFVSACAMISLFTGIDVDRYYLQQMPIMLQCF FFALMLPVALAAVWESIRHWGSWQERQLVDADPYGMPPNASRTKIQFYAPLIFYLFAW LNFFLTIPKPWTPIQKQNTTEQIRDIALPAATNTRTKAGALIALLAWLTIAFTLTHTI RTFHQGKSFLSTVPKHLLLNLLLLAVHIAYAILSSFSFRLALANQKVPIAYPFALGYA PLLLILLSANVAGFRTENEDRQLIAQRVARGRVHDAELNLIKKPSWWSRNMAARFAGE EERLRNMAGEVGGRPTARAMEMGDMKVRQRSGSRSTRDPFRDESPEGERSRGRGTGMQ ARGGSDAIAQREIEVAPQPVGPPQQQKIRSMLDV CC84DRAFT_1261260 MSYYPGQQYHNGAPNYGAPPPQQNYGPPQAYPAPSYGAPPPQQY GYQHSPSPQPPYNGGYQQGPPPQQYGYQQTPPPQQYGGYGGPPPQQHQQHYQPPPPQM QQRLPGGPPPPPTAPQSFGHNAPAGYSFQYSACNGRRKALLIGINYFGQRGQLRGCIN DVKNMSTYLNAHFNYKREDMVLLTDDQQEPMSQPTKANILRAMHWLVKDAQPNDSLFF HYSGHGGQTKDLDGDEDDGYDEVIYPVDFRTAGHIVDDEMHRIMVGPLQPGVRLTAIF DSCHSGSALDLPYIYSTAGVVKEPNLAKEAGQGLLGIMSSYARNDIGGMMSTASSLFK KVTTGDKVREMNLRTKTSPADVIMWSGSKDTQTSADASIQGEATGAMSWAFITALKKN PNQSYVQLLNSIREELQAKYSQKPQLSCSHPLDVDLQYRM CC84DRAFT_896854 MAHLSSRDRVRNGSRPSTPPGPSRTHGSGPGMRALDTRPKKSET FFDDETLVDNSRSGGTQREALRDSHDLSFADRTRDSVVDNMLMSLDQLPLSSYPAALY STSNLDDDQLFFIDNSYASPKAPRVRGHTYASSQSSDYDLHADDSTSRFGPHHARGRR SNSSNNIPNAMSRRESLRGAWADPRQANEQAQQAVHMRGGKGSKSSMGSSVDFGKAGM GSQRLGLGRRSISFDHGNLGSKALSVKTERSRPTYSGYHPDYEAAPQPTIPAGPRRAQ EPQLPVTYAAQPSFAPAQAPAPRRKNSVRSAASYRTLRKNKSHQEPNMRLQAQEFVNA ANLRDLPPIPTYHDPPAPSPNVATRKHPLVPLGPVPVPKEKPGFFRRVFGSALPKSAP QISNASTNSNVSYTTTPPASATNRPTDVDSMYSNGRPRTTPHGSNHIASQLKSAAPRP PQTASSAQTQTTQPSQPTLAKKHSSFFRRRKKSVTEPPQQPPMPVQFQTPQKLEDVQP QPSPGISSLRQVMNPYLGDTPSPVKQQQNASEFSPEPDDGVDPQRPNGFSPGYKPHKD ATVRSVKAGSRDTNPSPPSRQELFKEQLMAEQAASTSSPKLKLKLKSRKPNVATTQED TFLADSSSCNEGGSGRATPSGELGAFGDADETPRPARSPTAAGFPLPPNVGVRKSAGE KKRNKLSKTEGDSASVSAPSRSTSEAEVEDGWILTAPGGQEKQTPSRKTSANRPRRVW LEPTSSEERLAGDDDAEDLTLPLEGARSAQKPFEKELPVPPPQSTPASSHVVQSTPSL PTLHIPTQDSDAMPSIIEHGVQDAEPSDADRERAFRIFSGDDPSVQKGTAAADLGDIT AASTRIRKAFMALFDWTGFNILGAMRDLCGKVVLKAETQQIDRILMSLSERWCECNPN HGFKAADVVHTICYSILLLNTDLHVADIESRMTRSQFVKNTLPTVVRVCKDALKDAHD ATLRPQSTQFRRGSLPWNNTYNNDRSEPSSPAAEDAAFPADVAEEPIENRRARSRLSL RPPYRSGSEGLLIESGVAEGELLVSSPYNGPIKGWELHLETVLKEFYDSIRKQRLPLH GVSALQVHEQPSTNNLSVSGMLRRTPSVLSKAPSDNMSYRGRSQTDFRSMGKSWNSKN RSRPRLYPTSTVASSRTSLDDQSVWSPAGSSTWSRYSYGKTQTSMSMESLGSHFAHGD YQQAIGFANALSQAIIREEGMTIASDEEFTRVAPLLEDETLELTGAPWAKEGILKHKH HLEALDKKAKDRTWNECFAVIEKGYMRLFSFNMNAKSMRSKKSRPSAGGVVGGGNWMD NAEALDSFLLRQTIASALPPPGYSKSRPHVWALSLPTGAVHLFQVGTPDIVREFVSTA NYWSARLSKEPLMGGVSNIEYGWGENVINTALIRPESTASTHGHVPRPSMASSMRSSM DHASGTIKARLPGDKVALNDWSPPTSSMMASNLMEVDQLRALTDYVKNIEDELARHNE MRAPMLIAFSPRHPNAAKAMANWERKSSYLLREIVKFRTYIDSLTAAQTLKKRIYAER EASEGQVDDDDVDDVNKPLPAKDKDGASEVIQASEPIST CC84DRAFT_1261262 MQQSDFCPIAKGYCINIIARALPPRRVFYWSSMCSILLLLFLIS GVLSQSLSPVLEEPQRKHVLKIIEQALQAEDPVDPGLNGKTRVTIVIGTMLLLINLFC LYVIFLWIVYVFQKRGIRERYQRWLAARDLRLEQEEFLFRQGPEKKFELEQETEEKFN KVE CC84DRAFT_1124242 MRKSRVLRVSSTRDICIFCATRQLAAADALAASPGVSQRRHLNS SSRAPQHAEGTVFKDEAVPAPPAPKRMLSQAERMQQLLRARAPAPPPPPPTPPPNRMR MNSTMPRDNGRSSFQSSMPSPRSQYQQRQPLPPPSQYQQRQPLSPQPGQPMDQRPPYR SSMLKGPARNHQAPRQGPGYRSNPKSEGQGYQNTRYNVNMDSRAAPAVDLPAFGTGQL RKKNDSQNLASARAPASAPISQPASRPPKLSQSELEELLGGNSRAGGSRPRTPLVQRG NTVTKACMHCGNTDHDSSLCPDQPVLRRPAPARAQLPNYSTQQADLTDAAADFARKHS SKFESEQVALNSNEERRRGGRKSRRSRDEEEDEAVETRNRYDEPEHRKGGYLRDVGRR HRVMRDEDFEEDDDYVDKAERRRLRQEAKRKMQETKEPMPVYLPQYISVANLATVLKV RLEEFVAKLEELGFEEVQSDHILNAEHAGLVAQEYNFEPIVQADEDDGDLLPAPALSP EDYAKLPARPPVVTIMGHVDHGKTTILDYVRSSSVAAGEFGGITQHIGAFSVPLSSGK KITFLDTPGHSAFETMRARGANVTDIVVLVVAADDSVMPQTIEAIKHAQAAQVPMIVA INKIDKSQADPEAVKLDLGRHGIEVEDFGGDVQAVCVSGKTGQGIPDLEEAITTLSEM LDHRADPDANVEGWVLEGSTKKSGKVATVLVRTGTLRRGDLLVAGNTWTRVRSLHNEA GAVVDEVGPGMPVEVDGWREQPIAGDEVLQATDEQHAQAVAELRLEKLEREQMAIDME AINVARREESGRREAEEAAAEAQKNGEVVEEVATERETGPELVSFIVKGDVSGSVEAV IDQISALGNGEVGTRILRHGVGSPSEFDIEHAADAKGHIVNFNTDVPNNIKALAERKE VKILDSNIIYRVVENVKALLEERLPPRIVQRVTGEAEVAMTFEIGLGGRKKILVAGSK VRNGEIKKGSRVRVLRRNEAVFDGTVGSLKNQKKDVQTMHKDTECGIAFDGGWSEFKV GDKIQCYDEDKIKRTL CC84DRAFT_1124243 MNPHISLPRPTGGPSNFGSTPSSRRNELRMPRFFKRLFKFPQMD FEMAIWEMMSLMIAPKKVFRSIYYHKQTSKTYHRPDPSFTYLLSAFQLLTSLAWGFAY ASSAPSVLKITLVFIFVHFLLSSLVISTINFFLIKYLLGPNSKILPGKRRGLYDLSAN DGEGKEELEFGYCWDVAIRAFVPVWVFLYVVQFLCMPLVGTDHWVSLALSNTLYLAAL IYYFIITFLGYNALPFLHHTELLLAPIAITIILWFVSLFGLNLSKHLAPIFLSGVALR K CC84DRAFT_1166368 MADADAEMAFLEAQKQEYDPAADFSLAPEQAEQDEEEEYDPDNA FGNPPEETRSASAQSVAMADSATNTPQPADEGALRAPPADTPAAPTPQKQPRTKGGFV DESEDDEDEVSVAKPKAGSALLNASGVSESPQRSVTLSPNNTHAPQAMSSISAQDQPV PGVQSPPVAVPNVVSSLASATVPNGGTPVPDATKTGSSDPLKAAPAPASASTTTLPAS LPRPRLPQDTVGRLEDRVAEDPRGDIEAWLGLIDDHRKRHKVDEARGVFERFFQVFPS AAEIWVQYVNMETELENFAQVEQIFGRSIQNTPSLLLFSSYIDYVRRRYPLEEGDNRK IIVQAYEFVLPHVGIDINAGKLWTDYIEILKSGPGNLGGSGWQDMQKMDILRKAYQRA IAVPTNATMEIWRDYDRFEMNLNKVQGRKHLQEKSASYMTARSAVTVAENITRSVHRT TLPKLPPAPGFDGAEDFTKQVQAWKNWIEWEKSDPLEIRDEDRETYNKRVLYIYKNAL AALRFWPEMWFDAAEWSLQNNLSDEGNTFLQGGMEANPESCLLAFKRSNQLELRTDFE EGDAGIIAKAKVVREPLDTVLNTLYDLINKVKKREERSIAMAKEHFNAQLAAEEAARA GSEKGSDVEDDDDDENSAAARRQRERQAGFDAQLQGITTSTNAEIHVLKKTLTYAWIA LMRAMRRVQGKGGAKDTNIPGFRGVFGEARKRGKLLSDAYVASALIEHHCYQDVAAGK IFERGMKLFPDDEVFALEYVKHLVKLNDATNARAVFETVVTRLCQKPENIARTKPLFV FFHDYESQFGELAQITKLEQRMATLFPEDPQLLRFASRFKSPTFDPTAVRPIISPRTQ MRPAMPSNIMPTVEEPVQAAPPVPAPQPERLQSPATFNSPRLGHLLPATNSPKRPLED ADNDQPRKMIRGESPLKGAAGRRLDAARRNNAVGGGNTPVAGPTPLPKGVNFLLGIIP PAHTYQATRFRPEAMVNLLRGITLPLPGNAAASAGPPAAHIGAQLQNIQARYGGVQPG YQ CC84DRAFT_1097353 MGGPSKRRAKAEKKGTSSSGNSSGRSRDATERSNPKSIPRLDGN RDPHPTGPGLSRNPVIEYSRPQDLKNISEALGYAGWCVARGLEIPGELPRRPGKFNTI GKPVNVTLNTFNVDKFPTQIVYQYDISWGTGTDASKRVLVKKIWRSKAVKDALGEPQN LWIYDGNKLAWCGKRLPRDELRIEVDLDAEEAQDRAAHGLPLRGARDSSKNKHKLYVK FTRQLDFSALQSFLNNQTSYSTECIDTINFLDHLMREGPSLQYTQIKKSFFQRGERRF DLGGGVEAFKGVFSSLRPCLNNKLEKGLTVNVDVANGTFWRSQPLGPAMFSSFNLDPD RFSGLFMREKKDWRGSSMKKDMHRFKKIGVSATHVKPHVQYTIDEVEGKDVNEATFTD RDGNTVSLRQYFAQKYQKNLRPGFPVVKMTKKIRGKQVYLPLEVLNIDANQRYNTKLS DKQTSEMIKFAVTLPKDRWAAVINGVQLLNWQQDRFLNHYGLKINPAPAKVKGVLLPP PKSCFGAKSRQAVVDNKDLLQGRWRLDGRVFALPNTDRPIKGWGICVVQGRGAATPDV AKRFAAEFVKIYTAHGGIVASHPTHGTTPWIGPGNLADGGEMVNKAYQATGNRYQQRP SLMFFIVNDRNIEIYRRIKKSMDIRFGVVSQVIQSKHAQTASGQYISNVCMKVNAKLG GATNVAVSQVIPKLNPKAASIPTMVVGADVSHPAPGAGSGEAASFAAITVSNDATFTR YWAEVQTNGHRVEMVTTSNIEEHFGHMAKNWMQRLGKGQPPKRVIYIRDGVSEGQYAA VLNEEVRDMKSVFAKLGCKTTPDFCVMIAGKRHHIRFFPEQGDRNKNPLPGTLVETGC THPHEFDFYLCSHVAIKGTARPIHYQCILNENGSWQAAEMQQFLFEHSYQYIRSTTPV SLHPAVYYAHLAADRARAHVSDSPVSSGKKEAQGQAARSSTGSSSRVIEVAPLQPMLA NTGIRETMWYI CC84DRAFT_1150408 MSASTRGRGGKFAKPKRGGGKHFSRDLQPLNADGEVVGMWGDEP VKEESEEEDSEEESSEDENAKPQAEMTREERRAAAKARKQAAIARQNKKIPEAGDLPS SSEEESDNDDDDMPANPNHTAKARNQAAKAPEPVDAPAPTDKGKGKQDLSQLSRRERE AIQAQQAKERYEKLHAEGKTDQARADLERLQQVRERREAEAARKKAEAEERAEHEKAK KEQIERDERRRIAAQGTKKGAKKGSKK CC84DRAFT_896916 MADAIAPNEQHNFETFRDCFSEPVLKALSKPIDKPKKKRRLPRK SKDGRNGIPKKKANIDAGQAVSTEDQTSAEDLGDFIDYLSTLIFPSLPPDFRILSYSK YRDEPHLQDRYSTPLSHLTETHLLNLLPPPALDSLTSYALLPAVPDPLDLHHFFTPVF TSYIAAATAPPPIWSATRTSACELCARDWIPLTYHHLIPKSTHERVRKRGWHAEESLN SVAWLCRACHSFVHRLAGNEELARGWYTVELIVRGGVDGEYIVILVMGKGWKVAGNG CC84DRAFT_1166371 MDLRRSNIRQVYEQCAQSRIRMYHYVHTPRIIIETLSVGITYVL TLVLATSAFSTPAWRNQAHLQKWASSEYVHCLQWPVTSRVLPRLDTSDTFLRMFSALW NTRARILGYR CC84DRAFT_1166372 MATHFSFLDFPAPVRERIYAHLLAPHPDENETTINYTLKWNWLE NPSNTTFGGVPQIDLCRCPREKPRTTNIKTKDHMYTRYKCHGPEVKFASGWEDLWVPS QAYANSGQINFLRPATQEELSRRPSGNILSTNKTIYEEALPVLYRGRNFLFVTGPCPR GRYQAYATQRFFAGLSLFARVHITGFSLNILPHEEDCQTEDITKAYRDLAEWVQHNLP LFQVLGLNLWHPRLTSMAKVFECLLQRNGVKIELDRGQNDGWVEEVEDVEGFRAYLSA GSRQLDHVDEAPPQGEASMDVIPGEERRLNVSRPPRRSTGKVSDWESFDNNTNEDEEW SDTLLSPASSREGGVVDGWEVL CC84DRAFT_1250213 MNKARQKHKHSAINDEDSKQRKKHRGPELLEFESTDVKTYVYNA LPPERKRIRLLRLFAGVLENPQINCEMFEAEFDGHGILRHLDEVLKSSRLGKKEPYHA LSWRWGDEDDGKYAIMIKRKGNLFKKRVSRTLGLALKFLRLKEDRVLWIDAICIDQKN LEERSFQVSLMSLVYTGAKEICVWLGEDDDDSTQAIQFVKDEISQLKDFDKLCIDQQH SPKWKALLGLMQRDWFSRRWVVQEIALAEKAQVYCGPDQIPWVDLAIAVELFVEVETA THRLSELIKKDDAHNVVPYWFEHVSELGASVLVNATARIFRGHKGGGYGPYIGVRRSL LSLEYLVTSLSIFDCGRPHDSIYALIAIARDAAPHPPSSLTRRTKEALIAEVFSDAIE QKPYPLDYNSPYPDVCKEFLQFCIRGAAKTDKVQALDILCRPWAKDWRPKEYIATPEA TSTKKVGESEENPPKLPPILKHEGGWMRGPKGARVEDNRDMTEYFSKATPLDSPMTTR GAKETNEGDIHIPASRRWFPKEEKTKDKKKEKREGGGRKDRRPRDFEPQHVDDLALPS WVATIAGAAFDIFPHPGMDMVKMGRKNADPLVGTPQDGRRNYNACQLWGVDVDDIKFR RRARLGHYSLYVKGFRFDQVEEVSHVSQAGTIPSAWLDLAKWSKARRTRGLWDDPGDP PDDFWRTLVADRGMHNQNPPYYYMRACKETVMKGGLRSNAVDTSSLIHNERNSIVAEF CRRVQSVIWNRALIRTKGGKLGLASDGVRKGDWVCLIYGCTVPVILRKHGGLKSQQEY DRERYEDCIEALRRCIRKAAKARFRKAQYRNLKKEKPGWEVDVQSKLADYKQKNPDNA VPASTSQTANEGRSTHDILFKEDDPVIVPTLDTELDEEISESESEGDSERKERQKKAF EMDPFRFYNFLGEAYIHGMMDGEAVREKFYKGKPDHIFELR CC84DRAFT_1188723 MSGHDANDYWLQNDGGVKFAEIYGDIPAYVILSHIWGAAVDEIV DQDMKIRFCIEKVANDGLRYFWIDTCCIDKSSSAELSEAINSMFRWYQNAAKYYAHDP EIDHMRTLILEQRIYKGWTLQKLLAPAIVEPSLTQITHGALEGHPLSSFSVDERMSWT MKRKTDEDAAYCLFGLFDLHMSLLYGEGRERAFIRLLCEIQNDQEADMPPGLEQALVG P CC84DRAFT_1178170 MVSIGRATEFSNWLPPKEKNRQAEENESEILAILDSAYANDSTV TAQTVSKWESASNGNRNRKEKVELLIACTIDRMTELPGEGSFTRALIDASIELLVESG DRSFSTFQFNQRIALDKRRYEEPS CC84DRAFT_1124257 MHLTTAALPLLSFAAALRSSGNGQLAQPAIAPKRVAIIGAGAAG SSAAYHLAQFAQDSGIPLQIDVFERDWHIGGRSTTINPWSDSDQAVELGASIFVDVNH ILVNATKAFNLSTNNRENLLADIPEVGIWNGKEIVFTMNEGGWWDLAKLFWRYGYAPV KANKLMRETVDKFLQMYERPLFPWNSLSDVVQLVGLTEATGLTGEQYMQNKGISPKFA NEIVQASTRVNYASNLGTIHGVEAMVCLATNGAMSIAGGNWRIFEHMLSSSDSISTHL NNTITRISKQADGSYNLTTIAGRVSSFDEVILAAPLQFSNLAIDPAPEHTPEEIPYVK LHVTHFATPHKLDPSAFGLEADKPVPEYVLTTLQVDEEYGSKPNVGRAGFFSISIVSS GVNVHGSKPRSEYIYKIFSPKRIDHQFLSRVLGLQVSKEEAEKGDVDGTVSWINHWEV HSYPYEYPRVTFDEIKLDEGLWYTSAIESFISTMETSALSGKNVAQLIVNGWNKQSGE SSDGEGLKTGANWDFKPLLDNGQRPMKDDL CC84DRAFT_1166374 MKAQAERSAGNGEVASCVSNLIHAFTDGLNVFKRLRERRRKRKS KHRERESSAPFEPELQLSNSLRKGPVELREKYESCYGDKGEKFAKGDAIAHASLVETL IKFNTGLVRIIATFLHQDSKSSDLQLDYKSLTSLSDISRREAVDSLNSLYQRLSQSQL QLHRRSESRGDPEKKKRSSSRQRSQGPTVTRVSVKTIGTTGSSKQTQLAMVRPRNARK GSMSSSSGSSKAPSTNVSSPYTTPPRSPPLPEYSPNDPFPPPKAPATKGNSTAPKKPA LSIDTGRPTTWPQPKATKAAAFTNSLPTPPEYFAMKSLPPHLPLPFSNATHNIPRRRA DKPTPSTYTFASDSTKLGEIPQRNWTVPFNYEEAERLNAEAAVKGHPVTRAPADQKAK TRKGLRSLFKKGQAA CC84DRAFT_1150420 MTFTMSRLQMYIASSAVLANIVLVRAFVERPNFYSAAVYISQST GSILFLANLALIVMGSVGYGLQRLFYGPLRPIETEQLYDKAWFAVSETLLAMTIFRDD IGLWFFAMFLCLLAGKVWQWIGEGRVEFLEQQPPANPKLFHARLMSSLLLSVGYDLFM VFYCIDSVLADARAGVMVMFGFEYVLLAIASISTLLRYGLSLVELAITHRQEQARDEV RRVVREQARQRREAAEAAGEPVAEEEDEDDDDDVPGWEEKGRWVFFLDLMTDAIKSIV YMVFFFLLLTFYGIPIHIVRDLFMTLRSFVKRLHDFYQYRNATRDMNARYPDATAEEL ERENTCIVCREEMQPWVEPGEAAQPGRRRMDERQRAKKLPCGHILHFNCLRSWLERQQ VCPTCRRSVLAQPTAPTNTQNQANAGQINLPGPGLDDLRRLHGNMQPPAVPAQPGQYQ PAPQAGQPNQPQGNVRVFNFGPVRIALGNLRLPANRPAEGNANANNNLLMERLAQQIA QQPNPLQPQQQVQDQGIPQLPNAFTSLPLGGVPHHPNDIQGDILRIQQNILTSMQHLQ NQHHQLDNVHALLAELNRLQQVSGAAAVGQDLPPIASLNPQPIPPINPQAYFTNGPVL RQGDAGVPEGLTLPDGWTLRPMALASQNGSTEAPASTETGPSGTARRYFPAVQSSPAP APASSSSPPPTSNPTAGLPSTAAPSEPSSLGSSWSFDNAGNNEGEGSSSAVVGSGPEA QGSNQLRARPSAAGSSGPRPVTVEETDDE CC84DRAFT_896964 MCGGPSEVSKPRWWLCGALRARLDTFAEAMSTPGNDEGAKPDSA TSARAPLSPTLHTPPPPSIKDSCGQCGTQALKPPRRHRQTAARKTVRRSYAILAQRPS QAPSNSRCSVRLSALVHLSLKLCACTIHPSPSQSFRRPRGISGLCSVALYQNSESFPL YKSALQDAARMLSRCRLQHTCVITLF CC84DRAFT_1219515 MLRTLPVLSFLLSTLHGSIAQNTSTLPDVLDAETIASLGNNSLF TRWRPISHFSAPAGWMNDPCGMMYDPTGDEYHLMYQWHPNHIDWGNISWGHATSKDLI TWTDVGGWEGNDAESLVTGPVGSYNGLGIFSGTAQPVNLQGEQDGTLLAFYTSVSKLP TNWRIPYQNGTETQSLAISKDGGRTWQEYENNPVISHPPEGWNITGWRDPFFEPWPEM DSILGQSEPHWYAVFGSGIKGVGPRIPFYSAPANDLTNWTFLGALWEPSDNETLGDIL ETSTYAFNFEVSNFFSLVDEDGDVHYYTLFGAEGGNTTFHPRAAAGLWNEGIVTRRAN GSAQFTPVAGGAIDSGLLYAVTSFNDTKNNRRVQWGWAVDELNQFVTQQGFNGAFALP REMYAKKTRGLINANGGLTTKGNNRVVEHSDGTYTAYTLAARPLPEVVSGIRNGTTQR NYRSAADLPVSSPRILGNGSDHMEIKATFRNVTGPAGLTIARSPGGEEYTTIYWDPSN YTINVDRSHSSTIDNIALNYTVVGYFYPYTFAKTGMEDIVMNVFIDGSLVELYINDRF WLTTRIYPGRTDSTGFGIYVDGSNSTHAEVPEFISWVGTANVFPERPLNSSSQLVFDT AEQTNNYTWWSGR CC84DRAFT_1178174 MSITIVLATPTNLSTLATINLAAYSYSLAFHFAHKNWRDTTALL LFFSARLAIRFDSSTSQGLKAVDAISQDVLSFICWTRESGEGEKVVPTRQMLERMPDT MNKEFVEESGKVMEEMMVHLRGEEHYYLSSFAVAPAHQGEGIGSQLLQHGVQMADEAR LASWLMVLPGSHELYKRYGHEDVDHRDVDLDKWDAGKKRGCGIYQNRAMKRACRPIEQ SNDL CC84DRAFT_1261273 MAEQEYKLPQEQIDHFLEHGWIKLSGCFTREKSAELQSELWTRL GMDPNDMSTWDTKNEAGRVNMPIHRYFPAASVAPKAWAAIGELLGGESNINDSVSSWK DSFIVNVGTPQGAGKYVKPQDLTNWHVDGDFFVHYLDSPEQALLVIPLWSDIVPNGGG TIICPAGIPIVAKHLYDHPEGVSPRMTSRYDNPTFKSEGSDLSFYINIAKQMPDEAFV EVTGEVGDVYLLHPLMLHSASNNTLRKVRVITNPPVSVKEPFNFNRADGQYNVVEQKT LKALGKENLGGWKITAERQLIVPDSAKVRAEMKRLEEERLAKMKGVQVKAVEVGSEA CC84DRAFT_1166377 MPMSSDLAMVITTISLWYSRSCRNFQDPADRRAAVVTTLPRIAL AQGDWKHDRRVRHKQEETASQRVRVRYDGLHELTMVNFKSTENDADRRPRPSAIVV CC84DRAFT_1166378 MPLYRPAFRLYTLQIQRRAYSSAPSPVINVTNVPAPHSGSIRIL SFNRPAARNAISRQLLAELTHQVNSIHNEGGKGATRALILASDVDTSFCAGADLKERA TFTQEDTANFLTTLRGTLNSISQLPIPTISALAAPAFGGGLELALTTHLRVFASTTTV ALPETRLAIIPGAGGTYRLPALIGLGRARDMILTGRRVGGPEAYFLGLCDRLIEVTEE EAKTEGAARKKVLAESITLARQICEGGPIAINAALIALEGCALGEKAENPAYEMVVKT KDRDEALAAFREKRKPVFKGH CC84DRAFT_1166379 MACIFCKIIKGEIPSLKLFESEKTLAFLDIGPLSRGHSLIIPKH HGAKLHDIPDDQLAEVLSVTKKIAVAQGVQDYNVLQNNGRIAHQEVDHVHFHLIPKPN ETEGLGIEWPTKPADKGELQKLLEEIKSKM CC84DRAFT_1166381 MFSRSLFSAIALQTLVSTALAGNAIINNHCGYDVTVLSTATNAQ TPIPAGGSWSEGLQGHQSLKIAKDPNLMWAHGITQFEYSVADTLWYDISLIDCVNFGA DGGVDGANCAGYDAGIRMEATGGTCAVANLPPNTHDPSQAYFVWNDDLSTKSCLPGEI GGDITMTLCSGGGAKRSVAGRIQY CC84DRAFT_897035 MWSPGQVLSVPLRSTTQLPTIQHAILPSHRFKYTMSPQRETVVV EADPPAYPGGYGTIRTVYHIHSTGHPHRSCSRTFMVTVLLLLITLAFSIVSFVAWNFY NLSECEKNRLPWEKPCKDWLGKDSFFWGSSRHH CC84DRAFT_1124275 MPGGGVVAVTGTTDVNRVEAPVTVRAYLIVAFAAFGGIFFGYDT GWMGGVLAMPYFIKQYTGMDYPEDTGLEGQALKDYTASFVVSPAHTSLTTSILSAGTF FGAIMAGDIADFIGRRLTIIMGCLIFVVGGILETASTGLGVMVAGRLIAGFGVGFISS IVILYMSEIAPKKVRGAVVAGYQFCITIGILLANCVVYSTQNRKDTGSYRIPIAVQFL WAIILGVGLMLLPDSPRFFVKKGKLDKAAAALARVRGQPVDSEYIQDELAEIIANHEY EMSIIPQTSYLGSWKACFKGKISAPSSNARRTTMGIFMQMMQQLTGINFIFYFGPIFF KQLGTISNPFLISLVTTLVNVLSTPVSFWAVERIGRRKMLIGGAALMVIFQYIVAIIG VTAGAPGKNNPNATKAMIAFICLNISAFAITWGPCAWIVIGEIFPLTIRSRGVGLSTA SNWFWNCIIGVITPYLVADQPHSAKLGSKVFFMWGSLCVISFLFAFFFVPETKGLTLE QVDKMLEESTPRTSGKWKPHSTFAAEMHLAEKHIEVPVSTKEVHEPKSEV CC84DRAFT_1188730 MGVLAEPGNGFESGKQVEDGSIEGPLEEIDPVAEAKLVRKLDVH IIPVVMLLYLFSFLDRVNIGNARLYGLEEDLGLNSTQWQTAISILFVTYILSEVPSNL VLKKLTPSRWIAFITVSWAIVATLTGVVQNFAGLIVCRLFLGAVEGGLFPGLAVYLTF FYTKRELALRIGYLFVSAALAGACGGLLAFAIGHMDGVAGERGWRWILIIEGLPTFVL GIATWWILPDEPATTYFLNDQEKKLAVARLKRQTGYTISAQEFHWQDVRNGAKDWKIW AFSFAQFGCDTMLYGFSTFLPTIIKGIQPHASTALVQVYTIPCYAVGAITYLIVARLS DYQQKRGVYAVALGVVAIVGYAMLMSNSSAAVHYAGCFLVAMGLYVNVGLPLAWLPTN NPRYGKRTIATGLQLSLGNCAGIMSSFLYPAKEGPRFIRGHAVTLAMVAFASAVYAFM WFYYSRVNVRRARGDEDHKIQGMSDQEIKELGDDSPRFVYTI CC84DRAFT_1097842 MTEGAPAHGSVAHLLPQTYKRQVAEWLEEDTPSFDYGGFVVGEE ISEAKLLGKSEPIKHCATVRGPVRKLLLGERVALNTLARCSGIATKSNRLLRMLREAG YPNILAGTRKTTPGFRLVEKYGMLVGGVDAHRVDLSAMTMLKDNHIVAAGSITNAVRA AKSAGGFAIKVEVECQSFEEADEAIAAGADIVMLDNFTSDGVKIAAAQLKEKWGRGTG DRKAFLVEVSGGLTEENVAPYVCADVDIVSTSSIHQGVKHVDFSLKIVPKGKDTQKTS GESLEVLPSSQLAFQISTERLLDVYYENYWAAYPIPLPKHHLNQRRLESNHGMDKLLL VLQYIGSIFAPWTHPEPHYEAAEKALGSTDLPRTPWNVLALMIFATAQLHTNRTRESR RSLDTATCIAMELCMNTKEFAVAYGEGSPVLEESWRRTYHFLALTDQHFSIIVNNPMC ALMNVPNLADLPCDDEFYESGNIPPPKTWQQYDMREFDDVEVVYSSLAYLADISRITR YIMKSFMETGVFNAAFVATVDAKIAIWHSLLPASKRDPMRQDGTVDEVMFLAHLMASI LTMASHRPFSSLEYSFEELTTSSFSPSVPFMDAPKQVRPVHTARTLKACDMQTKLLAI PCASERHNIMTGCIVASIATAQIAACKLLDDHALSIARDRVRLSIGYLNAMGQFWTTS ATMAKEVRFVARSALTGLPNPIASHPEPAVEIEIPRYELGWPLDPSVQIDIYAGMTIP MDLDAQTTGYTSSSTSSL CC84DRAFT_1188732 MAKPEMYRHPTRSEIIELAIAEGHDADIPTNAGSIYQVPSHTST PRSMHQNHESEKVSTAAVDKDIEKGISAESMSSDEEPVDNNEDDPNVVWWDGPDDPQN PMNWSYTKKWGTVVLISAITFLTPLASSMFAPGVPQVMATFDSTNDMLEQFMVSVYVL GFAFGPMIIAPLSEMYGRLPLYHSCNALFVIFSIAAAVAQNMGQFVVFRFLMGCFGGA PMVLGGGTIADLISREQRGTAMVVWMMGPTIGPCVGPIIGGFMTVAKGWRWNFWFVAI VAGALMLASFILMRETSAPVILERKAKRLRAETNNPKLRSKLASDLSPADLFKFSIIR PAKMLTRSTICLAMSVYIAITYAYLYILFTTFTAVFKTQYHWKGGVVGLSFMGIGLGS LVGQFAFTYFGNKIVNKHIARGDFKPEHRLTSMCVGGFCLPVGLFWYGWAAQEMTHWI VPILGTGLIGFGLLMAFMPATTYLVDVFTVHAASAMAASTVLRSLCAALIPLSSSKMY KAMGYGWGNSLLGFVSLALIPIPFLFIRYGEGIRARSTVKL CC84DRAFT_1150446 MSLPPSNTSLAYSLPSATPHLTHTPLKPPTPNQLIVKVLVAAVN PCDIQLWHSPLVGLGRLGREGTMGWDYSGIIAAVGDKMKGNWEAGDEVFGMCEGPAAR GTFTKYLTVSDKAPIARKPKGWTFEEAASVPLVTLTAFACLDRLPPLKPTAAQRRIVV AGASGGTGMWCIQLAKKVYNCHVVAICSGRNAEFVRGLGADDVIDYTTSSVPELLLDY VSEGHKFDLYIDCVGGTDMFGTWKRIIQKQGAYITIVGDKTSRTVPGPPLTYFTYPAQ ILRHMWSWFSGPRYAAVILYNKSKYLEQVADLADKGEVKAVVQEVIEGILEEGKEKKA WERANVLLEEGRIRGKVVLKIQD CC84DRAFT_1124289 MDLTAPATAEPEHFSPASQVSSVAATLASLIRTSKHFIVYTGAG VSTSAGIPDFRGPEGAWTKRAQGKELEFDGNKTLTAVPTATHMALVALQERGLLKYVV SQNCDGLHRRSGILPDRISELHGNSNREYCKDCGKEYIRDFRAVSTYEKSYSDHRTGR KCAVPGCNGALHDTIINFGEQLPKAAMTRATTHAKKADLCLVLGSSCRVSPANSIPES VGRSKKGKLAICNLQDTPLEDVADKGIRIYTRTDNLMTQVMANLGILIPPFLLHRRLI ISIASQSSDRHQLTVTGVDVDDTPASFLRSVKVEGSRRAVRTEPFMLGIREELEEGAE VKVELEFMGHYGEPNVVVAHAYHGAAGGSNVYGLEYDVWTKAWEVRRV CC84DRAFT_1166389 MKPSPVIAAALGASASATPTHNSHKPSFNWSQTKQLIAFGDSYT FIQGTAGHANYSFIGDALSSSFHPSDLLADRIVQNLTGTAEGGPNWVEFLTSCGVKPG LTNPRSCKDKQLWDFAYAGANTIEDASFTPLHHNHTLALEKQVKQFVEYGDPALTSTH TVKKKGDVLIAFWIGINDINDLSKLRGRNATFAPLYERVQKRQFELVRQVYDLGYRHF LFMNLPPLDRGPSPSVNASMVAEFNSILKTHADGFQQAQKDATVLQFDVNAVLNRVLD GYEAYGFQNVTGYCKAYDQPDILTDPGKYGCAPLETYFWYNSGHLTSRTHEIFAGDLG KFLKGYA CC84DRAFT_897545 MATMRAWQFTTLNTPFESNLTLNTVPKLVAPSQSTSEKKPSILI RIHAASLNPADHKVPLTPLIGHFLTPKPATPGLDYAGIVEAVPDGCPTTLKPGDKVLG RHEWPYQHGALAEYILGQPNGVVKLPDGLSFVQGAAIGTAAVSALQPLELAKIKEGDS VFINGGSGGVGSFTVQIAKLLGAGHVTVTCGPANVERMKALGADEVINYREVDVVDVL KAGAKDSGRFYDAVIENVGAVDSLYEECHHFLNSWGCFVQVAGTNVLFTAKRLILPGF LGGGKRKYRTYLASNVPEELQRIAAWVDEGKLKVEIDEEFAFEDAKEAFAKLRGGRAR GKIVVRVPREGRA CC84DRAFT_1166390 MATLPENVHAALTNLLRGLQSSDNVERTAAEQQLNDEWLSQRPD VLLMGLSEQIDFAPDSTTRTFAAVIFRRQSSKPRKTANGQTADVFLTLNQPEREAIRA RMLQCLGKEQDTSVRSKIGDAVAELARQHTDEGVAWDELLGALFQASQSSDAGQRETA FRIFSTTPGIIEKQHEEVVITAFKGGFGDSDTSVRISAMEAFASFFRSIKKNAQSKYY TLIPEILNILPPIKESGDGEMLTKALVSLIDLAEVAPKMFKPLFNSLVQFSVSVIQDK ELDDTPRQNALELMATFADCSPQMCRKDPNFTNDMVTQCLSLMTDVGIDDDDATEWNE SEDLDQDESDSNHVAGEQCMDRLANKLGGSAILPPTFNWLPRMMTSSAWRDRHAALMA ISAISEGCRELMIGELDKVLDLVLPALRDPHPRVRWAGCNAVGQMSTDFAGTMQEKYH QVVLPNIIPVLESSEPRVQAHAAAALVNFCEEAEKEILEPYLDQLLSHLLMLLQSPKR FVQEQALSTIATVADSAEAAFSKYYDTLMPLLFNVLNEEQSKEYRLLRAKAMECATLI ALAVGKERMGNDALSLVQLLGRIQNSVSEPDDPQASYLLHCWGRMCRVLGKEFVPFLA GVIPPLTELAAAKADIQLLDDEDQVAQVQEEEGWELVPVKGKILGIKTSTLDDKHMAT ELIVIYAQVLEEAFEPYVNDIMEKIALPGLAFFFHDPVRVACAKCVPALLTAYKKAHG PESTQLRQLWERTVERVLEVLSTEPAIDTLAEMYQCFYECLECIGKNSLTAAHMDTFI TSARSVLEDYQERVKERLSEQAETEDGEEVSEDTLFAIEDDQTLLSDMNKAFHTIFKN LGTAFLPHWEQLMEFYKISAANPDPTQRQWAICIFDDVLEFCGPQSWNYHEQIMQPLV AGMQDDVPANRQAAAYGVGVAAHRGGEAWSEFAAASLPTLFQITQRPNARADDDVFAT ENACASIAKVLHYNPGKVPNWQDVAAAWVDTLPVTNDEEAAPHAYAFLAQLIEQQNPA VTSNPGKPFIAVVQALEAETLQGKVGAAVVAAVKALVQATGFSLAQVAGSLSPEQQRT VQVYFG CC84DRAFT_1166391 MSNADFLGRAIDTVKKAIETDTAGEYEKAYQLYYSALELFMLAL KWEKNQKSKEMIRGKVAEYMERAEKLKTHLNQSDDANRKKPAAMGSNGKAAGGSGKGG GDDEEEQDADSKKLRGALAGAILTETPNIRWEDVAGLEQAKESLKEAVILPIKFPHLF TGKRQPWKGILLYGPPGTGKSYLAKAVATEANSTFFSVSSSDLVSKWMGESERLVKQL FNMARENKPSIIFIDEIDALCGPRGEGESEASRRIKTELLVQMDGVGKDSRGVLILGA TNIPWQLDAAIRRRFQRRVHISLPDLPARMKMFELAVGSTPCELTQADLRKLAELSEG YSGSDISIAVQDALMQPVRLIQTATHYKPASIVDGLQQWTPCSPGDPDAKEMSWTDLD GAQLAEPPLRCKDFIKAIKASRPTVSKEDLTRNAEWTKEFGSEGA CC84DRAFT_1219532 MSDVQKKLQALSDAYQALQGELSGAVEARQKLESQQQENTTVKK EFDLLADDANIYKQIGPVLLKQDKTEAVMSVSARLDFINKEIARIEKQIKDVQDKSEK VKMDIIQIQSAAQQAQEAAA CC84DRAFT_1166395 MRSLSYSSVMGCAMLHGAFTYAATPDTSSSCEAVTVTETVTATG DPVPTTSPPTPSPAPCRPSWDSTAKAPSTGRLRAGLIFVDFSDTPASASGQTPSELFE PLREQPADLYRDMSFGNLEFEIVPLLDTFYRMPNISAAYGFADDEGLTAEEHGRYIAD ALAIVGDAFDFASVDVLFIAPPKDTDEINRSAQYNSPVTAPGGREFAAGTVITFGTDL YPEGAWKTINHETGHAMGLPDLYPYGAGGNGLWVGGFDMMGIVWGQSPDLFAWHKWHL NWIEESQVDCVTEAGTSIHQLAPIEVEGGVKAVAIPVNATGYVMAEVRSTQGIDHAAC EAATGVLLYTADAAVGSGDGPVRVIDANPGSEGCDSEANGAVLNDAPLRGVGATFDTE MGVKVTILSQSGDDYIIQVEREVLP CC84DRAFT_897649 MIATVVAPRTMPSLTADQSDSTHGHTIQTYTLPSRTLSDKSLFH ADYSDKVHHGPPHTPNGKPTNGAVMQSIPNGRPVQPAHGEKRTQQHADGSAGRQRASS VGKHTPSSTEAQRLNSGALSGRDDGYFASVYSSEQDDGYKTTHVTLNATSPPPAPKDA PKTSTESQPHNPQSSLQIPGSTYRVSSPPAFNHTTSPAEAPYRLQHRHTLEVPSVSAA RAQGLRGGNTTDDVVTASGRFSPVNTPTRRRGSMSLARRATRSIHSDMHLDEVPQDED AARWAEHIRQKRASKRKRRDDDDDDRVVVGTKVDQNHVNWVTAYNMLTGIRFTVSRTN AKMDRDLTDADFDAKHKFSFDIAGNELTPSAKYDFKFKDYAPWVFRHLRSIFGLDPAD YLVSLTSKYILSELGSPGKSGSFFYFSRDYKYIIKTIHHGEHKFLRKILKDYYNHVQE NPNTLLSQFYGLHRVKIPYGRKIHFVVMNNLFPPHRDIHRTFDLKGSTIGRDFKEEGL EANPRATLKDLNWLRRDQHLEFGPEKKKAFVEQMQRDVKLLQKLHIMDYSMLIGIHDL EKGNEENLRDKTLQVFQPGGEAAEDALPNTLTRTPSKLESARKAKELRQMIKTQKPVP MDQTATKMPDELQGQQKNNYFYVDDGGFRATHEDDTPGEEVYYLGIIDCLTHYNLIKR IEHRWKGLMAEESQISAIPPERYGDRFLKFLSSVTKTREAADREKAEESAHVANDPVL AGINDTRSERESTEKVLHKAHTQAEHSKRHGASEEDIPKREMRIVRSPSAERGELGTT LPVVEEAQETSSTGGRSARSTDTNLVAPPLRQEDRGLENSPRERDQSHGRPPPTPPKD SGTGASEERPPTPPKDAGYSLNQRHSGPPTPPKEERGRERKNQDKELPHLPPMETVVR VN CC84DRAFT_1166398 MPPKKSTTASTKKAAAAAPAHGSYIDMVKDAIINLKERNGSSRQ AIQKYIKANNSLGNPTDAMFKSRVNGAIRKGLESGDFSFPKGQKSGSIKLAKKDAKEA KPAAAKKEKAEPKVEKKTAAPKAKKATATKAKATTTKKAPAAKKETKATKAATPKKET KTKAAAAKPKANASKPRKAAEAPAVPKEDFAVLSKTKSGRVTKTKAAAPTKKAPAKKA AKAAPKKTTPAKKAAETKKTTPKRATPKKTAS CC84DRAFT_1097930 MTKVFAEANANPDFWANLANAEIGGGEKADDAVDYEDIGDDDLA SDQDEDENAQHDSHQLSGTTIQDDEDMEEDEDIDALFNEDAGSPVAERPQDDEAQASV PTVLPGGAAQTVTATSNVAADDDDENPEWVEQRRLFAEANRPKGERIPLAPTSDKELF RQLYPDFEKGKPLRFASLITRKRAYFKPQEPAKAPKPLAFGTKINLDIAPDQEKSFRL LSTSTAKQARADEEEEGGIIYIQQRVEKEDQSSDEMDLDVWEATNDHEMIGNVTWGDL EVACEDWNIDSESVATLSDSEGAKTPELVSINSAPKRRRLGDSDSTVMFSVYDHMNLP SWDDPELVTAKLAKKIILDMNDPQLLLDVQQPAVEEQKPRTIGVGLKRDHRGNPMLKR FNISNDEHYDALKESSQQKVRGTIGNSTIDHSLPALKLQYPFYKVNLSDRELRSFHRP TISFKPGERAIISPLKSVKRKNKRHQKPSEAFAVAEDLNVGDNADLLLAEYSEEYPTT LSNFGMGVKVYNYYRRRTAEDNNRPKPAIGETQILLPHDKSPFAMFGPIEPGEEWLTL QNAMYRAPIFQHNAEKTDFLVSRSHTGVHGSKYYMRNIDNLVVVGQEFPYTEVPGTHA RKVTEASKKRLKMLAFRLYRRGQQNNHRQPWVSNEMIKHHLPGTEIAQNRSRMREIMK YDKNLGTWEPVAGETIPDEPTLRTWIKPEDICLIDSMHAGDKQLQDAGIKTSDIQDDE DNETNESLELKLAPWHTTKNFLNACTGKAMLTLHGEGDPTGRNEGFSFIKTSMKGGFK DIGESTADKIDARNKKDNGGHSYNVQKQQQQYEDAIKRIWNKQKDSLRSSAIPSDEDL DVEAMTQPNAVRGRSEVSTPYPGLRGEDETMSQFSRNSAGAEGGKKLRIVRKFRNRHD ELEEKVQIVTDPEVIKLYMKRKKQQRLLSMNIDDIKPTGNAEFDHQQMLKLKAEMARL QRNIERREGREKAKGIAPSNGKSSSTPRKCANCGEVGHIKTNKKLCPLLNGSKKQSDT FRDAGAASPVTIAGTPIASTPGPLLPGAGSPF CC84DRAFT_1166401 MDEKPDEQGIHQWLDVDQFPEHLKKYWFQRHKIWQRYHEGVWMT EDAWFGVTPEPIANKIATHIAESAPREKTIIIDAFAGVGGNAIAFARSGRWEQVFAVE KDPKTMKCAKHNAEIYGVAKKIVFHTGDCFDIIKRFMGKNNIVIFGSPPWGGTEYNAD NVFDLSIMQPYNLDALYKKFSKLSKHVVLYLPRNSDLNQIARYAPEGKKVEVAHYCIL GASKALCVFFGDFDFEGEAEEQEGDVTTSQKVASA CC84DRAFT_1188744 MSTMFGALNRFISRLDAAPEDQNSATQGAYGFQVLRNKNPEVPL DPWFDFIIGINGRTLDNPDPNLFATEIRNCAGSPISLGVFSAKGQKIREIYIPIPAEN PTLGLSLQWTPLSVTEDVWHILDVAPNSPADTAGLLPYGDYVIGSPEGLVRGESGLGE LIEDYINRPLRLFVYNHEYGVTRPVTITPSRGWGGEGALGCVLGFGALHRVPAELEEP PPAPGETFFSAGSASFDEKRPLSSSGNLPPPQAGAPAELFVPANMALPSKSPPPQSGA PKRGKQRAHHALSPAGGGGLDDYFKEGEQKSAAQDFAPKREGSVPPPPKVGGPPRGGP PKSATPVQSEEPAGAEA CC84DRAFT_897815 MGGRYLRAGVPWWICSSPFFDSLVRTYTFWKDIFALHDFEIVKG SCYVTHTTPHHTQPYTTIHTTTICSLGNNLKKHTATSVKHNGNYSKCHSSAASPQNSR PNSQSHLPHRPQHRPRAQQAASRTSAASARRGARRATSRVPPTLTARTGTRTRAAV CC84DRAFT_897829 MLLCLQRRSQNTDSTAARSRGSGFWETKCHPLIPRPSLRIFLPE FQPSRPLHLSIPHIEPLGSFAINNQYSTISTLSPRYSIPAAQRSVPEIEPPPLAPAAV ESRDTRYLGRRYAADDSSDGGPSLLPASWPVRQRKRGP CC84DRAFT_1261296 MLAAMPPRASLTGSFSVSDENNVVVCPLRNHDGSACRKRCTGEK RYRSMQEHIRRAHPEHYISKLPATEESFTLMVSTPPQPIQQQQLPPTTTGPGYDVGEH NAFFHEQYGSMTPRTSDELRRPSLLPAATAAAALASLHNHRPDYDWDSDQDAMSDPDS KSYRPRARFAPTTLEHPYNAAEEPYYTATSLQRELLPSSLARSPPGRSSTLPPAPRSI KPNRPRKSSVGQNARKPKHERHRSKDHARRMSYDRKAFSAEPQAAAAILGKRWEDLID AAASATEEDSRDLTPVPGSPHQSPHMLGRTSLPPFVAAQFNSYAASPLQQALTPPPPE MSELQPFPSVESSIESTASAANFHIPSQGLSDSSPTYLHPVQIYCAACRKLSILKESY ACSECICGLCQDCVDVLVSEHSRGRTARCPRCGAVGGKFKPFQLDIR CC84DRAFT_1261297 MSLTVKSLNGDTAFLLTFSPPVALLTSPGLFPGSFTILVDPWLT GPATILGSFFSISEQRNKPCISSLKELEQEPDLILISQDKPDHCHEETLRQLPQDCES TILAIPAAARKIRSWKHFREELVHAIPKFDESNKQSIYRLVIPPMSPRGSCGEVTITW IPARRDISSVHHAIGITYRPPCSVLSATPGRYLDLPELPLSPPASPRSFRTIASSPRT IVPAPYNDREKTLSVLYSPHGVPYEDVQSYASSHLVHEAALPLTALIHSFDRVENPWY LGGNISAGSPGGMQLARSLYAQTWISAHDADKNNRGLSVKQTRIGKFAADKIESMLCE GDEPRKKNPIPTKLITLAAGEEYKQESG CC84DRAFT_897833 MAGNIQQLPPATQAATFVHRFTEDSLSCACYIKCCHFEGCCITA PGRSLESLPYMEDYDPITIQNREPQKQHAGRRSFLDLPPELRNKIYIQVVLSDEVIGM KLNPTIMDHGEEHKWGRDNAWAMLGVCRRLYHEASSLAYKENYFQIYRGSHRDFFITK HPGMPYDHIRFLHIFYPMESSPCNWHMNHMWYHWLKDAEMVRKWFPNLKRLFLKMGYE GFDPNTLYNYYTWAPLLFKQPSESDTAMLERVTAVLRAMTHLHGCKMPGIVQLNFWGY FRDVSSEEYYPADHEPQILNKAILKCAGPNVDFEQYRKESYPMHWITGPTATFFGNER NPAEYENIYEGVRLDSEAGE CC84DRAFT_1261299 MASQPQIHVFNKGDYSSHRLVTLPPTKLEPLSPSSLRLRTKILG LTTNNLTYANMGFALGWWDTYPIPSSASSPFNDRDTYATVAGWGYAEVVESSYPGVEK GASVFGYVHVGSGTWDVSVSDAESGLEGQVLVTSPHRAHLWTIYNRLQILPPLAQLEK ERGRETLGWDALMQVLFGTGYNLSTYGFAWSDALRIHPSGEGAWNVEEARLDGAVCVV LNGGGKTGMGFAYAARHNRPKEQQPTTIIGVGSEKSKPLLEKCGFYDDVLLSGDAAKV AQLVKQTAPRKVVLLDFGARPGVFAAYTEALEDAGAPLARFFVGGDNRPAKPQDLMKA RGERGEGVQVNANTLREKGIEVGRQKYFEDFDRAWEGFVHQGAIKGTKLVWGEGMEGW ESGWEAFCNDKVGGNEGLVYTL CC84DRAFT_1097405 MGVLSALHRKKDGRETPTETPPYCPSEDALSGDKDEEFGQQQPI RTGWTGDAHAGANEYPSQENYRTLGRWRACVILITIEVGIGVLSLPSALKTLGLIPGI IAIFGFGGLTTYCGYIMVQFYRRYPMVTNLVDCALYIGGKKFEYFLGVAFIFNLVLIC ASANITMSIALNTLSGHALCTVAFMAFPHIACWLLCLPRKLTFAAAMSWICTISIVAA VLIVMIALGVAGPKSPPGFDVHITLVGKPTFVETVNALLNIAFAFAGNQSFMSVMAEM RAPEKEFPPALFMQKSFEIVVYVVVACVIYGLAGDAVTSPALGSAPIIPAKIAYGILI PSVLGTGLLIGITAIKYMYIAVMRQFKPDQVNVHNAFTWSLWVGIGTLFWVVTFVVSN AIPIFSSILNISSAIFISWFTFGLTSLFWLHLNWKVQFSTPRKKALACVNYAILGLTL FLNVGGLYTSLKALIDIFNDPDSTLNGPFTCADNSIF CC84DRAFT_1207468 MSHTGTMRGTPKNRPKSQNIAHFAESPTPSSIPRPALEHHISQS DAGTSTLSASRAKQTKRDEAIRRKIDADLSKKKNLGGRVRTSKKAPPGTVLALKPSQA LQIKPNTTVAEAAQLMAAKREDCVLVTDDDDRIAGIFTAKDLAFRVVGQGIKASSITI EEIMTKNPLCAKTDTSATDALDLMVRKGFRHLPVMDENHDISGILDITKCFYDAMEKL ERAYSSSRKLYDALEGVQAEMGSSQPQQIIQYVEAIRQKMSGPTLESVLNGLPPTTVS VRTSVKEAATLMKENHTTAVLVQDQGQITGIFTSKDVVLRVIAAGLDPATCSVVRVMT PHPDFAPMDMSIQAALRKMHDGHYLNLPVMSDAGEIVGMVDVLKLTYATLDQINNIST QDGEGPAWNKFWLSLDNETESMMSGEGRSAMAPGTPDHRSMMSHDMHRPHLADRGDSV LPNDSASHVGNSPDASALGGVPHTPLEDTPFAFKFKAPSGRVHRLQVIASAGIEELIA HVAAKLGSEDIEALGGVPTFENGKISKSGFALSYLDNEGDTVSITTNEDLVEAISLSR LAHREKVDLFVHDPKDPPLPATVEPQPALPKPVTPPESVLRERKQFFEEDDEEQPRQV RRQPTAGKQETPELIAGVPNEFLLPGAIAGLAVVIIAVFALGRASGSNR CC84DRAFT_1166409 MVKKRASNGRNKKGRGHVKPIRCSNCSRCTPKDKAIKRFTIRNM VESAAIRDISDASVFPEYTVPKMYLKLQYCVSCAIHGKIVRVRSREGRRNRAPPPRVR YNKDGKKVNPNQAAPKQTTA CC84DRAFT_1250284 MIDVPMFASVSPLRCACSRAYVVHQNRHDADSKAVCRYVHAVIA GGAAPSAPPDGIAVFPICAFAALIFISAAGGFAPPEYNVTPRIKQSDKALHFVAFFLL TLTFYWILETSRRKVIHFTLLIVTLGLGVASEVVQGVLPIGREFDYFDIVANVLGSLT ALGLCNWYHKRMLERKRAARGYGAVAGDDHDVDIELGESRIENGQESGVVRPNVDREL DNWDENAEDWETTEPGDAISGQAEGGDLGESKRAD CC84DRAFT_1096904 MSLLSSRDRQNYARPLPNALRLRTPNASMSDEAMSNGSHNNNLA EGLETAAEDDPRVARWRENYLRTEARITALLGGDHLHDGDDGEAAQTADQPAMDVAAA HDARPTATPKKAARTIDEDDYGDDDDADEEDDTRTSPLLAKSAPNGIGRPPIDAPSLR ISSLSSKLGIECIGTPSAEQPKSADDVRKKLQQDKEADEEAAKRSFHTMFYTLESDRD AMLEQQKLDELDRQVETEMSGQSAATPAPGASTVPQQGTLSTTNLGASSLTLKHLIAR IDAERDKVKASDTQLRSLISEVRKNRSKWANEDRVGQEELYESMEKVIMELKAGEHAN PFLQRVNKREAPDYYNVIKQPMDIGTMMKKLKQLAYKSKKEFVDDLMLIWANCLKYNS ASDHPLRKKALYMRKETEKLVPLIPDITVRDRAEVEAEERRMRNGDADADGADDSEDE EPIMASRGRKAPSKGGKGGNAARKAPPAGLEGTPDQESKPAVPTLNHTVSNLKNEFLR ADSEMEGSVNGFSTPPPGTLTPIGPNGLLRSGAHGSQADVSEPDGTGASVSGFTEEDA DLDDLEYKTWKQVTKKDRATIAAERHRLFRDDQLQPDEPAILRSKAGMRRWARHRKQA IEEGTSGASASLADVKDGLQTTTSQSLAEGIAGEEERQVPDYYDPVCTIPDLDRRLQW IEDSQGHVIQQHEEYMRLVPSGQFTAPDSALVKKMESNLRQMQETRKICAKIGIVKQM QLQSQMYQNQFQKYDPQPFIEADIEPVVVSEDGPVMAPYVCRAALQRSVGKIFYHAGF EEFQPSALDAITDIAGDFFQKLVASLGLYREMPKMKSDVTVPDATGTPTTWVPRFTQE EAILHSLQVNGVDLESLETYVKEDVERLGSKLSGMHDRMRSYYAELLRPALDNAGADG SGAFNDGSEQFVGGDFAEDIGEDFFGFKELGLDKEFGVAFSVPLHLLQNRMHKAYQSQ NTNNVATTGTLMEEPAKFEPVSVQNVTNEIGLMRSWFLNKLRQNDNQSLVEDEDLPPK QRFPKPRLPPTGKISSPRKRPLREQQQMARKKRKLDEEKDETGGNDHGSSANGSFMKG LGKPVGKLKLEMPQKENQNVAEPEKDDGSAVGMISPESILAA CC84DRAFT_897956 MAPATQAPVKRATYDGSRPRFQTLDAWLTVYGNAEYAIYKRDAA PNALSYEEWSQSEHVLGPFLWYTTLDVWEEDSSSDEESDAEAPAFTARAPPKEQPKKL VIKNGQSKRGPV CC84DRAFT_897957 MGDVPVDAMTTPNNAAASPDGPGSSSRRGLRTRTPAQQRPYFHN AQVFDDLVAEPEVEPETQPSPPKPKQKLRLTGLAQVSFPEVQEERLAQEDVAISEDNY DGDELMLDPEELRPPRKAHYKGKGRAWKKTSDDEDQDYKSPVKVKSSQPTRTIGRRKS TQTAEDPSEEPASPASTSSVPAKQQRQEAKVNKKFEPVTQSPTPNPGKRPRKPRKISH LSEEFVRDDFDTAPEEHKESEIKTEPQKTQSIESASPAQRTPKKRGRPRKSDQSSALK PRKEKTTTEDPGLAGKFSQAVSPARPAPAPKKTLAAADKVAPTTTNGDKNSNPEHEER NPVQESTTPTNSPRANLINLSASSPLRRKTPEKSEVISLSGSDGDSDSEPEVISEKTS TRRSLASELQALDDSGKAVMLSGPPGIEAPKPAAAAEEAEVVQRPSPPLEAA CC84DRAFT_1150497 MSLFQRLTRTLRPTSFGAISTLRATRSARSPELGSNFFGNATRL GLRHRTYKAYNAPEDHTTSNMRLIYAFMGLNGAIFAYATYVQEQAKQGYTPAFIHFLQ NCTLSPTGFFKEGRYWTTITSVFSHMNIMHIAGNMLSFFFMGQMLATTPGFTPGKIAV LVIGSGLAGSIGWLYTTMKNRNYQKRALGFSGSVMGVGTVAAFLYPKAQFAIYGIVPV PLWALIAGYAVYDGYYLDDSNSKVGHAGHLGGLAFGIVYYFARVRGLRI CC84DRAFT_897986 MADQITNQLAATSLNEQSSSAGANWKDGLKIPSKDTRVQTEDVT ATKGLEFEDFFIKRELMMGIFEAGFEKPSPIQEETIPVALTGRDILARAKNGTGKTAA FVIPTLERVNPKNPKTQALILVPTRELALQTSQVCKMLGKHLGINVMVSTGGTGLKDD IIRLSEPVHIIVGTPGRILDLAGKGVADLSACQTFVMDEADKLLSPEFTPVIEQLLGF HPKDRQVMLFSATFPIVVKSFKDKHMNSPYEINLMDELTLRGITQYYAFVEEKQKVHC LNTLFNKLQINQSIIFCNSTNRVELLAKKITELGYSCFYSHARMLQHNRNRVFHDFRN GVCRNLVCSDLLTRGIDIQAVNVVINFDFPKNAETYLHRIGRSGRFGHLGLAINLINW EDRFNLYRIEQELGTEIQPIPSVIEKKLYVYETPETIPRPISNSQHNGQGQEQDGNVA RSNQNGRGNYRGNRGGGQFQGQPRGGGGRGLPNQQQQNQSQQPRQNNQNGHNPQRNAR PQPAGPA CC84DRAFT_1124344 MRYSIVLTAVLASLGLASDVHELKEDTLQGFVEEHDLSLIEFFA PWCGHCKALAPEYESAATTLKEKNIPLAKVDCTEEQELCKKYGVEGYPTLKVFRGEDN IVPYTGQRKADAIVSYMTKQSLPAVSDVTKDSIDEFKTADKVVLVAYFAADDKASNET FTSVANGLRDNYLFGATNDAELAKAEGVKQPALVLYKSFDEGKDTHTEAFEKEAIESF IKVASTPLVGEVGPETYSGYMAAGIPLAYIFGVDQKERDTLAKELKPLAEKYKGKINF ATIDAQAFGQHAGNLNLEVGKWPAFAIQKTDKNQKYPYDQTKSITEKDVGSFVEDFIN DKIQPSIKSEPIPETNDGPVTVVVAHEYEKLVIDNEKDVLLEFYAPWCGHCKALAPKY EELGALYSSPELQKLVTVAKVDATANDVPDEIQGFPTIKLFPAGKKDSPIDYSGARTV EDLAAFIEENGTHKVKVAIEAVKEAAEEIPEEIPEQAAAASEKAADAAEAATDAAKDA AETVADKAEGVTESVKSAASEATESAKSAASEVHDEL CC84DRAFT_1207475 MVIVTINNYDAPAQPHHPFSRQCPNFRLPWSTMASSYDLTIPSR SEKARRLSFILVQALKAAYLVFTSSLPTSPLDMPYLLASILFFFFVAWNLHLIVSMKG ERVLFGRSFGRKWFDGFLMGCVGAYACVLVGRVVEIQHPIPDPPDTSQVTPGVPLAPN TPLVAPTHMLILRIRQLIQLPILTLLQHARKLRVAPNPRKLLFIKGLASPLHHATLRV VLGGVVGRAVVHCHGGSGFAGRVERRRRFRLRFFLHAKDVRVEECCGLDAGGSDCVFG GDCLVCFRQGGIFRLKRGRRGEDGVGGCCRCEDVCVEECGKFGRVGGGGVGAEDGQGE GKSSHEEGEGYGDGRHFQDFREFMC CC84DRAFT_1207476 MSAPAAQYSPQYLNEGHGPTIIATASLMIILCTVFVGLRYYARY LTSTHSGAEDVIIPFALLAEVGLCVVGILMVKEAGTGRHLAFNIQRDPDSFTKHFKGI IVNEFLHPAAVAFPKLVVVILYLRVFTNKLERGVAWGLFGVIIATFISFFVATCVQCT PFAYSWDKSIPGGRCFDTVAFAYSSSVPNIVTDLISTVRKTGLMLIFLTGSVGIIASV VRTVVFAKTNILDDITFTNVPLINWTIIEPGFYLLAACALSFKPLFRMVAKALHLGSV LTHTKSALNKTSLHKTNQAQQKDIHMETFKSGSSGGFTKLSDSADGEGNDADGRDRDH AVWFTKSPGHKKGMSDGALSVVVTRTIEIQSEDLESGPQVRTRDHVHYNTKVSRAE CC84DRAFT_1261312 MSSTPETKPDSEVSESIQAPAAADTTIEAYGSCLCRAIIFTLTG APLNTVLCHCSNCGHASGVGFMANSFYAADQLQIKSQPSSTLKTYIDTNTDSGAVLQR QFCGDCGSPLFTRNEKMEGFVVVCSGALEREMGREWKPAMELWCKGRRGWVPEIEGTK MFEEMF CC84DRAFT_1166417 MAKAHPDADLHPEATGPAARTVKAHEPEHSLKLYSGWFCPFVQR VWIALEEKHIQYQYIEVNPYHKPKSLLDLNPRGLVPTLEYDNKPLYESTVLVEFLEEA FPDHTPHLQPKDPYQRARTRIWTDFVTSRIIPGYHRFLQHQGEDGLQEKREEFLGFLK EFTKEMDSEGPYFNGKEFGAIDVILAPWAVRLWVFDHFKGGLGIPEKGKGGDDETTWE RWRTWSEAIHKRKSVIETLSEREHYLPIYQRYAEDRAQSELAKATRAGRGVP CC84DRAFT_1166419 MRALIRTGDKSPETLTLDPNHPEPTPNDHPECYIIRTKACALTR EELTWAEPKGPDAPVPGLDLAGEIISAPQSQGEHKFKPGDEVYALTTFTWKGNARDIT VAHETELALKPEGLGWEETASVPLSALSAYQGLFVHGALKPPKEGKNTGKRVLITAAS GGVGLWGVQLAHQAGADVVGTCGTSNVDFVKSLGVDTVLDYRKVDLLEWVSVDRAARG FDVVLDCIGGVTLTDAWKCAREGAKVVSVAEPPNPKRPEDGVAEGVLGVWFIVEPNGA QLAEVTDLLEQKKCQAVVDSVYELAQFEEAFKKLEEGHAKGKIILKVGA CC84DRAFT_898859 MRYSRFGASQMSRTDDRSRVRGSRSSEAAVQRAIGCATMGGQSI LRMPAYRMYRRRMADWQHHTRVLSSLQHGRLAPRVPFEVPVTPATACQRARVGPACRA AQARLASFPAPLLLPYSSGTLFRHTCTGTGRRARDTLQPCRFKLVKPLRGQFLSLTTP CVGDEQAGGGGCRCHASASAWHVSERQRASLPDARHHTTFGASAEMKNSATFPSKISP VNSGNSVAYEQHRQTTSQNLRPCLRAFSLFARASPAVLCIHRLIAIDVTALRMATVLS FVAPWQMCTSRYPPTSGWDITAPPLYTIR CC84DRAFT_1124352 MTRAQVLTLATAALLLETLPLVAAHGDEHNGGSVDMDMHDAAPP PAVDNGAPQSYWSLSEHVSLMYWHIALEILAWVVVLPVGVMLSIARSRLAISAQFIFL VTNAFALLLGLVYNHRTPELYENNAHSKIGWIITWIASAWVFMALVQVYTARAKPYSL EDHSGEPLNAANMARYHRVHDDVQDVSPGRWSNDSGQGTERNSASLYGNSNSTSPSVE SEERQFNMPPRRATHDELDDIDIDAEKRGFLKNNAVDKFFSRNVARFAVGKPLKVLRV LYVVVDRTILIQGFVAIMSGTVVYGGIGHGGAVFNVLAHYVKGGIFFGYGLLTLGRWM GAFADFGWAWNVKPPKEVVGRRRAAIPSAEFTESFVIWLYGCTNVFLEHLAAWGDAWT AQDLEHVSISVMFFGGGLLGMIVESSRVRELLNSTVLSVQPPSQFHDEAWQQPRQYRF SMNPIPGLIILLLGKMMSSHHQASMLSTMIHSQWGTMFMGFALCRALTYITLYIRPPM SYLPSRPPTEVITAFCLIAGGITFMVSNKDTVAALESYNLDAMFTFTVTMGLVALLMA WTVVVVAIKGWATRHESASRFAKESAGVLA CC84DRAFT_898693 MASTTPLAEPRSTSRTPRPRSKSPGSRLSRPTTPLRPSSRSSFR SSVSRGRAPYTSSTNPLEDLQDGFAELSDAMADLEQNFVHLQLMHESLARFSESFASF LYGLNMNAFCVDFPEAPIPDSFKRPLHDPQTNFRTSQNQEVPDVEATFLTTDTSFVEN PPSSKVANKFQNPITPAPAEKKAATRGRGGIPRAGARGGGIPVRGGAGRGTRGSGIAR GRGAGRGTRGA CC84DRAFT_1188759 MSCRVRAVARAASKFTSPPVPKQSGSTATALVVVGIAVSGALLY PRVTNQSAKDTEEYQSEATFDYKDRTDSYLVMAPNTPVGRPGTLTPEQEGKLRELWAA TMKVFGALDEEANGAEVAPAAVNDATEKDGKKDKKKSRLNVFRRNKGDKADSETTSGA STPGTSTPDISKISLEDDKHGQNKDFRDAIANTAPEDLRKAFWGMVKLDHPDALLLRF LRARKWDVDKALIMMISTMHWRLEQMHVDDDIMKNGEEAALKESKSDDPKVKKEGEDF LAQLRMGKSYLHGLDAEGRPMCIVRARLHRAGEQSEASLERYTVYTIETARLLLRAPI DTATIVFDMTDFSMANMDYAPVKFMIKCFEANYPESLGTVLVYKAPWIFNAVWNVIKG WLDPVVAGKVHFAKNVTELEKFVPKSQIPKELEGDDPYTYAYTEPVEGENARDAAPIA ALESERQELVSKYEKTILEWVADGGASSSLDDRRRKRDAVAESLRVNYWKLDPHVRAK SLYDRIGLIGEGGKLNFYPEREKENVKPAVETSADDLD CC84DRAFT_1166422 MMIIRSVARAGHRAFLQSPSQPWRRFLATQTDTIERTADNSFKQ FHSLEAQYDASAPPQEVVPSFDPPAASDPASAQVTGSVAEQYLRFKQSQAQIGKIGSE ITPHYQPHTLLSNPPSPADVTLELLLASEAHQGHATSLWNPANARYIHGIRQGVHIIS LEATAAHLRRAAKVVQEVSRRGGLVLFVGTRDGQDRAVARASELAKGYHLFERWIPGS ITNGQQILSKCRTKVVNAKDEEIPGFEEQLADRPVLRPDLVVCMNPLENYVLLHECAL NNIPTIGVIDTNADPTWVTYPIPANDDSLRCIQVIAGVLGRAGEAGQKQRLELAENGR VTYRPAENLVLPEAMQEKTVAAAEPGAELDLNESLEDADELADKLDMAKNREISDHAA RGIKKID CC84DRAFT_1097020 MNGYSYPQSRGPFAQQQSNANPIMDLSGDDLDAAFAMGSGQSLD DIVSSNDKANRRRSMPVYAGNQMNMNSPDSRRFSSMNFGGPGNSTMDDFQFDMSAAGM DAMLPTAAFPHTSGELQNDRVPAADLAINTQFSQANPNSPFPNVANGGSAYASPLQHN GSLDMDMSPYPGGMPMSLDVNDPMAMLPNDMTMFQNSAFGPSMMNSPVAQDFGGPPTS APQDSNMGMASPANFRSESSNATSNVHSNMPTRTGSHADSRPRSNSRPNSHNPQIPSQ NGSQMSKESLANQGPIQLDPEREVPQQRYEEASYAKNNFPWVTSTGGFPSTKDRNPHS KAQFKNAYSSTGFDMLGVLMRVATRQNPQINIGAVDLSCAFVVCDAEMDDIPIVYCSE NFERLTGYSKHMILGRNCRFLQSPDGKVEPGIKRQYVDDDSVLYLKNTISERAEAQIS LINYRRGGQPFMNLLTMIPIPWEPGGSTKFFVGFQVDLVEQPQSMTNKNPDGSYRVNY QRGMSMPSYVFTDHKALPEPQGTTISKDEVSNVLAAYGSTGDSEITRRLWDKVLLENT DDVVHVLSLKGLFLYLSPSSNHVLEYDPSELVGTALSSVCHPSDIVPVTRELKETSNG ASVNVVFRIRRKKSGYMWFEGHGSLHTEQGKGRKCIILVGRERPVYTLSKTVLRASGG IGDNELWTKLSTSGMFLFVSANVRSLLDRQPDELVGTSIQALMRQESKQQFGKILELA RTGRKGEVKHEVINKRGQVLQAFTTVYPGDATAGHKPTFLVGQTRLLKYSRSTYTQRP ALYQNNKARGSDESGMSLVSTNTGTSHQQQSVSGSATPQTNTTDLRYSNTEEQAATFS GSNGLTLGHQDHSLASEDNVFDELKTTRSTSWQYELRQMEKRNRYLAEEVQSLLAAKK KRKRRKGAGQLQKDCANCHTRTTPEWRRGPSGNRDLCNSCGLRWAKQQGRVSPRTSSA HSVVSDKSKKSSSSPRHAQSKPPNVSSDNGRLLSNPTMAKVDNNGQFDGGSNPHAAKV ARMDVPASQAYSTSPAMDIPPKIEEGIEPD CC84DRAFT_1207485 MVAIYTLLAVGLTQLAITASAGDCKTFQMYCGSTLKWRGWTDKE IQGKVLQDEWNSGQYPGTDQIDKSLFKCAGQGKTLVWANGRTPCGNCVDGGPGKPDYC A CC84DRAFT_1150528 MKYFAAAVLALLPALTSAHCVAQRVRVNGADQGQLVGIRTPNSN NPIQNVNDGNFACNSGFRSPVSSTVINVKAGDKVGTQWGHVIGGAQFAGDKDHPIAAS HKGPTIFYLAKVDNAASASGSGLKWFKIGEDGLDGSGKWGVDRMIANGGWVDFQIPSC VAPGQYLLRTEIIALHSASKQGQAQFYMGCAQINISGSGSNSGSQTVSFPGAYSASDP GILVNIYDAQGNPTGGGRPYTIPGPAKLSC CC84DRAFT_1261322 MRENILNGTGHDSFDTERRWADAHIGIMKTIYQSNDNTHKYFIM CIQSALRVEERTCTTPATYLNELGRVAMNHAEGYYQWSMMEDFGDARLREKLSFHSFI DFAVWGDLISYIKACAGSISKDTLSRAAQFQHGRFSSGRVADMIKDYAPTLYKAMKSD RSPLILILRDALGTEKKKAGRTRWKIAKHE CC84DRAFT_1150529 MLGLRNLARAAPRSAARLSSKAIRPQLSLTRPVTALPAWAQSAP RLTASFHLSAIRRQESDVKEELVAKLQNEITMEEDLKEDEDLSTNIKEYLENSPFELE DKPGHQEVTLTRTFGDETIRITFSTSDLNNNVPNEDMNDSAMYDGEVDDIIDGQSGGA STKGAINQGNTRDGNLRVAPEDRIAPADREELDDEYDEDAGQQGFPAHANIRIERPGK GALAIDATAQDGDFLIEDLWYFPTADLADPATAEKDWARRTLYTGPPFNNLDEDLQVL LEKYLEERGINTRMALFIPDYIDHKEQKEYIRWLENVKKFVE CC84DRAFT_1124374 MATAPSEKKKPSALRSILAGATAGAVEISITYPAEFAKTRSQLN RRLPDGKKLPWPPFGKQWYAGCTTLIIGNSLKAGIRFVAFDMYKNLLADAEGKVSGPA TVIAGFGAGATESLLAVTPFESIKTQLIDDRKSANPRMRGFLHGTRIIAQEQGIRGFF KGFVPTTARQAANSAVRFSSYTALKQMAQSYVAPGEKLGAASTFGLGGLAGIITVYTT MPIDTVKTRMQSLEARSTYKNSFHCAAQIFKHEGVLTFWSGAVPRLGRLILSGGIVFT MYEKSMELMDRFDPHGRYI CC84DRAFT_1060705 SPPASHERRPKEHARIRRRNRLITSCLECRRRKLKCDKQQPCTN CTRFSRPCVFIAPSLDPEAQAKLAEVKEKMGLLEKTLEDDVARRRTPKPLFEAPRLPG QEPGHSDEEDEEDAKDLEFIDIATEHATYYEDEGNDDVVDLGIAMGKMRITERVGGLV RPRLSEELNEALKLVPEVDQSLNPYAGQSPSSWMSPSADFLAPSSGFYFAPGVEKTAT MTYLPNKVLVDKLLAHYWEAVHPIVRTLHRPSFERKYDAFWRNIASGVEPPRSFQAVI FGLLLQSAVSMSTEGALAEFGAEKQGLVDNFRLGAESALSRANFLRTTKLETLQATVM YLVTLCRAEVSRAHSALMGAVIRLAECMGLHRDPSTYSTNPVEVQVRRLIWYQICFLD LRTCEATGPRPQIRRDEYDTRFPLNVEDEDLERAAQRGDNVTQDSKSFTTVTVSRMRA ECFELYRMIWTERPKLQRKTEPGEKKTTLTGLLSRIQSFRAAMEKTYLPMMRRDNPLH AVAMEMYGILSSRLYLATLHPFASSDKRKMPERLRQIMISSCIMIVEHSMNIEEQPAL SQWSWYVGALHQYHSAMLLLSEMYVTRVDPTLSARIWRCIDYTFELPPSLGENEKIRF LLSELVERTGSYSSLRGVRAPGDMPHAGPRPRDGSSPRPEGNRHPYVQQVFQTEHRDE PSPQPQQDHTHESPSSSGYDASANDSPYTAPSYLPSHPLEPPARAPYPGKGPLGAIPQ VDWVTVDMSAQDLSQLPPVTNAEPYSFGGFAPSSNHPPSPMPPIYRTSSQELHGAGVE TSAPPKGGAQTAGTGGGGSGTSPTDALINDIDWNEWDKLFGSAQVGPGNVVIPPFTFP SFEPHESQW CC84DRAFT_899563 MPSSTQDKDNPPPSSYFTYPVAYAVSGLLRRLNSEPAHAPAHSP HARSQTTPGAAREGEATDMHSVFQPPRRTASPFQPPPLTPLTLSGYKTSTREAGKLLS RGLAEEIRLLVPPRLQLVDTWSVVYSLEQNGVSLATLYACADEYRGRRGGFVLVVRDA SGGVFGAYLSDAPRPQSHFFGNGECFLWRASVLPSLPDLSNLPPPPSADTTHAVRMTT IASPTKMKAGGGALSPPASGGASRSGTSTPERIRFKAFPYSGVNDYMIFCEQSYLSVG GGDGHYGLWLDDNLEHGVSSTCPTFGNEPLSDEGKKFEVLGVELWYIGA CC84DRAFT_1150543 MATTDIDISIDRGGTFCDVLVQTPGKGDFIFKLLSVDPANYRDA PTEAIRRALEAVEGRKIPRNEKLNASRVRSCRIGSTIATNALLEGKGERFALLTTKGF KDICVIGDQTRPKLFELAIKKAKALHAVSVEIDERVTVEDYDLNPFPLDKNRELIEPA LVRTKSSEIIRVLKPIDLNEVRQVLEDLRSQGFTSLAISFMHSYLFPDHESQVAGLAK ELGFAYVVSSSQNSPLINLLRRTNSALSEAYLGPIVQNYVKEFQAGFDVLPARVDFIC SDGSLRDAGRFGGNDALLSGPAGGVVGIAKSCYDAVDGTPIIGFDMGGTSTDVSRYDG HYDYLNETTIAGRNVTTPMLNIATVAAGGGSILFARNGLLAVGPESAGAHPGPTCYRK GGPLTVTDANLFLGRLILTSFPSIFGPAANEPLDLTTTSQKFAELAEEVASQTGRRLT PEEAALGFLDVANEAMSRPIRNATEARGYIPEAHNLVSFGGAGGQHACSIAEKLGIKR ILIHKYSSILSAYGLSQAELQAQAFEPFVGVFSKDALPGIRENIAVVKEKVQKELVAQ GADADSIVYEESLSIRYEGTDTNLMIKKPGDEDYGAAFGATHLREFAFNLERRVIFDS VHVRGVAKTASSPGSEGLIATLTSTRENAKYQEPAATQKVYVDHAWTDAAVHTLSQLS TGDAVFGPALLVDETQTIFVEPSFTAYILPSHVAIEKQEQIDCAPGLALATTDEIEPV RLSVFSHRFMSIAEQMGNTLQRTAISTSIKERLDFSCAIFSPKGKLVANAPHIPLHLG SMQYAIQCQHEIWLGKLQPGDSVLTNHPEVGGTHLPDLTVVTPVFDSAGKEISFYLAS RGHHTDIGGKGITAMTPTSKELWEEGILVRSMKIVSAGEFLEQDVRDAFAKAGEFPGC SPTRRINDNISDIKAQISANQRGLLLLQNLTAQFTLPVVHKYMHAIQDNAQVAVRAFL RQTLKDHPEPLTATDYFDDGTKLQVKITISEDGSAVYDFTGTGPQMWGNYNCPISITQ SAAIYTIRCLIAVDIPLNEGCLTPITIKVPKGSVLNPEPSVAICGSTLASQRVIDVIL RAYGKCAAFAGCANSFGWGMGGKDALTGKIEPGFNYGETVGGGSGAGPGWHGEHAVQV HSTNTKITDAEIVEKRTPVLVRQHRIAHGTGGRGQFNGGDGAIREVEARIPLRFSILS DRRVFAPYGMEGGEPGSVGKNFAFKWNPERTELVMISLGGKAELALQPGERMQVQSPA GGGWGTLEH CC84DRAFT_1054815 YVDAYFSHVHRAYPFLDKHQIKARAKALPHYELWTDDIVNLVMA IGSTTLVRAGKLEADASFHLAVPYTNILNKYLLRPTMEGLQVLTLLSLYSLFDTTGPS TWTIVGILTRQAAALGLSRINLTSADLEPQSRELRNRLFWSIFVLDRMVAVSVGHDAG LVDHNMAVPQPAVTVLEFASQDRSKHASLLQLNRHIIQLRQLEHRILLSVHLRNHAEL SKLTLPDRKAISSELRSAIDDWYSHACLVSMPEADNIPIHSTITWVNARYYNLLVLLY YPCHFNSQARYATTKELLSHVSKFTNYNRILLEQRQLPLNHITLGRLLPACMVLLHCF AVAHPRTYISQAELTSCIEILRAFPAAWFSAHQTSGIMTEFIELVASHEAYASRQILQ FDYSGHTPLQSSIKAGMLRLRDDLSGVIRRALSNASCYLDVEAWED CC84DRAFT_1219573 MVEYVQINGAKLAYVISSTDASKPLFITLHGGRGFGSHESDYIA YSPLANKYRILSFDYRGHGSSSSTKPYTFHQLVEDIEGMRQHFTGNIDEFVLCGGSFG GYLAQQYAITYPHHISHLILRGTAPSHHHEGEAIKVLEKRLHKAPSLSVNQLKNKIFG RFESDEEFRLVMHAAAPLYSETFDADAALSNTLRTMYFAESHNDLYSEREKYFDYRAD LKHIVARTLIIVGDQDWICPPKQSEIIASHIPGSRLEIFRGANHSVHHEKNEEVIRLI QDWVEE CC84DRAFT_1166431 MTVHNQLHNAGVAPRLAPDDLTPAIHSKYASNAPDTKDRDAVAI DVGVQDGASSADTQRPETEPQKSGWFHWHEPGTSTAEKKLIFKLDWFLLSFSCLYFFI KQLDQNNLSNAYVSGMKEDLNFGPGNELSWMNTYFLIGTIIGGPFANLIITIIRPRIW LPSCLIIWSLFVLFMFKTTTAGQFYALRFCIGLFESAAWPGIQYVLGCWYRKSELARR SALFVVSGVLGQMFSGYLQAALFSGMEGKGGMPAWRWLFIFDFLLAIPVALYGYFFFP DTPHTTQAFYLNEWERKRACERIEEEGRAPKGKLDRTIIKRIACSWQVYVFSLAYSFW TLTCGSYIIQYFGIWLKSRKIYSVPEINNIPTSVGAVNFFFMIGTGYVSDKIGRRGPV CFAVGLLLTFCYAVLTAWNVPNDFKMAVFILIGCYGCYTPLLAGWVNSTCGHDQQLRA FVLGIMVSIGQAVVIPFQQYQLPSGQAPQFKQTHGWGSALAMVIALTLWTGFGIDLAR KWFEGRQSKVEDMQEADTKIETADA CC84DRAFT_899519 MHIYSLYVGDVKFSTVVLQAMLNWFKDKGSYPVQGAVAIAYGTY EDENAITRCYKVNAVDNLPGLHRLKRFLVDTYMAVAHATWFEDEDWRYYLHEILRDSM VAMLYKHPAKNKWNIDIWKAELEAEELAVQSHLFLRCDQKLRVAHGSPASMNDRDQVY PAYGWMRHWEVRNILCPPC CC84DRAFT_899447 MPEPRCLTTTIIYFSKRANTASTVNELYVDERLRCGDTVCISSL HETVVKRKQTASWTHARRDEPQWIAAAQDCRLLMIRSDAELCPDRAKGPDLAETFVSL LQGIDKQPQRGSPTSRQCNAQPLAGNNWFVCEILKESISMEWSHSAVLNQRDKRRLEA SRNRRPDAVPTHTRLRLLLATVV CC84DRAFT_1250355 MALYLFTSEGRFKRTLGLKDLLPRRATQRPSERVGLLSTAQGSR IGGRCANLTKTLGSVDERGTSSDKARKRTECWTLLGPHSQPRSIATQEQGQLHLGTAM SDNAAASNSPRSVLLEGAWKNGSASAGGRSPRREEDGSDFGATPTPVVRFQFGRSSRL TARTRASWRPGTQQTLFLYQHRHTHSSLITLALRPSPNNARGASAEQKFAKARAVHMS SSPAVRPHKTQENGSTAPYCVPCCAV CC84DRAFT_1188772 MASQRSNSMEASQDNIRKRVCKACDRCRLKKSKCDGASPCSRCK ADNAICVFGERKKSQDKVYPKGYVEMLEQQQSQLVAGLRELYSRLKQGQGWPGAPLRE AQGGHPLTHDILERLDLLHSSNDGGRNYEGFEEDCNRMQQKLLERGAPFTRRRGSTSS DSEHDHTSSGSSFSGTPTTKTMPFTDPFARHNAPPTPPMNSPFPRQSQIISPIKQEAP MVANGFMSPGGLDPTTLSRQNWQTDTMIMEETLDFGKPMYGFDTYGNFDQSAMMLDPI VVNDPAMPDWNTPNDLDFSSFINNQVGA CC84DRAFT_1096875 MSTDKAFTFLPLGAIIQEFKVAGKNIVQGFSTAEHYKSHNAPYF GETIGRIANRTAHGKFELNGQTYQLPVNNGPNSLHGGNLGWGKCEFEGPFAVDRNGKQ ATLFKYLSKHGEEGYPGTVEVRVWYVQEKLNEDGYQKEVLHIEYEAELVGDDAPATAI NITNHSYFNLSGSSTIAGTEATLITNKYQVVDDGGIPTGPIEDYPGVNPNSSFTLGEK EPDIDDCFVVNTNPASVPLDTRDSALQTVASFFHPESRIHLEVATTEPAFQFYTGKYI DVPAVESLPARGARSAFCVEPSRYVNAINVPEYRSMTILKKGEIYGSKIVYRGWKA CC84DRAFT_901472 MKLVVEFVRALGRPSTKEYRQLGEQARYSPVPLGIYLIARGENL AALSGRTLDVCGPFPMLLSDSTCIHGRFQKNSPQRHATCQGFCPVAHFRAPANRGNLV DKESTCKLQVSEHKPTDTSLLMLTLQRNAPNAPPQIPLSRNIGTPPRCSHTDSLT CC84DRAFT_899941 MHENVHTMGFRSSLPVIDDRELQHMPIRSRSACDLWSAWTSTAT AFIAPDRNEPFVVTRNSHQQMLTYETKLETRKSHTIFSGTTKNMVLCVYGSS CC84DRAFT_899940 MIGCNVATSRPSQQTHRPDILDDSIAQCTIKQKVPNARAHSYDI NSRVLQNRNTYNSHGGKGLFVWKEFSAVYISGVDFYTFSLVHVIEGLWFSFSVFNNYF D CC84DRAFT_901679 MPRILLSTLILQERAGLELVAKLDCMRREKYKLPFETDDKRWRP YVIDRIMELLELNTPNNFKAQDSFSSTVYYLKVMTLTQSRFPPGSQLSPTNHAKLLQK LESETRLRRSIREINFPEVTNYNAITMKLNPDGTKPVMDLKLDVIFNSIEDPMQSMHV MSQGHLAHDDKIANGTPKKSLKSILQPYAHAATWQGSITIEGHGMASHKNSIFGNALR DVGLGSPDHPTKNIPAI CC84DRAFT_1219579 MGLPWFALFEAWAVAKLIRSPTFNRGVQKMYRKINRIPDTEAKN GLGRTGPSAFDHFRDELKDQFRELTWQKRPPKQ CC84DRAFT_901948 MPPCSLHCTALPPACPASASVPVAASEPRPSTLGRSPPPDRVQP WPPTRRGPGASDLLAQWAAQLGLPSLSLLRRPAKGVQSTQRARKEPVPNHADLASPWR HSCDGLRIALRDPRATRTYDCMPMCCTAHTRIALQTAD CC84DRAFT_1188774 MASSQSLQVPPSPSSSKRSRSKDSTRSAATSLDTPYFTPSASAP SLVIPNLGETPQNLQPLEHDPAPYPAFLNLSADVHQKFVDLEWEQRQRVVQGLQHQNG DPEQQPSQWARCIGDDVRDRNRYLNVDPYQSNRVRLKVPDGTSDYINASPVELRSSSS DKVLKYIATQGPKSDTYSHFWRMIWHETTTPAVIVMLTQTHEASREKCYPYYPQSLET PTMRLNAQDEYEDSFIHELHLANLEEDQEARAQIRELHMTSEGSADSRTVWHLLFGGW PDFLVPEGADRAALLRLIAMSREKNADNATNPRIVHCSAGVGRSGTFIALDWLLQELD DGSLDEVADTEDPVFGVVSRLREQRMMMVQSEPQLAFIYDVIRERWRERWISQHEEEA EKMGVTRRRSDATPEGPSLKRQKSGYGREGDEDERAQLEAELFSNAEME CC84DRAFT_901852 MTTDADDMTDTPSAEPSAASGAESHAPSSPAPAPKKSDHVEQQD FVNPTINIINSLSRKTSTSGLSLASGVSREGTPPPLPPRPNLNLLDSASRPSTSHSIT PGRPRLVSKATTQLSFAESANHKNDSREPSSSRAPKPRSYFGLNVGSSGNTSDGEDSA SIASIAPAAEAGLDAESMLGEGMGVHEKTLLASLGQNSQDAPSMFASDPVFEEAFAKE FDDVEEMAQDNSNEESIMRVWRAKMKHFLILSSAGKPIYSRHGDDQLITNYMGVVQTL ISFYQSTNDTLRGFTAGDVRFVVMSRGPLNLVAITRLPESDTQLRAQLDALYMQILST LTLPSMQRMFAARANYDLRRPLQGTETLLSALADGFTRGSPSTLLGALECLKLRKSHR QTINSTLLKTRSPNLLYGLIVASGKLVSVVRPKKHSLHPGDLQLIFNMLFEAGSVKAG GGENWIPLCLPGFNNTGYLYMYVSFLNLEHPSEPMQERPKSSEGDPDEVAVLLISAEK EGFFEMRGMRDDLVEQLQRNGGISAIRQSVHAGRPLATDLVPGTVLRHFLYKSRGNVQ FFSPSFSPHFATPVDRRRLLNLYSLLHSHLHNKPASLKIHYEMSVSVISLAWSTPLFE LYAVADGRTTRQALAVAANQVVEWVRREEERVFIIGGAVF CC84DRAFT_1219582 MSGTVNYYDYSEQYEIQEGMEHSAGAVPTGFVRHIKTIIEERGT PEPVSKGGNVAAPDRAIQHSDGIVPDIPELPASPVPRRITRDLVLVGIGPESSSGEVE ISGATAETRSSERSAGRPQDDNTALRRGIGSRAVHPAHSKDATDRHSILSQAGSSVME SSTLEFAVRCSIPMVADKGLTLDLDNDITSVYAPDADPTSEDGMTDLLEGYQRTDTKE ESEEAAEEQLNDEERVEKRSNHTSSHAAKPSDEQSFKSCTDVIKVADPSMKTPDQATA ESCEDLPEHDPPIKDSDARSFTTCIDAVTPDRSAPRLPSSQLVASEALLRRPISEMPS SSPCLPERQATPSSTSESSMSRVVGRFRANSKPSFTQGSADGSSRYLEYGAQTPPAVP PRESSSSREAQQSRGVANFLLRSVRQRFAKASPGSNLRPSKEVKTSNPQELDDLGTNA GIPTPPPMKERVLLGNGIVYADRPVQALQAPQRVLTKERILPHRQSETMNMRGNSIAP KGDTSTSASIFTATPDARQKLVTTPSPAVPEASSVYSQENLTSTGSRDEVFPGAFVQI PGPHRQDNQATTHLNWTGANGFHSYSVEGARGGRNSQEDSTTDLRLPGFRHAVTHLPD LKEESHEDSSLNTSASNFRPFGGSQPAVFRMSTDGLPTARRHPSVRSSRNSELQQMHL PSMNFSSFGSFDEALDHRVSRSLDLAPAALEELVQTVMPRSASAGEDREKYKSVFAGL DTPAKTSTTRQYAGGELWPRKLPELLVKEVDSLTIPSVNGLTFRLSEMLPQLKEALGL AQSDEFLDEEGIMEKAMERLNEVGFPAQKRSSARLRPIPGSPNMLVVDDEVFKEITGK EKNGKPSGQCHNRDEAGLGAGVAGQGGIQTHGEAAALAGSGVIAHGPARDDEVNTTGL EAPSPAHLRQHNSTSYSCHNFSSPSSQPSTRSLGSRGSTTTGIITDTRPWNLDKNYPW ATDPSVDISLPHPSASKQSPRPGPSHLRNRLSSASSESAGTYSPYGTSASPPARRGPA GHCYNSIVDDRRSIEPSAVGFDASGYPIGPVRVRDDDQSHGTGERYPTSALPLPSNLH IYPGQASHFSLETSDEEAETTSPRKTLFSRRPRRNTRASVNRRDLSQRRIRELQSSRA TDHSVQIEPDDLPDRLQRQTFANAQGMSKFTFCVQSVGAFFKKGLYKIFPCTRPETPL EPDSEPVTVPANSRNSSSSDETIRVRQHSPVPVIRAGPGHRYTPGFVISFPSLSGRIC HPSEEPESPFQSNIQPRSRRYGTSRTESAGPSTLQ CC84DRAFT_1097624 MVPFRASQHHRRSLRDLDAGNDASRLQREERSTYNVVMTFPVHP LGAMQGPFEESMLDATGGTGFVPFKKPKKTIEQRQHMLCREHDAPELTWNFTYNCHWR NKSKGKYHPLLKTVAQIIFGVHLLHQHLEKSVADVADILLKHVNELDSFLQRANEDIE GSLKDMLFRRKCLKVPMEHVNEFDRLLDDRTYRFQLLEGNVTIERTINRMSQMLNDYL VDINTFQKANQQLDAYLLQIGHNWTALDGDIGRIYSAMCGNTGGWAQFLQSLVAKAEK LGVVLVQVSSYCNEIEKRCGAASRRSMDEEEEEEEEDDEDRRLTLRQQDIYVPPSGTD ETSGQHYRSSSGGIDRRSEDVQIVLHQDIDEKEVLVHSPPLTGKDSAYSSVSGTSYAS PTSMHPRSASAQSSSHPRAQFGLFPSSGPSTPKHSMSGRYGAVSPALTARRTPEPASP LVPPRASTSLDNHLPSSRNLLLKKSSLSSLKRLFSKKKHNSVDTIVE CC84DRAFT_1166448 MRAAPVAPCCRLHLLAPDRLTGDLLRALPSTSSKQSPGAEREET ARDRTSPSAQSSASDTQPGAADVLRISRAAARIAGLLHDLKRELAALDATAPVETRGS LGVIIKTPGDNTNSIRLNDVAQRERVEFCYKRPGFGTHGRHP CC84DRAFT_1063153 LQLQYVGKKLVDLPTPAVVLDRAKVRKNCEAMLDVCGKLGVGFR AHVKSHKTLELSKLQVGPALDKPANFIVSTLVEAENLLPFLLECQANGRESSVLYGVP PAPTILPRLTHLASKLRPGSVNILVDNIESFNKLKDGLVVAKIGVFIKIDTGYQRAGI TTSSQKFPRLIRKVVGTVGEEFRGFYSHFGHSYAGSSEKDAAHGLISELEGLEEAAGE IEENYLKLLKRRVVLTVGATPTATAAMNMLSGSPRAAEFKTLLNRLKETYAVELHAGV YPLLDMQQVATHARPASGRAALTKDSVGIKVLLEISSVYDEREKPEALASAGSLALGR EPCKSYPGWGIVTNELGNDGNIVYDERGDRTGWIVGRISQEHGILTWEGPEHDMKPLD IGSKVLVWPNHACVAGAGFGWYLIVDSEKDSHTVVDVWVRWRGW CC84DRAFT_901987 MTSWCSFGRQNTSQGLGPNELSAFSRVALIAAGLKFWATAHECP FKRVACASLVAARLFLTLVPRLGAFASPGEDVTLIALRYAL CC84DRAFT_901988 MSAAAGISASNSSNNAESRPTSLPNSRYESNSSTNTQDLSPKRA ASSQHIRISKHLDRPHLTGKNRTLSDVLRSHRNHQEQETLLGDDELADPDGCVRGEHA ASGPRENFYTDPNTKLNVYYNIQRIRRLVLASIEDPYSMDQLKEPRMNVLIVKPLVDR LFDADDISIVYCLFVNRMQFLREQQFQHHHQTVNLTRANLCELVAMKVLRRHDEEFTG KQGLLQLARILVSPFTPFQNAPSEICPENRKWEYQSQGGYEGKLTALEVAIVSESKMF LSSSAPQKVIDGVYRGRIVYTPTAFMDILPDHYKHKPISLYDPRHAPLLNQYRLIVPR TRTFVEATWFVILIALYCWCMMKQNHDKFTLAELVFLIYGAGWVVDECASMLEHGWQV HTQELWAFLDVTFVVIYLSYLGLRVWAWSVDSSDLGRQALDILAIAAPILFPRLAFNL MPENMLFIALRAMVKEFTALSLIAVWCFAGFLLSLKWLSMGSAKDNFGDEPGPIEISK WMLWIWFGLDGTGITESPNFHEVLGPVLMVVYAFLGNTLFLTVLVSILSNTFSKIAAD ATAEIQFRRAVSTFEGVKSDSLFSYFPPTNILAIVFLLPLKFIVTPRWFHKINITMVR VLNAPLLLAIAWYERHHLWKPKRKPTVNGPTKRGKWSLWSTFDVHAEIREVFDEEPPE AVMQRIEEEDDLEDAILENSFAGMAGIRSRSMSPGSAMQGPRRRRLSSVWQDM CC84DRAFT_1178236 MANHSNATKRRRTCKTGCLTCKCISTGRTCDGYGIWGGGGNHVE AIGETATLLSRNSQHPRLLVNVPVSRYTLDEQLYLDWFHVITVPKIPGLFPHRFWNTL LRQASVTEPAVFHATLTLSSAHKTTRGDENATNKINALNEQDAFMLRNYSKAIHHLQP HLTTKDRASTRVALIACAVFTCLEFLRGNFKTAQTHLRSGMRVLQEYGNEQNGVVILR ATDATDQWIIETFQRLHMQVALFHRTHLRPAFIILQHQPSTTSLNSNFETLQEAWNEL NAILYKIVALKGNVPAHQIRASHATNHGTCDSELIPHQQQLQASLHHWHTTYLTCKPH LDPQEPTLVINAVMLNFYALASILCATSLSTELAYDAHTAGFLAILANSIHLWKSRPP EKICDKAGFNMAHSIVDFGWVPPLYYTAVKCRVRKIRSHAVRLLESTLHREGIWDCRI MSMVMRRVMDLEDDGLCGDDEGLALDMVPRLDGLEQPVLPLERRVGEIKVEMEDEMVE SVMVEYRKAWRGAQWERVRVALTTTETRLDAVGRWKGH CC84DRAFT_1166452 MEAPPKPTVDSLRHVVNNFPIIDNHAHNIIQPALVDTIPLESIT TEAQGRAMRDAFKSLPHLRAARQLRRLYECPDDANWEELLAQREEWLRTDPERLNQQC FQGVHALLIDDGLSNPDKVLPYHWHNRYTKAPSKRIVRIETVAERLMESLLVGVTDDD LDAATFFTDTWVTLTEEFEKEIQEAILDPEVAGFKTVICYRTGLDIEPDYERAARDVG HPFERYVERCVRKKKYRIERKALNDYLVLRTLEILSEETPRLDVMSKPLQLHTGLGDK DIDLLKSNPGCLQPVIENYTNVPFVLLHSAYPYTREAGYLATVYKNVYLDIGEVFPMV SRDGQKAILRQALEITPGSKLLYSSDGHYLPETYWLANVQFREVWLDLLIEYVEKEDV TPHQAIAMTKDILFNNSNILYNLQYEAVFNDLFVPPKTLTYNIKSPVESSTSPNAASM PPGLNLQQHASPLAPPYPSSTAPRTPSEGYQPPPFPPPPTEPQVYDTQLYDTFMQQNP EVKLVYVQWLDYMSTIRARMVPIKEFDRMMRSGSRIGISQGNTGTLQNDGSTPVMNPI GQIYVEPDLRSLRRTHHRDAIPSATVLSFWRDERGNRIRECPRANMELLINDLQYNRG IKLLCGFEIEVTFLRRSDAPQDEAFTPLTRTHAWGTMSPEQWLQIPLLAEIATSLSDI GIEVQQFHSESGQGQYEFVLAPQPLLASVDSLIQARQVVAQIAAFHGLRATLHPKPFP GIGTAAHAHISLDPPDKDLQFFVGGVLKHLPAICAFTLPEVVSYDRVADDSWTGGTWV AWGTQNREVPLRRVSGGRWEIRCLDGFANMYFAINAILAGGILGLKSNVVDFPQRDVR INPSSLDEEGRATYGITQKLPVGFAEAAFALVHDDDLVESLGQEFVGDYLAMKKSEHE MLSKMSDEERRVWLIERY CC84DRAFT_1097786 MKGPFWKTVTSHFFFTLLGILFGIAWSYWPVGGFSNDGYLSPSG HTPEVWSSENFTFTGEPSALTQSAWASLIPKGKGFVEHPHIASPPKAVAAYHQIHCLH GIRIAYYSRVNELHKLQGKHSLINHYIENMGGERGLYHLDHCFEYLRHALMCAADSNL EDLVVDEETGTAVAPGWGTKRVCRDFERLGKWSERWRASEDETIL CC84DRAFT_1166455 MLHLYFHAPNPTPDARKPKPPNPPPTPIHTLPQSHNPTTPDPVS GSCLHTTPPNIAEKIPQRIHC CC84DRAFT_1097616 MKFSFAAAALFAATAVAEVDPIVIKGSKFFYKTNGTQFYMRGVA YQQGVGAAGSTNSSSDYTDPLADSTSCKRDIEYLKKLRTNTIRVYAIDPTKDHKECMT ALQDAGIYVVADLSEPKTSINREDAEWNTPLFERYASVIDEMQQYSNTLGFFAGNEVS NQKNNTFASAFVKAAVRDSKSYIKSKGYRTIGVGYATNDDADIRENLANYFDCGDSAE SIDFWGYNIYSWCGDSSFTKSGFDVRTKEFESYNVPVFFAEYGCNEVRPRLFTEVEAL YGSQMNDVWSGGIVYMFHEEENKFGLATVSGGKVTTNKDFDNLSKEIAKATPTGVKMA NYNPTNTAAAKCPSVNSNWGAPSDPLPPVVNVQACTCMMDTISCGVSDSVKTDEDVGS LFGVVCGMKEGEYCAGINRNATKGPYGAYGMCQPKEQLAFALNAYYKAQNKAANACDF KGSATLKQAAATTAAACDSLLKQAGEGGTGTLTGGAALATGGSSSKSGSQGAAAGGLT VSHVSVGYFGIATYILGAIASGAAMILL CC84DRAFT_1178239 MPECTRLLAMHGSIGRLFGPRIERAPRLKRRPVAGSAISIWPAQ ADNYSANQGPCSAESGFGHGDSAKGTFIYRPMLAPAGQVISKQRSPRCAATFARLAGM HDGATDERKPSAISLSLSTARGRASWHVQSHVGLGASGSRHACLRWCCLIAGRAHLPQ IVPLPIGDVMQQRRWLTAGRWREASRETASTGAFLWEHASKSPQRPCRVGSEARVWSE SQAHPGGDGVGDRRLLAVVFLQCRSTALHMAIRHARVGASADTTSEEWECSCTLAARA GCAASRDSLQCARSRASDRMGDGLP CC84DRAFT_1098277 MSAHPQGGQYDDGYGHGHGQQGQDAYYHDDQYGQYHDDQRGAYN DQHQGHGDAYYDESAYYDGQGQHQQNGGYYDDRGHGQQGYQDEYYNDQYYDQGGHGQE GYGARPRRQHDSEEDSETFSDFTMRSDMARATDMDYYGRGDERYNSYNGGGQYRPPSS QISYGGNRSSGASTPIYGMDYTNALPAGQRSREPYPAWTAEAQIPCTKEEIEDIFLEL TAKFGFQRDSMRNVYDHFMTLLDSRASRMSPNQALLSLHADYIGGENANYRRWYFAAH LDLDDAVGFSNMKLGKANRRTRKARKAAKKAAAENPGNEQEVLDAYEGDNSLEAAEFR WKTRMNRMSQHERVRQIALYLLCWGEANQVRYMPECLCFIFKCCDDWLNSPAGQAQTE PIDEFTYLNNVITPLYQFCRDQGYEIQDGKYVRRERDHAAIIGYDDINQLFWYPEGLE RIVMEDKSRLVDLPPAERYAKLKDVVWKKVFFKTYYERRSWFHMIVNFNRIWVIHVAA FWFYTAYNSKPVYTKNYEQQLDNQPNPAATLSAVGLGGTVAAFIQLMATFCEWAYVPR KWAGAQHLTKRMLFILLVLVINVAPAVYIFVLDKQTGTIPRILGIVQFIIALITFFFF SIMPLGGLFGSYLKRNSRQYVASQTFTASYPRLKGNDMWMSYGLWVMVFAAKFSESYF FLTLSLKDPIRILSHMKKPDCAGDKIIGNVLCKYEPRILLGLMYFTSLILFFLDTYLW YIILNMIFSVARSFYLGISIWTPWRNIFSRLPKRIYSKVLATTDMEIKYKPKVLISQI WNAIVISMYREHLLAIDHVQKLLYHQVPSEQEGKRTLRAPTFFVSQEDHSFKTEFFPA QSEAERRISFFAQSLSTPIPEPLPVDNMPTFTVMIPHYSEKILLSLREIIREDEPYSR VTLLEYLKQLHPHEWDCFVKDTKILADETSQYNGDTEKSEKDTAKSKIDDLPFYCIGF KSAAPEYTLRTRIWASLRSQTLYRTISGFMNYSRAIKLLYRVENPEVVQMFGGNSDKL ERELERMARRKYKICVSMQRYAKFSKEERENTEFLLRAYPDLQIAYLDEEPPLNEGDE PRIYSALIDGHSEIMDNGMRRPKFRVQLSGNPILGDGKSDNQNHCIIFYRGEYIQLID ANQDNYLEECLKIRSVLAEFEEMTTDNVSPYTPGIPNPNFNPVAILGAREYIFSENIG ILGDVAAGKEQTFGTMFARTLAQIGGKLHYGHPDFLNGIFMTTRGGVSKAQKGLHLNE DIYAGMNALLRGGRIKHCEYYQCGKGRDLGFGSILNFTTKIGTGMGEQMLSREYYYLG TQLPLDRFLSFYYAHPGFHINNLFIMLSVQCFMFVLLHLGALKHETIVCRYNKDVPIT DPQWPNGCANLVPVYDWVARCILSIFIVFFIAFVPLVVQELTERGFWRAATRLGKHFS SLSPLFEVFVCQIYANAITTDLSFGGARYIGTGRGFATARIPFGILYSRFAGPSMYLG ARSLMMLLFGTLTVWGPWLIYFWASLLAMCISPFVFNPHQFSWDDFFIDYREYLRWLS RGNTRSHSASWIGFCRLSRTRITGYKRKALGDPSSKLSGDVPRARFANIFMGEIVGPL VLVALTLIPYLFINAQTGVKADNNNGKDPVATSSLIRVALVAFGPIAVNAGVLAALFA MACCAGPLLGMCCKKFGAVLAAIAHALSVIMLFAFWEVMMFLEGFNFTKALLGMIAVV AIQRFFFKLIVSLALTREFKSDTANIAWWTGKWYTMGWHTLSQPGREFLCKITELGMF AADFILGHFILFIMLPPLLIPMADKVHSVMLFWLRPSRQIRPPIYSLKQTKLRRRRVV RYAILYFLLLVIFIALMVGPVVAGKFLKLNIKIPMDLLQPTGLNNNDTTTTVTGSCIN NLPCPGPGGDEAVATSTDSSAKIRRFMAF CC84DRAFT_1188782 MDLQETQNTLVRLFNDANAQFHKMPGSAIILRYIKSSYQDDPVR SAIELFLFLFAVRYMLSPSYSTQKKVKLTEEEIDELVDEWTPEPLVAATTPTDEFENE KRPVLVGPTGPKSKLSNGRTVTNLASYNFYNFVGNEMLKEKAIQTLRTYGVGPCGPPG FYGTQDVHMKTEADVAAHLGVPACIIYAQSFSTISSVIPSFCKRGDIIVADKAVNFST RKGIQISRSTVRWYEHNDMEDLERVLQKVTKEQAKKPLTRRFIITEGMFENIGDVSDL PRLVELKHKYKFRLILDETWSYGVLGRTGRGLTEAQNVDAREIDMIIGNLSGPLCAAG GFCAGTEEVVEHQRISSASYTYSAALPALLSTTASETISMLQEQPEILTALRDNIKAM RAQLDPRSDWVKCTSNVDNPIMILILKPEVVASKGWSTKDQAQLLQDTVDECLANNVL VTRVKAYPLGLGANPRDEGWQPTPAIKVCVTSGLTKKETEKAGITIRHAITKVVKSNK WSK CC84DRAFT_903794 MARTVVGSAVVVREKFFWPDAQLNFWTIVMLATAGTILGVFAEF LVIQNRMQQAIPWIIPYGITVGALTIAWILVMLVLIAQRRLLPGVVMLFSFILLVLFI AGIVGTALNLFAGPNISNQCNTYVNNVSSQQYGSSVETLAWLQQNNICQCWNAAFAFW IIGTVFFVWIMVMASQVNQNQYG CC84DRAFT_1150586 MANVDRSDQPAEVASRVLRRAQVSKMTRTLQNRLALANVKIKHG WQDLSLDHLEPRVDVELKRKRPGSSNETMSNASSVSERFYQDGVLDSSPLAAPIFSEE IGRSGSRSSNHSHHSQTKRPRYTQSFNNYPGTSNHNRMKVRTTTTASQSWKNSFQLPE SSPVYHRRHARFGRVQHAPSLSFVSETSTVPDPRSPLMSEDDDEDLPVSSFQINKSFM RSSPPRVPRTPPPGVARSARLRQSGFTAAGAAREGHKGEEDVGLLMHFATSPSPARPG DSKPHMLPPSTPPPKSTPLPSSHMSTPGGGLNFGFGLQTPNANLNFADFLNMSPSPAQ INQSWNRTPIAGKTPVAAREARRRLNFDTLAPPTSESRMPKVEGLGMELGGELVS CC84DRAFT_907445 MPSFLSSLRRKSKINVKSEEKPAPAHVNGNGHANGHNGNGVSRR KSSSTLNSSALGSSSPATSTSGEASGQPKDDIPPLPTTRPQRPAPNPTKRYSLNVRLA SRPGTQADTNVGQGMSTSSSSQLAANPSSLLAPRVLSVSEGSWVHQQVLLIFGQIGDS AHRPLDGTVTVNHHQGRFPPTAWPVCDSYFKALVHLEPGWNRLRLDFTSPKVSSSNTS VSPHASFLNVNYLPLTDSPPLQLAIILGSDSPGTYDATPERRQREGNGLDLAIKKFRM AAYLWQAFTGEQMNRHGFGRRCFRFEDSWEPGSLSYQDWGTGQFRNQAKIHVIRSDKT VAEIRDLDRAQQNPKATKNGDLFGIAADAIKNYFQTKSGQKQYVSCLFLDAHWDPQHQ IILGHAALGGGVGDIQLGIFGSHALQSYPSSIEEVWQAFGDCTRTDTAHVANDCNEAG SNWESANIGIGAHLHETGHLFGCPHQESGVMLRDYVTLNRTFTTREPYATRTKSPGQR LVLPSDECSWHILDALRFRFHPCFRIPLDNGAGDESVQVWTVDNGQGGVIASSKSGIA WVELYPEGDDVCHYWKRWPELENQYPRQVALNETELRDLLPKEKQKSRLKLEIFSAGG GKHVVEDLSQLANQKFARVRLPDGRWGYRGGKLGFSQMEGSQPQELILDCAHISTKLL LSIRVYHGLAVDGLEFFYEDSTSQLFGKRGGKPGGTEFALDTRKGELLLGFALRAGLW IDGIQILTSLGRKSEWFGNAHGGSGHTLIPPRGYTIGGIAGSSAAWLDGFQLIITR CC84DRAFT_1261350 MSRRNGAPAGPKDEMPPSKDQTQDKQARLLSSEAGHFSLFRALH LADFITEMNGFCGVMSIFSSLRYCAQDDPTNYTNAYIALSLIPLGMFFDLFDGKVARW RKKASLMGQELDSLADLISFGVAPASAAFALGLRTPLDHLLLVFFVLCGLTRLARFNV TVAMLPKDATGKSKYFEGTPIPTSIGLACIMAFWASKGWTHAQLPLGVMGVGQWWEVH PVALAFVLHGCLMVSKTLHVPKP CC84DRAFT_1166464 MSFRGTPRGGRGGGSRGGFTPRGGRGGFQPSFGPPDTVYPMGEF MHDVEGELMCTSVNEKIPYFNAPIYLENKTPVGKVDEILGPITQVYFTIKPSEGIQAK SFKKGDKFYIGGDKLLPLDKFLPKPKPAPGAPKVKKPAGRGGARGGFGARGGRGRGAP RGGGFSRGGAGGRGGSFGGSRGGSFGGRGGGRGGSGGFSRGGSRGGFSRGGRGGY CC84DRAFT_1166466 MAEAVKDAVKEVTDNVKNLAVSGEAKPKKEKKAKKGAETGDGRP LELSPPAAFIDHRVKIFEQLKAKYDEEIAQKPREKIEITLGDGKVHVGESWVTSPADI ARGISKSLFERTVIARLDHGTPDETLWDLERPLEKSCKLELLDFDHEEGKRVFWHSSA HILGEASERRFGCDLCIGPPIEDGFYYEMALPEKAAVESSDYKPLETIVSSIVKEKQV FQRLSLSKEDLLEMFKSNPYKQHIIKDKIPDGTFTTVYRNGPLIDLCRGPHVPHTGRI KQFKIMKNSASYFLGDANNDSLQRIYGVSFPDKDRMQEHLKYLEEAAKRDHRKIGKEQ ELFFFHEYSPGSCFFLPHGMIIYNTLNAYLREQYWKRGYQEVGSPNMYNSALWKTSGH WQHYSEDMFTFEVEKEKWALKPMNCPGHCLIFGHRERSYRELPIRMADFGILHRNEAS GALSGLTRVRRFQQDDTHIFCTEDQITEEITQLFDFLREVYGKFGFTFKLKLSTRPEG FLGEISTWDKAEAKLTEALNQFTAEGGGAWELNPGDGAFYGPKIDITIQDALRRDFQC ATIQLDFQLPQRFELEYMTSEARPKTDKEAAEKPKEEKEKHDRPKELAEAENEVIKKT PAPPPGYARPVMIHRAIYGSFERFIGILTEHFAGRWPFWISPRQVMIIPVMPAVNPYV QEVQALLRAQGLHADIDISGNTMQKKIRTAQLALYNFIIVVGAEERENKAANVRNRDD QESQKRGEVIPLDEVVKKLVKLRDERRLENKI CC84DRAFT_1124434 MSNIAGNRNSTPEGSSSLRPPNSRNTIGSTHHLRASADMGGFSS PLANRGIRPASEVYFGRQNTQSGNPDEMERAAQQWLADIDQYETTLEEMATATLDQDF KDELSAIEQWFRVLSEAERTAALYALLQQTTQVQIRFFIQVLQQMSKSLPMSSVLSPA NFGEKDPMTQKLSDAMSKLSTNENRNSMGLGRPPPSPGAKRNSGLDQTTISRMFPDAA AAIAKQKAEFTDITGIAPASNRNSTVGDRSSLAAPTISAPEDKKENTVPASPWGDGQR PKSSGHPQQQPAMGQFTAPPPSAGLRSPRLPLSGDGGNMQTTTLNAPGQDGAMPLLSP SYAPGGSWASQLSTPMVGNFGQTQTQADMVANATAMKLAALSTVNNRIQLDDVRKYRR ARSSEGQGSGNGPLSPGLPGGNSFVMTNELGQLLTPQQAAALQAQQLAAMQGRRSRPT SPGFAMQGGGLGTANFLSPQANGFLSADYGSSPLGNTGMGALNLGQFGIGLGDGHGGY ISDHSEMNRGRSPRGRRGSSKPPEDPTDSNLLQDIPSWLRSLRLHKYTDNLKDLKWQE LVELDDEGLEKRGVNALGARRKMLKVFEQVKEAKEQGRLRT CC84DRAFT_1166468 MSDGEPSYIDYEEFLDPDFSATAFANTLVLSTNNPSDTPLDLST PLSRVLFDVQDIDTQIDTLTTKSALPLLDYTREHAASSGRILEEVEGQVASLTESYKA LEKEVIERHEVAEQVRLTAERLCQTVKMGRAVARCLMLGRQLEVRMTEIGGVGSAKKE DHRAMVRSADTLLSLRQMFAASGPGEEGEGLDRVNVVNALKNELVSPGERSITSRAQQ VVKEFSMSSLLTSGGQASSSTFTQTEDTKSRTTSALLTLYLLSPAAANGAAFSPTLLL SALRDYLETQLKSSLASLARSLATLPTLDRTLLEISARCQNILALEMLLESTKPPAHP LLQPIVNEPSHPDKAPPNNFLQPLLHALDTSSLPSYFWRSLASNLAPRVQDIMHRGGV SARTLRSNKDRVRDAVRDCVNRGSQVPGAPAGKGKAGAAGNWEREAAVMVSSISGQIR CC84DRAFT_1166469 MPTKMPSPSIRIPIELLTQTSFSQFGTVIENPAHSPLPRSQLGP LPTTVSANQGSALKILDVTHMSNLYSLAPSKKPAKAVMNMFVCAPRDLRPHEPSESMP SSWGDLDFDEDDYSNADRELFDVRILERHPFTTQTFIPMGLSGQERHTQYLVIVAPTL PASSSRLHTGRPPPYPTPQPKKKKNGIKDIFARARPSPFTNENAPPPSQFSRLHPSAR PKGPGLPDLKNIRAFIATGNQAVTYGAGTWHAPMVVIGARPIDFVVVQFANGVGIEDC QEIELETGKTADEGIVVMVDSDSSGKVQVKAGVGSVKANL CC84DRAFT_1097515 MRSATLSHAALAATLTTLASAQGSYVLKDDLSYNNFFQNFDFYS GEDPTNGFVQYQSKEAATQQNLVGYFEDTQSIFLGVDYKTKDPKGRASVRVESTKTWN QGLLIADIKHMPDSTCGTWPAYWLLGQQTVGKDDWPLGGEIDLLEGVNLDSAAAVTLH TSAGCAVDNATSSIQSRAESAFMGSMSTSDCDVAAEGQAKNVGCSIKAPNLTASNGMA TYGTDFNAAGGGVYAMEWTSTSISVWFLPRNSTAFAASTSNGAQALDPSTFGTPLAKF QGQGCDFEERFKNMRIIFDTTFCGDWAGKTWEESTCAAKTGAATCQEYVQNNPEAFTN AYWEIAGLKWFEK CC84DRAFT_1097653 MASSVPSIRTLSKLAVGGAQVLLGGGQIVLGGTPATCSNPQLSC HNTTIVQDLCCFNAPGGQLLQTQFWDTSPATGPDNSWTIHGLWPDRCDGTYDANCDST RVYSNISAIIKSFGKTDLLDYMSTYWKDYSGDDETFWEHEWSKHGTCISTLEPECYSD HKPTEEVVDFFQKTVDLFKSLPSYEWLAAAGITPSTTKTYTTAQIQSALQAKRPGVTV TLGCKSGALNEIWYHYDVRGSVQTGEFVAANPDGTKSTCAASGIKYLPKSGGGSTPTT TGSGSTPTSTSAPGTPFSGKGYLNVNNDGTKKGCIISAGTWYTSGTCATFTATTSGDG FTLSSSKGKCGVVSGAFTCGSGVSTATAFTSIDGKLAAGGNAAWSADNVASGSTQQAV YAGADHSAALEIVWQSV CC84DRAFT_1178254 MSEYRITHCVVEDGPAMAKNNFSAFWEDPNWSFIWRDTTLENII SLGADRYPRQLIQNRDTLRHIKVVDSETGALLGYARWKLPEKYSKREDGSAVWPEGQV PDVSDEQRDEIERKASIAQEQWKPLEFTGEDDLDAILTKTEKAILAKKDYIKLEYITV HPSNQKKGVGLALLKHGIEQARSLGLDIFLLACSSGFRMYEKAGFKELDRIIQDATRI GGTSHYEIRFMVYEVASS CC84DRAFT_1166475 MTTSESIYPFKLSIPSSNLDDLQTRLRLTRWPDKETVTDWSQGV PLATIKELCEYWQTKYDWRRCEALLNSYPQFTTAIDGVEIYFLHIRSKHADALPMLLS HGWPGSILEFRHVIDKLIDPEPHGGDPEDAFHLVVPALPGYAFSSKPTKGGWEIARTA RAWAELMQRLGYADSGWVAQGGDWGAHVASSLGHQAPKGLKSIHMNSIYLEPKKEAQT PCRDKTGAERALQRERHREKGHYGYYVQQSTRPQTVGYGLADSPVAQAAWIYEKFRDW SHHDGDVEMVFSKDDMLDTIMLYWLSNSGTSSARYYWECKFDSTAWPIDIPVGVSWFA GDTTYGPREWCERYYKNIVHWKEVKRGGHFAAWEVPDLFVAEIWEWRRKVR CC84DRAFT_1219607 MLATSTRPAPNAGRNGNGKPAYHTVTALKRWSCDDNDLPPMADI KSIHIYDFDNTLFASPLPNKQIWNGPTVGQLASPDVFINGGWWHDSSILAATGEGADK EEVRAWKGWWNENIVQLVELSMQQKDALSVLLTGRSEHGFSELIKRIIRSKNLKFDMV CLKPNVGPAGQKFTSTMNFKQELLKDIVYTYKDANEIRIYEDRVKHTKGFRDFFFQFN KDLMNGQLPTSRQAIVAEVVQVEENATQLDPVTEIAEIQRIINSHNSQVQAGTTPRGM PPYQIKKTVFYTGYMIARDMSDRLASLVKLPQGTPEGDVRYLANSILITPKPAPKSIL DKVGGIGNKVVWKVTGISCFENKLWAARVEPIPKTTKYYSENPSPTVVLAIRKGGRPA DAARIQNWHPVPDEEAHIFESVVGEKVVLRIEEEHANESRYESYFPNKGHNKRAHSED GNGRPPQQRPKGPGGYRGGNRDRGHGGFRNFSTGGRGGRGGGGHRGDRSGGGRGRGRG AGPSSSYRSLDDVDRNGPGQAGPDSYY CC84DRAFT_1188795 MDTDHSSSKDEDTCVICLSVITERAVAVPCNHCTFDFICLVSWL QQRTSCPLCKAQTTAVQYDWRSPTDFKHYTVPTLQRAPAPTYAHHSLPRRPRTSRRPY EQPQPDVAILRRRHVYRHKLYSFHVGSNPISGYQDITPEMVSNSPELQSKARAWIRRE LRVFTFLHTDPENGPSAGATTSSNAEFLLAYIVAILKKVDLKASNGHAEDLLTEYLGR QNARLFLHELYAWMRSPCNKVVDWDRQVQYEEELSEQPLRSTEDACT CC84DRAFT_1150616 MSKGTVLISGLNGFIAGVTAKYLLDNGYNVRGTVRKSSSATAIV EGPLKSYAESGALTVVEVPDITIPGAFDEAVKGITAIAHLASPVSFNFTDPDPIIKAA LSGTTTILNSALKAGPQLKSFIFLSSIVAIMSGNPAPYTYTEKDWNNWAEPTVAAKGK DAPGAAIYCASKAAAEKAFWKFREDNKPAFAQTALNPVFVIGPPLIAPKTPADIGETI HAIWNIFSGGKFPPEGLTPGLGTTVDVRDVAAQIEYAIAHPDETDGERYLSSAAVATA QSTADILRKAFPEAKGRIVEGTPGKGYKSDYTLLDVENVQASDGSKAKKLLKGGAYIP HEKSVVDTARSFAHLV CC84DRAFT_908142 MQAKNMDTATVFLGAENECPAHTGLAPDVAKSPPVRCTLMECRV SENPVQYGMSSASDYLPNCAPPSGMYATSQHSEFAAFDPTSSSRFDLSPPTTVTGRVH TETAIPGASYVYHQTHAMLDTESAMLFSGDGYGPGMQSRDVAARNEGDKNKRWASGYF ATQGIKREVNAEGLYECCVCGRTYRRRPCLRRHLRTVHEKPREKPNNAQRLQGQSMLA RWC CC84DRAFT_1261363 MARPIVLSARAIQNMPEESFPSTTPGGNVTWRTLLSSPSTPSTA LTTGLARCAAQGGQLKCHRHSHPEIYYIVSGQGVVSIKGVERAVGKGDVVFIPGDAVH GVRNDDEGEELVWLYVFAADGFGEVVYQFCGEGAGKARL CC84DRAFT_1166481 MDRFRRTSSPVGSGRPLVIGGDKDRSFGRKSLRFGRAAESFQHK LIASFRSVRRSGLGEELAQNGSRLYRALLLLPNELLVQILCELFIADILALRRASRAL NELVTACGPALVRFWVKHKMGSLHTKLYPPPRTNEAHLQYLLAMRRRHIASIRLTREL ATFVLKDTLRHTNQRQKEMWASVYEKMIPLVFGVGYFLEEHRRILLERDLGRIRPRSH IGYDICTTPGITSQERKILKSLDPPLRLQCFYMYCFILQVLMRKLRPPTYAGSVEKVL RGWHGKPACVEDIAFVLILGGVGAVAKLLACENYSERRRLLHSFITRLSPHENVRWRE HWRDLGVTSHALLDDIPCSSIGITQLDQIWTPVIVEGMSAQSMEFTPYDKDRYEEVVA SRSFLNELMGYDILRGRPPDEDDSDDGGGDDM CC84DRAFT_1124462 MDFTISLAHFCEVHGPTSIICTQASPSPCGSCNPCVTPPSEEPH PQLSYNGLYEQPPSALKLGSRIPQLTSPLETPPTSPRSPSHNPYFPSLPASNSSFGGR RPSSTYSTDNDVCDNCQIVVPKKVSARIPDGAPGSPSKDGRGRNGSPVLRTSHNFPVR GSSACDDMSSSTSSDTSDTEQSKSFDSNRSQMFPDSVPASPMYSRGMHTHTLSYVSTS QPQSPATYSLLRRTCIRTLSTETLPMGKAAGPMFFGDSHAGYTIAYVFRLRDPRARGA MRTYALIAMAGRDGLRATKAMVKITEVFESIANRIVALADRVLEKDSAASQPLIRPAT STPPLGTSASSMPVFQTSPVKDRPFSSVASSPATRNITPVSSFLSAKKVDPDGYPRIS SEVMKAKNLVEIVGMEDFFVWLHGIFCGLLHSLINQFGQLPSA CC84DRAFT_1219612 MNSNTNNAGAGNKDYLDKGLDAAEKKFGGSWGANPDKNRGVNEK ITDGARNMFEKATGKKVPEKFSN CC84DRAFT_908274 MEHFQALLRFRPTEEILLSMVEYPADLLVMKTSFQVLMDLTTWH LNLGELATVARELDTDATANPSKMVIQRLSKGRSLVLQVLSDVIRLREVTKNYPSDNY KLLSQVMVIVKLEYPEIDWIQEEQYSLSADVSIILRVLSRLEQQAEELSSQLCETFQT LTTAIAIEDSEFNKKQAQRSTQLTLLAAIYLPLTLATGIFGMNIQEINGGTPHWWVVF IVIAILFLPSASFVVMLFAGDDIKKTVQAMRDRKEREIK CC84DRAFT_1219614 MARLTDLSPELITQILEFSDWLAYLQLALSCRYLAHCSQRIMAR HRKCHDLYRATSDISPKTLPTLCRALLKDPVAISHVLALEFWGQRVSWDDWKPFAILL PNHSRAEGSGGTEPPGDGDIAADEEFVLKPHELDALERIMHDKIRLCVEDCKSWRQKI EEGDDGALKGMLVALCPNLQAVRFVKYAEPNSEGGDADADLGVDEEDEELYRHHQSSL DFLVAAISAQHEFSWSPGLSSLSRIAVGVPSRAVASPESTYRIFSEQALPLFRLPNLD SLYLNGLTLTPEQLNDEVDDLDFDTLFPGSSPSLHSLVIEDAALAGSGDAVPNLIGTM IGASPVLRHVAFQDGRVTGFDLDRILPGEDSTIRSLLFYGAVEVQGYRSEMYYPEEIH TPVFTVDISDVMLCAPATEGKGGPGNGLDPKTDAVGRWGTSRSEFGAYLWEVFGDRIP EWEAIVFVAAERLSEEEAEMIDEGLVRFIRSVERGRLFVEGEDEDEEEDEEDEEEDEE EDEEDEDGDDVEEVEEGVEDDADADNPPASQHPPRAIYLEDIDHTSLTTPRWYANAIR AGQLSGIQVHTRTTPAPRTHTSSFPWPASDKELQTSPLYGTPEVAHYLLKPHNGLVKD ACPNCGECATCLEVMDAAAWARAREEEGGAEMAMGDGKGSELE CC84DRAFT_1166484 MDDDHGTRVADYLDDKLQTPQDLDALADLLHSIHAQHGLLKQQL HDAQRDLSDAKTAAREHHHALQARARAFHDEQADIDRRLQVVAAADTSDDAVPRFERI LDTLQRLDVASAYVDLLREVDVLSQEAQSQLQTSNEAALAPYKQLRALHTRLLRLQED AEGAAPQLLYHVDQITQALRSQILHAFSAHLDNVLGKLKWPAPKATITSTLLPEWESA VLKLLDLQLPELDGVQYSQADASKIKLPAVLFPFHVLVQPLEMRFRYHFDGDKATNRI DRPEYFLSHITTLLSDYSAFVVENVQPLLLKQFRGTDLALNPVYIDAMSAFITALLPM LRAKIGTLLPKVAAQPQLLSHLMHELMSFDTTIREEWRYDGGYGVEGWKGLSWEFLVQ GDWFGRWLQVEKDFALARYQSIVEAPDFGDLDYESVDPKATKPTKGAIRVNDLLETIT DRYRPLTSFTQKLRFLIDIQITVFDKLEERLRGNLEAYLTLTTSLGRAMGGVSKEEQE RLLGVEGLERLCKTYGSADYLERAMRDWSDDVFFLDIWDELQDRARRAGNIGAMSVAD VAERTSKAVGGDSDGGALFDETAAAYSRLRIRSEKIITDTLNSNVREALRAYRHINPW ATLSASSSALASTAELDPLIAYLTTTLGFLSRALAPAPLRRIARSALATVSSIVWDNV VSRHRFSTAGAAQLSSDLHAVCHVVDKAVGPGVAEAGLRRCLEGAQLLNLPIKGGKAP AESSDDTGDTGYDWEAWGAGADDEGETGGGTGSDAGGGAVLGDADLGLWEVERRLFAD NTSARGVLEALGLEMLSESEGRAVLGRRVELAG CC84DRAFT_908332 MPKDFKKRGRRADDKKRKRDHDDGDAAPKRRRQSDAAQEAPAHD DEPFQDEAIDGVTRPGAMPFYGMLDDDEQEYFKRADEMLELDQFDGPDERTLFVESVY READGKELKIANSQSCSRLMERLILVSTPKQLKDLFQKFNGHFVHLVQHRFASHCCEA LFIQAAPVVTHEARKPDLITPPSSDPNDVVASMENLFLFTLDELEGYLGYLLTERFAS HVLRVLLVVLSGQPLERQGNKSTLHSKKKEKVGVPVPEKQDLVLGERKVPQSFTDALQ KVINDSVANLDTNYLQMLATHPTGNPTLQLMLKLELTLFGKVKAKDENSIIRKLLPDD PIKEDTPSASFINGLVYDAIGSRLLETILENAPGKLFKSIYRQFFQQRMGSLARNEIA GYVAGRILERLSKDDLDEACRQIIEQIPNLVERNRTAIIKTLIERCVARGVDTVPIAA QLQAAYGGSSGFEITRLLKLGEAPSDDGKSNAKHEQPGADKVHGSLLAQTMMTVEGPL GNLIFDSFTNLGDYMTLRIARDPAASRTLQAALVSPNASVIFRRKVIQQFYGHVGELA LDPAGSHVIDSIWEGTAGLAFIRERIAEELAENEAALRESHVGRAVWRNWRMDLYRRR RADWVKQARYTAGNEGFQSFPESNGEMTPPSQGRKTGGHLSAIELARKKWAESQAAKA KLDTEKAKRDAKEAKKESKKEKHERHGSSKGKEKAKASVAAH CC84DRAFT_1178267 MTGITNGDGAEAPLNRADEVKELLEAVEELIIPFIRSADEDAAT KHSGHGLAIRGGGPRTALVEHHPPKKLEQILDLSIPEQAGGKDGLVEIVEKVLRYSVN TWDQGFLDKLYASTNAVCRCRTRLGAAARHAEHECEIALPFPNFCPGKGSEVLINYMQ KAHVYQVSPVLTLVEKHTTKHLAHRFGYTSSHAGGISQPGGSASNSSAIVIARNTLYP ETKEEGNGDRRFTLFTSAHGHYSLEKAAQMFGLGSKNVIPVPVDGSGKMVPEELERLV KESKGRGETPFFINATAGTTVLGSFDPFPALAAIAKAHNLWLHVDGSWGGSVIFNPEI AKGRLDGVELADSIAVNPHKMLGVPMTSSFLLAKDLRQFWKAMTLPAGYLFHNAGESV DDIYDLADLTPQCGRRADALKFFLSLQYYGEQHYAGVVANAYQQAEYLASLIKASPHF VFVSPDPLPCLQVCFYWARDGKLSGDKEENGRVTSEVAKKLISRGFMIDYAPGEKGKF FRVVVNGSTRRGTVEGLVKGIEECAGELGF CC84DRAFT_1250481 MDGPTGSLMVRRFTDQLDGGTELERATISAQERLDAEEKRALDL RLQSYYEDPSDHLALDFSAAEIARNALQKTCTEMAKEIKPSKLAKIGSLLGKQRKSAK LQANEVMEGFVASSFDDLKQLVEGQESQWKSSHGIIYTNFQKLCRNVDAHKKVFELFP QQSLYASVLCGSLSLIVQASVNHEAVAETLSKAVTDITIESSLCVRLLDVIRTPEMRE QLSQVYALMFRFYRDAIEWYLSSSKSKFFGSFNEKIKTGFTDSVRAIKETISRIITLC HIGNTARGISIHRDTVFIKEEVLRQRQNQWAQNGTFIDPGEFMQALLKVMYQFQAIEK PESERITAQAEEQAIEDTRESVPTNSRSDLREIARQMEPYVVGTEGHSLLGSGQFWMP GPKVAPVLQDWMAKAELPQTLWVSGPVVSDTVSMTSSKAAAMNVVLAAWSVHSPIISH FCERPHHSADGLTCEQSGMIGLVYSLIVQLLQFRVEDDQLDLDLEDVSRLDGSTASFS EALKVLYQLFACTPDIRFCVIHSLNVLEWGGGAEWCHDFLDVLFRAQGNRRASLKILL TTSGSSRVLADRIPARSQCFAEQFAHQVPFPVSRST CC84DRAFT_1150638 MGKALHEVYDKVVSPGWYLDIEILDDSDAPPPEEPTSYDSKVKY SIDCFRKNAQGSNDYMWTVSASNPTTFEYDMDTRGDLPVIEEKKILDISITSRMRKPD TGFKGRLRRPQHDLVSGALLTVHSHYLLNVLKSIIQYATGVDDYTNGDFFFPYKDLFR HRAEIEDYKTFHKARSQHSAEYNEQCDKHIDILLQYLDSHPTIRYPEHKMIWNRPKPM TTFAGLWLLLKPCTDVYVQDNEKLNAFVIDKVSGGVSYPVEPSAALRATEYSVRVWNL HFDGKIIYRRSQWILVPYFDGERDITSLPLFPVQYQDTADASATRDSLIERGKKYFSY CKSPTFLEYSGAGLKDGWLKYKRTRVVVDHRPKPWTEERFKALGWNLDRHITENNLGE ELRAPQCECTECTKSRMSQVQGRAKFSDYDDIHPDKVDGLSDHQYLLLASHVYAHILQ DRDFDIVDVGGLTEPTIVEDAINRLVMDEVNKGVIKAIARTYTENKAGARFKADFIYG KGEGQILLLHGPPGTGKTLTAESVAEFTRRPLLNLTPADLGEDAYELETNLMRFFKYA NEWDAVVLIDEADVYLEARSEKDLKRNGVVSIFLRALDYFRGILFLTTNRIGRFDEAF MTRIHVAVGYERLTDSAREQIWDNMFDTLDEDFKRGGPQVDYHRHAKEYVKEHKDVKA LEWNGREIRNAFQTAVALAVNDSKEANERGNKNSKPVIPEIKEKHLAQVVKLSTAFKK YMKAARHNKDESENAYYYGNRDDEANSPTRRRSEPPK CC84DRAFT_1166488 MKPRPILHFTPPTPRSICPAFTAQCHRPLSYARTAPSSNEPQSF SQPRGKSIHNAFALRPHMRFASTAAVAATASRKPSTSSPSKSTKIAVSPRSTPFTAPV PQVRAKLNPPPETYPPDLQLPPRGPELYVKYLYRCGRSYITFYKQGVSNVRATLKLAK TLRAKASSQTPDKDKWHTVLTRAEWQVVNRSGNDRLRLPAFAALLVVFGEWLPLLVVY LTPVVPEPCRIPYQLERSLKSAEKRRQERERRLAIDAARLVARDRKPGVSSPGVIRPQ TVDLEALDRADLFTLLSLDARFGVQPRVWDWLFLTPPKALLRWGLKRRIGYLQKDDEA IRRDGGFQALEVREVQRACVERGIRVLGRKEGELRKELAGWFER CC84DRAFT_908601 MCHICFPSRFLKMLVIAEEAARSVDAALKSSGGTKGRACWNVTV CDCECAVRASARCCGGAASSSDSSLESLETSVLLSLSSFCFFCASRCCACFMSVSASS LLSSELLLAPASSVKTCFGAGFFETAASLVAVFGVSFCFFFASGVGFATPFVFGLWTA MLIDVFFCVSLGLFATSSDESSLSPTSSLLNWPFSFALFGVGTGMGVFLELGAGMGVF VLEPGSGTGVFRGLLMANLRATCARKLELQRFELFEIPPGMPHRRGTRLPDLCALKKT VQLLCHCDLSEHRRATSKMTGKRKRGEAIAKEIPEIAPHNGIAKPLSAIAAARLKLEQ AAAPKSTTDSVKEAPPSPLADSTASEAESEPEDISLVPQNFKLSTWRKAKSTVLSDTT KELTIVLDKHATASFVGCFDVKVLKGAVHINGANLGATSNGSKEEESVHRVYVPSTHP ITKIRGLDRTNHVQLRSCRKPTPFADINPLFANIWSGDRDGDKSRSFTGVSWSKFPSR ATLKSRQVMETDDDPLGRPLVPEHAPEDWLRSIDDISTAASTTFIVGSPTCGKSTFAK RLLNRYLTGFGKTAKSVPAVCYLDLDPSSPEYTPHGQISLTLVRELNLGPAFTHPTTT RGRTNNNSTIAAHVLPHQDLTNYEDHFTACASHLVQTYRSLRLAGPSPPLIINTSGSL YTAHFPLFTTLLNVTKPSHIVHLYAKPSISAATAENLHTLTLLAQKTSATLHELSAQA PILPQPRTPAELRAMHMTSYFHHLSLSPSSSQPIYTPSPLTTLTPWTLSYTPSQTRTQ DILALLPLYEQEVPASTLLTASNGCILHIVRTTSPPAVPPRRTPKSKIPYYEHDAETH LPPLLSPDATETICTALLVGVDPRAGELHILVPAPFEDLLPSLDAETTVLVAGCADTP EWAYVEGAYADVSRRRRELGSRAQFAGLEVLGEGVERGPWVERGAVVEGMGYTGAVRR VRRFLG CC84DRAFT_908917 MLPPHTYRRVFHAIVAQQLPGGVIIRNLEQPRRTRDPLIAVAPP SATSASGPLGTVLGFSWRGSLGFYCLFFLCLILFCLVCERGICILGRHFWQFCAAIAF DSWAIGGLERPCFGGVV CC84DRAFT_908701 MRSSPSPPEDAHHFRGNTLTPESPKPLHHPSPSNIPILEKQMDP MLGEPALSIGTPVSYQQYPQQTQTPSANSTTSYYADASQNAQNAPAVGAGVPQGFATQ GQTGQQDTSAMHNFTQPSAPFSDANHAPAQDNRNSYAFNPNAYASQQNTQAQSSSVTS VDVQALLDQLSTPANGAGSSQYAPPNVPPQPSQQSTNAPSSLPAAPNLPPRPPPQEKP STHPNYNPNDDIRSFHPHSSHRGSTQLQPLNIRGGGSVGGADKMSPTATSASSGYGQR HNQDYSRSASLGDDEDSRWPPEVNKKYEDFLEQERRFVTDGQWDQFPMGSRLFIGNLP TEKVTKRDIFHRFHRHGDLAQISIKQAYGFVQFLTAESCSRALRAEQGQQVRGRKMHL EISKPQRNTKKVEPGRDAGRRRSRSPEYNRGGRNANPVSPLDNRRFRDDYRPTRSPSP RRNQGYRVRDSSPDRYRRRRSGSRSPRRYRSPSPRRASTPDLPLPFRALHEVPDVQVL VLHEGLPRDFIRWVEETFERASLRVNVLILSPRLNESAVVRRQIIEGVLAIVRLNSAS LARGKIDVQLFDRSRGNDNVQFNEYTDLDPTTAVALVADAKQKSRSFPVQQPAPPVYS QTYGAPAANPYAPPMPATNSANPPNLSNLISTLDPNSLSQLLGAMSGNGAQQAPQAQP TITPDLARLLSSVSTPAAAPAYNPPTAQQYGAPYPPQQSQSTGQAPVNQPVTNQYAMP QNTQYASQSAQGAKVPDMAEIMAQLAKYQR CC84DRAFT_1166491 MLRARFPAARRSLTARPLLPVAPLVRFASDRSTSDASPEELQAA RRWLAQLHAETIPKSIGELSFSRSSGPGGQNVNKVNSKATLKMPLNALLDHVPSVLHH EIRASRYVAERSSAIVIQADDSRKQNDNAHSCYKRLYEAIVEAGHAAIPGETSAEQAK RVKDLQKSDNERRIKTKKQHSAKKSSRRGRGDD CC84DRAFT_1166492 MSGPSDKARFFLEQSAEELNDLERKQIFSREEISSIARKRSDFE HIVNARGSHPSDWLRYIEFEKNVNALRRKRIKRLGVKYRGSGQRTIYSLYNRGTRKFV GDLGLWMQYIEFARKDKAYKRLNEIFTQVVRLHPTKPELWIYAANYFMESQADITDAR SYMQRGLRFCKNSEQLWIEYAKLETIYIGKIAGRRKILGLDMDRTKKQEDQDDEDMIA LPEVTAEDVNPSLKDDGVDEVALQNLASAPILTGAIPIAVFDSAMKQFDGKATVAERF FDMFVEFDQVPSVTRILQHVEGFMRSNAAETVQAAACAFRLPFFGLHPASPEFPTVLA GALDTLNAAIAQHPSHKRHLSSITIRQILQSFRVAQDMDPVVRKVLSSQLRKFTGFLE ETGDGSGDSVSSLAEALKREGKKEDARYLVQSSLKRLGTNERLQQLHAALNT CC84DRAFT_1124494 MPPKYRDSDIVASFNGKWISWLHTAVAYTAFIGALAAGLSLHWH KIVQNEFYGWPQEWFPSVSATIGDHYPERSIFQLFIALTSGPRFALVGLWYVLTRRPN STLPKFILGVGIFRTLTCGGWTYITSTDDHDWHDIFMISYLVSTIPWTFGVIAMSPKN PTAVQYRKYFSGTFYATILPLIYFFIQHKVHHVAGAYTIYSFFEWALVLLDVGFDAVT MIEFQNFEIQVRDLKGISRGAGNKVVADAILEKEKNREARAVFDNRFSWFGLLNAAAD VYTGFVFWSMLTSLGLCVWYFPLWHMGISGYEVLVMCTVSPFLLSIRPLRYIFTKYVR ICHLLSLSGLLAYLIKDPANRLFAVGFGVWMSCLSWAATFYGERSQTHRLEARISAFA IGLILSSLAKFAFHTNNPIWPIMHGPNDGWNKTGLALAVVAVLVSTRSTASSGADLPA PGPTKGSATLTAFGIAGLFFSMHSLLSDSSTMILWVWEGYPVRGPLAVPHGAVTLLAM GFGLLIGLFTPSLARSWVFYGIGSVGAAVLTTSKHWTGYYGALVLAVYTMAAAPVLLG QAARHSPAKTFGIGFLVYNFLVLAHVWIVAYAFVPGGPLMREHTDWVMSAMMLCIGAG VFSLSAQPPSPKAKGKPTAPNPAARKQRAYYVYVLLFLELLAISVAYLRFPSYNYTPY HPETKSITAGIWTIHFSLDNDMWSSERRMRDVIRELEIDVMGLLESDLQRIIMGNRDT TQFLAEDLGMYVDFGPGPNKHTWGSALLSKFPIVNSTHHLLPSPVGELAPAIEATIDA YGELVDVFVFHSGQEEDPEDRRLQSEYLAARMKATPRPAILLSYLVTKPLQGNYHTYV GEKSGMRDIDPSDWDRWCEYILYKGLRRAAYARVSRSTITDTEIQVGKFVVGQPEGSN DIIPEEQVPEGLRFPALFRGQGVRGHRYHVFDEPRYYA CC84DRAFT_1261379 MPERELSPYSARVALTRQLQQGQGSQSAPPAQLNRRPWDTRGPP PERRHNGSNHSPMPQRGRGRGGRPSPPLVRGREGREESASPPPRSRRDSPPPERSPKA KSKDASVEPARSVRDCEASPEPYRKPREASTERKRDRSKDRHSRRDRDPVKDQDRPRE RERTKGRDRSRESYRLKGRDRSRESHRSKGKDRSRERDMSKGRDRSRDRHREKRRERS TERRRDGSRERKRSRDRGSRRARSHSRDKDRHRRRSRSPLPVTRRSPSSSPPPKRRRK HTSSPSRSPSPHPRSKRPLPDQEISFRGTDTSALPPTKYGGAPANLEKPNFKPTGLLA KAANKVEGTKISLKYHEPPEARKPPPSQAWRIVVFKGDDVVDSIELGSKSCWLLGREA KVVDYVLEHPSSSGQHAVVQFRYVTKTVEDEYGVKKTRGKVKPYLIDLESSNGTVLNG ERVETSRYLELRDKDILKFAGSEREYVVVLPPPEEAKE CC84DRAFT_909029 MAPTSRSKFFSWGSKSARHQSTQETYYRTGGLLEIDTNIEAKNQ FVQCVELDDTKDTTWRRNLKNLSIVPPNYITSQSPDSALQNDVLVKSAPNLLAVFGDT TFHATSGLSPTTCITSKYSLPPSPALPAELPGCFPLDNQEHPAPATQPPETDAESVHS SAVTSVRSMFSLSSGNAKTGPSKVPQHRKSANDLQKRSKRPSMIASPSSTDSRITTLS TLSSDNASSANSTKTRTGPDTILEGKQWHTVEEVTIKPITRKQPTARTKQLDELKATI TTQDQTISTLQSQFSSLRASHDAHVNSLVNAHAAEVSALKDYTKSLESQQKGLHHASS NHLLFMLDTTDNPLSPKRGTPSSSAGSTPAGSIRSFKPSHDNSRSPLRSRTNAEMENL KKKLSMAKKPETGNGDAVRELNLYKQNNEALQKQVESLMKKLNHSEERGREQQRMLEE TQKTCDEWQEKASKAEDLKKSTMALQNTIDHLEYRLELANVDKVDAEEQLLNIQSHRT PFDLKSPKVQVPDHRQSTRTSMSTVFANDSPISQDDQEPTTLSAFISHIERLQEQVRV KDMQITELDADNKQLRMKYNKLRNEHRELDLQSDIQDQLLKKAKQNEAHIEELRTAII KREAMIGEKSKALQQTERQLSHHKLLLEAEIRRHANLTLLADAQGNPLPELTSLASKD DIDRWVERLQKQLNKDKAEKFGRNAGNESTIEALRRENEFYVREIIYYKLDCRGYKSD IKKLKKIAAQMGGHDSRPDDVESPDPSVSKSAETPAPTQSLSVAPNLEASTSPSPVST GPISLTIPLTQRMTPPPSELTPAPSPTDKIKRTIKRVPLPLDINMPLTPRTPPMKAAN LANEADNIDPGISPRSVARLSPERRKPTPPSPDQEKFGELATNFPLNTPAAPTIHARR SMSDSMIQFSLSSSQSSSSSKAEIGVIPIGTRERSGSAPEPPKGKSIPERPPRPRFGL FDTDLSDGTPFRIQTPPRTNVLAEAMRNSPDQARSNRQPGTGKVPAPSGFVTIKSPLG EPKSDPSSPIIESAVPAPLQFRSRESSTSSSAIASPPRRPSTASSSNIPFVIAMGSPH NPALMSPHPRVPPTTCFITAKRNVVSPTLRTGLGGTMASTTPVTSPTSPTKPSNQPYF SSVLPSSRAHYMHTKPASAPPTRSTSLSSSFAAPTAASRSRTMTSGHARNLSASSIRN AINLPARIDSIKWRGKSRKDDIGHPTPLSSPFDTERSTPDKHIGKAI CC84DRAFT_1124502 MASAHDDATPSTADAPRTQSLETKPSLFQLQYALEKHESTMHDI SEAEDVRSFETPDADLPPLPADDEDSFLHADNVADTQLHDNDSALMHSEMERHLMHME SSFLIDPEASVTTDAPPPAAADDTYLFGGSPGHSRPTSKAGEEENRAEPPTPFHDSHE GDSSVLSNEPPTPAGAYKTPAVRRLDINDESMDSGVSTSEVMPSSPSADAAKRRIKSS ETIDQGQSVDEDRDELAAAEDGDEQSEQDISILPELPDLRSLRDYDDSRRPTSSASTV KAPPDFTATDGGDGESSFGDRHELSTENMRLSASQAPTTALKVGKRPSFLSNRTSSQR SSLSSMTNLSNMSGDNGSTVSLGADYALQSGGSAPRSSAPRNIELSRLPSLGSIASSM SGYSDTNPWDRMRSISSNSLSALLHQDPNLEPLEEEAPGTTTPPETPRAPNVKIIPPT DTVIARHVQDIQVPDTVAREFRQKHGDSPKKRHTATPFTRNKHNLTLKEQNSKIDKLS KENFDLKLKIHFLDQALQNRSDENVQETINKNVQLQTDLANEKKENQSLRKRLRDLER RLKAQDDGATSRETISGDEEDRSDGDVSRSALEEEIEFLRERLETTETTIEQWREEAL QKEADNRRMADYIRTMNEKGVVDESAGVSEAINMWKEDFENERLRREQAEGRFAQAEA ERDHLQKEVQRLREEHFSQQSHHSTTNNHIKHVYSNRHLRSTMTTRSNAGSDFNEQQA ISSMGGTSGTLVEHLQVDNEKLQRDLHAQASMLTSRSRENQRLREENEGLKLTIRRGD VQSIAGDSILERSISRNHQRSVSRGSRDTRATQISEPVRDQERDDLEAKCASLRDELS QTKLQYKELDDQLVGHLDLLEQTELKVQELQRELDASTEDLQALSNERDEILEGLQEK DQECEDVRQQALSTIQRLEAEIEQKELECNRLLIELENITEDFNALQHEMKNVSESLM QLEDDRDASMRKIQHLESELDDANQELVRQDKLLSDEKAKNEKLDIQLESCQGEIDFL REEQEGDKIKIGELESTLNAAQITIQDEKERHRELEERIAEERRQREVLESQEKQEVD KVITELNTQLAKLKEENRKLRKGLSSKEVEAAQWKQRLDELESNLREALGNLNGTRAS LLKDVQKLQRDLEETQQELDNSRQDLAEKDRLLRNRDALLESTGLESRRLSDMLEKER QARRQDQASFENVKRGQQSVTRTIQQHETRVLELETLRSQDRQKLHTLEKKYREELLE RNNLLYALWNRLSTLCGGEWARNHSLVNGELPSMEVISKNIQGFNKNIILAVKYVEGI VGGFRQRIRSLDKDLTRDYQTLEHTLDVRLKRLDQVEKLVRAQRDSIGRPSTVRGGVV ELNTQELNKLRQENKTLRTEIQTLRAIQVTTQVSPGGSRSSSPNSKRASVAATLLRHH STSAVEGIQKQTPDHPYPQAGPLQHNEQKWIHRLKELERRLKAEREARLLDRSGARKR LEQKVEENAELRGMLERERERSGSVVQSMAGSVSASRSNLGVEEDLY CC84DRAFT_1219629 MLSTAKVMAPPVSKKTTPKLKKALAKKTAKTISVIPRKRSTQQP TDEDERAPKLQKTDPIDSIASAPKHGSSQTPILLDESDDDTPPAELWSWSRAPFVRVK EEAPPRKSFFSGLGVRGLGNSKSFSRKHAGAGTSAANAAEDVRVELSPNAAGTRPTRS ASQNFSLYQKSVMDSERSATRIAELECELEHCKRDREAAIDVLKRDHEATLSRLSQDM GTTVDIMRLEHKSAARLYEVRIESERQNGEANLKSQAETQRLETKSLLDDIQRTATFQ DDEKARRITALETEHQGLRDENQSLKGQLSAAKAALEEREVLIPSEDSFKALEARNLA LTQELQVIKQHLDTPTGSQSQSQDIRPPTRPEHPLSPTPTLSSSFLASDETKTTNVRN MFLKVKRRHDNLVTVAKRIHDVTTGMDLAAFGEFGRQVGELKKVLHGALKEGAGGGVG GRQVSRGGDGEG CC84DRAFT_1207541 MSTTRDSYDARRQPYDGPADPRDKSQETGLLTRWVPEKLKHGGF YRWFLRFTRVLQFLSSIISLGVFSSRLYKVYRLVNSIRTRRGVNGAYGAVEGILAAAV LYTLITTLLGCIKKSANPGGKALRWIWVLMDVLFVGAFIAVSVLTRPSGGLAGVANCY NPQRLSGNNGGDITGETASQDDTCNLPWGTFILAIISTILHAITAAFHEVKDQRRQNR LHREKVVQRQYENDTM CC84DRAFT_1098033 MASIFTFDPDPPRVSSPWARATPPPEEQSRPKLAQKTKSVGPIL ISKKRKDDDALSTLDYSAITRLEAEPQEGPTEYKLHLLLRKRRSFTSTSTGGHVSGSL RRNDSYAPGKQSVHSLISNTLPPLGATQSRQHRLEQLTTQMLWRLQQSCPHHGSSSTA GVQLQFPDEASLAGPATPQRLYPGLEESKGALYEIGVADDGELVGLAEDEMEESLNNL RAMAASLGCQVDVVRMLAVGDCKWVEGRTPRQSKLLVAEAYVHPDHVLVQQESEGESD QNPRATAHASLPQLRISLTGATMSGKSSLLGTLSTGTFDNGRGKSRLSLLKHRHEIES GVTSSITQELIGYGDLLDPEGIRSRSQIINYGCTDISSWSEIHAYAESLSEGKLVFLT DSAGHPRFRRTTVRGLVGWDPHYTLLCIPADSNEDAPGSGSGSRENLASAADLDLSGE LLRLCLSLELSLLIVITKYDLAKKAGLKSVLARVLSTLKDAGRTGRIIADPSTPPLNA SLETISAAEVSEAEAIAQPFETMPLATVPIVLTSALSGTGLRKLHALLRTLPVPTTTT PPSIPRKRLFHIEDVYTNPSGRNDADKPTIVGGHLSLGELNVGDQLLLGPYPIDSSAD DSDSGSGVPSTRDSPVPTSRSYPGALQKRSTAHIHARTGSSALFEWRSVRVTSLRNLR LPVSTLYSGQVGTVGLVPIHTPISSPAMARVRKGMVLSEQSPKAHKTITVQFSGPQAE SAKALTVGSAVVVFFASVRAPSKVLSVTPIASTTETTAPHGDSAGLEDEGWFGFDEDS ASDLGNGASGGGATPTAVTACVQFQFIGGREFVEDGVKVLVMPGGGPGLSGSIERGEK GIAGLEGFVGRVVDESM CC84DRAFT_1178279 MRPGPPSGVLAAEAMSVDTAGSAGQDCANAEVVRLMHDDSTEAS GRTSDAPDKCAHCSQPCGETIMRPPRGYAMVTQKRSPTTCFSMAVLQSVFWPPESQSA SSVRYRIRLRLVQIECSTNNYLIRSQFLASQEPCLVHVHFFRGPVRALQMPSFPHSRP AGFPLRESHVPVNECVKLMSELCCNGGTVYERAIHVADRSR CC84DRAFT_1188816 MTPRSPTQQPQPKTTTISRRLRNRADQHLSVQPPELPQLRGLRS RRAATLPSNRRTSTSYPVQEAYLNTWNANLDNILQRSTTSHPADLAHSNLLLQEALDI ATYQSPSDASTALVSIQPGEPESYQVQPSSPADLLPSPLPSLSGSHTATEFDYSESPH DPSLELQDMSTLGFMMAPAPYGMEHHYGSPPNMESPSKQWTPPQSYTDTKSSTSASYA PTYTASPSMHGQPQSRRSTESSVMPPYQPSLPRSPYQQSMGPTRTSPTPMNYGPNSEP SPMLSSAPQAYSYPAVHSSLPAAALGSNTPNYPPPPLYPPSSYGMSDYTPLPTTLYPS TTSPAPYPSYEHSPHLAPHSAGAIPGSTPSQNQTNGPMPRILNSRPKPQCWEHGCNGR QFSTFSNLLRHQREKSGTAAKSYCPRCGAEFTRTTARNGHMAHEKCKARRTSDSNR CC84DRAFT_1178281 MPGVAGSPIPGKAACTGWCLDGLSSQAAARPSSRPFSVKALQRP ALADARALDKDSGKRVQFVPGCGDERGVTLLLAGGTWAPLSCAACRCRARGRRPHPHA ASAWSKRGRVSCPLPVTQHRRERLGARAAAVERLPLSAVLLVASMGRHSRRTTLAPAR A CC84DRAFT_1166507 MTMTPRPSKPPDVSAGGVSWALPDHFNASPSSTLLPRRIVSLEQ PASPQERHSTRSSSTQPRRATALHRHTSARWRSQQSSLRAKEAVNAHCAVA CC84DRAFT_1098281 MPHSTPAENDVAVGESLPPQTPHAVSVSLPTWKANVGYEEGEEW VVSKMVTGYPRFFVHKIIQAFATKIAAKYGQPDDQAMLFPTSAIAGRCRDFFLRQAPE LEAQQVWIIDLVPSSERARSEEFSVISPRVSAVLFPESHFKIAKTFWQHSGDGVSSRR AEYCQSLFDEGLLVDSKETQEVPKVCKGPRRYRQKTSIDLTGSGDNSNGNENHDSAQF VEQRFGRNLDISQTKNAKLAVRRRIAGSLTADVGLEKALSVKKDNDRIRDVPGFSEDD VYLYPCGMSSIFNAHRNMMATKAPMKSIVYGFPYIDTLKIVEKFGPGCQFYGHGSAED LDDLEKRLEGGERFLALFTEFPGNPLLRSPDLERIRYLADKYDFFVVVDETIGNFLNV NVLPLADIVVSSLTKVFSGDSNVMGGGLVLNPKSKNYDALKRTWDEDYVDNHWAEDSI FLERNSRDFVSRIERINSNAEAIAEVLNAHPRVKKVYYPKYSDTRQNYDKCRNPNGGY GGLLSATFYTKQDAAKFFDNLDTVKGPSLGTNFTLSSPYVILAHYHELDWAASWGVEA DLVRFSVGLEDTRKLVGTFQRALEAITDTDSHTTT CC84DRAFT_1188818 MEDPEDASDDASATDGYLEEEDDEGEEEEEVIEARARQQKTAAK TKDSDKTKLRKQSDAQLQDGAYTAETFKSNVFKLQVDELLDQVKLKYGKKEAPAENAM RTLKSMIEQIPSREPQLLAEAEKSLKLSNVAIPFPDPHPPTDAKYKFQYERPASINAA GSYPLKTATRAEDGMGIDLVVTMPKVIFQEKDYLNYRYFYKRAFYLACLAAGIKKSKE HKFKLSFDCLNGNQLQPILVVQPNGSGNNDDFSSSKCRINILLAAPEGVFIDAKLLPK ANCIRPKGDDTETDHKTFTPTPFYNSSLRSDITITPYLKLLHSSAANCDAFKDACVLG RVWLKQRGFGSSLRKGGFGNFEWAALMALLLQSNSGAGAPPLSSGYSSYQLFKATLQF LARHDLSKKPYSIQARDITFPKNGLAPVLFDGARGLNILFKMTPWSFAQLQLEAKITV ETLGDALFDQFDSTFILRTDLQKYRYDAILSLPLGALGLDPTQGDYEEQLAGKCQKIH SALVRALSDRVTSVNLSLCSKQSWKLSSSRSNDDVHDHLVVNIATDPANANRTVDHGP SAENKQEAASFRKFWGEKSELRRFRDGSILESVVWSTKDTSTSVLEQIVLYILAKHID GRISEDATFTYDSFAHLISFGRLQGKSGVSAFTPIMTAFSQVEKDIRELEELPLSVRH IRAADAQLRYCSVDVQQVTPASIVLQFEASARWPDDLCAIQRTKIAFLLKLSELLSTS HKNYKTQVGLENPSQPSQNQAFLDITLGSGKAGAPDFTFRLRVHHDREATLLERQLKD KTLDAQSRESAAVALALYNRDFLRVLSHTQMLQNLCTRHTALSPAIRLTKRWFDSHLL SPHIAPELVELLVVRTFLQHHPWPVPSCATTGFLRTLAWISRWDWRHSPLIVDFSSTA SDVPAESGTSGSGRMKADDLEKIQTRFEAWRRIDPAMNRVVLFAATNQDTDGNTWTDR GRPEKVVATRMTGLAKAATAAVRASGDASAVDVKTDSQITPESLFMPQLQDFDFVIHI VSKYTQARKKKRTEEPKFKNIEMQQSTSLNKPAPLPQLFAEDIQSIYGDAILWFWDNE SMTSIGGLWNPTIAAQRTFKVKPGWNSVPVKGKETKEKEGKGVDIVANKEAVYNEIKR LGGQLISKIEVNR CC84DRAFT_1150678 MEKGLSYDDAVTEVVQMGISEPVNKLEQWANKLDAIAGIEARGI DRIPEELRERVLSTKDYLNMFIIWFSMNCTANQMTMGVLGPVTYHLSLTESILICMFG TIFGSVCTGYISTFGPRSGLRTLNVAKYTMGWWPSKLCVILNLVIELGYGLIDCLVGG LLLSAVNGEGMSVIVGIVIVAVITWVFATFGIKWFHKFEAFVWAPTVLVLFVLIGVAG PHFDTTTPSKGSGATLAGNRISYFFLTASGPLSWSSAAADYVTYYPKSTNKYLVFATT TGGIVLGKLLIEFLGIGLGSGLLKNASWEAAFDSQGIGALVVESYGPLGGFGKFCCVV LALCIAANCIPGTYAAALNWQQLGAPFAKVPRVIWSTFSCIVFTIIAIAGRDRLFDIF INWLSLIGYWTIIWITMTVQDEFLFRKGQFDWEIWNRKDLLPHGYAALFTFVVGWVGA ILGMYQTWYTGPLGGLIGQGADIGLPIAFAWAAVIYPPARWLELKVVGR CC84DRAFT_909482 MYLALGRNPLCITTKKLTERSGMSMHTTIQSCGESSVITYTRST SFTALILCCSRSLRAPHHGPRQSQWYRCRPIRVDVFELPKNLGRNTCRNTTSSGYRTV GYSCSHLDRSCAKTRGADHHRRIN CC84DRAFT_909479 MADLLRSRTVAAALGLFTHFIFNSGEWDHHVHLLVPGGSLLFGA LVAFEYVADPRVFTLFQAFQVVATAAAVYLATLATSVIIYRAYFHRLRKFPGPFAARI TKFDTISRVIHGDYQLYKIIEGFHKKYKTDIVRTGPRELSVASADAIPLIYGPMSKCR KGVWYSGAYHVEGASLQTTRDHQDHRERRKAWDRAFSAKSLREYEPRINRHTRLLLEK LKEHAKEQSVRISSWIGFFAFDVMGDIGYNRGFGMLEKGEEDEMIELVHKSMANMSTF GHIPWVVGMMLRTSVGAKDLLTFMSLVQDVLVERKKITPAEPDVFSHLIDDTKEEIPL QLNADSRLMIVAGSDTTHATLTWLVWELCMHKDAQAKLRKITDELAPNKSFLDAEDVA NCPHLDGAINESLRLHPAVPSGLQRETPPSGITLPDGTYIPGETTVWMPIHTLQRDER SFPDPLKFMPERWTDEAPEYIKDKRAFIPFSAGVYNCVGQKLAMMEMRVVMANLLRSF EMDFAGDMGEAVVKKSRDAFTLSVGALDVKLTPRYKN CC84DRAFT_1207548 MKRTYTCRHCARVFKRSEHCARHERVHTQEKPFSCAYCDRKYAR KDLVKRHERTLHAEQYRKAHPEEFGSGSRLSEGSESPRTPHPLPPLTPPDEYTLNRDA TYTPVVDMHNADPELSYVPSAPFRSSSGSSSSDGGRPLPPGDESINFDFPPMNLDFHQ APVPGPRAAFPLHVETNFYQEPPTKRQRIEIDPLIVGTRGNSDMHVVETPISQLGVQY NAIDPNLEDPHFAPSSLPHGSPTWEDLEQTDLSAEYLALFDMETEQNNRTDMNTQSSH ERVRVHSEPCANLRNLYNLQFTEEIHRRICDDIRMRVTGLGLPETLLPTLMDLQQFFS GYLEGFHRHFPIIHLHSFDPYQVPSPLITAMCSIGAQYRLRREKAKNLFVLAGTMSSH ALHNGLPIVNGNPEPGPLWVMQTRVLLSLSGMFSGMTSVVLRTVENLGIFTIDYRLRK ALLKRSNAGGLDWDEWVYRESSKRLLFGMYVVSNLISTTFGVISGFSHTDDLEFEDLE EERFWNATSAQEWLHLRQLGPATSRSRSTVRSTLSRILLDKDHVTGGPPEVSVLTMLL LMHGMNIHIEGIRQVIELSPAHLHKTLLDPTIATLSACEDILAAARQRKDTSTPWTEA EGPLMFNCQGVVHMAHVRLFLDMSAFNRLMLINDNPDEIAAAAYSFAEAPLQRSEALT GFMKKSYDRHHVLVKLGHSLLRKTAALSWSLEHAVTDWVGILLVTKWIHTVETSPSSP PDADENELLGGYKHLLAETDCEYNGDSLASAVAHFRSTMLNDVWVWGVTPRMSVVLQH LACVYEDRHRALKGTNPGFGLM CC84DRAFT_1166511 MAWRSSGTSNESLITNLSRNGLIRSERVKEAMMKVDRAHYAPSH RDAYEDSPQPIGHHATISAPHMHASACESLLPHLHPGARVLDIGSGSGYLTAVFANLV GPSGTVVGIDHIQPLVDLAAQNMAKSEAGRAMLDSGQVEFVKGDGRKGWAEAAPYDAI HVGAAAAEHHEELSEQLKAPGRMFVPVEEGNVQHIYVVDKKEDGSIEKEKLYGVRYVP LTDAPSA CC84DRAFT_909564 MDNLTPQDLFSPSKARQQRAQAADWAQIDSWLSYKYAGRTVPTF ERNEETLKVLRELSSANERADEERMIMERVEREACKELEADEESRDPEDVKILEAVEA HLSTEGSDALRALASTAVALDTTSANPETLAHALIAHTSMSQTLANNIQHVRTLQRYL DKQHTLLRSQLNELQSNPAFSAPPSLQRQTTEQARQTKHLRTKIREYEDRLASLEYQQ AKTAATPASKGVSSAEAIADMLEQQTSLSALRRRVERLEKEVDEFAGLPADREAARKE VGKLEIELDNVRRRRDALFEELVR CC84DRAFT_909562 MSHQPVEEARNAMAYDMKLLYRPLEMDEIRLVTIEAAQTTDRKE TIRCSLRHYPFALPLSSEAVPRYDWGDYIALSYCWGWDARNPSCQINIDGHLCNVTES LEAALQTLRGAQTTTTIPVKFWVDALCINQSDSEEVKAEILRMRDIYGQAASVYVHLG AESEDSDLGFKFMYKTSKALSQSVESVVQELFNKRMSATDLEKKAYIAMLRLLNRPYW QRVWVLQEMAMSRGSLTVACGVSEMYLEDILPVSRFVSLNAESILILLGFAPEAVQLL GMSITLHCYTSMWATRGRKDRTLQRTALEPRAKYLDLRQPLLTLAQSAQATKPHDNVY GLLGIVPAPIRDEMSSYIDYNLPIATVFTAFSKAIITFTEDLDVIFAKSFAQTMAPSW ATDWSLVIDRATFPHDWVFYNYDQFDGAYDDLQKVIESSSFYRADGGRKLKFSFIPSN SPDLLLLKCSGISIGEIDGLGLPLTTAPVPLTRTEPIQPNSTLNPYGDDQAVARALIH TLFGNPTWGDTVGAALFRIPWIAQRENPVDYFTSDGQLNLTKDDIERFTQLRARGWET ALGNNFFMFEFWRRTMSQFRIGGKRFQDYFDTEVVDCSYPSVRIKLDVATVMGAHGSR CLITLDTGHFGLAPHHVERGDQVFVLQGSSLPLVLRPVGETGQFRVIGECFVDGYWNG EAFDGAERGGFEIEEVVLC CC84DRAFT_1178290 MHFSTVTSALLLGLMATTPAIAKPVDFHALSARAEGLYERAVEH SIIARHHKGRTGGNAGQTANAQAQTNTAATGACAQTGNQKRHHKGAANAAGATGAANA AGANNCAGQQQQQNQKRHHKGNGGKAKQAAGNQAAGNQAQQAQAAGNQAAGNQAAQAQ AQPNAAAATAATNCAQTGNQKRHHKGQGQNTNAATGACAGQQANVKRSPHHKGRQGAG QAQQNQGQAQQNQQNAAAGAAAAGAAAAPNTGAATTGAKNCANVKRHHKGNAGANNAA AATNCQ CC84DRAFT_1166514 MQFTTAFIASAAALTASALPQTTPIATGQGFGVITIRSGSEIQN SGVQAARSSLLVNAKSQNASCDAESNFATFYINEEKELHLWESKTSYRPQTVFVDRSG MGQGLIGYTTGVQPLPRNGENKGWEIVNGELKFKGTGLQACPGSIDGAWSLWLQGLDK PGWNENCTSVIASAIKTDSPIGCWYTE CC84DRAFT_909639 MSYIYRRDKMAILPADQGDGGCSTSPQRESTKASNRDLSQPNGD RDSTFAPASSDAVLKSFALSDMQNFANSTANASSSAQRAAGPLIAGAHGGIIFPFSEE QALDSVNNNPAGKSRKRGKLAVDGRPTDSKHSVAEHDELAQGSGEVEEMEVDAEPNDM REDQSEDEAEEETDEEKEVKKVEARVNDNLPYRSIKTINIPKRSYQDRGTNVEKRPQE DDPTTWYGTMPYRESLITYVCDEMGLSYQRTANEATVLQCFPEGKVSSEWIRKCHLLS LDEQYNQYGLKNETEIPRPTAAEARRGLPRAPRVSSGTAAKNSSQTGRNKAAQGFARP SFKNSEPRFVREAPSRQLEMTQIVVWRDLYKCSFDQIRGFCKDDFDWVISSDQVEHFY YLVRPSAYGSTGGRIAEDSTKQKDVEIDESVEAAAAAAGILAGSFRSNDGGEQQIEKQ QAATDPQTYNNVSGSW CC84DRAFT_1166515 MLYSEAARQRDLQRYYQPWVDAAQNLAEDSDGEEKNLVYRGYRP NASCDKSLTAFAQLIVLRLNVKRAMVSLIDSTRQYILAEATRSLSLSDQSTDSGDDLW LGHAIIARNEAVCHHTFGCKYTAVASNGEAYTTDAMVIPDCRLDMRVSDKEYVTSEPG VRFYAGVPITTRNGYRIGVFAVSDDKPRDALSAAEVKAMEDISEAVMEHLELAKESDD RSKGERMVRGLTAFIQRSSTEDARGSTSTRQTTLEKQTENARLEAIADELPTNGAVSV VKEPQWHRKPQHEQGKSLSHHGSRTKNDKSRIFQRAARIIRQSTRADGVIFFDTSQAQ GSIPSFHDATPTASSDEYSSTTAGSTTTHRKKRNPKGYTSTRSEDNAEAAPGDSHPCP VVALALRSAELGVTDKDFLFTEANMERYIARYPQGKFFNFDDQGLGINSSDEKSEKSE AEQSDKTASSTTTVRKARTRQDRFIPSELLRVLPSVRSLIFLPLWDPTSERWTACSFI WTMANGRLMNSESEFPYLKAFGNTITSEIARWSAQRSDRAKSTFIASISHELRSPLHG ILGSVEFLRDSVSSPYQQSLIGSIETCGKTLLDTIDHVLDYAKINKLRSANAKRKQKG GKRSRLPADNSILGVTTVFNLSQLVEEVCDTVCAGHQFRKTHDVNHSTFHDQGIRSRA NSNASAEAGDASYSGVRTEDRVVVTLSVAPFVQWIVKSQPGALRRVVMNLLGNALKYT DAGFITVSLAQVKEKSNAQFVEFVITVEDSGRGMSAEFQNTRLFAAFSQEDPFSNGTG LGLSIVKQIVDSLRGEVSVESKLGVGTKISVTMKLPAGKAEDARMDQMLLEKPKALDG KSASLLFPDISLGGTGEKLRYAMLKACHNLNIDVSETFDSDKEPTFLVTEPDTLMHKL REKKSKDFSRPALVVVCVCTDAAEKTFTENHIREAFQYNGWVIEVVAQPCGPLRFTKL LLDGLERASQPVEHVEVRTQHEQNPPKAHALSVHLMPTAHRSISDLGRGGDQVPSAIA SAHSPLISATMPTESEPPSIQGQIASTPNSEGQSEYFTPRILLVDDNAINLKLLVVFA QRQNFRYAEAVNGLQALEKYKSEAASTTPPSKPFDFILMDLSMPVMGGLESTRNIRQF EQEQNLPPSTIVALTGLASAQDQQDAMDSGIDMYLVKPVKFGDIKRIFGAK CC84DRAFT_1166516 MAAPAQLAYRTSKGIGVFNAAPVYEPLPGFDRPEGNLRCCCYSP DSRYFAWASPEQVSVVDTSSGQLVTALPTPNVFELGFSPLGTYIITWQRPSKDEEGNA VKNLKVWHTVPGDGETGQNRTVIGEYVQKQQTGWNLQYTSDEAFCARVVTNEVQFYQA DDLRNVWNKLRVEGVTDFAVSPGKNHSVAVFVPERKGMPAAVKVFQVPQFNSAVSQKT FYKGDKVQLKWNNLGTSLIVLAQTEVDKSNKSYYGETNMYILSANGSFDSRVQLDKEG PIHDVSWSPNSKEFGVVYGYMPAKTTIFNARAVAQHSFDLAPRNTILFSPQGRFVLVA GFGNLAGQMDIYDLEKNYQKVNTIVASNCTHCEWSPDGKHILTATTSPRLRVDNGIRL WHIGGTLMYNEDMTELYHVTWRPQITQLENPLQADSPHASAVDYLSKVKTPSKPAGAY RPPGARGTTTPMLFKREDEGGAAYTNTGISTNNGSFSDKFGKPRRREVPGAEPVDTLP PGAAPGGGVSLTGTEAAEGELSKAALKNKKKREAKKAREAAEKAAGLGADGVNAAPGP ANGGRSPERRDARNQQRSRSKSNAYNNGGRSPSQHRNYGNSPHRRDGSGYDGNHRPQG DHRAQGDYRQQGDHRAQGDYRQQGDHRAQMGQQHHHRAGSGSNRHHQQEAPVRQPPAQ LKTENHGPAPDLTVTSPGDGNPHDKKVRSLLKKIRAIDDLKMRQAGGEKLEDTQVKKI TTEESIRKELAGLGFTE CC84DRAFT_1124530 MAPAFTELPTEILEAIFLHLDPPSLLSVAQTCRLVRKLTADAPL IWRHFCQTHFKNWDPQHGIIAKFAGPLSDVDWRSVFIRRVKIEQETLRLLDKLLEGQQ NRIRHINEIAEFGYDVKEVLLRECACDDGKEDVLARRYYANAILERVQRELAMEVWVK LNRGEDVSIEKALAAYDIFTRTGEDVDYETVTRDLDELAQGVHRQYPEFHTLSARAKA STLASFLREQGFRGVADSSYRALRNSFIGLVLRSETHDSLPLISVAIYCALARRVGLD ARPCGFLFHVYTLVYAPKDYTLDGAYKPTSSTDLDYMYLDPFRSSDEVLANSLQRTLR EMGVPTAQHATFLSDSTTRDMVLRTARNIMNSVQTIRQTEAGLHGINSTWHTAYPDMD NAFYATIWAMLLLGAHDDTGAPGASPASRRRQYLPYLLEHFQTHYPWDVALLQQYVIP MFIHQPEGQRLISFVESMRRVDGMAKRPTRRDGGATEKVVFRVGQLFRHTRYHYEGVV TGWDTVCDAGEEWIHNMGVDRLPGGRVQPFYHVLVSDKSVRYVAAENITPCEPGTQPS AALMKLAGRHFKRWDGGSGVFVSNVRDEYPDD CC84DRAFT_909743 MNGTPRPRPGGFPSTPQHQQARSPGAQTPSTSSTSRRPNVNTPL PDVPRPAADATHSSGPWIPAHIIDPAQQRLYVCSIYIALWGWKLYDFYNLAIEEDESV WSCMKWCGLDMTFFMLGVPLLDIPWLQWSTSTSFMLFVVHAALDVMLMFRIGIPVQAW FISLAGFLFDSELAISERSVKPGPILHNASLILGKQIINILPEGSAVLNPEKLNFCLN STVTSVELPILINQTEPVELDLLRLDFDTDQNETITIKKGELKGLLKKARKAAKRTDP DDPLLLRYTVKKPGVYLLKKVVDQSKLEVRPRSTNAIVVKCPQARVKPTGDRRCRNDL SNVELEVEGVPPLYVKYRLTVDRQPRGGSEFQNLQPDEFLSPLSRQTSQALVKSGKED VSWARSHKVTVPLNETLATGSGWEYAVEEVHDGLGNLVSFVGNEEEDHPKARIAGTYQ GFEVHERPRIFFNKCNAQNPMRVAKGRSEPLPISYASTGKHRVDSPHKIQWLFTPEVD IVADGHHSANAETREGTIKPGVGKIHASEAGLYTLKSVSTEFCEGDVLEPTSCLVQNP PMPEIELNSEDIIDKCAGNPIGLRVDMDFIGTPPFFVKYVEQQKGKARAQPRDIQIGS LKGSIDLAPENTGHYTYTFKSISDAVYQDRPLHNLVLQQEVRAPASAHFIDNRRTKQA CIDDYAEFSVQLTGDGPFKLEYEVMHNGKRDKQTVDVDGDYYTIKTPKLKNGGEYTVA LVSIVDSRKCKDTLKNQEVKVQVRHERPKAYFGLVDGKQSIMALEGKKVDLPLRLSGV GPWTVEYENLDTNEKQRVHVSNANAPLSITQQGTYRLMAVSDSVCPGFVEEKASTFNV GWIARPQISIPETSSVVFENGKYVKDAVCEGDEDSFEVALLGNAPFEVSYKQEYKGKG KTAAPRDKDIRAVSGLTTIRADTANAGTYEYTFHKLADSRYDHSKKHFQPLVVQQTVN PRPSARFDTPGKTYSYCSRESDGEEVIPMTLEGQPPFYLEVEIKQAGVPKPEISVHRD IRSPKFDLKIEHRKLHLGVSSITIRKVRDARGCTLKPSPASPRVQVSVHDAPTATALE ERADFCVGERLSFALSGQNPFTVYYTFNGETRKASNAATTFRRLAELPGTFTITGLRD SASDCLAPLDLTKHIHPIPSVRLSGGQVSSVDIHEGGNADLHFQFEGTPPFEFTYTRS TNAARGKKSRVLEIKTEVSHEKEMFVPVQEEGTYEVVSIKDRWCGFAKQVEGVEGRGG QKLLQY CC84DRAFT_1166519 MATEKPSTPNGTVDNSVKADTSSPKKPGSAQHHVGPSCDAATLK APIESQEDASVAPIASPEARENDEAHEMSLSSHKRSLPGSSEDSLSKKTKMEEVESPE PSPRFPSPSNVPAISVDKETWQGYCEIESDPAYFSVILRDIGIQGVDVQELLTVDQEQ LSYLAPVYGLVLLFRHREFDQHNQEGACPDNVWFANQMPGQNSCATLAMIHTLLNVDD PDIDIGEHMHQFKDFTKDMTPLQRGHTFASWKFVKGIHNSFAKKMDMLENDKYIAAKA AKAQKSKAASQKKIAETKKAPSVQTKSRSRTARRNSEDSNASSDSVEAFEENAHHYIA FVPINGEVWKLDGMDKQPTLMGEYDEDKGEMWIPAVSERINALIQAGDNDYGIFAIMQ SPLVSLRNQLCEADNTIERVDGRLDTLSTDWKEFLDEETREPPSPSFMGSFSEEQRAA NPIPASIKVAIDKEDVPELLVRHRKLVAEMRRLMLEYMQQVQVVSEQDERAEARRWDY GPAIQAWIGMLAENGFLQENIDDFKE CC84DRAFT_1097305 MITGIHHVNLVVPPGTLAQANEFYGGTLGLTPRAVPQLQRETLA WFDIGSSGQQVHIAFGKAEDFAWASSRHPCFKVGGQEELQALQERVWGHFEKGGESAP KAADKPGEKNSGAEGVEYPTRFFARDYAGNRLEFTL CC84DRAFT_909808 MPGPLPYTRHTPASTIAADNAAHIAGKVVLTSGVSPHGTGALFL EAIAAYSPALLILAGRNTSKTRATATKLASLNPSIKTRVLDLDLASQASVRRAAAEVN AYGEDYIDVLVNNAGVMAGPYRTTSDGLEMHFGTNHIGHFLFTNLVMGKLLAAPAPRV VNISSDGHRLSGIRWDDVGFSGGKTYNQWVAYGQSKTANILFTRALAANAGLTERGLR SFAVHPGVLMSTSLADGLEAEDFADLKKLDKEIGDPLGEEGASFDVKTEEEMVATHVA AAFDPRLEGAEWNGTYMEDGDVHEEKVRGTVGGREDAERLWRLSEGLVGDKFRW CC84DRAFT_1219649 MPHVEVLPNSAAAIAPGWTYVVDTGYDPSKIAINPKNKKRAAAN PNQRSENELSSRQQTAIARRIAELNRDNDPKQSIPIPGKASIPKTQNARRIIQYQRQI KHWLDDEEAQLALAQQAPLPRSATAASRLAAQPLRRSSTMASVPPTPSETTPAPPAPT PTKPRSSRMDLDDDPLLAIDDSLPPPVSQADLDALLAAPPLSYAASHVAPPPVGGPPQ RHFCDNCGYWGKIKCLKCGARVCGMECKDAHEATRCLKWA CC84DRAFT_1261403 MPERTPPIVLAPSASPQDPAKSAAFIFVHGLGDDAEGVESIARQ FQTAGKLPYMSWVLPNALHNHDVMSTGWYAPTGLSPFPPSRPELEDEEDEEGITSSAQ YLVSLIDDLVSQGVPERRIVLGGFSQGHAMALFTGLTSSKYSGRLGGLVGLSGYLPLI ERIPKLREAAGLPEKINHDVQVFIARGTKDMLVPRRYLTLCSNGLLDCGVKQEHLTVK EYDGMGHIMGGAELRDLCTWLETVVPQL CC84DRAFT_909892 MALLRRYGTYLVLSAAFVFFLYQLSFPYEPGQGPPSEGAVKPEY KDHKSYEWAKIPMHHPIRDMLPLPNAAPKALPRVQHDFQGEPATTKQIRESRQAEVKK QFMKCWNNYRGKAWMHDEMTPIAGGYTDDFGGWAATLVDSLDTLWIMGEREQFISAIK DVEAIDFGYTNMEKVNMFETNIRHLGGLLSAWELSREDRILNKAKELGEMLYHAFDTR NNMPLTRWDFHKAGQGEEQDAEENVLIAELGSFTMEFVRLSQATGDPKWFDAATRVVK VLEKDQMKTRLPGMWPIVVNPRRTDLTQDTGFSLGSMADSAYEYLLKTYALLGGVDDT YKSMYEKAMDAAVKYTLYRPMVPGDPDMLGTGFVRSEEGKAYLNPEFQHLSCYAGGMF ALGGKFLEKSDHISIGRKLTDTCVWAYKSSPAGIMPETSHLYKCENMTDCKWDEQKWK DDVASRANLNTEKDTTQNIAGLRLPMGFTAIGDRRYVLRPEAIESVFVMYRLTGEQSW QAAGWDMWTAIMKATDTDIGNSALQDVSAESPPRADSMESFWMAETLKYFYLLFSDPD TISLDDYVFNTEAHPFRIPKPEGQ CC84DRAFT_1124555 MADLTRVESFQYPSGHFAHLSDNQQAQLDEFKRICQEQGYYTPA SADGATQASDDDETLLRFLRARRFVPSEAFKQFKDTEDWRREHGINDLFLDIEVDEFE ATRKLYPQWLGRRDKRGIPVYLFEVAPLNSKNIASYEKELKNAKTTSPKVATKNLRLF ALYESLTRFVLPLCSNVERNHPETPISQSNNIVDISGVGLKQFWNLKNHMQDASTLAT AHYPETLDRIFIIGAPAFFPTVWGWIKRWFDPITVSKIFILSPANVLPTLTQYIDIDN IPKQYGGNLDFEWGQMPNLEPEIDAAFKWENPNVQNGKNTLPIGPIAWEKGADGSLKA VAVGHENGKRRHTTVFTIPHPVNAASSSKPIVNTPIAEQELALTTAGTYTQPADSEVP NPDTLSPPSASDSTTPSSTPKPDQTDLPIRGGTSETRFEQQTHTHAAGQLAEGTPHAA VNDHGYGDKTVTMEPGTVGQAPKDVSIAPATEEPAAPGYLDQAKSLAASATATVTAAA GSAAGAVTGLVGGGAGQVEEKDEDTKPQKSEEEEAMDRAIDGRGTADVEGFLRERTAT GKQ CC84DRAFT_1166524 MVRHKKDFKKPRNRAPPPRRTSGSDNENEDGDTSAPRPSRAPKP ACWDLGHCDAKRCSGKRLMRLGLLRELHVGQKFAGVVISPKAKKIVSREDAPLLEQYG AAVVEASWNRIEEVPFSRIGGPCSRLLPYLVAANQTNYGKPWRLNCVEAIAACYYICG HADWAEDILSSFSYGEAFLDINAALLKRYAACANEDEIKAAEEKWLAKLEKEYNDDRK EKEDGEADDIWAGGNVNRRQIDFSDDEDEDEEDEDAEDRQVTAAQNPYNLPESDDDEE EMAELRRRVLASKPFANPSSKDDVEDEKKQPERIARTEPGPDSASKEADDDDDSGSAP EAGDDDDDFDSFMAAQPTTDRTGIAGKQRQKALDKVTATFTSANLNAPNRRRG CC84DRAFT_1166525 MHRALLCRDIVAEIVRNLYTHWKEDEYVFLDLYNCAQVNKLFFS ETICVLWQGCGNHTPGVRHLAQIARQDLASAQIYANQLTYLHFGFFEDGGKEGNYGPI TFDTAYLDVLSSLKYPHLESITIYGTGEDIEDRQLECYLQSRLESFTLEGEFAGHLKT LLETVTQRCPDIKNVNIECKGSLTVHVGNFLEIHPQLNGFSLPPAQLVWSQEDFEPIC QMTALRQLCIPCIEASWLDGTGAGWSELDQLRTTLSSEALGRLTELAPGLGIVELKLE MRGSPSPSDAFINLSQLTELRSLEVHLMRTDASRGPCIIAQDLVNLARNCPALFKFHI SSERGHPAVHGLNDSLFGDLTSTLPEVSDFCLDIDDASALTFKAVVSLGQHCQKLWQA KLSCNVDWMYDLEAELSSGVQFTFSELNLVMCGERFPLERWTDANRERLSRFAEKFAS LAPECSSIEILGGNDADDYVKNVLDECIDKREA CC84DRAFT_1166526 MAQTAGAADFQVADEYTSPKTIERWTYIFGHSRMDTVKLITAQR EYGLSQLSTECNSSSL CC84DRAFT_1219656 MFSIRLAALAAAALFSMASADDSSSSSSKIVSIPTPTATVAVSA MSTLGCFATGVPLEDHGKGKFVTAGSCQQICVQLDKNVLGLSDGERCWCGDKFPPRDT KIDDKKCTSMCSGDDTTVCGGAGLLWVMTTGNTRNVIEYADPIVESSSSPSASKTASP TSSSAPESAAASSATSEPEKKGASTAGIAAGVVVGVVVLAAIIGGVFFYLRRQKRQAV EEEYRRQAAVNSFVSGGKLHTSNSSMTDSRLDPEFMARRQSNGSIADNEDYSRRILKV TNA CC84DRAFT_910054 MAVLARTSWEPPCRVLVSPARAQPSFPTRPHCLQPDRDRPTARA RRRLHLHHHAPCLYDFTLLAHPAAPTPTLVQPAACTRNPILASRGEKRGRAGIPGRSE KPARAAFQPPARGHR CC84DRAFT_1096976 MRRNASIQHQSALYQFAFRTFVCAHFEHRYPPSSLIAGLLYGLL GHDSFAGHLSLDLFDWIESYVLFLAQQDQKKASLNGLLAKLMSDLANKSLPNHGVLEL MIPHIDEYKSFHSVSNLLERLPKSGTKLSNIRFLDGYVDQVLEEVSKQHDSSRLGYNA HAFQRFLDAHRALHATTVEPKTRIAELQSRRFFNHILARANDAHIVPLAYRNLTPDIP REVQADLIHQFAHQYALDRTRSCQQNWRAIRYLYLYLKIHELPIQPLFTRTVVSVCIT RPLSENKFVAQKKAIWVCRLVAQVEGVEAARRVEQYFWAWRGDLILQAKRDLIELGEY GWAHVSTMERLKLLSGIRG CC84DRAFT_1219658 MLAEVQASDKPADSPLPEPLFRTKRLLARPLHPQDAESSQRACA PASITRYMSLAFAHPYTLEHSIAWIAMQKDAPHNNLALTTHSDPLTVIGGIGIKPGAD VQSHTGEVGYWISEEHQGKGLMKEALAGFVEWTFLHRTSDGSKVEEGRSRKTRLFAAV FAENTASMRILEGCGFVREGVQRGHVHTRYGEITDLHLFGLGRGEWEERRKQGE CC84DRAFT_1178305 MSTMRAKKVIRTYSRRRQPSLYDEEPQESPAKRRRVASTHREDA IAIGDGRDAELVPQSLDSSSARDSSALPSSPKDAAALFSDDAPRSTPPSSPTRQRSTP PLCKRRPVFSFVKRQTKPPALAKEPLSEKSINVNTPQRAAKKQKKLVQMQLDLVAEPN KTCKLCGMEYVPSLAEDAALHRKFHAMNMGGVDISKAMSERLRQNQVWSGGERSFVAV VSRRDALALRNKARGVLEVVNTELGAVPIPDEALWSQIRKSLTSTTLATEEAQQAKKE HSDHSVSDRYKVYLYVQGSKCVGACLAERIQEAFPVEGDAAAETPGQLPADTNSSSIC VSEARKPAILGISRIWTSNSHRKHGVATILLNLARANFLYGMTISKEEVAFSQPTESG GKLARKCERTGSNACTVLEASPAPAKTKKTVRIESPTETSPPHPDFGHVDNEITYPTA RGRARSPPRDSSAAFADELEDRQPQDPTGRDVDGRGMLGSAWKSPAAPQSATSPSGVP ANPFSRTLATIEPQEKGGDVAGQPKERTATDQIAPGPKRQSLNVEGFKNLLMQGIITS PRNSAPPPVAPASNPLSPAVFESSSSTDTSSISRQSIFDTAQEPHPESPRTSYDMAAS DDEHAGLVQGEAPKKEKKKPPPAPRHRHGKLVKERTPQTVPFDDFTVAEVTPDVKTHK RTNSDLNKPLPPTPPIPTSAPPHIISQDASYSTVSLADTRDSETASISEAAPSRPQKK VPPPVPLARRQSQLRSSTTGNRSRSNSSLTMSSQHSTDLPPIASAQELSPQTSATHKA PPPPPPSRRHGNALPTINTSSANSSTTELSISARPTTSSPNPPSSRRTTLTSEPSSPT GVTRTPSMHSGRTTSRAVSSESTNANANLPPPPPPPRRRQSGRSSLDMQRPSLPPGSS PAGSRHTSTEHKRLSRSSIDGKRQGSIASVSSALSKEYAPDDQALYSPREEVEDPSDA QGLGVEGSSVDAPVGRGQGREKEGSNASNILDDMERFQREIDELREKYKKAA CC84DRAFT_1096834 MPVPYVTETVAGGWKTSDIFSRLLSERIITLNGEVNDPMSATVT AQLLFLEADNPSKPISLYINSPGGSVTAGMAIYDTMNYIRCPVTTICMGQAASMGSLL LAGGSPGQRYILPHSRVMIHQPSGGYSGKASDIADHAKEILRVRDQLNKIYQKHLTKP RTLEEIEKYMERDYFMDANEALEFGIVDQVLEKREADKEKE CC84DRAFT_1097826 MPGRPVPRVRSCLRSPHNAQRRAHATKVLRGDDLGPVVQDEHQT FRLRHDGSKLPLPPVLDPVVVEQRARWKQQKAKPDVAGSTPFQKKLLENPYAHVLASP VRIDRSLSTLLPSALLLTLHIKPHPATLEPWLLPLSLSTPNPPKYLGPPLRFLAHRHN AAHLTARKEVWKKVLGQRFYPFLGPRGMAQLVWRKDMPELLLQMLRARVEDKLAWWFK WRGRLTPVASPSPSDTQDVEDVACVLFYGSLKSRADEIHDEANAIVAEADKWTDYFRT GYGKAFDPHATPPPHLPKATHPPPAWFQPLVPRLAPRAQFPPLDYPTTRWRGRKVAVY SLTDMLGVDRAEALIGRTRYEGERCWVMKRGRQTVDVQMLLGQLQSYLAESGAVWWPR CC84DRAFT_1219663 MPSTIFPAHQPSKPAQRAGPTFTGTVYSDVIHRDATVSLANVTF TPCSRTFWHTHEDGQMIKVLSGSGLVCDAGGAPRRIQAGDVVWAAPGTTHWHGADEAS VMTHFVVTRGKTVWGEEVVGDGWPRVEGAERG CC84DRAFT_1124575 MEYKRTVLITGGTMNMGYHAALTIARQHPEYLVVISSRSDPNNA ASTINNTLSQNNVIFLPLDLSSSSNVRTYAKTWASRSFPPIQALLLNAALQFPGDLTL TDEGIEKTFAITHVGHALLFHLLCPFLAPKARVIITASGVHDPALKTGMPKPIYTSAE NLAHPPAEIAKGDGRLHYVNAKLSNIMWTYALHRRLEQSGNEKGISVLAMDPGLMPGS GLAREYPPLMRWLWNNLLPRITPVLRVAFRTDNVHTTKESGENLARLGVAKDVEGLSG KYYEGKKQRDSSVESYEVKKQDDLWEWTVKYAAKDPEELARFNKFA CC84DRAFT_1219665 MAGTLQSILSKARTADLLSLKGISGFLAFLFLIQLVQSIGRAVY NVYFHPLRKYPGPKLWAAFEVTRTIARVRGRLDFAIVEEHRKHGEVVRVGVDELSFIH PSAWKDIYGHGHAELPKYFPPKTINPNQIIAAKSGDHFRMRRAFLPAFSEKALAQQEP LMRVYMDLLIQRLSECAESGQPANMTKWYNLTTFDLIADLTFGKSLGGLESGESNKWT QKIETMLKLMPTLMLLTSFPLLATILNFLFGRKMEEARQKHFQYAASLAMGRLQGKEQ ADRGDFMDYILRSRGEAHQMTDDELVHNSDLFMLAGSETTATLLLGVTYYLLRNPEIY KKATEEVRSVFERAEDITFKEATQRLPYMMACLNEALRVFPSIPLALFRITNPGQMTP IAGHMIPPATRVGVHQLSAYHSPLNFHDPDSFHPERWLPEVYNDPSSPFHNDRREVHK PFSFGPRDCIGRNLAFHEMRLILAMVLWNFDLELGDGMDQWHLQKIFGIWSKPPLQVR LSKRKA CC84DRAFT_1166536 MSNASSTSGTAYANLDWTQYIRYRPSYPPSLRELIYRYHTRNVP GKTTAPDASTHWDSLLDIGGGIGISSVPFIRDFRTMHLLDPSPLNHEKARVFLAEHVS VNNLQTKLEFTVAKAEEYANKPAVTAESGVSLAICASTAHFIDPDMLVKAMYRLMRPG GTMAIYSYWLPVFPDLDPGLSVVYTKTVTRAMRLCIRDEATRNVFADAVARLCTGTTV MDAIAVPDDLFEGIQRIQINPQTALSLDTFREDPAVPFTPLDSQVREHDGKYTYVSGT DPEAEGWTMSVDLDFLHGMIRTILPADIQLSKEQHEELYGDLDRAFVERCLSGYTLAM WGLDMILATRRG CC84DRAFT_1124580 MSEKTPLLSPPTTRANPSPRRGLSVVSALRVFGVAAALGLVYYS IADADNQEKVESSKLCLTPACVHAASEILYNLSPNYKELDPCDDFEELVCGGWRDRHD LRPDQGDAFTGTIMNENSELLLRHILDSPYPKDSQHSYFSPMNLLAVKKSADEENFDK MKAAYDACIDEDKIKDVSAGPLLDVLDRIKNTYAVDKSASLKDIILLLAKYGVSALIT AGTGADDRDPDTVVVSVSAPWSFGLPSKERYEDDKLVEKYRAVAVQVLGNLYPEEDKE AYSKVIDLEKKLAAASPPTEDREDVTKYYNPMAIEEAASLTPQVDLKALIQDLAPSDV DITRVIVNTPEYQKKLSLIIDETDAKVLQSYFVWKTVQSFSAYIEADAIKPYKRFTNE LVGKDPDSAPERWRRCVGHVDDGLGWFLSRFFVEKAFSAEAKKFGDTIITDIKSEFAK KLKATKWMDKATTKKAIDKVHNIVQKIGYPTKSPDIMDPSNLKDYYSSVNISSEAFFA NALSMRRFAVEDEWSALGKPVDREQWGMTVPTVNAYYNPPGNEIVFPAGIMQFPVFDV NVPAYMSYGAFGSVAGHELSHAFDSTGRHYDQIGNYTDWWSNETVKAFQKRAECFVEQ YANFTVPGPDDKPLHVNGRLTLGENIADAGGLSASYQAWKRRAHEKPNLNLPGLEYFT QDQLFFVTYSNWWCGKSRKETAIQRIYTDPHAPKWARILGTMANSREFKESFKCKEKK PTCQLW CC84DRAFT_1166539 MPEKEKPSASTDEAVLSRIVFQDDDIRPTRQSRGTSTYKKRIRS RSRDSSASGHSRALSGLPIEHRALSFQISESQAVDTHNPKAKEPPKAGEEDRVFFEAL DYHILHEDRVCERFNVSRDSGLSQDIAAQRLQRDGKNVLPQPRESYIRKLLGYVFGGF CSVLWVGVIIFFICWRPLGDPDPQAYNLGLAILVLIVILLQASFSCFQDWSTKKTMRS ILDLLPSDALVLRDGHWNKVSTTDLVAGDVVKVQIGNKVPADIRLVETSGDVRFDRSM LTGETEDVEGAVSETDKNFLETRNIAAMGTSVTNGQAVGIVVLVGGSTIMGRIAKATS GVKAKPTLIQAEISRFVLIIVGLTICLACLILFAWVGWLRIKHRSYMNVVAMLNNVMG CVVAFIPEGMPIGVALTLMIVARSMKKVNILPKGLSTVETLGCVNVLCSDKTGTLTQN NMTAMSVGFVDGFMTTEEAEELFQSNKSRKALVELYKAAVLCNDATFDPSTIHVPIDQ RLVQGNSTDAAVLRFAETMKPGKETLQSQPRRFHIPFNSKNKWMLTMHPCDNDESRKD EFLVLVKGAPDVLLSKCSSYWSYEDDCTKPLDEASRAQFSDLQNRLSRNAERVILLCQ RRYTPTASAQSNVLGDELMERGMQNLTIVGVLGILDPPRPETAATVASCRKAGIRFFM VTGDYGLTGAAIARRTGIFTGEADPDTIKTLQEVRDGSSNDELQSVHSYKSLLLEGPQ LNDLSDADWDIVCSYEEIVFGRTTPDQKLRIVNQLQKRGNAVAATGDGVNDAPALRAA DVGIAIAGGSDVAMEAADLVLLDRFDSIVDAVRLGRLVFQNLQKVIGYLLPAGSWSEI WPVLMNVFIGVPLPLSSFLMIIICVFTDLFLSLSLIMEKEEFDLLSLPPRNHKKDHLI NLKIYAQSYLFIGVMETVCAHSMFFLYYYKKAGIPFRDLVFLFEGYTDGFHGYTEDEL AHFNVVGQCVYFVTLVILQWGNILSIRNKKLSIFQADPIRKQRRNPWLIVSILISFAI AIFVTEVPGIQSLFGTASIPIEFWLIPLPLAMGILVMDEIRKLIVRTWPKGPVARIAW CC84DRAFT_1250585 MLDRILASVAIACAIAPGAAGEVVQLQVPNRPPVGTNVLTGSFQ GYSMEFASFPAIAGNYSAVPVRVGGTTANHGIWIPNQKQAIIQNFATPGADQPANSTW GPSYLESFKVLPKGTQYTVGVSFDDGDDGAKATVTEAQAFYSGLGNDIFAFEVGNEFD AFPVDRDTSTWSIKKYVPEWLDRTSVVAKSVLKKTDKKRFQAGPFVAPGTVSNDTTWT AKAAIDLGVASTGLARTFCTHQYFGAACTPVKPTLAGDLMNRTKMFPWMEYHREASSY SVSNGLPYVIGETNSIACQGLTGVSDVFGAAVWSVDYALYAASLNVSNIFWHMGTGYR YAAWQATQNGTTTTGPRPLYYGNVLVATALGDGNSQVVPIVNTTSLAGYAVYSSKSRK ASLRSIVLVNMDVFNSTSTPASSRPSAEFKLPAELCGKNSKVSVQRLTAPGAEVRDGI TFAGQTVALDGKISGQSKKQFMAGDTVIVYASEAVLLQIE CC84DRAFT_1250587 MSKNSLPRHPIVPMPSNKRARSADDNMSNEEMTIPERSQDTNII DDFTVQPVKPWKQYWAELGKLRRDVEAKGEGPPDIVPIEANKHVQNVPPAKGPAKKLR PIPGNGDTTSHDDADDADDTEHDCGQNSDNDEFAEFNYLEHVDGMVDKVDPASPKKTL RTCSKSYNSYAGVGPGWLNDAVNAETEGATETLVREAELRHQIIAEGFFRSLGFRRVG SSRWLALSSNPEHPCHSLDAAADFELPTPPQRTISMKTAEVFDECINLDDQAVYERLK SLPKDKSPHDPERQVANANGNTMLHYAAIGSKPRTLSWILEKTKARHR CC84DRAFT_1219671 MSIDMDVELMFDELDYVFAPYLRYVPLPARNDMKTNKSMRQGFS NLFQRFDMVTRTEGAAGLPTEANIRLHIQIESEWPPHCKNYLARGGPIYAIGSWLFEA AMNEDEIAGAGDLMLPDEDCKEEMRNSRLGIEQASLPVCRNDLEYVRGSAVSTTTWIK LHSGATFSVE CC84DRAFT_1261423 MVSLSSPTWRVIESFYESFAPNPPPRIRDPARPMQVICVGLPRS GTESLQKALLTLGYDYTYHGWDMLNESPHRMNAWVALARRKFFAPTAEPITSTDFDAL LGHAVAVTDAAANCFAAEMIAAYPDAKVILNMRRDLDAWHSSVLSNIVAVNEDWFKWL LCWFNADLWWQWHIHQRILWPALFRCTDYRSLRSGVEPFGKTVHEQHSATIRRLVPQE RLLEWHIEDGWGPLCEFLEKEVPVTPFPRANDKGAFTKRVETDLEALGRSAFMNMILA FLGVTTVFGSLLFTNW CC84DRAFT_1261424 MDAQGKDGNYELHEIGDRPRSLESDDIALSRLGKKPVLKRRFAF LSILGFSCTVLITWEGSLILFLVGLQNGGPAGVIYGYLVVWLGTISTFIVLSELVSMA PTSGGQYHWVSMLAPASIRKGLSYIAGWLTLAGWQASVGSGAYLTGTLVQGMLILTQP SYVPQNWHGTLLYWAVVIFCIIINIAAGWLLPKFEGGLLVLHIVGFFAVLIPLLTLGP KGDTKEVFTTFYNLGEWPTQGLSFCIGIMGSVFAFVGGDGAIQMSEEIQNAALVVPRS IMTGIMINGSLGFGMILTVLYRAGDIDAALAENPAFPILAIFKHATNSTAGAAVLASL LFCLATSATVGLLASSSRVFWAFSRDKGLPGWRTLSKVSERTSIPVYSVVATALVASV LALVNIGSSTAFNGIISVSIAGLFSSYLLVAGLLLYRRCTGGIVPASSVPISGPSDFE SAKVVWGPWRIPGFLGIANNVFACAYLSFVLFFSFWPSFSAVTPQNMNWSILVTGFVA IFSGLYYMIWAKKTYHGPIVEVEPRYAHDMRA CC84DRAFT_910804 MADQVVVSASNRTPVVQIVTWFCLVVSVLAFLSHAGVKVYISRS LTVETGFVFLALLFGSAQSIAVSLQASNGFGKPTSSLSNEQSQAVMKSAYAAAILFFF SIAFSKLAIVAFIDGLTPKKLDRRLNYAAGAFAIVWLVVAVFIAAFQCGLPRPWDKTS GRCINGLEWWDSITILNMVSEAALVALEMGIIAPLQMARQRKVSLICLLTCRLLVSIA AAIQLHFFHQDASGPLKDDYALGYWRSTICNQIVQCVATVTTSLPYTKIFMESFESGL IGAERPGGKTERSTEGSGPSGRGWELLDVSRGSATRPIDGISKTQTFTVETTRRA CC84DRAFT_1166541 MSFKLSDVDLEADFEELMAVMWAAHEEPVQPFFRLFCPIVNGDR QASLKESTERMLEWDRHDPQARWLKVQDVSTGRIAGAAWYKIYKEDPFAHPEEEAVEW YPDDTSRDFVSQAIRQMDRPREEKATRPQVFLNILFTHPGYRRKGIGAMLVQWGIDAA KELGVEFWLNATPVGKPLYEKLGFEVVERNPLVPKTERPDATWNATAREFSDIVFWTM WLPKVGTFETNKTKRPWET CC84DRAFT_910815 MGISDAILFLAMCSHDIEPTLHDGLIKGGGSSIRCCKMLDPCLS GTRLLPSVRSSPLSSAKYNAHYPQGRRTISAQQLVGPLVRRQSLSHKAHFDARCRDPS VVLARLWLRALSFLRLISWCCRRCSNDLHHNRKLAPSTLEAPLDRVRAGVPKGQIHLR TGVDLETISRHEHDKETFPAG CC84DRAFT_1250595 MRLLAPSLLFSAAVASPLIERAAKPIYWLLAGDSTTAPGGGWGD GFLSTTVASGSTGHNYGHSGATTASFRAGGDWGKVITDIGTYKSKYDVYTTIQFGHND QKETSGVTLDQYKANLLKFAQEVTSAGGQPILVTPLTRRNFQSDGKVLENLATQRNIT IQVATSNNIKWIDLNIASENYVNAIGKTAASQYNLASNDWTHLNTWGGVVFARIVSDL LVEKYPSNFQAYTKPNATLSALIKAGKPA CC84DRAFT_1261427 MLTPTHVALGLHGSWIVLWFDGNLSYNLRSSYPGIGENIALTGG VGQVLFVALNPYEEDGYFVAEKDGCSFSTNLSSKTDGREVQKMMEDYMQMKAKRDNAT FNDSFMMNEVKQMLYITTTSYERRRANSLFETWKQRRNLLLQREIISLIGSGSTAMYV SSSYAEASSVRAAGAAAATGVGLAAIMLSGIGDGFRTSL CC84DRAFT_1261428 MGCFSSKTKSEETEISRPAQTGSVPPSIPHPGASTKDVSPENQT PKQTGGTEKEPTNPENPVVFFDITIGGRNAGRIQMELYMDVVPATSENFRRFCTGEAD GSYKGSIFHRVIPSFMIQGGDFINGDGTGSATIFGSESFDDENFNLKHTRPGLLSMAN SGPNTNGCQFFILTEMAPHLDGKHVVFGDVIGGMNVVREIENTQTGAGDRPVKDVVIS NCGQVSGAKVT CC84DRAFT_1188849 MHASLLADSEVPDGGYGWVVVFSGAVITWWFVGAAYTWGVMQAA LVEQKKYPPATLAFVGSLVPAMIAILAIPNATLIRKLGARTTGLTGIFLLGLGSILAG FAVDSILGLFMTWGLICGIGTSLCFMTASITPAQYFKAKRGVANGIVYAGGGLGGTAM SFILNALIKNVGIPWAFRIFGFMILSTGLPAAWLMKERVPIRKTQFVEWKLFKDVRFL LVFAVGVIGTFPLFVPPFFLPLYSNSLGLSSAAGAGLLAAFNFCSAIGRLGSGFACDK LGSLTTLFLTLLLSALSLMVMWPLSSSLGPLVAFVIINGMANGGFFSTMPTVVGNVFG SARVGVAMGMIVSGWIGGYLMGAPIAGYILDAAGGENAGIGPYRPAIFYAGSMAIIAT VLSAIVRLRVDRSFKKMV CC84DRAFT_910854 MLMFSKNLSKMAGASRQLLAFARDRGLPSSSWMSLVLRISACLS TPSLFPPRFHAFFIALTSGLPPFGTLSCLLVLALVTSNMISIGFIIWRRTKKLPLLPS HSASYTALDSPWMDLHSAFVCRCLSLLSSRLYRTLLL CC84DRAFT_910852 MAFLSHSIPWHVLSTMLEYGERCDIGPQHPDLWAKNKEGQRKQI EYFTHKLQQTLLEHSTTERRKYRLTQDYVKRAEKWTVSEPADIVAVEPPSLEDDHPQG EIMEPSLRKRNAERRSLAAESWPASSPERRLVYPPDFATTYMSYVPITSGAAYGRCGI VEDFARIETWIGFTARNARYSANRGSEDEYNRLWLDRKSCSDIIRISIIDSATTVDSV RKTRMIETMLLLAHHPHVGVTEFISDGMSCCNKSVGLTSLIKSVAVPFIYLNILWLYV DGNPSSPLLQPREQLQHYAYGYYRNSTFVTLLPRPAYMNTQSFNNMMATVLEEHGHVV EHVFFNPLLAPYDSGRRSKYLKLSMAESQLDTEDLRRERDVFTDLPMLKEALKGMWKI LVSCDMLFKEIGKPVNWEYVVIESMSLLFQDKGGFERLMGTDWMDYELARNKQESFGF YAAIDAEVRAS CC84DRAFT_1261431 MKYLLPTLGFAAAVAAHGYVDNATIANKVYTFYQPYQDPYISPT PQRISRPIQGNGPVQDVTIADLQCGGYSEGGVVGSSPAALHADVAAGSEVSLRWTLWP ESHVGPLVTYMARCPDAGCNSYMPGSSAVWFKIKEEGRTGTTNTWGDTKLMQPGGVAT YTIPSCLKPGYYLVRHEIIALHASYAYPGAQFYPGCHQLKVTGSGSTTPSGLVAFPGA YKGSDAGITYDAYKAQTYTVPGPSVFKC CC84DRAFT_911976 MNGQCACGSVKFVTPIDKPLNLFHCHCIDCRRQSSSAFGTSAIF PFFRVDDNPNVSHFSRVCDSGRKQNCYFCNKCGSRILHAHVVDGGDPQVVAVKGGLLE GLNWKNAKHIFTRSAVIPIPDGVESWVAEPDFGKAK CC84DRAFT_1150766 MVINYSKWDALELSDDSDIEVHPNVDKKSFIRAKQAQIHQERDH RRHQIKTLKYERIINDGLTERIDRLVTALKSHKDQDGEGNTDALVFQSMMEGMMASGE DRPPPAPEGVHEHIKDKPTYPQMMASLVDTVKKEVDEKKITDNRLDAFIAGLGEHKAR VEGLQGQLLAKLAELEAEEKKHITSDDIRDGFNYSNVKTPASSSSTAPKKAEPELLNP AASRPTAQRADTGQSSGADADVEDGPALDDNGDDVEASPLAKDFAKIKRGDWSSCLSF ISSHPEILKEKETDGLLVEAFNAELEGKPKHAAQCVHQGLLLQYCRQLGGRDGVALFF KRVQTKDHQANKLFNDDVDSTYRRIRDRAAEIKKEREENAGQDGVEQIQLHAVDPGTT INIAVPPKEPTSTDEAERAAEVAARQIFEAFPPGLQRALESGKLDEVNKVLGKMSVDE AEEVVEKLGEGGMLSLEQGVVDATTEEGQRVMEEIERSRKMPDRGAEQGQGQRQEKEL LAVDEVD CC84DRAFT_1207584 MAFTEDSLKAKLSSLNETQDSISSVGQWILFHRRHADKIAALWS QRVKESAPNKKLTLIYLANEIVQQSKIRKKEEFLRAFDPIIVGGSTQAYKGSPPDIQS KMRRVFEVWKSRQVFRPQILEELERGLDDVDRTRSNKKPLGQLGGSLFSGSSIPPDLK AVEPFATALQKADLAARPLLTTANQGWEKITNPNAPIPTPPIHAAALGALVRDLAKAE NAVADSIKARQALVSGLEKLLETNRTKLAEEATHVSEIKVRKATIETRMREVEAAIMS GLAETSNGASAPLPSFAQVSSSHERPDVEALTPPPVESFTPVGSPTLQATQTPLPDVP DDVFPKMPAHPVEQPMAAAPAGTTTNAIANSEPATAIGAVNTTPGADLLHSLAHARPS EENGVYGQATYKKRKMSRSAAEDEFAAFEGDAAMNGIDSTLGDLI CC84DRAFT_910938 MADRFPSLDEFDAGATEARGDGNINLVGEDGGELDFEARERALL GDAADEFATGNDKLATVEDGDDDLLGGDSSYQANTGNEEMMGFESSFPAIDTTNEHMA PGGTITGSTLPYLPGQPQPTFTPVRSDSPEPDVIREWRERRDLQVQHRDEVSAERKNK TIDEARQNIDDFYENYNNKKDKEVAKTRNEAEEFLANRDDTTAGGTSWERIAKLVDLS GKGVKGGASGSEKQRFREILLSLRKDENAPGATGY CC84DRAFT_911160 MTGGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGVK RISAMIYEETRGVLKTFLESVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFGG CC84DRAFT_910900 MSRRYPTAELYEERQRDFYRNGGRSDRSYDELDVELRRGGDPRR SVPDFLHQDYDRRSNAGQMVVRERREEDFDTRSRRGREVEEDTLVVRGSRPGPPSSAR GPVERDDISIRHSEAPSRRPREVEREEVDISIRHRDQSRPRAPPPPREVDREDIMFRR GAGAPPRPREVEIEREEVRFRDRSPPRRREAEREDVIFKHEHREDSRTGRDEHKDTLV IRNRERSLPPPRVRGEMIAREREEFVVRRREPSRSPPRREREVIKDEIIIRRKEERSP TPPPPPPPPPPPEPEIRPPIIQEIITHHRHIDHGVERARSPTPPPPPPSPPREESLEI SIRRNQSRGRGRDFEEDITYERETIERTGRETDISLRESRSLSRPRRARTAPIDSDFD QEAEYYNRKAMERGFPGEAYNGATKDWAIVDVPPGTNRVRMDGIGGGAQEITWQRYNG VRRSKFISGDEEIATDFGTPAPVKGRPKDMWTEITKDLVLKEAIDSMGYDYEETDDFF YVMEYLRYEDVLQLVEISDDIRRRRKSRIRQIEYEREEIRDRRPREWDDRYSEHEFVL DRQRTRYR CC84DRAFT_1188856 MHSFSFYISALLVALFTSGIHAQQKAPAASTFYLDQTETQFSLS LANDSADVYIYFASPAYSWVAVGFGASMENSLMLVMYANARGDNITISPRLSTGHTEP SFSPAIKIDVLNGTGIYDDLYVLRAVCHACRVWPNGFLETSSAAAPMLFAFGPGTAKQ SDDRNAPLRRHVRYGTFSMDLTAATSGDASVPEAEPQLRGAVIQGMKKDRDGKDLAHA ILGCVALFVLWPINVVLAGFFRNIKLHVGASVAILVFLVLSYGLGIATSSQYNRSKSY HSPHQILAFVALFPTLLLAVLPAKKLAALSPRIPKLHTPLTTLTFTLLVLSGGLGLRL SMSPNPLILAYVAVTLFVAAFTTFILLCVRRRGSAYARATTRRRLGEEDEADMGMARW GVRKKLSGSSSDGSWEAGGVGGPYGHERSTSQGSFVMGRGTMPGPQYLMNMHPGVPVY VNGQK CC84DRAFT_1219689 MCRKRKYDAELPAEMVRGLERLENEVNRYQGENKELRERLKAAE TEVTTLQDHVASHKNKLNGGDKKVRSAKDVAGKQEEKAKDAVREKQDPSLTKLCDDLR ADLEVERAGRSNKRKDDSASDITVAVVPVELTISRGNFLTLSETLEISQATVAMQLQD WYDEWMKPDDPVKQVLGADFVDNEKK CC84DRAFT_1197866 MEAVASSSSERNGKEEDVNTTGEEAVRARSNANGHATANSKSSE SEDAPQEKKPSILARINAKLMLDIPTVLMMLKGGLPPVIALSMYQASDIAKTYATLGY LMAIVSILGFCIMPRAKFIQTMTVNVIAVCVASAMAMLQLWSGVQARLHTTPPGLPPA RYRYNSSQSAVCGIWLFFQIWMINSIKAKFPQFAFPCVLYSIFANVASTSGAMYQTTA QAEVFVQRLLEAFLTGFAIATAVSLVVLPLSCRKVVTKEITGYVGALRGALKAHKGYF TSLETKDMFKQTMTNLEEPEDGKEKIEEKIRPEITAVRAATAAITQLHGKLNADLPFA KREIAYGKLKPEDFESISKNLRAIMMPLVGVASVIDIFERLAELNGWEKELEEEIKQS VMDDWHHLMTFAHDPMQNILDTMDQGLEHIAYRLQLVNSPKTKKGTDTEATGDMVRPG DKEFAVHFEKQSDEFYNGRDKILRHWLESKGYKVDDNFFDDLKEDAESQNLKLHQLDA CHREQRQLFLVLYIVFLLHSVSDAILDFVKWADDHDQATAKKKFITPGKKRLKKWIAS VCSTQDSNDDDESTNVGLNRTNVVVHMGEAYKGRKDPEHEPPENAWERFGDFIRSITT FLRSPESTFGFRVACATMSIGIVAYLADTQLWFTRQRLVWAMLMVALGMTPTSGLSMF NFFWRILGTLVAMLAAWLVWYIPDRKTPGILVLTYLFISAGHWVPLKRIDLVIPGLIS MVTCVMIIGYELQVRKLGEAVASSNGQPVYKIIVLGPYRLACVVGGIAVAFFWTFIPY PITEHSTLRTKLGGSLYLAANLYSIMHETVMGRIRGDGGDPADKDCPAYQLEKARNKV FAKQMLTLQALRQHSAMVNYEFPLGGKFPKKEYDAIIGCVSNITQYTALLNLASRTFA HPSLVNSDEKDPTRLQWFHDFRRVIAASNISSHEITSLLSMLSSSVTNSVPLPPYLAP PTAYALSSKLEALDRDILSLKHISEPGYAAFAVIQISTRCIQMDVERLLGRVRGLVGE LDFSFHVVSTRVGSEETLVGGRRKED CC84DRAFT_1150783 MSSDQEIGAPFTLASLPHSISSRDGRTHAASVCSISGNKKRKRT EIAIGLDGEGISIYSLQNPQLVTSYALPPQTSFASAPFSVYHKGSSKRASHRYTYAFV SQNTPGAKPHLVCFAEEIRKDSTADTVKTTYTPSDTSQRIVSIDVVPVPAGASQQNTS HEVLVIFGNGDVICLSSDLEVVRWVASISNLALSSKNAFEIEHASINPARALTRGLLN NRGDIVAVLNPSLDEKSDLLDLTHVMSVIGREADGRRMVALFQLQPRSPDLLSSRLPP LKHLSAWELPASNLGSAPEAGTHQFVIHASNGTIHCLSSTGLVSYDFSGTVPKISSEL SKSTLPVDSFLRISQDLLLTTSQSACQIVNVKYNSVHAERSVETSTQSPTKEGRKRKH TGPESEESTLKAPALIAYYADQGLAVGTWNSELVGFQLGESTRRKRVKSQGARLIDSL GRGIAAKTENDQEDMAKWRERVPKLDKYARKGEVARFEEIFAAELGVKLEPGSGAEEK LVNGNEVNGTNGEPSSDLPRKWILSKILSKTLSAAKRSRHQQYAAYALSRIFEWVDSP SSHGPSGYLKVQFFPPNVFEWLMHSGNLTKESIRRALLDDPSTNLGITTPFRDGDIVR AVVNFDPELHILSAILNHSHFLPVGEVVQAVKFLMQSLDDQPKPENTKLLTNGAEANG TSMDVDIASELDAASHEIDRALAMLDNGLAIMSGTLRPALMRLHTFSPNAISSTLRTM LSRHDLESLIKVLHYELKNGGWTAPYDFGDSDEVESEPSSEEIDDSAVAIIASLLSCT LDAIGPALWLTSVGDTSTDELIQELREDAEEALTGFWEARYIRGILSELLRYSSQLPK SQKPSSKALEKQGKPFALDTKLNELPILPLGSKPDLGIKKTKAGKGGQRKERSAREMG MLISKRVPKYSFERIAI CC84DRAFT_912244 MALTAVQTAMNLEEKQHVHPFFSKANKHNAPEQQPPAIEPAADD AHDDPDYEQHEKAGKGQKKRGRKPGSANKKKENLAAKSQSLMERFTKPARGQPAGEDA QVGTEAISEEPNLEEDPNQERRKRRKTNSPSPTQRGTLPDQVSEDARLDWEQQLELQA GLSTAPQTTLANSENIPNSDIENVPANEHGRPAIPPNHAALEPSTNGAHGLVSKPSTS RPSPKKKIKISRSGKLVSSPPPGAESETTPPNKRRHRKPKLKAIPTVTVVRYGRNADS RRIIGEKIEAILRGEKPTLRPVTPMKAPLKPSGPPKPTHPFFLGKAAPKPDEAASEQV VVNQPLPSPGAHKKSAVTPGKLKLESRGFHSSVGPPAFGERINRHPKQAGLIDAAWPA KDIAHVRNLDVAPIEHSTSLGMPLLKARKLKNRVIAVSAEENLISRLAAQLEPSIHKH DDATQFDFAPPEDVRLPTRLLTTGVNIQERIRTQIASLSTSSERGISAHPAVSALFAG IQDNLTAFDLGKCEPLAWVQKYAPKITSHVLQLGKEPATLKDWLQNLTVLAVSGKHSG EKAVGKPPKKKRKTVQDDFIVDSDEEEEEEMIEIYQEDSENHETTFAPASERRARWTR NKNVVLISGPHGCGKSAMVQAVAKDLGFEIFEIHSGSRRSGKDIQDKVGDMTANHLVS HNRSRTLARPEATPADDTDTERHSDALQKDLNSGRQGTAMSFFKSKGPVAENPNPKMK PKEPTQKATLSGQATLPLGQSQRQSQKQSLILFEEADILYDEDQGFWTQVTKLASHSK RPIIITCTNETLIPMHELPLAAILRVSPPPVSLATDYMVAMAGHEGHILGRDAVSALY RLKNCDLRASITELNLWCQMSVGDRKGGLEWIYQRWPPGKDVDESGRPLRVASEGTYV PGMGCLSHNVFQSHDHVGFDRTEELLVETWEEWDVKPNEWSGHYAVGSVSEDQSRGRV DELRRLEAIIDSTSATDVFCFAGMPSYAHYFEERTDPSLPRLTDKARMNYTLAAPVLQ VDHTTDFSHFDTRMLTQTQLQIQRAYGGGPIPDARQAMSIPDTEQAFTSAILAHKARQ AQETQLSRPDFSRAFDLLAFPPDSLPALGTSYNLTASSFDRTFHIVAEDLAPYVRSIV ASELLIETQRIRLGNLLSEGGRSGSKRQRTTRAARTALEGGSRDTKRRERWFGAEVNR TLVMGTAGDGWAGMGFGEPAAEGSESTSVAGTQEGSESVSLPDTQE CC84DRAFT_1261440 MGKTAKPKARQISTHSRAARRAASPSLDAPTAAKPSNLSRTRST SPDHKTTKPHILAASTGAGISKAKSPKAKPMKRGQRLRALEAAEKAEANAEKLALKVA KSLGREKKVKERRKGWEDINEAKRKKAAKANAFGALGGDDDDEVKGGGEWETLGDEVM DGADAEGVNEDSVVEGKVDVDVAAGLACTSREDPKLQAMPLPDDDVDPDL CC84DRAFT_1197868 MEIKNIIILGARGNVGTALIAELRKIPDQFTITAVSRTSSTYTA PPGSNIAVKAVDYASLESLTDAFAGQDAVVNCITGGATQYEPSVRIIDAAVAAGVKFF FANEFAGNVGSEQFKRLPEAAAGAKVRVREYLTQLVGEGKIAWTALNGGPFFDMWLMK GPAGFDVKNKQARIYGEGTNPLWWTPLPTIAVAAANILRNPSPALNRGIYICPFAPGA LTQNVLLAALETVLDAKFTVTHVDVAAINRAAKAALARGDVKTAMRGFAISNQFYEGD CGNNLEGMTENAMCGVKEIGVEEAIREAVGRYGVEGGVVESMFRVEASEI CC84DRAFT_1250623 MFDRYPRCIQQLEFFDMGTARNDLSLETNEDSHDGMRPVPHIML FFDVTREIARAWYLGRARDAEYSEEKFDKRFAKFEVETLPVVERYRGKCILISIKANG TKDETNEAMQTPLGSTMWQRVGFENSRE CC84DRAFT_912255 MSASSEANLRYAQGPHEVELGRQESYRIHRDLIREIIANDHFGG GEEQVPAGTVDQWVAAIEPGSQVPLPLNIKGFYGGSLRASIPIEVARGSYKHIIYETG NKAKVDKYARRMLIALSVLDVDDLAQREPVLGAAALWHVALAQVRLPEFSEALGSTLR RYEAVRPKVNLTDSKMPQAARLKTRLMSVAQELDNEAALATLNSWLRDS CC84DRAFT_1207593 MKMQFTSISSPPPPPAPLSPSALTSTRASPTSKTSAMASIAGPL TTTATRPWTASRPTKTPTRRGHLRPHAGAHQVQLVQGYLYRPEVRVSHGFALEPMRKR FCFCLPMLLGMHK CC84DRAFT_1166559 MTTNGNQANGTNGTDLSSGHHKGGKLLWQHPSPRSTPMFAFLDC VNKKYGLQLSNYDDLHRWSIDHIDKFWGRVWHFVGVRARLEASRVVDPHAPMFPRPAF FKGAALNFAENLLFPGSEPDPDSPAIIAATETSRETVSWDELRKRVKHCQSGLLELGL KEGDRVAGYVANHTNALVAMLATTSLGGIWTAVSPDTGVHAVLERLRQIQPTVLFADN AAFYNGRSHPVLPKVSEIASELPSLKATVIFSTVASVEFSTEALNVGASKAYDYKTFT SLRTHEELTFRYLSPDHPVYILYSSGTTGAPKCIVHGAIGTLLQHKKEHILHCSITPE SRMFYFTTCTWMMWHWLVSGLASGATVVLYDGSPFRYVDTKNPETSVPDDFAMHRLID EVGITHFGTSAKYLSILEQKALDPKAAGLSLGTLEAIYSTGSPLAPSTFEYVYLSFPS SVNLGSITGGTDIISLFGAPNPLIPVYQGEIQAAGLGMAISAYDYTGKNITNTGEAGE LVCTKPFICQPVSFWGPDGDKKYQKSYFDQFKNYEGQPIWSHGDFVRFNPRTGGIWML GRSDGILKPSGVRFGSAEIYNVVLEHFAEDVADALCIGRRREHDSDETVCLFLKMADG KKFTEELEGNIKAAVKQALSARHVPGIIDECPEIPMTTNGKKVEGAVKQILCGLNVKT SASVANAECLDWYREWTRTH CC84DRAFT_1261444 MASFNLASYRVSAEDAQTPQISNKKAGRTAVKQQSSPAPTVPAQ KEIPYSIQVSKTRRKYDCPYHMPIVDLHTQGTSASEMSPMERFLSAAHSEHPVALSDA PRVTRNKTAEIQATFHTNVFAHVAVTQAVLPYLRAQKSGTIAFMESIAGWEGGAACEV ASFGIKVTVVEPGYFRTNFLASGSKSLAKNPIADYDFVLATIKGIFQTFNGNQPGDSV KGAQPIVEALNCTGREEGRELPRRWLIGPDAVGFVTGVIEKETKSIEAWKDLSATTNL DQLA CC84DRAFT_1261445 MNFHATEEELHKANINIDDKVVRVSGGGYVGVLSVYHELHCLEA LRRSTLRSHYYPGMTDKGLDHEDRVVEHLTHCIEYIRRTIMCHADVSVYTAVWIADSH EKPNKDLISGGERECVNWDAIDKWSRSRALKKKVYKVKPGPFEKDLHPNESDVL CC84DRAFT_912307 MVDHLTQPDTNSPLEYLRTPDNMQLQLFFALFSVLSAGVLAAPL AVPADIDNVLIPIQADGGVDYS CC84DRAFT_1166561 MAYDNPHGLIAAAVIVQVLVLLMIGSRFMSHKMKGLEFHTSDYL IIVAGILSTALAIEQIYCAANGLFAVRVAPLQKANPAAAVDFLYTLRMHNYAFIVTGI LAIGFIKTSVSFFYLQIFSVPKYRPYIIAWIVIMTLWTISFFTAFMAICGDHGIFSSR SHMNPAVQKRECGSTQMLDFGVLISGTVSDLITVLIPLPMIFSLLHLPMSDKVSIAAI FLVGLLSVGASAARSYIGIYTKFAPKDKIMDPGLGVTATCVWTLIEIQIGILAACAPT IRPVLRELVHNGLFGGLVSAASSIISRKSSRSRMQGSSNGSDRGHPQYEARLKGGADE EEIIQLHDMAGANSSRAEHMDGSEAPKPGAIHVSKGYTVGAGHE CC84DRAFT_1261447 MASPVYSSPEWYLKAQDKDVSSDIGRLTIYHLGFKQAAGGTLLF APLEFSSAPRAVLDVGTADGLWMREVQSTIPAPPEGDHTFIGTDINTSFFPSTSMKNI TYVKQDIKDPTPEVWNHAFDLVNLRMILIAAGSGVAQRAVVNEHIKLVKPGGWIQIGD CDRVCPTSEAENPRYHDMFACIRAVCQSSGLDPLEAPKMKSWLEEAGLEQVQERTAMR AVGKRNADEELGKLGIGADLMIAKGFAAGAKGLSPSFKPLPDERLDSLVQELETELTE TGAYFPMRFIWARKPF CC84DRAFT_1261448 MADKQYRCQANLCLVLRCNIVSGLPQPLLTLFSIFRPRATIIFL KIQFAMSILAVPGALATLGAVGGALSIVGWQILNTYTAVILGDFRNRHPECHTLADMC GLLFGWIGRELVGLQIMIAQVLITAAGIVSCSTALNALSNHGACTVWFSFVSAVLITG FSSVRTFSRLGWLTWVGFFTFFIAVFIFVVAVTQQGRPAIAPKTGHFELGWTAIAYPS FAAGITASANIFISGSGSMMYMPVVSEMKKPTDYRKACLVAGFFVGVLYLTFSLVIYR WCGVWIATPAFGSAGTLFKKISYGIALPGLVIGVGIYQHVAAKLIFVRILRNTHHLQE NTMVHWTTWLGSNLILGILGFIIASAVPILNYLLGLAGSLCFAPFSLVFPALLWMYDF KGYRSGGISQKLIYGLHIIIVLIGLFMVVGGTYGVALSIKEAYATGIIGKAFDCADNS GSVS CC84DRAFT_912380 MNDENALITMRKIQIILFWLNYTQKAHFIAQTFFNIIGLQEHFT DAVLYPSIEVTENALRCTLPTTFVQETADPIKLWAAASHYDPVPFSNPFGKSVLRCGI EPCGASIYDEDNQDVSPRDSEKIRKARAKHLINIFGIRGRFENSGTGLPKPVMAGKPP ASIHFNLRIGVART CC84DRAFT_1166563 MLAHPCSHRNRTLRKPLLIMPDKNADALERLAFAIRNRTSLLAN DRTIPSVPVQPVPAITLCRRRLPERGSFASLHPSPTEDDIAIAAQRLCSYRSILIEEI SNDDAFHSPRGYLKGKFSWRLKAMLTDDNHVLMDPNAGLRRNIDYTSVEEMHAIVEER KNPWGQSLLRHQVQQSADPRLHGEAQSRRRVGRQYVKGILEGEFEHAALKEAKADAEK ELDGLL CC84DRAFT_1219704 MSAGSQRFLKWREQRGGRNTLERRAENKTADPVSVSVSQYWEGN DGPWSSFAIQVGKKAQDVRVLPSTASPNTWVVYGEGCPRDAPNNCADSRGGTFNNNNS LTWIPNSIFELGVEENLDYNVFGDFGFDTVTLGWQGSNGPSVEHSVVAGIADTTFTWM GVLGLNPRPTNFSTFPNSPQPSLVQLLKDQNSIPSLTWAYTAGAPYRLNKVFGSLTLG GYDQARFNRPSKTAQNLTFPFYTDISRDLLVGVSSIRTSNTTSSSSESALLKDGIFAL IDSTVPHLWLPQSVCEAFEVAFGLTWNSTSELYTLNATQHSTLSKLNPTVTFELSPEL PISGDKSISIAFPYAAFDLNVSWPYTQDTMRYFPLKRASNDTQYTLGRAFLQEAYIIA DYERQNFSVWPCKWDSDTTNGNIIAISSKDVETNTSNSDGSNGSNGNNDSSHSPPVNG IGAGAIAGIVIGVVVIVAVIAAWLFWRRRNRKSKASELEGNQADVAHAHKPINATLVE APQDLGVMEAPTNDKRFAPPPEELQGSKVARGGELDSTPRHEMVGQDGFPLYSAQEGW GTGIDGLHEADGRNLTIHEADAGEKGTTTQKMPATYADSGQKLAHYKSPGATAGPVPA WSDLPSESGGTHR CC84DRAFT_1166565 MVRLISLGLVALPSIAAYRIPQPAVLPRQGVSNSSFAPSTTLST QTATASSSSSAATITTAPLPKVSASGNGTCPVTSYPGDFPDRPFATFTETCQSAQSRA VYDKFYYLTDSCLASYCEAQWDSTWESIGSASTTVWTTSTRTWFSGAFGTGSLSTVVV TQEYWTSTYTFPVDAADLVTVDAPCCGQCTFADPTIQLYFWPTATADPTPSVTGLPEN ATAMVTGLPIVEGTYVDDSGFTFTSPSIYLGFTSLTAHNWCGPVGSEIYNTTIAFDPT EISTMFPQVTRATCTITGTSRDGTEYTTTDYDVTTRTPSQLTVADVAQDCSTIDGYYW FANNPSNAVGDAAGDPCHPVIALPTKVQELQPAWSDCLPIYGGFYDPPKTLKRGTALV PTTASETSDPTSEPDPSQADPTSSVDPESTQAGPSPSETPDPTQAEPTSQQPDPTQAD PTSPQDPTPSASQTPAPSQPQDPSVGEPTPAPGPTQSQAPSDPQPSAQPQPSQSPPSD PAQGSSTTIVITPPAANPSSPPIHSASAPVITLNPVPSPSNAVPAPNTPAPSPSNAGP QNPGSPVFTLQPTVLPPSNSGLPGSTVIIIGTQTLTPGGVITVGGSTSTLPNGQPTVS GGTQLVLDPQGTAVIIGGSSTVNLPPAASPEPTTPGSAGPVVVTVGETTLTADASTQF TIGDQTLTGGGTVTESGTTYVLTTDEGGSTVLIAGTAGASVVASLTASASETGSASKT AGSSASTTENVPPAPTNDSAAGSVSARWGFIMLALGGWAALMV CC84DRAFT_1188864 MLCAISGEAPQAPVASRKSGNVFEKRLIEAHITEHHTDPVTGED LSVEDLIELKSPRIVTPRPPNLTSIPSLLSAFQNEWDAIVLETFTLKQQLAQTRQELS TALYQNDAATRVIARLTRERDEAREALSNVTISGGGAANGDAMQVDSQALPDELVAKV DETQQELFKTRRKRPVPDGWATGDDVSAFEVTSASEPLYPGSSAVSIDESGDLALFGG ADGVAGIYSLSQQKLVYALKAGSAVTTTAWWGPKAVVGTSAGTIKIFEDGNEVAQLGS HAGAVSSVSLHPSGAILATSGVDKRFIFHDLATFKPVSDVYTEAEITCSDFHVDGLLF FTGGADGNIRIFDVKNGTPMAVMEAGGPVRALSFSENGTWFAVAVKGSTSASVWDIRK QSPIKVLDVGSPIDSIRFDYTGQFLATAGSGSVSVQQYTKSSKSWSEPVRKAVAARDI AWGASATSLVALTPEGGLSVLSAS CC84DRAFT_912486 MREKGRWCVWSRGVALSALGCSGQDQNRKPSSELATSRSGAQLT SVNVLSRHMHFLSVLPAAHVCRLHCLVLKQFSCKAQQEAHRQDPLSPTVHTKAT CC84DRAFT_1178351 MDSEELPFGYTRRDKRSAAHMDLDGSASPTWSHIDRLSVSREPS APRNLFHLPRTSDMPAETPRRFQGDGFDYRRPMVSYDNVIDLTDEDAGPSIALSEPQQ SSSRSARPPRFGRQIIDVEEGDSNGAAGAPASPEIQFVSSRRIEPAQQPPLYELDEDD EDEVEFVRENPLPEAERRRDINEEIAFLLDDPAYRPRVGHLRERVQQQMAGDRRRARD AQIARVERMFAQARNRRTPRPLGVRVHQGEPRALSVRLEAGQRNGPGRHIHVGFIAPA LNFGAVGFDMGFEDGPPEAPPPPPPTYNAPAPAPEGFTRSPQEEDVLLCPNCDDELCT GDSDQKRQVWLVKGCGHVYCGECMMNRHLKKSAKGKEKQIPVRTKPFKECVVEGCQKR VSSKNAVIQVFL CC84DRAFT_1166567 MPPKTSTGEPSAAATMKKQYLISYNAISATLWFGVLARVVMHAA AEGVENGKVYEELEQYTRLVQTGAGLEVLHSLLGIVRAPLFTTAMQVASRLILVWVIG YQFPQTTKYSPAYSSMLLAWSITEVIRYSYFVFALTDSVPKLWTWLRYNTFLILYPLG VASETWLIYRAIPPASKLDEKYGWALWAVLATYIPGFYTLFTYMLKQRRRILRAGANK AEKKRV CC84DRAFT_1178353 MRFSTAPVLLGLAGSSCASAIARRQANSLESCPGYAASNVKDEG ARLTADLSLAGTACNAYGEDLTNLKLEVEYQTENRLHVKIYDADEQVYQVPESVFPRP DGSEGCEADHSALAFQWTETPFSFRVVRRSTNETLFDTSAASLVFESQYLRVRTSLPD APHLYGLGEHTDPFQLNTTNYTRTLWNRDAYGVPGGTNLYGHHPIYFDHRGANGTHGV FLLSSSGMDIKIDDTDGQFLEYNALGGILDFYFIAGPTPKDVAVQYSEIVGKPVMQPY WGFGFHQCRYGYRDVYAVAEVVANYSAAGIPLETMWTDIDYMELRRVFTLDPERFPLD KVRELVSYLHEHQQHYVVMVDPATWRGDYEAYNEGVSRDIFQKTPDGKIYVGAVWPGP TVFPDWFHPSTQGYWDDQFLKFFDADKGVDIDALWIDMNEAANFCPYPCSDPDAYANK SGNPPKPPPVRENSGRPIAGFPEDFQPTGTSQRLLARQENSTGQWLGLPGRDLINPEY KIQNAAGSISNLTAPTDIENYGGTHQYDTHNLYGTMMSIASRQALLARRPERRPLVIT RSTYAGAGSHVGKWLGDNLSTWHHYRNSISGILQFASIFQIPMVGADVCGFGDNTTET LCSRWAWLGAFYPFYRNHNGFDSIAQEFYMWPTVTEASKNALSTRYRLLDYIYTALHK QSETGLPLLNPLFFHYPEDLATAPIDVQFFFGDALLVSPVTEENSTDVSIYLPKDTFY DFFTHERVDGNGEWVNLTDVAFTTIPLHIRGGSIIPLRAEAANTTTELRKKDFVLYVA VNSTGQAEGSLYLDEGDAVDQPETSLISFTYDNGKLNVGGEFGYATDLVIKEVTVLGG EAGNSTGGYQTKEAASRTFNGPISLSEASTHSF CC84DRAFT_912588 MGLGVLNDLHMASPPGTYTIGAENSEQANDSSLKRDGDIILQPQ PSDNPNDPLNWSRAWKESIILILAFASGVTTALGPMVTPGLQVIVADYKVSIDLASSL IIGFFAFWVGATTFFTASGANIWGKRPFLFISSVILFLTCIWGQMIGAVHSFPQLAAM RIIQGMASAPLETLVTSTVSDLFFVHQRGTRLSIWGMMLASGVLLGQTISGAIIQNLS FESTFGITAIMYILIMVGLYFVVVETTYNGPRNGESKIQIEEKGSFFEIGTSEKESYR DRLRLFRGRLSPESFWKGVWKPVPLIAYPAVLFSTVVYGTYFTFLLTISVLAVTALSL PPYNLNPAQIGLTNLPLLLVGFIGSPISGWMADWAAKAMARRNNGVFEPEFRLVLMLV AVPFATVGFVGFGYSLHNAASIYQVMAFFSIYSFSVPFASQASLTYVIDCHPKDANQA FVTINFTKAIFTFLATTYANGWMMQVGPKTVFVALAMINLAVCALTIPAYMFGKRFRS MVARSSFGQKMSG CC84DRAFT_1061143 STQNQLSSQWNNPSDVLSVLLIVGGDIVQKALAQTSGGYLTPVC FSFGWVAYSLSMIVSIVGDGRLLPEPDHPVKVYNLKNGYVRENKNWTIGRILRDNVMR LNKNVPIGGNAIRIAIYDAQKHDVNPAIAGTGRLRSLSIAVMLVQFGIAAIPCGLYDE WGILLITGAGTMLALVAGALPQWRVEKYPSQTDSKKNFALTSGNGSRDIMIIRGAEGC IDLEELAAPQMVRSGIPLGFWITMVVAAIQFILWLALLISVAGLRAHVWYLLLVGAIG MLQNVLVAASSQSLKKRNLKLRQAEVILTRKVMDGLMDLEVAYKGFGEALRNEFFPGK LDEDEIQWW CC84DRAFT_1207606 MEHLTLSVCDNFEIRYFENAKNNRLFREDDDFFEFPNDPAFHWN EESCDCSTPGASVNQFLQQWLFFGLLRTVLQDRSFKESDFTTTPGNTSRTVHTKFLNR YLETWGEEASVPNHETTMWMIKAQLALDKAREVVSKFSWDWSIRNNKEDNDTRYPYID QKLALSLMVLGETLSNVKCQIVERYGFTIRGWHGDANEGWGIPSIAVTTMIDMGWCER TVYVLTCQLKSHATALLAAWNSFRTINPSQGRNRILNPFGHSECNKHKCIFKSIQGSD KEKYKTQHRPGCNEDGCPMIGPEPFDVAKIIDMGQLPILRYEDGGGNRSTVHVRAYKP EFTYATISHVWSDGYGNRDASELPKCQLDFISRVVRDSPYNRESPPFFWIDTLAIPHR DKYPEQRKKAVQRIDEIYRNSKFTIVLELGLDQISTGNHYHEIAMRILVSGWMRRLWT LQEAYLSKKILFRFSDKLMDLDDIEEMYPHSQNDLQTNLSASAQVYFRHIMGPERRSR MFGLALENSHDLIASVWRSTQWRVLFALFELNAKSPNGTTEMPGSTSSTDYRQPKSSE ELFSMMKRLWALLDEQSPGCIPSGIIFVPGKRLPLKGFGWAPATWMSGQHVDYPDPLS LLQQGARIVYNKGLSVTYPGFILHSDRPETVFWPKFPDPIRFPSDSTLLEWYEVECVD EAKPPIAGRILDGMNFAILLCRQKPREVKEIALLVHIARKVSHRIGLSKATSKVMEAH IVCRVWIKRDIKIDRSSIWEQFSKTRRNNHADFICAEELDNTQEWLVDGRKDTNIDEV VEKSPGQSDEATRTPVKKSSASPDGPPVRSIRVSKTPFASGRGARSAPSGSSAHTMKI SSASSQSRSTPQIRAIKSNGAVRNPNLTHTGVPSVQPGSRPSSSSSLRAENRNQLTKQ KEAS CC84DRAFT_1261455 MRLFNANEDQTFIFIGYDVARWVIQRSLACHSQYEGVLLTSLIG VILWEVPSPDAWVSKMKTLYQGWRSTSITLDPAVLNKTEHDFNLLKDNQKYSPRVLEV PSVDIGNYFHDVTRSLKTRAQSLLPKSLSPEPRDKVASPEPAPQTLTDGLKALVERSS GWTFTSNNVQAEGGRNITSVTEQGPKAQVSADRKAKASQEQSATLAGISE CC84DRAFT_1261456 MHHQQTLLIQFERNLVRYHNGEDISIQIQQVIVDRLDQLLGRAH VDVIATREDLAVMLFQLEHLQDARQSQLEVLYFQICRGSGAFARGSREIEEAVYQDLR AIEHNDTPSAIQPRTRVLLNIGLLAEIEHDLCHFSKAIVARGALVKLAEAWGCQTEEI LHLKHDLGHLHQLCATTNGKGLAGDSIEEAKSLFEEILREETIRSPANRSLKWATETN LGSIYWSKHRNTDAKQMQESIYRDIDARPVLTKDEEYMLIESIRNLALAADDAGHHDE AINHLQRAADRSVKALGRSDTFSKATKVLGKDMFSKVNEKPSKTTGKDDTLSKALMEL RDSWIDAPAKPYRTRKHDSVQSPE CC84DRAFT_1166571 MVTEISAQSEFQTLLNDHTYLVADFFATWCPPCKAIAPLYEQLS KANSAPGKVTFTKINIDEVPELTAQFQISAIPTFLIFKNGKQIEEIRSANAPALKKNV ENIAAEVKGGGAQEQEEEKKKLEFEEDF CC84DRAFT_1124655 MLFNRLLPAALALLPALVAAENVVELTPDNFDDIVLKSGKPALV EFFAPWCGHCKNLAPVYEELATNYLHAKDKVTIAKVDADAHKSLGKKFGVQGFPTLKW FDGKSDTPKEYDSGRDLDSLAKFVQEKSGVRAKVKAKLPSQVVILDDKSFTEKVGKDQ DVLVAFTAPWCGHCKNLKPVWEQLANTFANEQGVTIAQVDAEAENSKALAKEQGVSSY PTIKYFKKGTTEPLPYEGARAEADFVEFLNTNAGTYRAVGGGLNAIGGTIEALDSIVT KSKGAYASGLEEARKAAETLKDKYAQYYVKVFEKSSKNEGYAEKEYKRLQGLIGKGNL AAEKLDDLISRSNILRRFLPTGDEDEKSEL CC84DRAFT_1166574 MSSRPSADRTDSSPSALNSSTDSSAELQQVVDDLLTQLQSKFTN VSSELLAKMEDMSRRLDNLEATIQTGDGKASGASK CC84DRAFT_1150816 MAKSKLKAALDNEKGRNFKLERQRKQEKEARKRKAEKKPEGDHE DDAEGGVPLDEVELKATPKSNGKVTKKAKANGVKVATPVEEAEWETDNSEDDASADDE KATYDLSRLEDSESDVSGDDEEDEELGEALGAGGGDEDEEDDVDEEDEDDIAFSDIES LADEDKADIVPHQRLTINNTAALTAALNRIKLPYAKLGFSEHMSLTTDEDVEIADVDD DLNRELAFYKQSLSAVKDARALLKKEGAPFSRPADYFAEMVKDDEHMGKIKQKLMDEA AGKKASAEARKQRDLKKFGKQVQVAKLQERAKEKRDTLDKISQLKRKRSGADVTATNE EDLFDVALDKSDKPERSGRGGEGGNFKRQKKNDKFGFGGKKRHGKSNDAQSSADGRGF SHKKMKGGAAKRPGKARRMNKK CC84DRAFT_1219717 MSNDFDDDFSIDDAFKPEIDFENRKKAASRPPDEDLSDPAAPKG TVFYLTVSTPHTDGWEWHGPFPFFAKILRIAQQVARDSPSALATLEHVKSKGFTKLVV KDPIDETQFSVLEVVTMTKAEVLAMLPKPVFTVLASGPMLHDYSEVYGKVMSANAKGR TINSKIIGSFTNGDSARTAAKNAMRDLIANEDNAPEVSSSFPAADAGGGLIMAMNPRA KWEVRVYFETDATCGMQAHFDEDEANVEKECKSSWRPGGV CC84DRAFT_1188870 MQFINTGTLPGKAIEQQLLLNIFRDAFPERLSTDIKPLLQEVKG HLYNRDFATAFGKEEYLETYALRWSPSRTLCYLEILHDLRDELKPFESESEETEDAEW KIVGLGGGAGAEIVALGGLMKLLKTTEEEHRAKMNIAAIDIADWANVVTRLGSGIVTK PPLSKYASAAAQSANSALTSLSSFAVTFHQHDVLNLSPDTLANIVENAHLITLLFTLN ELYTTSLSLTQKFLLNLTTALAPGAHLLVVDSPGSYSTVSLNGAERKYPMQWLLDHTL LNPSGDAKANPSPCWEKLQEEESKWFRLPAGLRYPIELENMRYQLHLYRRCG CC84DRAFT_1219720 MADVLPKIRASDPPTEDASPLERQDTGKFSVKGDGSLVNGTKLA AKLRNPATTASAQSNIESKPGKDANTEGRGRPSLDPLSQHILQRTNTALTVQKLRAQH AETGAQSPTSPQDGRTDTKITSDTPVRDSTSGGTKADKKKGVSFLSRFIGTKKKGTLD GTDDNGSQAGDWDPRPEGMEAQLFSHTVDNLGFSPKHPPPPAYIKMRSKYKKEKEFDR VFLAQELRTKTEKKRLSVGTTSSTTPSGSAGVQNPIWATEFSKDGKYLAAGGQDKVVR VWAVLATPEDRRAHETEEQVPNASGHSTRLSAPVFHQKPFREYQGHTSTILDLSWSKN NFLLSSSMDKTVRLWHISRNENLCTFKHNDFVPSIQFHPRDDRFFLAGSLDTKLRLWS IPDKSVAYTVSLPDMITSVAFTPDGKTCIAGTLGGLCMFYDTEGLKLQSQIHVKSTRG QNAKGSKITGIQAVCWPPGSSTGDVKLLITSNDSRVRVYGFREKNLEMKFRGHENNCS QIRATFADDTEHIICGSEDRKAYIWSTNGFEGEKRNQRPMEMFEAHRSITTCATIAPL KTRLLLSASEDPIFDLCNPPPVTLVSREDSMNGSKPPTEAGSVQPTPTEANFRRVAES PAYLARSAHTDGHIIVTADYTGAIKVYRQDCAYHKRLRASDNWDAASLLSRRTGSMRL GRPSSILSARTGRARRDSTSTQPPNERIMSWRQDLAHGGFESPRRSIGRSISPRKSKS RTSMTSTRPSDSPALGPLPSRDTTLSGPSKTDLSIDHKHSKDDADSETPSKPVPSPNL NHDAGTSPQRKLSAFDPVKAPAIQFSPSLSNPLSVYNGQSWAFWNTKPKIAQAERNGF LAPRPELQARVSSAVSRLSSEVSSLSAEEEAEKCASCGGMEFKVRWRGTGGREERIVV CGVCGRVVD CC84DRAFT_1207611 MSAIQLTPSKSAASAIDTLKMSDSPVKKLNFESSADKENVYKPI VGIPDLVEDDAPKKASTAPTIKEEEAHEPLLQENPHRFVLFPIKYHDVWQMYKKAEAS FWTAEEIDLSKDLHDWNKRLNDDERFFISHVLAFFAASDGIVNENLVERFSGEVQIPE ARCFYGFQIMMENIHSETYSLLIDTYISEPKQRTYLFNAIDNIPCIKKKADWALRWIS DKNSTFATRLIAFAAVEGIFFSGSFASIFWLKKRGLMPGLTFSNELISRDEGMHTDFA CLLFSLLKTRPDKKLVEDIITQAVVIEKEFLTDALPCALLGMNSTLMCQYIEFVADRL LLALGNTKVYNATNPFDFMENISLAGKTNFFEKRVGDYQKAGVMASTKKNEESTQTEE SKNVSGDFAFDEDF CC84DRAFT_1178363 MAKSAYRLVGSTVPLLVDHGDQAQKSMTSVTRFTTRQSRPRKHA SYGVTSAWAGSRCDDGPQRSGACVHCCLIRSKLSDGVDPSDPRCSRGIMRVHNLRASR FGSSMIGLLILKKSSLNRSQPGSMYHDRRFTRLGQHFQARRRSDSAAWMQLELGAGQI PASSCAGWLVVVGLGATQSAFCFKRVRSGARRV CC84DRAFT_1166579 MSSDDDDEQNAEFPRKNIDFIELSSDEGEAEPVPRSHGHLPIRI GRKPHKEKLFGINTDASTEANAPQNAEVSGPSTETSHKGKGKAKEVEVTGESKSYKGM WQDSDGTDGEVKVKPEPISSDDEGAAREQVGIAAPIKNEHSPERERKPKVPSDTAIPS FQTDEERQEWERIQYNLIGMRKELGPGDDAMQPDVEGDVAMIDAAANASKPSVRDDHT YLFQLPPIMPELLLPGNHSKIKQEPPTDAAAATAPGQPPTTIKKEPTAAAEDFSDPRA SSGTGARFASGRVGKMRVHASGRTTLDWGGTSYEIGPGNPSSFLQEVVSLEVVPEERR VVPEDAGEAYSYGRIKEKFVVVPDFGALLGG CC84DRAFT_912865 MGLSNFASKLFATCCGGRSKDSIYDPVLADSEREAVADLLGFLE NRAETDFFSGAPLNALSTLVYSDNIDLQRSASLTFAEITERDVREVDRETLEPILFLL QNPDIEVQRAASAALGNLAVNTENKVAIVALGGLAPLIKQMNSPNVEVQCNAVGCITN LATHEDNKSKIARSGALQPLTRLAKSKDMRVQRNATGALLNMTHSDDNRQQLVNAGAI PVLVQLLNSTDVDVQYYCTTALSNIAVDGSNRAKLAQTEGRLVQSLVHLMESSSPKVQ CQAALALRNLASDERYQLDIVRARGLPSLLRLLQSSYLPLILSAVACIRNISIHPANE SPIIDAGFLRPLVDLLGSTDNDEIQCHAISTLRNLAASSDKNKQLVLEAGAVQKCKQL VLNVRLTVQSEMTAAIAVLALSEDLKPHLLSLGVFDVLIPLTESDSIEVQGNSAAALG NLSSKVGDYTIFIQNWTQPEGGIHGYLRRFLASGDPTFQHIAIWTLLQLLESEDDRLL ELISKSNEIVRMVTDISERSIESDEEDNEDGEGEVVGLARRCLEILDKTPKTLMEG CC84DRAFT_1166581 MAPITGSSHSFQKLRINTSTPKHVPSTFASIRRDTHHNGDAESQ LSAHFADADDLHQAQAIPIKRDGPTRNGHPPYAHQDPAVAVTSSSSSEEDIEQQFRRR AKSITFNEEVILDSGNRHAIEVPLPKSNTAPRSFESDGSNEHEYLEMQMRHRRRPQQP KNASRYPLLQTTVDELAADQEYNDNVASLTSETTASPLEEVHTPRDEPSEYALSPLPT SSPIGFQMGEKKRRGPPGRSKSYQVGEGDSILRRGSRRASTRTGRSLSSMSPAASFLA SYKTHGPLKPPQPDDEGQGIGYDGEYIIGKQIGWGGFSFVKEVMSFENGKRVTNAVKI VRKQVEDKDELENDQIQTQFDHEVEIWRFLRHPYILPLLRVYTTDFATFCITKLNKGG TLYDLVRDMRKKKKKGLAPKLAKRYTYQIASAVRYLHNDVQVVHRDLKLENCLLDMSG PQADVEGGDVLLCDFGMADFIVSDQRDCPEPQSVGKNHNIGPSGTSTCIVGSLPYAAP ELFTANGPIFSPAADIWAFGVVVYALLTGYLPFNEGLDARTAIRIQNGDYDLDLLRDS NALQESDAEDAIALVTGCLTLDAEKRWTVHDILECPWLAGCADLYEEVSRQWLADS CC84DRAFT_1178367 MAVTITVSLPGLCERGSVGSDGSGAGNRTLAFAFIRPHNSSPSL LGYHNVENSFNKMILPSRITCLFSVTIFGVGMPFCVVYGEVGRQHRLGLSVFHITSQA NYEQQPL CC84DRAFT_1219725 MRFSSLSILGGFASLIAGQSTFNPARPPSLPLAVKSPYLSTWFP AGKNGGNGGYLAGQWPTFYTGQVVAWTGLIRVDNQTFTWLGAPQVDSDLANQTAYEYT SSKSIFTIDIDDKVTIKASFISPLTPKDFKRQSLIASYLNVEVASKDGSDHEVQLYTD ISAEWISGDLTAVAHWEYDTTDDLSYHKVWKQDQQPFNEVNDRAEWGNVYYTTDTKDG LTYQSGSDKNVRGAFASNGKLANTKDTNYRAINSDWPVFGYANDLGTVGGTQATSVLF SIGLYQTEAIQFLGKDGDEILPSLWTSYFADDVAALAFFHKDFDNSNELTSAFDDQID KDSKAAAGDDYAILTTLAARQVMGATQLVGNEDRHFLFLKEISSNGNTQTIDVIYPAT PFFYYANPDLVKLMLDPHFENQESGHYPNAWAIHDLGTHYPNATGHADGNDEHMPVEE CGNNIIMVLKYAQTANNNAYLEAHYPILKQWVEYLVEDSLYPSEQLSTDDFAGHLANQ TNLGLKGIIGIAAFAEIASLVNETADADNYTSIAKDYITRWEVLGVNAAADPPHATLN YNNETTYGLLYNLYNDKLVGTNLVPQHIYDNQSAFYLTVANTYGVDLDTRNAFWTKGD WEIFCAAIAAEETRDMFISKYAKWVDETPSANPFSDLYLTNSGEQTGINFRARPLVGG MFALLVL CC84DRAFT_1166583 MPGPAFMQADMHCLHALTFNGLRCVDSTPFDKEDMAPSETLQHK PSKPMDVGHAFHVWGTGRMAS CC84DRAFT_1166584 MCIHEHHVKADAATLVAALDNFTAAVQLMKHLEGLTFAYDFQDS ITSYGTASHQKLRKTSAKDDAEGSFQKGVPGGF CC84DRAFT_919493 MLGASGAVTCQCICICSSTDNTKDRLRRASLTSLHTRCNPKTTS QCLVNCIHRLELCLSEPPSGTDRTCQESTNGLRVGEERNLSFVSLAKTDQKQLRYGIL TRAAFIHDPLKSVLLTVRGFPVGIRVGFPVGIRVGFPMCRVGGRSIL CC84DRAFT_1166585 MPDPGAWWKRAQPTNNEAFRHCAPFKLPSLGTIQLSPDHTITLE ADAVFTPQCPPTEQSQVNAGSATGNDSDVTSVADLRDPFHASITPQAYATGAATVLAW MLVIMLIITPRTFFVGGASGRSGLMGRRGMISGATGGGSVIGVGTRPWLQKVAALTVA VSLTLATADTFEIAERQYNEGFMDAMKLRNEVVAGTEIKVSRIISDIFLWLAQVQTLI RLFPRHKEKVMIKWIGFALILLDIVFNSINNFGPYNKGHSPRHFETAIPALSYLFQLS LSMLYAAWVIYYAITKRRYAFYHPMMWNMSLVALLSIVAVLTPVVFFITDISNYTIAG WGDYFRWVGAAAASVIVWEWVERIEALEREERKDGILGREIYDGDEMLNTTPSQELNW HGSKRQSSRSDDVKKSGDGGNGGAFTSGRLTNLAHRLNRVKKPSPGPEHNKKRTIHIP APPPAARRSGGAQRNNRNSYVPLQSPTAPPPNVASPVSRTDTASADSTVYTVRYHPIS DTPPAARLETAIEEGGDAQRPPRQRSQSPVEDVEKGAVLTEDAGDGRGRGQWSWQTIA SMNPFRRRGRSPPPELQRGRVIEPLVVEDVPLNIPQRNYTGLALKDRLGTFAAQQGER FRSRKGPAQQDNASLPVTIIPAQPRGRTWSPEILQQQSQPSEGSGGQNPTESPPATTQ STNTPSPLPNGADDPGSPGRSSTIRFTPETFLPPNARPQAHKERPQSSSSSVALGNEV SENSMEMSSSQEQEQHRGRNHTTTPSHPTNHDR CC84DRAFT_1166586 MALFGDDDDLPSRPKAQQSSSSLFDDDQKPTGNSLFADDFQGDD SPWGFNPTPKKQKGSLIKSLLPASDVPDSYIDAFDRVLESGERAGSGISVAEIKKLLG SSGLPGDKEAKILGMVSQPGQESAGVGRNEFNVLYALIGLAQDGYDASELSLDSVDER KRNLPVPSVSLPQPPQPEPKASEPPASAQAQPPPTPPQQRPATHTGTTPNKSRAPMRK QSFGDPEADPWGSPDLHRGHNHSSYTAVPAQTNGMTVPAATRTTSQFTTHSANTTTTP IVEPTPQRPSSYGSEGGWGGFNPSAEPNFSDPSLGREPGGFGQPAAGEGGPNAPANLG RSLGGGRVASTGPEEVIIVNTLAEKEGVFMFQHRNYEVSSARRNSKVVRRYSDFVWLL DCLHKRYPFRQLPLLPPKRVAINGNYIATDSSFIEKRRRGLARFANALVRHPVLGQEQ LVIMFLTVPTELAVWRKQANLSVQEEFTGKTLPPDLEDSLPKTLPDLFDTVRSGVRRS AESYINLCNMMERLAKRNEGMAAEHLRFATALQQVTEASSDTYAVDTNEIPMLNEGLN ATARHLEQSRSLLEDEAKGWEEGVLEDLKRQRDTLVSMRDLFDRRDKYAKDNIPYLEK RIANNEAKLQGIRSKAPEFVKPGEAEKVEDAIFRDKESIVQQHARGVFIIECIRDELL FFQQSQYHISRLHQDWSQERVKYAELQAENWRALSEEVEGMPTGD CC84DRAFT_1250697 MALLTFRYRYFSGGGGSGAPSSTKSNLNKLFDSLRDDKNSPDTV GPEGAMGYFEKLGVDLEGMDALAVMEVIQAPTMGELGREGFVEGWSSLNADTLDKQKA HLKSLKQRLPTDKAAFDKVYKYTFLLGKPAGAKAVPLDSALAFWELLFTSPLSAMRWS TPTSPWSEWWTEFLNSEWKKSVNKDMWNETLKFAKLTLEDEAMSFWDESSSWPSVIDD FVEWVKKEKRPSQDTVDEMEE CC84DRAFT_1166591 MVAAKKHVPIVKKHTKRFNRHQSDRFKCVDPSWRKPKGIDNRVR RRFKGQAAMPKIGYGSNKKTRHLMPSGHKAFVVNNARDVDLLLMHNQTFAAEISHSVS ARKRIDIIAKAKQLGVKVTNSKARVKTES CC84DRAFT_913055 MTPNLFVATQKVFQPRKWFKKPNDKPQRRTEHWKSPVPGVYEYI PGRGWYLVATYKEHGESDAVEIASKEGGPVGGADSAKPKETVPVASPVPVRYSKVLKK YLLNPDYEVRKRYGTIENAKGRMVQVGFFQLDDGVAWVNCWDEEGEFIPGPYKLWCIG KNDRFRHMLKADDPEYQRSHPNSRAGSRANSIEREAGSRRNSQDSRSTQYRPGPGSTY DGPSVPSSRANSVRNFTPSDPASKSGSRANSRRNSLTRGQRSPRILLDDANPALRELA MSQAAKERSNRSIETTKG CC84DRAFT_1219731 MSVEEDSPSYDGPETFRSLLEGSIDIDHYLAEPEISPIRSVSAF LARMRQACADNARLDIAELMNLLAALEVIGARGWHEAWQGRAHCETWIRLRQMLEDRR LELMKAEYRIEELEQERNELSRHLSRAVARMESLDNQFSQLQVNQQPLDCAPPPNRPG TLSDVDESDEEFRTHGSRWGTESLPLKQEPKEIRKCRSSSNVTEVGDPLHDQAMSYPP PLALATEEARNVDERRTSMLSEVENTLWIQESKDREYLVKRLGPPLMLAQPPPSSQRD YPGPFYVYMPLPPKITIPQAQNNPQIPSLMFPTPPAVFHFPECGTRDQLLDVLRSGFA GRVGDEDIGPIDDINIIRVRTHEFEWELKNAIRKTLTDEEWDDLVGDDWVVEGRGDTY IVSKRDVHFQEQDCNSNSMPAYIAETSEASTLESAKKDADHEGRPEYFSNHLNGNGKE PEDTPQMRGGALSPLPSLSSSSSVETSYGSQNPCTLRDNNDNYPFAPMQPIVPVEHPH GLIDQARILQLQNASHGRMISLLENVIKDVEGTVEWQDNELAQAHRHITHLQQKLRER SEETLLAGRMAEFARAETHLLREQLQQIHNQVVNFSAELDDHERHILARYPGLLTRDL SANAELRGGGDPPHDTASSSSAQPTDEAITPIVEAHSFYFFPSVSMVVLLSSPLHHFQ FPKHTSLARVRQMLQNFHMEGRDTDLHLRQVRKIFEARDEAGIVLPETSNGRQVLISA PEIQDSASTSSGKPGYKGTWNKTFGPTEYQYERMLKDSIDSLKPLTADDTQSRSPTAS IYEEKFHDTGILVDTISPLGSLDEVEFYNAGELIDIIEHEAEISRARSTQKLTDLLPK TRSRGDSLGERRGYAMSLPLPSNTLGPMFPTGYGTAPAPNAMGEHLTPQPVGVTGVKA WRFDPYPPHKSHDPAADELSRGHLFDYEKGPPTISNIGLRHNPLSLERPLPRPPSSPS TNLGQHCEESGKPTTRSRRSTEDGWGMWRHRADEGCEGWIDPSKLDPSRETCAFCNLP FTEKAWRTWEYNETPTYTSHPPHEGPRDPDPGPPNPCLRGGAEDVEWAHLQCAAYDAV SSSWADEMSLERPVSPRKKSLVMNDDGEV CC84DRAFT_1188882 MHSKPVVIPPRTPTRPGTASATQSVKVRGHNAGRPQRAHKNHNP DAIPPAVAALLAVTAIPKMPPRRRKGSAMDRRISMDELIQEWKQDDSELPASLVGSPL DLLLGRVDESEEEYGSLVSEEQEKDCAVSVTDRSISSDSLYTTPPSLDTSAPSFASHW DELSTPDPYQRSLPDRRGKAVSSPPAEDCVLDHPLLHFTPDDCDEIAKFDIPDPVTPS PASSERFRSFKSNLTASLQALKSAAKSFSNFTAPSIPPDDMLTRSLLSPKFTSEMRPK HIQGLPSPELRRYLNPPPAPVSPTELSMQLYDALAMNVDEDPSAPMIQMQTYERRNRS RGRRKAAEAPDASRAQSEHPTVRQREPRENCDFLRVIVLEMNMRRVGKLDSKAVGKAR IWLPPRKIGASKAPSIQSPASRVPDRWVSVLADEV CC84DRAFT_1166598 MTSFASSLHSLSLLAMLRAVAVHLQRSFKCSMPRPSKQLRPASI RAPSSPDSLPFKPNTHTLDCMKKFKCFFCGAQISRSFTPSLCHRTA CC84DRAFT_1166599 MHNADPTPDDSTLYVVKRTVLDPRNPSGLTSTTTLPATLTSLPA AKAEAKALLPQEGYDTDFFKIYEVKSDPEKWKYGEGVMVYAEAPSGEVFKVGIDTVPN LAGLKAGDQGRVLQPLYHVVQTLVEYGDDRSGTERYAVVEGTYADKGEARERALKVLL DENVGKEDFVEYDEYDEDGERPFGGDVVVHAVKEGGENILVYNASVPAP CC84DRAFT_1178377 MHSAEALFEADGISRGLVTSFELIRTGGTTIVRQRLKHHIDERD GALKTKTAQVQKRLQCIILIANFRVDSDMRKIVMNPRAKNNTEPLAPSSRHELFYGAI DLLQKDTESVLYGFEDIFPFQSSESNRLITFFTDPNLQNPLCAPWFDVEIISDRAPID PVIGPAEGYHMCVNQQNGISWHQARTGNTRLLVRFSVPREGCQKVATKLFYCIIRESP DGIDEDLLWTP CC84DRAFT_1250711 MTSTVAIVGVGEVGGAAAYAMILHNVCTNIMLVDKRQSHRDGQV KDLNNSSFKEQNGAHVQAATFREASQADIIVIAAAAKRGIGETNLNHLYRSTATLRSI IGAMRPFKKNAILLIVTHPVDILTSLAYEVSGLPPAQVIGTGTLLDSVRLRGMLAEQV GVAASSIDAMVVGEHGGSQFVPWSLVEVGGVPIDELVGPDGISKEKVVQQCKHEGECI IEEKGAITFGIASVISVICTSILLDRRQIYPVSHVQPEHGCSLSMPAVIGRNGIERTL PISLAPSEKLELEHSAREVKRVVGQLRDNWD CC84DRAFT_916692 MPSNETHPKPSNDNDLLVRDFADVKRSDVGVVGGKNASLGEMIG ALKIEGVAVPPGFATTAQCYWNFVTFNTIHEKLNNLILDWQSGKTDLAETGKCARNLF LRGDWPNEAKDAILTAYRRLSMTVGVEDLAVAVRSSATAEDLPDSSFAGQQETFLNVS GELALLNACRRCYASLFTDRAISYRQTRGFDHMKVALSVGIQHMVRSDLSGSGVMFSI DTESGCDKIVLINAAWGLGENIVQGAVNPDEYQVFKPLLYHAGVVPIIEKRLGAKEKK MIYSTGDSYTRNVPTSKAERSLFVLKDFEILQLARWACLIERHYGCPMDIEWAKDGIN DQLFIVQARPETVHSARKVGTFKEYKITAKGKTLTTGCSIGDAAIAGRVCMIESPKDI GAFIEGSILVTETTDPDWVPIMAKAKAIVTDHGGRTSHAAIVSRELGITAIVGTGNAT YVLHSGQDVTISCAEGETGYVYEGIANIVSKSIDVSKLPCTTTKVMLNLADPSAASRW WQLPCDGIGLARMEFVVSNHIRIHPMALVHNELITDKAVERQINTLTVGYKDKSQYFV DKLALGLARLCALAYPKPAIIRLSDFKTNEYANLIGGSHFEPKEENPMIGWRGASRYY SEYKDGFALECKAIKQLRKEMGFKNAVVMVPFCRTVGEAIKVQNEMMKNGLKRGEDGL EIYVMCEIPSNVILAHEFAKHFDGFSIGSNDLTQLTLGIDRDSGELASMFSEQDEAVK WMIAKAISRARKAGRKIGLCGEAPSNDPTFATFLVECGIDSISVSPSSFLAVKRNVAK AEEESEHAFTTVSRTSSE CC84DRAFT_1166602 MRCDHSLNIGTAPLSLSIRQPVGIRVNDLDGLELVFANDKDVDH TIFGKVEPSKA CC84DRAFT_1197891 MVHVNKQMSRSLPEVDVAVVGAGLSGLSAARKLLDAGKTVRIFE ARDRVGGRVLNKQLKNGGVTELGAAFVGPTQDKVLALAAELGLETFKEYNSGNNLAYF GNRRVKFPSTSVIPPLDNLTSTEIGTLIFEFDSLAATIDVENPWNHPDAARLDSTTLR DAAHSIVTTQDGRDVFEIAVETIWSARSDQLSYLYALAYIAGAGNETAPGTFERLISV DGGGQESRITGGTGLLPNRLADKIGRDNILFGNPVQSISRQTSGKYFVQGTKKSIRAS KVVVAMSPPLAARITYSPPVSTKRRQLMNRMFLGSIGKANAIYRTSFWRNGGLTGQII STSDTIRATYDDSDAAGSYGAIMGFIQADTMKALDKATEAEIQSLVKQDYIRYFGAQA ADVDEWVIFRWDKEEYSRGGPTALAGTGTYAKYGAALKNADGEIHWAGTEASDYWPGY MDGAIRSGERAAGEILGGE CC84DRAFT_1097680 MALRSTFFLILLSTKSFAQVQDDWSSLNQSVGGKLFEAKPLASP CFSFYEGSPHQMDAAECERLQKNYTSMDLRIERYAGFANSQDEMCMSNTTDQCLLDST NPSNALAYKNVSCNQGSVSSYYITVSSAEDAQAAFLFSRRTGTKLSIKATGHDYNSRS SIKGTLALWMRGLQNMSHDHYFVPQGCDSGEAVDAMTFGAGVIADEAYTFADAEGVTV LGPYASTIAMSGGWLQGGGHSVLSPVFGLGVDRVVQFKIVTPDGHVRIANKCQNKDVF WALRGGGGGTFGVVLEATHRVESKSVPLAVASMSFPTAADKSNVMPFLEICVNESLRW GREGWGGHIQFMTTKLIHVTPLLSLEEAENSMRPITEFVKSQKGTAIIEMLPSWYSFY KKYLIPNIAPVGQTRILATRLIPTTLFETAEGRTNLTFFLADIVQNGLLPYIPVSTPF LVDDPHNTTSANPAWRASLWHLGYGAITLPWNSTLEQTRMALKKSASFVEKAEKLAPS SGTYFNEAFPWTNEWQREFWDGNYGELLRIKRIYDPDMLLSCWKCVGFEDSVDARSSF RCLDDVLK CC84DRAFT_916378 MGAIKRKADQGTAPAKKERSAPQDRSAKRQRKSDAADQPPPAKA KPDISTQNSIFKDEEKSFPRGGASVLTPLEHKQIQIKANQDVLFEQAGGKKRGGDDGM SDEDEDMGSGDEDAPTKSKKRRAKKNKNALGEEEEVHKIRAEGLTFKNLAIGTLVLGQ IIDVTAQDIALALPNGLVGYVPITAISDKLDDRIEKLLKENEELEEVEDDEDDSEDVD LRTMFTVGQYLRARVTSLSEDAAPGEFTAKRKLELSIHPHAVNRGISKSSLVENLMVQ ASVISNEDHGLVMDLGIDEKNLKGFLGKKELGASIDHAKIQEGAVFLCLVSGVNADGR IVKLLADHAQAGNLKKGHTLTVAPTIDVFLPGTAVEFLITETSPNTLTGKVMGLIDAT ADTIHSGAAEKATDLTEKYNTGMKIKARIIFTAEDEDDVKRVGVSLIDHILSLTTRMT GKAKERKSPLDVVPLSSIVEDAKVTKVVPMLGVFFALGPRDVAGFAPLNRLADERVEM PMEGSGPFQLASTHKARVIGFSAFDGMYRLSLEEKVLSQPFLRIDEIKVGQVVKGKVQ KLFADRDGSTALLVHLADGINAAVSEIHLADTKLQHPERKFRENAPVTARVLYVDVQK HQVRLTLKKSLVNSDVEPWSNYSQLSVGATGPGTLVNVKPAGALVHFYGSLRAWLPAA EMSEAYIADATRHFTRGQVVNVRVLSVKPEEHRVVVSCKDPAAVNADKETKFNELAIG DIVKGIVEEKGADSVIVELEGGVKGTLRIGHLTDGSEKKDQSTMARVRVGGPLDDLIV LQKHRKSRTVVLTNKPSLRKDAQAKKLITKIDDVKAGSTVHGFIRAIDPDKVFVEFAG GVSGPIFKSSLLEDMLSKPAFGLRQDQSITARVTHVDLDKKRLWLSLKPAESEEPKKQ LASSAIVAVNPVDEHIKSTADIGFGTKLAVRVRSPKPTQINVRIADNVDGRIHISELF KTWDEIVDKKHPHLQFQTGQTIQVTTVGMHDAKNYRFLPISHRQGKNSIYELTTRDHI NIETDLLTLDKISKGDSFVAFVNNHNDRSVWVNISATIRGRIDFFDLTEDLNLLGDVE ENFPIGSALRVRVKDIDVSKNRLDFTAVSSDSVKSLTLRDLKAGLVLPARVTKLHDSH IVVQINENVAGPIFLEQLADDYDQAKPSEFKVGDVIRVCVTHVDPANKKISLSARPSK VLSSSLPVKDAEITSRKDLKVGQVVRGFVKRVDEKAIYVRLGPGVDAMVAVGHLSDEY VKDWREKFQVDQMVKGKITANDDQQRNPLMSLKKSVIEGDYIAPLGFQDIEKGQIVTA TVRHVAEYGVFLVVDKSRNVSGLCHVSKMADKGIEKGKVKELYKEGDAVKAKVLTVNP KLRKVTFSLKYSDIAGKKDNDDEDMEDASDVSDVEAESPDEDADEDEDEDEDEDMRSV KSANSNEELDANMDSDSDDNDGGVFTKPTAGLSTTGFDWTGATLDADDTKVASDDDSD DGASKKKRRHKKATIKEDRTGDLDAFGPQSVADYERLLLGQPNNAELWVRYMVFQREL NEIEKARQIARRALATMNPREEKERLDVWTALLHLENDFGSDDLIDQTFKEACQNNDS REIHERMIKIYISSGKLDKADNLYQSMTKNKSFTPDPALWLSYATFLLTTLTPSSPAR ARALLSRATQSVPAPQHRYLTQKFAALEFKHGDAERGRTIFEGLVAAWPKKWDIWDVY ASLEISHGGVENVRDLFERMGRADMKKRRAEQVFRRWREWEASVGGDAKKVDKAEQAW NEKKAEKGEAEE CC84DRAFT_1178383 MSSSPCTARLSELPSSPPPAHVANAGSRKVRKPPPITPKRFQKF FTPRTSSHGSSRLSSSGRQLQDITRAAINRGNAAHRTTPRKTVNFADIEVDKGLQTPQ LNSRKRKTPYLSPESSPAQSSPTKRHKYATPPPFNILEDAPVFDEPPVPIFPEPIRRL KSLGGTSRKLTRSFGGILSVGRGLRRDHCAASWQDHTVNFYSSAEDHYELPQGAPPFC TASCNVNSLVAVGDEDGWVHLLDSEDDKPTGFSKAHVSWRAHGNAIMDIQFSSDDSWI ATASGDQTGQIIDARTQQTVHVLAKHKSSVKQIRFQPGDDNIVATSSRDGAVQIWDLR CKGGHTNVHPAWGDDVPYASTIKSLASAHADPGPISDAIVNTKSSANRKSEALSRRND VSVTALSFLPTGRQHLLLTASDASTSVKLWDIRGRYSLRGPAVPISTTRHPESHTRHR HFAINSLTLSGDAARLYALSKDNTVYAYSTSHLILGVAPELSSTTSKHQRAQQDGLGP IYGFRHRNFHAGSFYVKASLRKASDDKPELLAVGSTDGCPVLFPTDETFLKRENTRTE EFPELPQLRRSSRPTLARNASTGSKFPCMLTDTIPIYEHGTPLIRGHDAEVTSVSWTK SGSLISVGDDFRVRRWNEGSRARELRLNGEAEGKRWQCGWAVAPEGYDEDDFSAWRLG IGNISRSLGNVNMVSPPRPVPTRGLNFPGSFFLLSHEADEFQCPTPSNAGLMQMFPKE SPPTWIGSAPWLWTTFARPVAEAQFSQSYLPKQSKALAPIERLPNELLDQVLTYIQDE ERDVLAWGLSSNVIWAYVLRLIHKQNERFVGLWSCKEVGFYGYIPTSDDDVFQCRSVW DRRPAMTNAPDFLETYNVDERRLSDVTITAAQRWTEVLDAAKFLSRSQAPGWAVIKDA DWRKIERDLFFRAYPQSRTWVLRNLTTSEFIRSDKLTPSARKSSDSARPKLPSRSSTF IKMLKPFASDKKTGKDKAPESLAPGPSDDAFSTSPLTFAQLLLTLTAHSDLPSHHELV FAFHDGRWRGHCFDLVPLSSHLSETKEADWADVSELAVDDVANLRYWVHQLNGDGDSC PKGLKPHVEADRNMYHNWEGLEAPLLGRGERPRGRWMLKKPMQTGVLMRAAGGSRERL CC84DRAFT_1166607 MFIRSLLQVAALIPFLTSTTSAQTACNNSPSLCSRAYNNMTHLG AHDSPFLRDKSTSFSTSGNQYYNSTVQLDAGVRLLSAQVHKNSSASGSDKWRLCHSTC ELLDAGSLTNWLKEIKAWMDADANKNEVVTVLIVNSDDASAADIGAQFASSGIDSYAY TPPSTTVPKTWPTLQSLINNGTRLMTFVASLSETSSQYPYLMDEFTYIFENDFENESP SNYTCTPNRPSGLTTSSTGGRMFLMNHFLYSTALFGIQQPNSTYVNVTNAETGLGALG TQLDNCTSVYGQAPQFVLVDFFNVGPAIASVDQANGVSSATGRKSVTTAQPDENATGA DDSAAGRRGASLVAVVVAVVVAVAFGL CC84DRAFT_1166608 MVILRAPSTLPTTEPSPSIAPPTLQWLCGPWNVTHSTLPMWRKN KNVVITYTPVPSTSPPQLDDLVTYQPLSSAKTKTVHGLDKPFSVPDTAAADEGEAAAS LAYSWRGKGWLVIASSKWEILAHGDEEGTGNSYVVTFFAKTLFTPAGVDVYSRKGALR AETVETIKAGLAGLGGQVAGLAKEMFEIAMDEERK CC84DRAFT_920149 MDTSYLAQQVTTIIGQLHGLFDEIGVASHERDSREAELFSALSE TLHSQLKQTTNEKHEMTEEAERLIRTIRQMEASLDDNKPNPNYEPGDGLEVTYPLTRC LKELKEKYSAVSKLHRERFEQVRKLAEALESYASHLDRDFVQVKLPPTAPNAAISPSF DISPSYVSSLDREFSRVYEEYNRRLNTVQTLCQEMIQLWAELGTPQAQTDTSVVKYHR EAPEQLGLHNQDIAELKAKKERLVEEKRARERRLGQLRSTIEDLWDRLNIDQAERKQF LASNRGCGLRAINEFEDELSRLNELKRQNLHIFVEEARVKLQELWDSLYFSEEEMLDF TPAFSDVCTDALLSSHEAEIARLEALKEQRLPILRKIDRHRELIKEREDLAQSSQDAS RLMAKGQKGERRDPGKLLREEKMRKRIAKELPKIEAELKATLEAFEDEYGRPFLVLGE RYLDELYACAQKAPPPRSKTPSAAPMPTKGHSKSQSINSVSRPASVRGPPPPTRAKTP ASNFSQSTMGRHPFASSTMSSATGPKSPSKIPARAPLKTMPHGGNSPERRMAPPSRED LRESTIRKMPPPMAPPPRMKDLFIPPEPVATPSNRFDFNRGERSESIVRHVPPEDTFD DRSYLSQTMRSNYTPQYIPPSRQISQTSSTGTGATMQSGSENWETFSEQSDDPPERDV DLYYHRQQMARSKRFTPEGGHAASPRGINGKKLRGIRPVDGPTYMLEDGGQMVRAVEG SECGWTDDGDAY CC84DRAFT_920173 MTDSLASSVQAMDLGAPDVDDLIERCKTLHEEVEQYVIAVDTSQ RQAKIPFQVEYRTLRSDFKNELAFLQKLKSANHPPEKARHYAVSSNLLYYEALWAAAK RSSGLLAFRKYFYWNRQKGQAKNATSSYKGGVSKGKTSALVDIVAADGMEWIRVSTTS EKRLLFDLAKMGWQNDSDSDSEMEDAVPSNWEDEDDDDQVEIVKSARELARAARANPM RGHAPKVRYVLTRLASGRLKEVDMVLDKMRAVGIIVECANEIPGTPSVQDALPNLLVD RSRTLSKTLNIDCTILLALISDISHGECEILDWYPGEVRAQILEEQKEKLLPTHLYPA IASHPMVTTQEAAEQMNVIVDTLATDAEKRRANLLLAQRDCQDMAPTDILKEWQTLSD HPSPPSFQLPIRVFPAHVSSRIDTLPAAAKKMQTELGELNAAIFFYGWAEGLTTLSSN RARAKQIERFINEVGLKDGQSGPHVWLCGESRSLIAKCGRRK CC84DRAFT_1097190 MPYLETSAEWYEQSSLLLKARPTTTHITSKYTVLKPNARKIKKH TRYLEKRAARPADSSRPTSEAPAADSALEPKATFVLKTYDPASGTCLQYQTTKAAEVG RLIGSLGRLGRHQAALPEGMEDLGAPAEAAGVSTPKVEEDVKMAGAPAEPKVGGGGKK KKKGKK CC84DRAFT_1219747 MACHEAKNSSVSVPSQSTPTTSTAPTLPKASTTLFTNAQNALLP CSATDIFIAPVHALTVAPRAVLTLFRGIKRVAKAVVRRRHEPKQSRLTKIMQEARLEM PEEIDEWEEVDEEDVREDMQWDRLRMKWEKERKEKKDRGEDVNEDDEGPTPLVTRRKV RHWDDEYESDGEVIEIS CC84DRAFT_1150881 MSLTPTQLLLRCFLMSLLAYCIRQSTIRISARSIAKVDCAPTAS RAMHIQSIPMWEGTGNNYAYLVTDDKSKESVIIDPANPPEVLPVLKEKIASSAIKLSK IINTHHHHDHAGGNSEILKHYKLPIIGGKDCTQVSETPAHKSQFTIGESIKVTALHTP CHTQDSICFYFEDGTDRAVFTGDTLFIGGCGRFFEGNATEMHKALNEVLAALPDDTKV YPGHEYTKGNVRFAKKVLDNEAIRKLDEYSQANKETQGKFTIGDEKQHNVFMRVNDPD IQKLVGKGEPVEVMKMLREMKDRS CC84DRAFT_1166613 MDERYTPYDGGCLQDMVPSDVHGRTRCLQLPPHTHSLLYLIITV VMAWLFPGPIWNLLKYSAVGAVGHLILGLGSLRWFEQYRNEILPLIKLLGYEFTVRNA DDCTKQAN CC84DRAFT_1261490 MTPPSQSKASVVGSDPAGDNNSEFSLESEYNANDEQELFENFDD HNKYFYEYIHRMFKEKRNHSSAHTEATKSSSPAKSETIVKPKPSKTSDTSMAKSTFKA SLSKK CC84DRAFT_920235 MADAITSAGAQQYNKDRKANYADSDDMADNNIFGEVTDSQRIKK IAAEGDAHFHRLGWKRLAVVTIVEAIALGALSLPQAYATLGMFPGVFLTITLGCISIF TSYLVGQVKLKYPEIASYADAGRLLLGRVGYEIFGAALVLELLMVVGSHALTGSIALI NLNGGHVCSIVFSAVSAVILLILAIPPSFSEVAILGYIDFASIFIAIGITIIATGVQA TDSTGGMSSVNWSAWPKDNLTFSEAFVAVSNIIFAFSFAIGQFSFMDEMHTPKEYMKS IWTSGAIQITIYTMTGALCYAFIGMDVQSPALLSAGPLVSKIAFGFALPVIFISGSIN TTVALRYIHGRMFKNSVLRYINTVAGWTSWIVLVIIFTIIAWIIAEAIPIFSDLLSLA SALFVSGFSFWIPAVMWFCLLKKGSWYAKENLIMSAACMLAFIIGIVTLGAGSYATIA DIIKLTSTGTAHSPFTCRST CC84DRAFT_1188896 MFLQKEVKSLGVVYIVLLLVGGWLLYQLLKALYNVSPLHPLSHF PGPKLAAATYLPEFYYDVVKFGCYTKEIGAMHEKYGPLVRINPNEIHCNDITFADEIY AVGGRKRDKPIHQVNGTALGQAGFGTVDHDVHRMRRSPVAKFFSRAMIARLEPDIQTL VQKLCDKLLAQAKPFDVTMAYSCFTSDAISGYAFGESFGFLEQEGWYPNFREPTAAVL QPVFIFRFFPWTKASASLGKLFVDYLPKDIALMIRTLQIDLPGRVRKVKSNIDADVQP DRPNIFDSLLRSDLNALDKEPQRLTDEAGAVVAAGTETTSWALAVMTYHLLKQPQLLA KLTHELKSVVDDATKLPDWTVLEHLPYLSAVIQEGLRLSYGVSARTARIATEETLIYR GEWSKKPIELVVPKGYAVGMSAAVTHHNEGTFPDSNEFVPERWLDEQNQRRKDMDRSM LAFSKGSRSCLGMNLALCELNLCLTALVLRVLPHMSLHGTTDEDVVYHHDMFIPMTKD NRGIHVQVQQ CC84DRAFT_1098035 MWSETLDPNGRYMEPDGFPLTVLAISFVFLALSVLAVGLRSYIR LSRHMFGIDDAFLAAGAVLYMVVIGLSSYGHFVGLGRKEVDLNQWQWENAMKYYIIWI LVYVVALGFIKSSVCLTILRIATTKKPLRMCVWVLLGITWCSFCITFIGTLLYCQPVR AVWTPMLILSGEGYCASTETFIIIAHTATVSTILTDLALVVVPGVLLWETQMKRQAKF QAWALLSFASVASIITMLRIPYINRFREQQDLQFWVSHIVLCSNVETGIGCIASSIPS LRHFTQRSQGSNTHEYSDKRSGPIGFFSVGNGPTTLRKNEGSKDLGISLSTVRGRSDE QWQRITDGDSDHSTKPMNANQIYAVHTYTVEHDDKLSQRALRD CC84DRAFT_1166620 MRLVRDKTTIPVPKIYNAYTDSDSGHVCIVMEFIEGDCLADVWG KLDDSQKEDVIEQLRGFFAQLREIKGSFIGSVDGTACEDQLFTDEIGAYGPYKDEASF NEGIITALKNTSTGSWVNTVSDMVLALKNHVIVTTHGDFSPRNIIV CC84DRAFT_1097441 MKLSLFSSLLCASAVLAASSPHKRNVFHKNRPTVEKRVANQPFQ HPELQKRASSFLNDKTKQFAVNGSGIPEVPFDIGESYAGLLPISEDPNESRQLFFWFF PSTQVETPKEVVIWLNGGPGCSSLSGFLTENGPFTWEAGTLAPVQNPYSWTNLTNMIW VEQPVGVGYSQGTPNITNEIELAAEFRGFWKNFISTFELQNWSVYVTGESYAGFYVPY IADGFISANDTEYFNLKGISINDPIIGDDTNQQLVVALPYVEYWQNLINLNETFLNQV REVQDHCNYSSYMDTYFKFPPPQEPFPVLEGPTRRNNYSCDQFDNLYGAILEVNPCFN IYHITETCPHPFGQLGIVNEGDYQPPGAQVYFNRTDVKEALHAPIESNWQQCTDVNVF GNGTDGGSDTSPGPAIDGTLQRVIEFTNNTIIGSGDLDFLLSTNGTLFAIQNMTWNGL QGLQKYPNTTLYAPYHPEYNGGALAGSGAQGVWSSERGLTFYTAHLAGHELPGYTPGA AYRMLEILLGRIDNFSNTASFTTQSGNYTGNSTIYKK CC84DRAFT_1178397 MPELFKRIESTGIGQPDEAAPAYDDVIHLHGPAHGFAHSSSSGY AAVPQTDVEHDAPTHDHHGLAPSTQQRPQETLAQTIAGVFRPKPHVHCEECDRQTERR ERREAKRHCCAMVASVFMVLFLCGMILGIVITNAAARRAKLHHG CC84DRAFT_920999 MFSAIATGLLLAPVVLSHGIITTPPSRAIGPAISTACGQAITSA IKSDNTSYVEQLTKLASADSKFNPAACDLYLCKGLQFSDNSANVQTWKAGDVVPIKIW LRIPHEGIANVSIVSTKQNKKVGDFLKLWTAGYAPGKSTNDVPLSQREFSVTVPSGLE TTCANAGDCVLQWWWLGTAAKQTYESCVDFKIAGSAAYQGVEFTA CC84DRAFT_1058418 MVYTIVVHLYAKEDEESIEKLKAKLVEASQVYSKDKETLSWFVM QDVADKRKFTIVERYLKESSQKYHLENPYWKTFDPYVNPLLDKPMDLRRLEELDTS CC84DRAFT_1097851 MAGAQHNGTNGSANGSPSSSAAKYNLAPHFIGGNHLGAAAPGKV KDFVAAQDGHTVITNVLIANNGIAAVKEIRSVRKWAYETFGDERAIQFTVMATPEDLG ANAEYIRMADQYVEVPGGTNNNNYANVELIVDIAERMNVHAVWAGWGHASENPKLPES LAASPKKIVFIGPPGSAMRSLGDKISSTIVAQHAKVPCIPWSGEGVDTVVVDEHGIVT VEDHIYDKGCTKSPEEGLEAAKKIGFPVMVKASEGGGGKGIRKVEREEDFIPLYKAAA SEIPGSPIFIMKLAGTARHLEVQLLADQYGNNISLFGRDCSVQRRHQKIIEEAPVTVA TPKTFHEMEKAAVALGKLVGYVSAGTVEYLYSHADDKFYFLELNPRLQVEHPTTEMVT GVNLPAAQLQIAMGLPLHRIRDIRLLYGADPHTSTPLDFDFDKEGSVQKQRRPTPKGH CTACRITSEDPDEGFKPSGGTMHDLNFRSSSNVWGYFSVSSAGGIHSFSDSQFGHIFA YGENRQASRKHMVVALKELSIRGDFKTTVEYLIKLLETPAFEDNTITTGWLDELISKK LTAERPDPLIAVICGAVTKAHVASEACITEYKTSLEKGQVPSKDVLKTVFPIDFIYEG YRYKFTATRSSIDSFTLFINGSKCSVGVRALADGGLLVLLSGKSHSVYWKEEVGATRV SVDGKTALLEQENDPTQLRTPSPGKLVKFTVENGDHIRKGQSFAEVEVMKMYMPLIAQ EDGIVSFIKQPGASLEAGDILGILALDDPSKVKSAQPFVGLLPDLGPPQVMGNKPPQR FTYLFGIVTHILQGFDNQVIMQSTLKELVEVLRDPELPYGEWNAQASALHSRMPQKLD TILSEVVERAHKRSLEFPAKQLSKAFQRFLDDNVPKADADLLMAGLSPLTEIIDRYAD GLKAHEYTVMIRLLEQYWTVENLFSNRAGRDEEVVLKLRDENRGNIIPVVHTVLSHTR VNAKNNLLIAILDLYRPNKPGAGNVAKYFKDALKKLTELESRQTAKVSLKAREVLIQC AMPSLEERTSQMEHILRSAVVESRYGESGWDHREPHFEVIKEVVDSRYTVFDVLPQFF VHQDPWVALAALEVYTRRAYRAYQLKDIHYHTDNEQPYLLSWDFILRKVGEQEYGLPV EASQPGTPSTPAFERPPRIHSISDMSYLNSKVEGEPSRKGVVVPVEYLDDVDESIGKA LEIFPALGAKKSAVNLKESMTLKRTPTSGANVNQTPDELTGVLNVAVRDVESFDDKEI LERILPIVKDYRDELLTRRIRRLTFVCGHKDGTYPGYFTFRGPHYNEDDSIRHVEPAL AFQLELGRLSKFNIKPVFTENRNIHIYEAVGKGAESDKRYFLRAVVRSGRLREDIPTA EYMISETDRLMTDILDALEIVGTSQADMNHIFINFSHVFPLALSEIEEAIGGFLDRFG RRLWRLRVTGAEIRIIVTDPSTGAPYPLRVVITNTSGYVINVEMYAERKSEKAGKWVF HSVGGTTKIGALHLQPVNTPYPTKGALQPKRYKAHLMGTQYVYDFPELFRQATENCWN LAVGKHAHLSEKQPQKGECIEYYELVLDDNDNLAEVNREPGTNTIGMVGWIVTAKTPE YSRGRRYIIIANDITFKIGSFGPQEDKFFHKCSELARKLGIPRIYLSANSGARIGLAE ELIPHFSVAWKDASKPESGFDYLYLTPQKYKAFIDGQREDVICEKVTVEDEERFKITT IIGREDGLGVESLRGSGLIAGETSRAYEDIFTITLVTCRSVGIGAYLVRLGQRAIQIE GQPIILTGAQAINKLLGREVYTSNLQLGGTQIMYRNGVSHMTAEDDFEGVSKIVKWMA YVPDKKGNPVPVSPTADEWDRDVVYYPPGKSAYDVRHLIAGKEDEDGFQAGLFDRGSF EETLGGWAKTVVVGRARLGGIPIGVIGVETRSVENVTPADPANPDSIEQITSEAGGVW YPNSAFKTAQAIKDFNNGEQLPLMILANWRGFSGGQRDMYNEVLKYGSYIVDALVKYE QPVFVYIPPFGELRGGSWVVVDPTINPQYMEMYADEDSRGGVLEPEGIVGIKYRKEKQ LETMARNDPTYGALKRKLNDPATPHDQLQSIKAEMTEREQLLLPVYSQIALQFADLHD RAGRMEAKGVIRSSLKWQNARRFFYWRLRRRLNEEYILRKLATAAAPSSAEHPSAKLD PTTRSKGLEMLKAWSAVPKFDQDDMSVAVWYEENRKTVHDRVELLKTDGIALEVAQLM RKDREGGLKGVMALLSTLPTGEKEEVLKMLSKA CC84DRAFT_1188904 MAFAYNSQLDAFTLYHVSNEEASRKRVIGPALPALGHATSGALG TAISKLITYPLDLVITRLQVQKHFQTDDRQADYEGVLDAVAKIYENEGGIGAFYTGIL QEVGKGVADSFLFFLAYSYIGEKRRVAQGSRKLSALDEIGVGVISGAFCKFLTTPIQN VVTRKQTAAMVHARDPTSSSSPNLSAKDIALQIRDEKGLQGFWSGYSASLVLTLNPSI TFLLHKMLLRLLVTRDKRSDPGARITFLIAAVSKALASTVTYPFSLAKTRAQVSSQKP SQTAGPTSETEKLGDFSQSRAVRARQRTVFSTILRIAKTEGLWALYQGLGAEVMKGFF SHGITMLMKDQIHTAIINMYYLVLKSLKKYPSPEDLAKMASEQAHDAYEQGKEQVGDA YAKGVEVAGSAAAKLQEVAASGSHQAEGLIEKGREAASEAAKQAAQGRNDRLSLAATT TATSAAQCTRASAHRIYSSRASGRPPHSDSDTSACSQAAANNADASSKELEAELEKDI EESEKRERRLKEKVEALGFEVEEWKQDRSQQCTEQAPEGDHRSPRVAAVAPDAASRY CC84DRAFT_1178403 MLQLIRTLCNRPDLCEKISSVDLDDYTKTGPPQLLPWIAEWRCL KRCRKIVAAEVFDEIVSDEHADDVQLLWSKNHRFLLDVLIASCPNIKKLSLRLPGSEQ DPLFIISGSEDITLLDLFSGVSRRLLGEQLQSLTIRETDSHTTLFRTPNVTLAFFSNL THLSIPTDVLIFMDRKPTAVSQALPSSLRHLHIKPCSLYVSLWFPSFAAACVKGVLPR LCHVDFHFRTSLKDSLIWIDQGRGLLSSMRDLIDLLKRKHGISLRGYNESGICTGDLL EELDAWSHLSTTELWYPAAKDAEFSSIVARSKEGAPRRRTEEEIRTYIKRDRLSQKLV FTRKYIFKPDVQLQVTAPRSFSTNVEELPKIVTPRFSNEFARWLASVAAAQRMSEPTL RGALAHLSLSSQFEEEKLAITTAVTFQASNHWPLPRVAFDSNQWLGLKFFENVRSKMG KISVIPKRKSNHKREHTVARPSSKVRRVKPKLSD CC84DRAFT_1166632 MANLPKNAHVSQHPCLQAKLSQLRSASTSSKDVQSLVHDIALMV GYEALAQGLKAQQQGTDKSPLGYTYNTTTVSPHPTSISLVPILRSGLSMVPALTSLLP QPVAVHHLGLYREKSTLQPVEYYNNLPYHAPTTSNPNASGPSELAIIVDPVIATGATA SAAIDSLKDWGVKRIIVVSVLATEEGLRQACGEWEEGVEVWVGGCDGEVDEKGMIKPG LGDIGDRLFLTIGK CC84DRAFT_1219764 MADSDDASRRRDSHYNQQRSSLRSERSHTPSRPQSRPHSRPVSG QLACAYTLDGDHVSPPQTPTSIPDIPDIPARSSPAPNVPRIPQRKHSLDKRRTRSRSG TGSRHGSAGGNTRGRTIEELLFLDAHEDDPDGTGLNEIAAPAPVQNPHESPRYVHHDL EEERSASRPRTRPFGRHSFVPGRATPSSITALPAIARHRPDQTPSHNPVATELYTVSY LIIFSIFGTLARLGVQWLALYPGAPSTFPVLWANFGGTLFMGFLSQDRRLFREEWGQR APTPPRTPPSDEEKALEQKNRAASHAKVKKTIPLYIGLATGFCGSFTSFSSFMRDVFL AFLNDLRYPDIGATAGRNDGYSFMAGLAVIIITVAVCYSALKVGAHIALLLDRFTPTL PFRPIRTVVDPIVVLIAWGAWLGAIFLAIWPPDRHASNETWRGQAVFACVFAPLGCLL RFYVSLKLNPISPSFPLGTFVVNIFGTAVLGMAYDLQHVQIGGSGVGGGRAGCQVLQG IMDGFDGCLTTVSTWILEIDTLKRSHAWKYAFASIAAGICVLVMVMGSVRWSVGWTAV ACVTSRSW CC84DRAFT_1219765 MSSSKAVNVPVNEKQKEKDVNTKLQLYGIFQAFANGKVPSNKQI DVALNSALASRALSSPSKKLSADGRTLVADLKDVIEQAKTLLLTKNDGNLLQDFIWQT EQITGGNAQLPQAPIDKDTARQHGNEALEGLRTLGTLIISNGQFRKLLSDATILLRDI AGDAAQNTANKVKPGEDQLNQIDRPADDNTWHEVPDLSKDKLKSQIKQSAPFSKGDAQ KAAGDVTQAAHPGASRDPADVAQAGVTEAQTGQPTGLDAQNAANEAKRKLSENVPEED KERVRQQRERLNNYLKQKMPEERREQTIWRLKKMVVEIQGHQDYQRAIDTLLTLAERY AGHGRDVAQQGKGSVQGAHQDDSLQMAEADLKTLLERFANSTSFDDLIDSVNQIYKDA DRDPDLKNWFKHLDAYVRKCLKQQGFIMEDRSNEEWNRLYDDGHALLRGRYRGHTDRI ADEFKFLGQQFDSDPQNRAFSNSIQKLFNDLGHDENGQTAFKPHLVKDLTEVILPAIF ENIRYVPIPRIEYSDPMVDAVVENLVIEGDNLTPNVAEFGSDNYWRWGRKHVSSINKN KVMLSVSGIQMDLRDVSYYVKRKQGFPSITDKGVMDIFMGGSGFSFKVEMENAERSKD SVHYFKINKVTTDIENLHIKLKKSNHKLLFNLFKPLLLKVMRPVIQRVLEKQIKDNVN QLDALIYDIKKEADKAVEDAKRNPDPENIQNIYQRYASAANQRIMQGKQKKEQLEQRA KDTHVNVAVTQHDSMFQNIQLPGGISTKATEYKDLAAKGEKWESPVFSIGAAKETSAL PKIPSVQRKPHGRPEGYGPDPSIGGPGAGLSSSQGVGSGFHNEAGQGVHQAGYDGARY GQTNPTGAGYGQAIPTGAGAGLANQMDGAFNGESVPAGAAVPGVAAAGTQPGQGQFNT MLGANNPVLRGDI CC84DRAFT_926685 MDMKSLFAEACAALMLHTSVTCIPLTYVLLIQGQTNGDAGAKRC ELPLHGLSRSEPVVRAAARSTTSCVNQRCPVHGWVAGRMPVPWPLQRQCACTSPAGAA RSKAHGCVRTNSETSDVASRRAMMAVETMARARQARLASHGGSANGQAKEVLARW CC84DRAFT_920357 MNTMSSKSQSPGPSVATSQPKQAKPKKAAVSVPAEPNVAGRAGS AGGVLLEKERTAKGSGSGPIQKSTAKPTASTSAEVKGKDAATAPNAAASIGPNRQRRR PRKLNRDVPTQDTPPAAVATSVTPAPATELEALKSRVRGLEAKVEELYHSAEGRNRSP RRRGKSRKNSSTHSVPTIKNTSAGNEQSEEEEADEELVRVEEELESARRDLEVYQPRS RPKGKRTTSGETEYIEEIPRGDGEGILNNEGRQVTLSGSYRIPLPATLDMKDVKTIQS GVSAAQNVARSFLEQRRAARATSSTQPAPGAASTRPTNATPKPSRKTSSMEVTKEADG KSWSEWFGGYSVAISRAVKTIEAEAAVESQRVPVSAPGTGSGTKKASGKRPTARGRQS DGIA CC84DRAFT_1097323 MSLGDFLGDQSLGSWADEMEDTPIGGGGSYGGERRTFGAGGGGG FGSDRGAGGGSDRLGGYAVREELPLPSKPPYTAHLGNLSFDATEGDVNDFFADCEVTN VRIVEDKLERKPKGFGYAEFGSVEGLKKALDLSGSQFQGRNIRVSVAEPPKDRPEQKD MSDWTRRGPLPDLPGQQRRPSDRGFGNRQFDERDDGGGERRRAPPFSSDGKERDFGNW ERKGPLSPVPGAGPPREGRVRSNDGPRERRQSPAWGEGRSNDGSRPPRREFSERPQYD RQPTAPEMDNQWRSKMRPDAPVKSPTATPDASVPSSPAPQSAAPATRPRLNLAKRTVS EAPETPVAATQSDSKANPFGAAKPIDTAAREREIEEKRQLAIRQKKEADDKAREERKA KESAEKTVSSPKAEAPSAPTTEKNENGNEDKPRANFEILQRSEGEGDVPPEVETAETP ENGDIVEDKNVKPKEVVRDPKLDNTWRRKSSTPAASTPTTTETMDDDGWSTVTKPAKN ARGGKGRGGPTRAIAS CC84DRAFT_1166635 MGVGCTVPGLLIGATYGTLRTQTPVLFSLVSGAQWFALGTTFFS IRTSILNSTGLRNWWNITRGAPLLPAPDHGPSHSDRIRASTISGAATGFSLGFLFRGP RNVIPGTIMFSLFGFGGQHAYEWLDARKSGKAREQVAMKERGEVQETFMQRIAKSKWS PMKVLSDEEYEEMMRERILKVDVEIALLKDSIEALKKEKEEEEDKKRMEAPTEPQQRK CC84DRAFT_1188910 MAYTSKYHDDSDEDYEASDEHERSVIQSPTLPVDYAESSPTSSG PMSTEHTPTTFTHSRDSKGSPTGLITEWTKEQVADFVAGLGLKQYADTFIEHEFSGEV LVEVQHAELKEIGLHSVGHRLTILKAVYEIKVKQNVPIEPDHYVPLSADTSAQDAPPT QEDFAKVIRVVQARDERLHASEMEIRHLKEDLNRVLEDYKKLRDETLAMARAYKDSQQ PLPTPHDHSVTSPPAATGGGVGSSLSRKFSTKRLFLASGGPKNPSPTIPEGRALVDNS SLDPSAAALAASSHLTASIAGGQQMSPNAVPQPSPTSPAYQTNNQNRSYNSRSAHPDH DSTQSWSRDNSTWSDSTMTSERARNTPAPLRAPMRNAAPTPNPDDRDPPLSGGTMSST PSAQSSSTNPQVEIFKSFRVSIDDPCHKVLPVALKKYNITADWRQYALYIVHGDQERC LGLNERPLILFKQLDKEGRKPMFMLRKHAAPAEGHVSTVGGNDIRSVGGGTGSFGWDG NNRGVQLPGGVL CC84DRAFT_1219770 MSSSQAQDRLPDHVRNDIYAALLSGSGIRNIEDTLNHQMQATGF KATLKAYVNHLLRVEGVATFPEIMAKVEAKVLHDTQAAKNKDAANGVNGVNGHSSEGD DYNLALPTSVSKEGAKAVLKELDKVCDITAEEK CC84DRAFT_1188911 MSAEPDHAKPKVDLSKDPSGAEHKTVGKCPESPAMSATNPPQED EVATAILKKKKKPNSLIVTDAVNDDNSIIALSNNTMETLQLFRGDTVLVKGKKRKDTV LIVLADDDLDDGSARINRVVRHNLRVKHGDVITIHPCPDIKYAKRIAVLPIADTVEGI TGSLFDVFLAPYFREAYRPVRQGDTFTARGGMRQVEFKVVEVDPPEFGIVAQDTVIHC EGEPIQREDEEGNLNEVGYDDIGGCRKQMAQIRELVELPLRHPQLFKSIGIKPPRGIL MYGPPGTGKTLMARAVANETGAFFFLINGPEIMSKMAGESESNLRKAFEEAEKNSPAI IFIDEIDSIAPKREKTNGEVERRVVSQLLTLMDGMKARSNVVVMAATNRPNSIDPALR RFGRFDREVDIGIPDPTGRLEILQIHTKNMKLGDDVDLQTIAAETHGYVGSDLASLCS EAAMQQIREKMDLIDLDEDTIDAEVLDSLGVTMENFRFALGVSNPSALREVAVVEVPN VRWEDIGGLEEVKRELIESVQYPVDHPDKFLKFGLSPSRGVLFYGPPGTGKTLLAKAV ANECAANFISVKGPELLSMWFGESESNIRDIFDKARAAAPCVVFLDELDSIAKSRGGS QGDAGGASDRVVNQLLTEMDGMTSKKNVFVIGATNRPEQLDNALCRPGRLDTLVYVPL PDQSSRAGILKAQLRKTPVAPDVDLDFIAANTHGFSGADLGFVTQRAVKLAIKQAIAT DIERRKAREAAGEDVEMGEDDEDPVPELTKAHFEEAMRSARRSVTDVEIRRYEAFAQS MKNSGGSSFFRFPDGQDPANEQQNTFGQAGQDEDLYS CC84DRAFT_1188912 MQVSTSRRTHTVSSKKMGENGPPCTTRLPPVVTEKELPKWLIQD RQGGWRRITQNFTPSWFAINMGTGIVSILLHTFGTIYPNHQASLHVLSIVFFVLNISL FVAILSASILRYVLYPATWTLMLDHPVQSLFLGTLPMGLSTIVNMFTLVCVPRWGGAT PQVAWGMWWLDAILSLACCLGLPYQMMTKHKTRHDTMTAAWLLPIVAPIVASASGALV ARELPNPHHALMTIITSYVLWGTGVPLAFIVLTIYFHRLAVYKLPPNEVIVSVFLPLG PMGQGGYAIMQLGKLSMRVFPETQTLHVSAGDVLYIVGCGVAILMWGSGLVWLFFALG AVLGRGRFPFNMGWWGFTFPIGVFAMATLTLGEEIPSSFFRVVGTVLGVSVLLLWVVV ASGTALNMLRGKLFEAPCLRELEKGCRARRDGGQRDA CC84DRAFT_1178414 MSPSKNPYELLAQLDLRGQEEEKEEDELVGRDPNGDEIWKFEVT PFNIDDFEVKYDNWRIITREFLQQIAKNKELTETAVENKERRTIMHCPSQGEPESGER FCVVDTAEIEYGRAAEVGVRYLVRVELHRDFQQFRQNSLSVKLLRYYNDDPDDDVDWI PVPYVLNLVKHNNGESYKSVEHMVEWRNQLQQIKKL CC84DRAFT_1219774 MVQALICLFSKRDDISFREFRDAMENRFVPLLEKLTGPLFPLTY TRRYIAQDGNDRERHRSGPLGLPSLVVGEADSITWDALVESTFEDDLHLQQFMAFINE SEAAEELMECETSFSDSSKLRIIVMENNVSVNKTRGLKTWA CC84DRAFT_1178415 MFLSFATHRAKSQHLQNSPRHNECPECDFDGETWDELLDHIREE DCCRVCGGCNGGRGGYWEDDDAYWEHVEDCHVCTDCDRHFENANNLEQHKLSHRTAQV ECLGCYREFKTYGGMIIHLESGACESSIDHLDLNSTAAECHLWTQFVSKDYRDGMLAK HDLGRMYQGKVYPFECPKCDSVFSKLSGLFQHIDSSACDQTLYEGAIKRLCRFLRSRY G CC84DRAFT_1166641 MGDFLDDDSVFRAFNDRRLNADSRTPSYGSVGLLVAAGLYACLK ALELLGYPVWWWFYMALERAKGIASRDASSADAPSTDGDTTMPNGGSILSRTFGLNGT SLLQKGVRGVAGALSKGPSEVPPGLGNWDNSCYQNSVIQGMASLPSLRAYLAKATAEY GNLDIESTNGALFDMVSKLNDPRHHGQNFWIKGKLKSMSTFQQQDAQEYYSKILDALE KEVQQEVKKRKPSDAWADAAKVAANAAAKSQAQIPEEAVSSDQSPSREMDDATPSEQP KIIPNPLDGLLAQRVGCIRCGYVEGLQLIPFNCITVSLGRNSAYDIRECLDDYTHLEQ IEGVECAKCTLLKNKAALAPLADRAPAYAERLQAVENALENDDFDDKTLVKNFKILKK NWTQSVKSRQAVIARAPKALVLHVNRSIFDEMTGAMYKNSANVSYPRKFDLGNWCLGS DLAGGEWPRDPTKSMLGDAKAEPTTDSPFQYRLRAAVTHYGSHGNGHYVCYRPHAQTP AVERDTEQEEVATTGEQWWRFSDESVYPISEAQAHQGNIFMLFYERIDDETPNRDNVK EATRSTIALDNNIPLPPGGINLSRTLGDDAAAMIPLPDDDDLLNDQTVHPTGEELAAP GGTAPRIETGDGAGNLVVSPNDCGEPTTVGRPSPEVSQSIVKVAHVAAPASTTNRVRI PTSSNTDVAYPTPPPESPSATIFDDTETSEAGSDDAPSTLLTSDDEPDISTSKTNIKP PRSPHLMRTAGESPNRDGGSRTSLPMVTA CC84DRAFT_934304 MVIKMARRSRSSPPTAQSEIDKYNTIKSHRRLGFTFDELEMLYF GEEGRGFPEPLRVTQRIWKNQRTFHGVLEVLKRYANKRPEEVRVSIRNFENQRETERR MRQLAEATERLAQAIMGPIPGELPMDQPQQGGFASNFGDQHPFGPQPGYQWKGPIMGN TAVPGPFMHGQMAQANGAAGNLLPLGVNAGLVDAFEHPATLTAQDNLHGGTPTPMELT PAERCDWLPEVPSDTRLIAPALTPDVSSREMSFDAIFPEKSPDSPLLPAENLNEEQSL GDSFALSSLEYELSNRGSSSNALDTAGFNLFDDLFTTESSSHKLDTIDINTFDEQDSL IMEAIGDTRGAGTKSV CC84DRAFT_1096874 MQRGSCLSCGANLDMGEAEEAQKRIKELESQVELLTEKATAAVD KCADYEDQLRGFKTQNGLLRTDTQDSLQYNRTSNEEARPTTANSASAARQSRFSFLRR GSPNPPIPPTTSHSPSHTADSELLEQLEKERALRAKAEEKARMVDSEIEELSVQLFSQ ANEMVAQERKARAKLEARVEVLEKKDKDKMARLERLEKAVSRIDRVKALLAAPVPAAI HRKI CC84DRAFT_934775 MTRPHIVRADTLDLQDKSSPTAQDHTNQPSHPAPLGIGPAAPHQ EASLRRVEDERSDEEAHLQHAMQGDTNGLQHDPASDDESTVAVNGHAGDNAAVRLNGG MSGEGDDADMQDADAEEDLDDMDRISSSPSISDEDIDFEFVYSLHTFVATVEGQANAT KGDTMVLLDDSNSYWWLVRVVKDNSIGYLPAEHIETPTERLARLNKHRNIDLSATMLG DTAEKTKNPLKKAMRRRNAKTVQFAQPQYFEPSDYDYSSDEDDGDELFGGADPTKAAA QQQQQQASAEKESEQDDNLKVQPLKVNGSKDGQGKSEAETGDPADESAKGALEKQRSS DESFDKPLDPKVSRNGTMRNTDSFFKDENTETRKITLTPNLLRDDSSTSTTGSRERGP SLESLEKNGFADKVKDDKKKKEKKPGMLSGLFKRKDKKGKVVGMDSIDSDAEPSSKQS EEYGRESPQSKPSDEFSTERASSEQSAAPSRQSSKGKLQKPNRGREESPSKVKGILKM DTPEPLAMQSTSAKEPVAGQTATQSTSTMRLVPSEEPSVEERAVSPEKPSPLNTTVAK LNPLNITKVSQNGETKPEKVKKAKQRVQLDDFDSDDEKDPFADPAMQTQSAQQSEPEP TGRLSESPVHVSAADAQPPAKENTQDKDQESDSQQPPGLTGDTSSQETSSPISTPTPD DSPATTQPSKPTIATSQAATSPDPAEPTSASSLMPPPSRPAPVPEKAMDVSPPNSSES TALPAWSDHSLRTYLDDGSDIRDMLLVINDTTGVVPVGPEHPIMSQLFVEETKTVSGL STQLDSLLNGLLEKRLKRSVSGSDKGSSPVTGR CC84DRAFT_1197922 MSLLHHTHLNGERFLIDPWNHGTGIMRAVLTRFTDLDVTHAGSL IAIVGAAPAGWRLLRSAWYEAYSWIRQFFVASVTVPGGDPVNSNLIKWLVSHRPRHHR AFTGRTEMGRGDATDRAASLKKTRRSVQYAPHWDSRWFFHHGRLFVASRDNFSSSAMS DPAYEGVGGEQLTISCFGWSIEPIQNLIEVAREFADRQTQYFVIIYGRDRYGMSWQPK TRKPIRLLDTVHFDDTVKQELLNDIRKYLDPRTQRRYQSRSMPYRRGYLFYGPPGTGK SSLSTALAGEFGLDLYEVKIPSIASDSDLEQMFQEIPPQCIVLLEDIDAVWIERTSRS HSPDRRSERSGGSDRENSRANCTLSGLLNVLDGVGSQEGRIVIMTTNKPELLDPALVR PGRVDMKVFLGNITPASAEQMFVRMFSPDEMVTSDTIPSSIQQRSHVVDLDDIRDLAR NFAKAIPDNVFTPSQLQGFFQLHLDSADDAANGITSWVEKELERQANSSFEFLENGVV KRV CC84DRAFT_1197923 MRHSLFTTPLALAVATSAATLEDLCTVENVQSALPADGTILGID LLPDTVTAAAVYNASTGGGMMKRQAGGSTSSATYSYCNVTVSYTHTGKNDTIPVIFAL PQPSDFKNRFYLGGGGGFSLSSSATGGLSYGAASGATSAGYDAFNNAYDAKVLYGNGS INWDATYAFGYTALGELTSIGKPLSQAFYGSGNGTKLYTYFEGCSDGGREGMSQVQRW GEEYDGVVAGAPAFRFAQQQVTHVLSSAIEHTMDYYPPPCALKKIVNATIDACDSLDG RTDGVVSRTDLCMLNFNLSSIVGEAYYCAAQSSTSLGFGFSKRQAGPAGSTTSYQPAQ NGTVNANDIAVAQGIYDGLFNSQGQRAYVGWQIGSELSDATTTWDNDTNSWALSIPST GGEYVTKFVQLLNLDNLSDLEGVTYDTLVDWMNTGMIRYMDSLQTTLPDLRPFQSSGG KLLHYHGESDPSIPSASSVHYWQSVRSIMYANATDEEAQEAMSDWYQFYLIPGYAHCG SNSLQPNGPAPQDNMATIIDWVENGIKPSHLNATVSSGDYAGEVQKLCQWPQRPKWSG NSTDFECETDEASIESWTYTFDAFKLPVY CC84DRAFT_1166645 MRSIIASLLASAAVFTGLAIAAPSVKHDKRSLVERDGVVYNVFE HAATGAKIEFVNNSGICETTKGVNQYSGYLSVGTNMNMWFWFFEARQNPSTAPLTAWF NGGPGCSSMIGLFQENGPCHFPYGSSSTTPVNNTFSFNNYANMLYIDQPIGVGFSYGT DSVSSTETAAPYVWKLIQAFYASFPQYESRDFGIFTESYGGHYGPEFAKYILDQNNAN AGETINLIALGINNGWFDAKIQEPAYATYLYNNSHKPLISKSQYTSYINAFNTKCLPG LNSCASSGSNSACSSASNACYNAIEGPLSQVADFDVYDIRAPSNDPQPPETYVNYLTS AAVVKAIGAKSTYQECSDSAGNKFSPTGDNPRSFLDELSDVVSAGVTTLIWAGDADWI CNWYGVQDVVNQVTYSGQTAFRAKTLSPYKVNGKEGGQFKTQDNLSFLRVYDAGHEVM YYQPELALQAFVQTMKKGAIAST CC84DRAFT_1166647 MASRKKVLLKVIILGDSGVGKTSLMNQYVNKKFSASYKATIGAD FLTKEVLVDDRLVTMQLWDTAGQERFQSLGVAFYRGADCCVLVYDVNNSKSFDTLDSW RDEFLVQASPMDPESFPFVVIGNKIDVEESKRMISQKRAMTYCQSKGGIPYFETSAKE AINVEQAFEVIARQALAQEEVGDFNSDFPETIPIDLKPGGDGSCAC CC84DRAFT_1097495 MNPAPSPRDSEDTQDRHYDSDFASPIQSPASVVSVWSEGKKPTK SKWRHAVGILLLLATVFLWTASNFLASTIFADDSYSKPYFVTYVNTAFFMIPLIPMFL KHAWDDRENIKQQPFIIQIRNLLQRRVGRYKLLRDHESSSGSSIFSKSSYDRASGATS LLLGHEMGESQEVGAMLDAEEGLTLGETVKLGLEFCLLWFLANYFAAACLEYTTVASS TILASTSSIWTLLCGSLMRVERFTLRKFLGVCASLAGVILISSVDVSGETDENRGTFP HKTPRELAIGDAMAFISAVLYGFYAVYMKKRIGDESKVNMPLFFGLVGTLNVFLLWPG MVVLHLTGIEPFQLPPTGKILTIVLVNSASSLVSDFCWAYSMLLTSPLIITVGLSLTI PLSLVGQMVLDKQYSSPLYWVGAAVMVVSFLFINHEDTKDEESGMQGAEPILSVRESL SSRRDSVRSRRDSIRSSRSLRNDVV CC84DRAFT_1166649 MASSPKESQKVLEGIFAINKPQWASSAGVLRDLQTHFDKSTLFA PWLTHTKRAMELSNSKKKHIQNLKVKLGHGGTLDPLATGVLIVGVGRGTKCLSRFLEC TKSYECVVLFGAATDSYDAVGKIVSKGEYQHVTKELVEEKLAQFRGKIMQKPSVFSAL KVDGKKMYEYARAGGDIPEVPARPVEVEKLELVEWLEPGSHEFAWPKEEIDGTEKVGA ERLLGIRQKDADVAASRGKKRSRSPEDALVTGEDPVSKKSKDDSEHSMSGALPVEIAS TEACLEEAPVEATPTEGPADEAPPEASSADGKKTEPTEDVQPIEPSPAQTSSPPNPPA ARLRMTVTSGFYVRSLCHDLGIACNSLGLMSALIRTRQGDYELEKNVLEYSDLEAGID TWEPKVQKHLEEFMEKEGWEAEEVLDEEEWKEKAKEQRRDNDRNKSYRGGRKQWRR CC84DRAFT_934926 MADNKDLEEVAKNTTEDFYELLGVDILAEESAIKRQYRKTSVKY HPDKNPDNAQAAEQFILLGIARDILLDPALKGEYDRQRQRRQERELEKGRLGAQRRQM VEELERAEREGVQNLKRQREAATERDQLIARLAEEGRKKRQEYQDRVQKEKQDEELKA QLDRSVKVRFRREGEAATWDKDILTSMFERYGHIDAVVIGGDKKVKVPGEKHRRLEAT VTVVYERLDSAQAAVTGGKVDYPFLESVAWASKESEARSDLKAQFSAPSTPLGTPQPN KTFKTSFGIGKGLGAAPGRPQFANLKELEEYTLKRCKEVDERKRMQREQAV CC84DRAFT_934886 MFAWFRSLLSPNPPITNRGRQRALKSRRRSLSVDRKGRKMKRQA QSPLFSMLPPEIRFMIYEMVLCETSQVHIIVKGSKRGSDTTEMYGRTCAEPRRYCPPG SRCRVQSQLLLTCRMVYCEAIDILYSRNAFIFQSEYDFVLFGRLTVPHHLRKIKKVCI GTFDYEGKPQFKSLPLELLD CC84DRAFT_1150965 MPNKPHAPRGPKKSVPPEEDTSFIIFDDGKGKKKKKSGNAPSAA AENSKTDAKGKGKATAEEPSDAPKKPDTRTLIAGASWTGKLPVNILSEYFQKQKWNKP DYRMRNEDGVYSAHVVISKTHPKTRETTALPPIHIPLELAKKARQPSAVEARNFAAVY TLFRVASAKNMHMMLPPTYKDLWKGELTDLKKQDEMIGKAWMYEADPFFGHAEREKAK EVAAKEREKKERARVEAAKAHNLTPGMSTPNAQGAPPSRNILKGWERVPKIELGKRTR KQAERLIRRDAVWNPNGIVMDQNARKKVVEEVTNLGFRQSHVEEAAEICKNREEVIEW LLIHVPEDDLPSWSLPEGYVAGISMASANLKREGAIKRLAAAGYAVELCEEAYDSQGG DERKAAALLQKHLLRPLDSEDEVAQDLEHLAVTDSAEIEQSMDSWEEEMSSLEAIYDK LFSRPSSTMCRIELDIKQQGKRLILQVHQPYGPYPLIVPIITFEAAIPAYIRLSIIRK ALLHAEENFLGMPMIYDIVDWLQQNAGDIFKNPGRLTDVSAGLSADHQTSNPQAYTKS KGRPRRPIDWKPASPQSQKILADWEAKQETPMQQKMMAARQSLPAWRLREQIVKVVNQ SQVTIISGETGSGKSTQSVQFVLDDLIQRKLGGAANIICTQPRRISALGLADRVADER CSQVGDEIGYTIRGESKQKPGVTRITFVTTGVLLRRLQTSGGSSDDVVAALADVSHVV VDEVHERSLDTDFLLVLLRDILRRRKDLKVILMSATLDAEVFERYFHDVGPVGRVEIQ GRTFPVQDWYMDDIVHATGFSGAAAGFEEDTGAKQLSGNLMSVGFGINYDLIAETVHY IDQQLGEKDGGILIFLPGTMEIDRTLQALRNFSNFHALPLHASLMSTSITIEDIVAVI DTGRVKETSFDPQNNMVRLAETWASRAACKQRRGRAGRVRAGECYKLYTRNAEAKMME RPEPEIRRVPLEQLCLSIKAMGVQDVSGFLASALTPPESTAVEGAVRLLGQMGAITDN QLTALGRHMSMIPADLRCGKLLVYGATFGCLEAALTIASVLTARSPFLSPRQRDEETR NEFERIRSSFSNNQGDLLIDVRAYEQWSAFRSKGASTRDLRAWCSANSLSPQTLFDIA SNRSQYLSSLKEISFIPTSYTSTNPQTQGSYNKQNHNDALIRALIAGAFNPQIARIQL PEKKFAAGIAGAVELDPSSREIKYFNQENGRIFVHPSSSLFSAQTFPSNASFIAYFTK MATTKIFIRDLTPFNAYSLLMFAGDIRVDTLGRGLVVDDWIRLRGWARIGVLVSRLRS MLDKVLESKVQEPGRELGERERDVVDVVRGLVERDGLDN CC84DRAFT_934946 MSINSLCSSRRLKPAPETVKTLSRRISKFQRPRRRPPYHHPKMN SKDLIIRADDASDEAITAEEITGDAAPRVAAHGGMPTTQGPGNAFAELMSKSKPPAKS VNETRNKGKPSSRPASSSKNFNGRDGLGIYVQYPERNPEGRVIEYDDEFVVIQDRYPK ASVHLLLLPRDPSLTLEHPLVTLSNNPAFLAKLKPRVERLKLLAARELRRKYGLSSAS DAPYQRALEDLMSSPDPPSPEERDSLLPQGRDWSREIMSGVHTHPSMNHLHIHVLSRD MHSPWLKKKNHYLSFNSSFLVGLDEFPLKDGSPRFHPGDWLSWDMKCWRCEKNFRNKF RALQEHLDEEFEEWKQE CC84DRAFT_1124813 MIAWKLSTLFLSSLCALNAFAAIEVENVEEVVGEQPQTPNLKVS ISASFPQSEIFGVKLINGHATQARLSIDNQEPEAVTVQIVGGSLIKDAGDQTQILRNL TGQRYGLEIPGGEEQTLTYSFATEMHPQDVRLQLVAVIQDKKNAFYTISAYNETVSIV EAPTSIFDPQIIFLYLVLLGAFAGTVYFIYNTWITTLFPQQKRGGKGGERAKKSSGGS KKVDPSEQVAVVGADGPAVAATGSKTYDASWIPAQHLQRPEAKRVRSGTPKTKVKS CC84DRAFT_1166653 MPPASYYSNGASPVLPIGTQVRAPAHHANGNGTNGRLAGNMSNE SATMWARIEDILQHDQQKRQLIEELMTRYEYMTQDYQRLASQQGDVLQVQQLKQNLVS LQGALERNPFVLILIDGDGMIFENEFLQKGLAGGKQAAVSLYSTIATYIERETRTIPP TSRVLCRIYANVKGLADVLVRAGIVNKAAQFEDFTRGFTNGRTFFDFIDVGPGKDRAD DKIIETLKLFLDDFHCRQIFFGCSHDNGFARPLEEYLGDDTKISKVTLLEGVPFEKEL LGLPYKTKKFDGLFRETKINVLATLVGLASPAVKNYNVLNGLPTRLPPPPTSFDSPPS RPMSSGVLHTPSTLILDESAPVNKAKNWAALAAAPPPPVTTQPVTTYKHMNREEVIAR NRIGQRVDPPCRDFDKAEVDRIKKIKMCNVHFLRNECPFGGNCTHLHNYQPTEAEIAT LRLVARMAPCQNGSGCQDIKCIYGHRCPAPPPRANQLRGGKNCVFGESCKFPINLHDI DCSVVKTLVIR CC84DRAFT_1166654 MGIPYSREINAAFEQVTPLVGAGFKVLRTMKDISILLAVIQVLT VLSLLLILFVLILLLYSVNPDLEVCRISSHYSLAMPEHGIAKHQTNSPIPQHERQILV TPLLRSAASLTLWGVFKGFVTPLASISLVLGLAWWVFRLEHAEQHELERTADEDADEA LESISKDAPKKKKKNGKGKGAKGKAGQNEDGGEKDKD CC84DRAFT_1166655 MSHALSQKALPKQAEALLSGRAKVTTYESTGGKTTAKFAQHNLS LIPPIPAGSIIHDNCTGSGTVSRLILQQQPDVKIYATDIDQPFLDVLSEDAARNSWPV EASNQRSEKTNFADAFFDYDITNIGVIFWTGGGADGVKEVYRTLKPGGTALINCWQSI TWMLPIFLVHKQFRGDAAFPAPPVNWTDGQQLRRVILEAGFTEEKLRLERQEVQSVVP AGEFREWVEKTWAYLAGIGGWHEVDAEKWDEEVDRLAEVLKQQPGTTVEGGNVSMKAS QWIAVVEK CC84DRAFT_1166656 MAIKLLSGLFIIHALALRAAASTCAIMVTETVYVTATCAPGECA SATPGTSSVALSSSSVLASSPVVVPPAPPSSSALASSPVVAPPALPSSWVLASSPVAP PPSPPSPSSTTYDAPAPLPSAPAANGVTFTSASKPQPTADSSLLCPQVEIQAQAAGQP IPPMPVGADRDPSVASYNALPTLAAALCKAPDNFHQLTTTPNHGSIKNSCNYEVYVDS MGCGKGAQHQIIPAGQTWSEPLRSCPAEQGTVVYKVTKADAPAKPIQFEVGLSIEPGM ENSVWYDISFLDCMRPNTTDLSGCPGWEGGVQCVPGKQGCQVFVCGAGEYCDGSTYTV PEFGIIVSGEYKNDDWRVKAGSPVTSCATRQEGIAFELCAAT CC84DRAFT_1097729 MNDHLSESTAESIPPQFNYTCLPSQPCWPTTSQWATFNRTIHGN LKLTVPWANPCYTGSSECQHVVANYMNSSARASQYGTMEFLDWETCGQSSCMLNSLSP SAPLNGVCSLGRLSTYHVEAHKSDDIQKTLNFVRAHGIRLSIKNSGHDYFGRSNAANS LAIWTRNMKNAQYHKTFRPRGCESSVENVGEIGAGVSAQEAWEFFEPLGMLVTVGATG SVGIAGGFGQGGGHGPLGPKFGLMVDNAIEFDVVTADGQARTINACSDPDLFYAMRGG GGGTFAVLTSYKFQLHPAVPLNVYSFQASFPLPDGQLDITESAVHRGVIRALASNQAS FASQGVAGYNFILPDHMISLQILPSDDTELIRTITQSWREFLTNYSGLNITENTYYTF SKFSEWYAFTQNPAIARNGPVGVGISESGRFLPKRLFSEPEEIEKVVDAVVTAMQFSL TNKGGGSAQLYATGPLNQPDNSKTGVNPAFREAMWEVIMGGIWTSATPEAVRRQIRHT ISASIEPFKALTPGGGCYMNEGDWTEENWRQTFFGSNYDRLLEVKRKFDPTGLFNCWK CIGWSGYDDPTYSCYSQSLRHPIPTIPLGPVE CC84DRAFT_1059955 ESWWILALSAVCASGKPSLAADVYSYLISLPHHKTPNQRQKLMR KVREALVKMVPIIGVARPLEAIMCIDAVTRPEDKDSSFSREHWTNDKANHERGTRWLR QLYSDNLDPIKDIFKTQRDFGWISEEITYGLYLSDHRILDGVETELVVLSGMIAQNLP RMTAWHLRACRRMGLTPEECEVIQSCAERIVEFAGVTLEPLPRVKNIEHEV CC84DRAFT_934999 MGGNRKERRAAGKGATGGATTTGSAFQASNEIDEEGINMILQHP DRSGPKGKTLFELAEERQRELDIANGRKPRWDINKDNTPDGERPFNDEEPLGPLAVGV LYSVTMAVMHLTVDILVYSQYREDVIWSEIFQRAGTALPIFFLLVYLAHVDISNRFPL LRNLAFFAGAITAGSYLVRSGNKHGYFFVMKTAPPVATLWVWSTIEMDVQYSALSALA VLGYSWWNEFTFF CC84DRAFT_1096792 MDWLSWAVVPIFVFGMWLYTSQLARSFPTLQGKRILLLIAHPDD EAMFFAPTLLALTRPELGNHVKILCLSSGDADGLGETRKKELVKSGLQLGLRSADDIL VIEDSNFPDSMAVTWHPRLISNLLTATFAPKMASVSSKQAPQASIDAIITFDAHGISS HPNHVSLYNGAHAFLKALMHRHSGWDCPIKLYTLTTTSILRKYLSILDAPATMVGAVV RKKELGGFPTPLVFASSPVGYRTAQKAMTTAHESQMRWFRWGWITVSRYMVLNDLKKE KTL CC84DRAFT_935046 MASTPLLRLPVEMLRNITDYLEIQDQARLSMTNRYLRSTLPAPT HFEFLRAEASEWAVSKQLYTCKGCVRFRSLQKFADDMRKGTRARSAPDARSRFCLECG VENGWYSEGTNIAIYGKLAVLGRVCSDLTDRCGSKASCGSRALAWTPLQRVKREQYGN NSRHERDDDWAYMARSQVGPRHAEEEYGLWLDI CC84DRAFT_1219798 MPLQASRTLDTSRRSPERMGRCTSSPTETFNQLVQAVLGAATRP RPYVAAPSLHQLSPDISFIHRSEVVAHQAAIRTGRHSPALEHQARGSRAIDVVGYTYA PGRRDASATALYAEGSTPGAYLPPPPPEIPSPTRVRSPFHGESISLAPVQRPVLVSRL DQPPFVPALPARTVLRPAREWRRVGSAPESGWESVLGHSAVYRAKGEGYRPRVPSPLR EQHGTESLAGGSSGSSGEWSHGTMPRDENEEQREMGRRGKVNGVGQGPRLRGGGEWED YFSLPVRGVDWDEDWVSDGDERTLLDEGQQPRDYFHCQVFPEGHKLDICMHPTTEIPR LRGGGKPKRNRIPASLFYMAGATGRRPNESITVDAWNSMKPKKRMGGLLGMAMYGYKS GKSYVPETRDQEVQTDAEPEAAALVTVDVGISDESPAVDSARAVSPARTPGLETSQDG NEGSRSEDAPASGAEGIVDAGEPSSSAAEPDADRPSIPTAGNVADDTPAAAPPEPASK SHADDGAPAPEATPPPTPDDAAKDTPNSGPGAAPLPYPTTPLLADVSGGKKGVPKSGE PVQMPLTPEELVNKVVEEMKRKGLVG CC84DRAFT_935064 MALPTLALPGTLLGPATRYAPGPGTHVHDSSIYASIAGTVASSP SPNPTITKLPLLSISRPGDASPSSANVLPEVDAQVLARITRLGARFASAEILVIAPSN ATSISADAAVCSTPFTAQIRREDIRATEKDKVTVQDSFRVGDLIRAVVISLGDQGGYY LSTAKNELGVVVARGEGGEVLVPVSWREVRDLRTGRREARKVAKPF CC84DRAFT_1166662 MDMLQEPVPGEPVDAQKHMDQPMDQPMEQPLEQPLEQPVDQPID HAVQPVDHAVQPVDQPVDQPVEGDDSNNTTITAATVAPMPDLQQSNIGYTNGYSSDNH HRPQTSYSDTAYQYSGGHGGDGYHSRFNTPSDADTPPRHAYYNSGKETQMGQPNSRPT SQLGRYSNSEGNARSYNQDQVQRTSQQDATKNPSVVIKVGMVGDAQIGKTSLMVKYVE GSWDEDYIQTLGVNFMEKTISIRNTEITFSIWDLGGQREFVNMLPLVCNDAVAILFMF DLTRKSTLNSIKEWYRQGRGFNKTAIPFLVGTKYDHFVNFPREEQEEISNQAKRFAKA MKASLIFSSTSHSINVQKIFKIVLSKAFDLKCTIPEIENIGEPLLLYQAV CC84DRAFT_935126 MAKRPAEADDKELEAVKNGDRPMDVDVDEAGEFADEFEDEFESE DEIFEAGVDGRPDEEREAEEKEHAMEVDQGTFIPGRHKLSAGETLAPDLSTYEMLHTL EAPWPCLSCDIVPDNLGTERKTYPATVYSVAGTQAAQGRDKENQIMVMKMSSLSRMEK EGDDDDDEDEDSDDEGSDPILETKSIPMTSCTNRIRVHQAPQSTSAKPATTLTAAMTE SGQVLIHDITPHLTAFDTPGSTITPAQNKPVSTIRAHKANEGYALDWSPLVPEGKLLT GDITGKIFTTTRTQGGGFVTDTTPFTGHEGTVEELQWSPSEKTVFASAGNDGTVKIWD ARSKSRKPAVSVQVSKTDVNVLSWSHETAHLLASGADDGEWAVWDLRQWKPSTSLSSN AKPSPIANFNFHKEQITSVEWHPTDSSIVLVCAGDNTLTLWDLAVELDDEESRDTGGV PDVPPQLLFVHYMEQVKEAHWHPQIPGAVMATGGSGFGVFKTISV CC84DRAFT_1166664 MASIQYFPHQSIIALQHNRDIRYNPNMDGGSLRCYAPQYYHAQD TVTHMLTLPTPLVSIPTSQPARRTVTRFEEVCKVRREIVEEDVHVLEVTDDRVWSTGC ARWWTQTELKWNGHVEHPESCERSSIVREAAYQHTLNLGNEKRSMKTR CC84DRAFT_1188933 MTTNQPGYLGPTSYSAILPKDEANAIVHDGEASIASDGSDFEMA HQHPLTKSMRMQMTTEVLKCLRHYPLIQEVINVLRQFAQACIVPLPLEVDLVNGLGPI VDRFNLMHSVPDPRLVARVLDNSSRPLEIPDDMKASDFHQICSGDNIRLEVLGFILAS AGRSISYGLCSYRFSDPANPNSKSRLIDELLRASTTCTILCTVISPVNHIFIWMLYEN YSFTIMVNGFSGAPSWRRFGELASQLYALGLHREPTIPNVPTWLLETRRRAFSTCFLQ DKTLSTFLGRPLRLSKRHTDMKPPLDLDDDDIMASEETLHRAIAALDENGWNTERRYF RTSWVRLRSISATYREEILDVALSKLDENVERQLLDISRRIRESWDNFPEHLRYWPNC WNEISSPYICLMLVVAHQTHWYNEFIIRKLLDQGQTPITSNLELLRVATSLLSTTLTL GTIRDRLYDIHKEFLIEVILCGIPSASVLATALQEQHRTNAAFPPSISRAETIRMLSV LISHLEAAEHTDSSSARPGEANYNLCKKACKAFTKIVDRVLEPRAAEEITPSTADGEL DLDLNLDLFSAPGLDAFEGMEFAAGDDGSIDWGAMTQWTL CC84DRAFT_1219803 MSREPLAIGWTQSRKDDPNRLSFLDLPKELRNMIYDFAFQVPGA IFIYCTDPYAWRSVLRGKIVKYKNQGPSEPQRVDGVLPTNLLLTGKQIYAEGAEVLYG KNVFRLYMSSANFAPTSFHLVRHVAFTIEAGRGIYKPNLEVMSYWWRRVFWPNVIDKS TELLDRYPNLETLTFPINSEQAGVTWRPAFLASQKTKEHRIALAARWLAINCPMKDTR LHNVLRLEIMPSSGLTKEDFEGSRFQFIEEEDEYDGSELAEAFQQMKSYLIGQE CC84DRAFT_935138 MVSLHESFTHPPFRANCQPPISTTTSLVSTSINFIQTNMTLHEI LIGIDDIKAELEKMTSCLKRLGERVARIEAAQQSHNGSALSGHAVSDQSFYGLDKKSM ESLFLKHIFNEEERQVFAESVARCRARQVQQTVPAELPGEPDMSALHVEEHASAATTA PLASPSEAIVDNTASELQPSLRGGWGDEEAEEILYQKLADSCRASYIRLRLSPLEMQL SSVLQCGLTSVRREHTHSTDSVQHRESNQATNITSCERTFPRCYTTARCVETSTQSQS CC84DRAFT_1207683 MAPCSSCRRGKADCTKERCPDPLSDSDSDSDISDDERSEPVYPS TLPTFESDLTCFRRIFPSMINSLNSADDPVEMGWLAGCVHDVVLCLQSHLIEEALRAR YYREDRPVEEGQGPVPEGVVEYIKPKQLLEFYNLIHEEVEVQYLSKAAVPLTDRKVEQ KIRAKEAMFGTMLDNAIKEMWWMEDEDDPEENHEELYSVRGGWDAAREDPWSYPPWVY GPADVHGPVQLEPDRTPTEYKTTGDPVPMSAFSVAYQGPHPVRGTCLVCMDELANPDS PVVAARCSKGHLFHGSCLNKWVNASAMDNANLCPHDRERLCDPRQRIHPGGVSDVFDE EESDADGSDSEDSETVKSDSEDSDAGDDIDMDSSELDQEGSDADAEGSDDDLFDMDIN EDERNEHCTEELDEAALVRFLRSDEEFMY CC84DRAFT_1261545 MVQEETVLLTGATGNVGGVILEHLFQTTNYNVNIVLRNAPKQIP LFRARYASEASTGRLTFTSIPDMTTPGAFDAAAAYASAIIHCATPVGSDTDWVKDMIE PTWTIDHSILTAAQKSLTIKRVIICGTLLQTLGPYNLFDPATTITDTSYNSTTFEEAK AGPWRNAYMFSKTNAERKTWAWYEDQGGQEGTGFDIVMLLPPMITGRSPQVGFQPGPG PGGIGRVYHALFESKTAEDMDAMFPIFLDTDDVARAHVLALEREKVPGNQRYLLASPE MVDLRSVVARMRKEYAEVRHRLPDVGIDEEGSKGRKAKLVKVDTSKSEVVFGRDWESA YNSIKETVLDVVRWEQETESARVE CC84DRAFT_1150999 MFGVKPPKVKTRTVAVPVRKAPNPAKPAQSNGHATGRSGATTAA PGNRYKLSSPPRSKPTTAHASASDGRRSVTAKSVVRKRKAETYTPLSSDDDSSDDDSS ADGRKRQKTSSSMEPMGFNRCLEPDPNRRIRLPSPEPTAAAEQATELKREKGSALAKA KKGTKAEMIHGRGICRRFASEYKAAFPGTAEPPVVLLQYPSNSAPERFQLSLPKDASN FNPLDDIYYTIEEIVQHYLPVDLATELSSEADGTVRLLKRAAFKNQPDEFKRIVESFN ATMKKKLDDKTIANVLDSKHAIPLSIVKRILAQVYWRTVSPYAYLLKRVKGKETTYGE LLPNFVHNIFQQTGLKSNSIFIDLGSGVGNVVLQSALQTGAESHGIEIMDKPAEYAND QANELRARSKLWNINLGPINLLHGDFLESPQVDDVLRRADVVLVNNKVFPSKLNNQLL DKFLDLKLGCKVVSLASFGGGGGKQGVRNENSIANLFDEERYDSGTGSVSWAGESVEY FIMTKSR CC84DRAFT_935611 MRSFRQLALYGLPLLGGVVANQGPASPSPTKSEVQNAVEAVYAN ANEAFQDLLNALPEESLHVALNSLNHFREGVFESNKRGVEHIHQDNPPLATKLIVAAV NDLKKRQAPTSNNGTAPTSQTEQPQSTEAPQSSDTPQSSQPPQESSQAPESSADQPQS TRAPGSSNAAPQSSAAPPESSAVVVPIAPDDVHPGQRTREQHRAADHDGRGRPAERGD ELHVRRPRGTSHRRQLRGAQQHGEAESAEGCGGRIPRRGRCCARRRCACVVHMIRLWY TAIPSEGEKKEESKNTHNDITTLLMHAPYGRLYNVLHSSRHGTVLI CC84DRAFT_1151005 MPNGAHNSHGAFATPRVRNGEPQPAPVTPIEPGSAKRDLTSWWK QFSKRSVKKEEEKEPEVPRGIFGVPLITSIPYANVAISLFNESGESYIYGYVPIVVAK CGVFLKEKATDVEGIFRLAGSEKRIKELKAQFDAPPRWGKGLDWSGYTVHDAANILRR YFNQLPEPIIPLQYYQPFRDPLRGHQAEAVGHIEGQGASLGGFDPDAAVRVYQQLIKE LPSLNRQLLLYILDLLAVFAAKSDVNKMTTSNLAAIFQPGILSHPQHDMSPQDYRLSQ DVLIFLIDNQDHFLIGMEGTAVDEGTVKHIESGPSTPQARTPTTPGRNKSGLGRSASA ASSAAAESLRKFGGVRRNVSTSSRHSRHSGAVPSPTTPAFTPSSGVHRSNTLPSKRSP ALTASRFPRERGSDPPTPAAEDPKTQLPAVTPEIPTPDATALEGPPTHIDLPKKEATL PVQPVSENVQAAPRAQTFPIERLDVAKPQQPPPVDTSKPALPTPALDKIPGAFPLPSP GLIPPTPDAVTPSAASEAANILAPPAQQILQRSPRTTPRHERSEFMEGAVDSGPPAEA SSVVRTFTQILAGSRASPASDEKQPGRKPNKLQKKRITSANPSAHSSTHSLTGSQAGF DGPPSPLPEAAFPLTLPGSSKDALQSANYDTISSRNSGATLKPTMSPSASFRSHSTAT EYSEAEQLEEPPKEEKEKRSFWKHTRGGSKATPTASQTDLHGSVPGGDKSMSSFGSSS GWGGGGRRSLQYDSHQSSDLSVPYGESKEAAEKKKIGPLAWLDRKRQEHDERKDKKNR AKSPPGSSSELATPYGLLSPKLEPTARGRSMDAPRSTGSNEPRLRQDSSDITPTGTTP NSLTETTMQLPQPQSPSHSPLQAPQEEQEKRSTAPPPPPSQQQQSPEPSTSQASPPTE QRPQQQPAPEAATPQPDTTVDAPQEPPRRPSPNKSGLYPLDADIEKTV CC84DRAFT_1097970 LSSAEQRELQNRMEKKQMKEFMNMYSNLVQQCFDNCVNGFESKS LTSREEGCIMKCVDKHMKGSQRLGDRFQEQNAAMAQGQQSGFGR CC84DRAFT_1097838 MRSILIVQPNSTKSMTDALKPLVDQLGFKDTAYEYFTAPSGPKS INNEDDAAESVKHCLPELEKVLSQHDGFLVACYSKHPLVPILKEQKAIRSGRKAVTGI FEASVSTSLQIIHPDEKFGIVSTGKVWETILSEAVVDFLGTGSDAGKRFAGVETTGLN ATDLHDAPPEEVRKRMKDAVKRLLKNGKVGAICLGCAGMAGMDTMVREACVEELGADD GDRVRIVDGVVAGVAWLEGAVRSGF CC84DRAFT_1207688 MKFTTVPLFTALAALTSAAPASDLEVRQSGGGFYAAGDQYSGGG CTNLIYGDPIYVSNACTALNRFSTPGITINSYKLAQVNAGCSVKLYTTSSCTGTAYSA IVGQCVQANSPFVSAIVTCA CC84DRAFT_1166673 MQGQLTKLSTHEFQVYTRMMSKFKATGTGSAFDQAFDDVLINLC RIEEDDHILKGVPHILWFPHLNKGFAQFLRFVWQYDLKNHIKNIVVYTDKEYQLRHVS TADAPLAHAVREIWDQVFSNLDPNRVVVAAPPSTLSALLDSQMLSSDAWAFDMKMHYV EFLQQDPQRAAHRNPECRPWGNALVHRKPWTHLGYNEGSSITAYSTYEYHLKQSPKML YLTLLRLAKEVQDCCNITSFSFIGVFPFNTNMNAIIHALQKIPTLRKIQVQLAPGPEN DLLSTPKRLGRAQSSDLWLEWNGCYSGLAGLLGTQEFGDGSKFVSNDCGEKEVAKEVE EYIESLQNRGQGWRKDGHGAWIRDTRLDQEIGPDEHVEDAESALHQLMLAAAHELGGD SYQVLHNQNEQSTDMI CC84DRAFT_1250844 MAPASSFYLLINVLASVTSAAPFAQAACDRTILAQAADAYIAAQ TAGSLDPLKSVLATSWIYTENNKNISTSSSVLSKKLTIDHHRTSYDLVTCATYTEVVA ASGPYVIGTQIRHNTDRKVSSIDTIASTTGSWLFNATKTLEYVKAEDAGWKPLPVSAQ SSRELIQAAGDAYLDMWSDAHAHEKVPWGTPCVRLEGSAYTGKGEPNDSCQPGIPSNH NQAPNTRRRYVIDEEMGSVSIFCVWEHMMNAADSHEFRLENGKLRYVHTMTECGGRTC KL CC84DRAFT_1261554 MESDPSLPLRTHSLTTAFSDLETYRSTSSGSSSTMDSHGPAPLP PRTASMPNYTADLELEMSSLAESSHTVRKANRLSLTASSEGIATESESAYSTGQHELF FEAPRIAPVVNVEHNNWFAPCEWSPSPPVPPEASLTSAASPRKFRHTPFNLIRSASKK RPSKKVADQQDPGKPRDKLWHSNRTKGAQAQRLIAKRKEVDKERKNKENYERYKKLER RLEANGFLTSLGKRGDERNT CC84DRAFT_1250850 MRASTALAFAAGVSAQYSEWLLPANPGGAVPAGVKTPSTSGFDN PTIQPARGGLAVCVSGTVSVEASTSRNLKFTFELPQNQSQVTNTFLKLLSNPSPFAQE LVGGTQSVSGTYEIGVTLCTPANNTTPDQVQLLTHGIGFDRYYWDFAPGYSYVDVAAQ YGHATLLYDRLGVGKSTKADPLNVVQAALEVEIANILAQKLRAGAFSSHAFSTVIGTG HSFGSIITQAVTSQYPQAIDAAILTGFSANSTGVAPFTLALNLAIASQNQPYRFGALN NGYLVDGSATASEICFFHAPGFDPEILSLAEATKGTATFGEFFSISAVTRPAANWTKP VAVINGDEDLAFCFGNCSAPVNKAEAVFPLLYPRAKTTGAYLARNTGHGLNLHYSAME AYHYIQEFIGKNVR CC84DRAFT_1178448 MTDKLPPMLLQLFAPRPPLRWVEPSDHAPEKRQTPNIGGIGQYM QALREYKDNDGYVPSDSWLQKRDRRKLEKKERQEKLLTEGVKDYKPHDDPKVQGDAFK TLFVSRLNYSTTEEDLEREFGRYGPIERIRIVENVKAAPDAPLKKRKRGYAFIVYERE KDMKAAYKETDGMRIKDRKVTVDVERGRTVSGWRPRRFGGGLGGRNYTKQAAARSGGG GFGGPPAGPGGFGARPGGFGGGRFAGGGRGGGFGGDRGGFRGGFGGGGRGDRGGGFGG DRGGYGGDRRGIGFQSNGYGPPDGAPAGPRGPRDFGGGSDRGGDRGGGGRYGDRGDRR DFGGKGSSGANNEPLGSRDRYRDRDRDGGYGGREDSGSRKRGYEGDSYDDPRQRRRY CC84DRAFT_1124870 MPLSAIQKVATAQNGVGAFVLPCKRITLRYCDWAGSSKGMNAYL KTQLGFFAKRNPQIEIQVYKKANDHPAITAYYLNGHQRSVNVKNLAPEEIREKLELLR NSSPEKNKRVPAKPVTSINENVRGIWDPFHGAKIKI CC84DRAFT_935889 MGRQFFIGGNFKMYVTSRASITEIIDNLNKAKIDENAEVVIAPP SLYLLLAREHLRKGIEVAAQNVFDKPNGAFTGEISAQQLKESGITWTLVGHSERRVIL QEDDAFVASKTKAALDGGLGVILCCGESLEQREAGKTIEVVTNQLKAVADKVKDWSKI VIAYEPIWAIGTGKVATTEQAQEVHAAIREWLKKDVSSEAAEKTRILYGGSVTEKNSP ELAKQADIDGFLVGGASLKPAFVDIINSNSA CC84DRAFT_1188944 MTAERSDQEDASQSTRRAHSTPSRSIFAVPTPIKQLFDRFPLLT YSPNDLPQRAPRHRDAHTLFIFAGEKDAADGAPSYNPACLKWQAYLKFSGISFQAVSS NNHASPSGVLPFLLPASTETSKPPPPVVSAKLQRWAINNTARAVEEPGDLRYEAYLSL LDHRIRRAWLYSVYLSSNATSLSEPLYILPTSSNPFVRLSIAGDLRRAAEKELLKFSA IIDAEELHRHAEEAFEALETALGDDPWFFGASQPGLFDASVFSYTHLLMDDNLGNGWT DTRLRDALLKRKYLTRHRERIVASYFPDTGTGELLR CC84DRAFT_1151033 MTRPSVNMTFDTSLVDTRNPNTSFSTTESDPSEADAMATGGTSV QLDVVPTPPLKPFTPVQHLPTQDAYDSWAAVYDTDGNMLQAIDDVELSTFLPEFLASV ESTAADKTIKLLDLGCGTGRNTAKLLSYPWNLSPHSPIEVTALDFSAGMLGVAREKLA PLEKDNVRLQISQADCFPTVEDKEASPVPAVSGLSAVDGVISTLVLEHVPLGDYFATV ASLVKKGGYALVTNMHSDMGKVSQAGFVNAEGLKVRGTSFAHTNSEIEDAAIEAGFDL VILRDHIMTKEDVESGRVGERGLKWVGVRVWYGLLLRKL CC84DRAFT_1188946 MSETARLIDMLKVSNAQRIPYAQSLDLSLLEDPVVVSTKTGNNH YQVIYQAPGTFSEALQFIADRKPLPPPPNAPLGKDLQTRLNFVIATLNKHTVNTIAKA KMAGRLPALSTSDLPTASPTEASATASPARGSAMLNSIFKSVRVPEFKYRWQFWAEKG QQQPPPKTTSTTAGAEEYANRPKPLGEQIVSVKDFYQHFNNIPVESLKLRDSIHLFHL GVKPLWEDPRNTRGGAWYFRISKEQAAQFWHEICLLAVGDVLQGAVETKRASFNDDIC GVSYSVRWNAVQVAIWTRDADNEVGKEKLLQTILEKLSAELQPKKDSYWYKAHKEHKG FAGGE CC84DRAFT_1219824 MAPLASPSNPYKRQIDAVQHVYECAKRLKISSDTGDSAPSTVPN ESQPIPTSKTTSAGENSEADSDDESWVSESSEEPSDESSEDSSEAGEEDGQQTDEMEE DAQLGAEDVVNLRANRGKRPDFKLPEEEELDDIRPFLKDFLPKLKAANEELEAQKATG TLQTSEIVGDEEADGEEQYIELNLGLGVLEEKDDDVGEGALETSDNDSAGGHAEQQDR EKDVLGKLMGRGKPTESVGIEELTDTQGRT CC84DRAFT_1097540 MDSLPVTNKTTGEPESFKNKALETGAAAVQNFEPVQRICAHLNA FHAYADDPHRGPVEANHYCAHLNDEVRQCILYDSPKQPARIIGIEYMINPRLYSTLDE TEQKLWHSHVFEVKSGMLIMPKPPVVPDAAWELAENREMEEVVHLYGKVYHLWQTDRG DTLPLGEPKLMTSYTEAQQMPGGFEKVVDERDARFGSDWRRKKEARSHIEEPEIHENA DWVWKGKKAENVTGERKTDVGVIGGV CC84DRAFT_1219826 MAIQKSRSQSGIDLTLKVLQFVKQLRRRLRPGPPTVDHAAILGA QICASLDTKKFQMLQTECPRSRSHNRKITFAASGVYKNQNKRKRRPSLKLRIRGDDKP SLRNKSGMQVQQTLNSIDTKVSICGSPLSAAAGDHKLFLKQKTDISFETCSLNEVAQL LITVHDAINVLQSCRTQFDDEWLVAQGHDAGINFDVAFLANYEHLLMRLHSQLVNALS RKALDLLLLGGYDKRQYRLLSWFTEFSDKPRPLSTSLDIKPSLAVLWGVCWMFYANSQ TNNGRVPSEAVLQHVDLPDWRRPEPTEYRNFGLELVGAQPQPGAPQRPQPANSVGLSS GVDLRLRNAETWMPADLESGVPQVRRGASRGVGSGLGWQGLAGLGTYYPQFPATSPID DSNLSNLLPDIQGNATALTVFPQQHLDHNFDNTWQHFPHNSLPQRTARHLTTSIPSVR VTGTAESEGYAAPHLDFAAFDIFPSSTQSPQAPSININTDFPDFAMGSDTITEQHPAH GFSAHRHERTSSLHSNSNMPTPISLADTHSPLLSPADGHRRFSVASSQGHVRQQSEDT SSQGDPEDADSPRRNHAYKRAEEPPRNHDGKMICKHSECAGQTFDRKCEWSKHMDKHD RPYKCNFQGCEKLQGFTYSGGLLRHEREVHKMHGGTKKSLFCPFADCKRSSGAGFTRK ENLAEHIRRVHRRTSMSADLGHLIIPREQRQSSLAADVRMPAEKQYERIVEEDEAQLT LKRKRPEAEELEEMDLRSELRRLRREAEEKDARLRQLEAAVMALQQR CC84DRAFT_1166681 MTDINPEYANTKRPLKLTGACYCRHLTYLLKLEDRKNAKTTLCH CANCKKAFGGAFGLTTKVPIQAFRYDAGSGKPTIHAADNGMGSTVYREFCSKCGSYIC EYGEQGKDTFRSIVFGSLDEPSALPPKGEWFCSQREDWMPVIPGKLAQFRKQ CC84DRAFT_1166682 MAGIPQSIFRWAVPGALAISFAQASIYDVKGGTRAVIFDRVSGV KEQVVNEGTHFLVPWLQKAIVYDVRTRPRNISTTTGSKDLQMVTLTLRVLHRPEVQQL PKIYQNLGLDYDERVLPSIGNEVLKAIVAQFDAAELITQREAVSNRIRNDLLKRAGEF NIALEDVSITHMTFGKEFTKAVEEKQIAQQEAERARFIVEKAEQERQANVIRAEGEAE AADTISKAVAKSGDGLIMIRRIETQKDIAQLLARNPNISYLPNGGAGGEGKSGGSFLL GLRS CC84DRAFT_936917 MQTVLPSFHSGYLQSTTPPACTVDLSKASVWSLSSSASLMPPQP PIISDRNRIPNLSATCQYGHAMLSEWLLLLLVVVIGLRLAVIKYSSGKRRTPDSKIDA SITEKYCLPYQEAPQPDMHSNASTGSQVQQTVVFKPTYPWTSPPQPLPGPYDPRLYPL PTIRRHSFNPSVEQSHDVAAASYTRRVSLNSLPSENGTLRGTVTVSSQGWRRNQWIIS GE CC84DRAFT_1166685 MMTTRQPHQPKPKAIIFDLLTALLDSWSIWDASTPTGTSLEGRK WRKQYLEVTFGSTVYGPGSTYEELVARSAAESGLPTSAPEALLRNWTDLKAWPEVGRV LRELRGKGYLLGVVTNCSKELGHAAVRSAERAAEEEGEGKWKFDAAVTAEETGWYKPA VQAYHGILPLLGEDVRPEDVLFVAGSAGDVVGATKAGFRVVWNNHVGLERKGDVLPLR EGKSLDEALADFL CC84DRAFT_1151047 MASSSRVQSPTKRLLTELQSYQSDPNDALLELGPIDDDELMHWR AVMKGVPGTAYEGGRWALDIHIPNTYPLAPPTIRFITPICHPNVDFKTGEICLDLLKT SWTPAYTITTTMTSIHQLLTSAEPDSPLNVDIAQLFRQEDYVGAEALIRFYTETERWE GGRRRQ CC84DRAFT_1166687 MAPEAVLSHLHRADGSATYTHNGYCIIGAVNGPIEVLRRDEMPE EATVEVNVRPAVGVGSPKERHLETLLHNTLRSVILTRSIPRTLVQITLQVRSLPDEDS STGVSPSLTLLPHLLHTALLALLSASIPLSTTLTAVLIALPSTNTPTASTQLLSPTAI ELLRAKSIRSAHVFAFSGEGKMLLNESDGTFSYEEWEEAAEAAAEVCCKEDGGVGLAE GMEVDGREGENLDDWLRSVVRTKVDHEQRWKSAK CC84DRAFT_1197962 MLWLQAENGETVLQQLQLDIVGFLAILGEGSVLANAQVSTLSKW IFLPRLIPAPQALMRPTRPTVLEPVPGHITGIYSGNYKDTINHIGNIVCDANSLPAHS VRVVRIKRTGVSLVKSRTIAPLTGVLFLGFFLSCVLLGLSIWRQDGMSLVATCLLSFL SSLIGYGNKWELKLPERRSKKGNVPPGDVVIRYPKGSFLIVRCPEEVARELYFAPETI QYLLQHGPAYRLLSLVGTIMLMGGVICLANAQIESQIAWAASYMLLGSSYWIVAALPA KLHWDYSCYTVTNERLSDSNQSAKGFPSESPTFTQALWKAIVVSKQIGWVRLSNACPD TPAWQRWLKQAEQQARTVQLVESKTEEKVTTWEIPDWDAQQALVALLNEQATDDTASI KNAEEVVVRNMEEA CC84DRAFT_1178463 MPADSDSKSSSESQNSSQGWLSRCLPTLSVRDRRVPSRGPDSVG EPSADSSEKKRKRSSLNPNSPSKKTMASTRSQNTASDKNELDTYLEVRNALIKTEAEE AWDYAASTSDLAPKQKQAADIIRLIREFERRYIFGNMPSEEIPADWTLDMGGQFLTNK SRIEKQSIVFQISKKVPKGALLHLHFNAELNPERLLEQAREMRNNMYVWSIRPLITEQ DLDETEIVFKIVPSTTKDSNIFDKQYQGRGKVKGVDGSVKDNWRHPEYSDRVWMKWET FRQTFPARFAKKYDKKEAVRTDDGIGEEPAKVPLDPAENWILSKMVLSEIEAYEPSQT VNGVWARFNQATRCFKGLLNYKRVYEWYIGKAIDRMIDEKVMYAELRPMLLDKFIEDD DGGREVRNAEQMQLILEAVEQKQAELRKANKEHLFPFGLKIIYCTPRSIPEKIMEREI DDCIKLKSQFKDLICGFDLVGAEDRPNHIGFYHKQLTRLQRECKEKDLEIPFMFHAGE TLLDTGGSSDPQNSNLYDAVALNSKRIGHGFALMKHPHLVEKFKRTKTSKGICIELCP ISNELLHLCRNIKEHPYPELLAAGIPCCVNSDNPSLFSNSMSHEFYQIMVGSPTMSVH SWKQLALWSLEYSCLKDKEKEIAEGHFRTAWAEFCDWVVETYGNLFDFSVDGQVQGLS NDRAQKWYQQELRVTH CC84DRAFT_1166689 MDADFAIMDDGDAVVYAVAYDVNVGVIVRSVAVRVRRSRTVEFI QVVACEGATKPVFGGCKLDTEMLAM CC84DRAFT_937247 MATDGLSFLPTIKCSDCGIDIEISQLADHVCAPASLKDEGPASP KLDRAATFGGSSLNQKPDRQSRSARMPPPPRIDPFAANKPFLGPGDLSPDSSYSDPKS RSALSTGSGRSPYPMNRSATSPLPQPRVPSPEELSSNLDSAFPRFPTARSATPPNARP KTRDRLEPSPSYDQNYAQPSPLFAPLSPRINGGESIMKRMDTIAPGPFTGDRRPSTSS GPFTGDRRPSTSNGPRPTSQGRTPFSHQRTNTQGSVRSNGIASNSRLSTTSSASRGSV FSNGSSGLPSRPRLGAGPFAAMPPPPPPPPKDEEPEGIDAFLARLQNETLAPAKLDQD SRSKTFPMRQETRDAVDPPARPADRIPPPRRPTDIDTRNDYTLSSRSYEPSLSKPPVP NYARDFPSDAVHTPSDSGLSEDSYASSGFRSVASSRSSPPISEASHSRQASKVGRLEY PDDEPVRRVQSPEMFLDPRPAPPQPESRRGRSPERYLQAPKVPYMGAPESPIDPAIQR GLSSTVRREEPRQIRDPALNTPGLTNPPPRNLGPEPQREVNRRPTTAGKGRCRGCSEQ IIGKSIKDSSGRLTGRYHKACFVCRTCRDPFPTAEFYVFNDAPYCGQHYHQLNGSLCR ACNRGIEGQYLETDQRLKFHPRCFCCSTCRIMLRDDYYEIGGRNYCERHAYSTVNQRN NYLGPGGNNRTQKLEKRRTRLMMMS CC84DRAFT_937246 MPAQGPRAPAEPRRLTAACRHSNSDVCQDGQDTRPAPSRPRETC HRPAATSDLSSSEFHARIRPPGRPKPRALIQARGAPETSSPCSVPPDLVPSAHTTSCA TGGGLSCRRRCPFDDDRAKRQRSATLPIIPECYIDGLMIMVLLSMDAIPRLCGVLGSS QLVQQRLLCPPRKAAKIGAQSDDTHSHCHQQCVRHTARHESDGRCFINSGPVSGARGP PHHGSSVHS CC84DRAFT_1166691 MAPHAEESVGFANGGSGTAATPAKDLFVVESPNVEYTDETIKSK YTYRTTAVSKNANGKYVAVPKETLYDFKVDRKIPKLGVMLIGLGGNNGTTVTAGILAN RRGLEWETKEGKRGANYYGSVIMGSTTKLGVDSETGADINIPFHDLMPMVHPNDLVIG GWDISGLNLAEAMDRAKVLEPTLKSLVRKEMAQMKPLPSIYYPDFIAANQEDRADNLI PGSKASMAHIEQIRKDIREFKAANDLDKVIVQWTANTERFADILPGVNDTADNLLKAI ENGHEEVSPSTMFAVACILDQVPFINGSPQNTFVPGAIQLAEKHNAFIGGDDFKSGQT KMKSALVDFLINAGIKLTSVASYNHLGNNDGKNLSSQKQFRSKEISKSNVIDDMVAAN TVLYKEGEHPDHTVVIKYMPAVGDDKRALDEYYAEIFLGGHQTISIFNVCEDSLLASP LIIDLVLVAEMMTRIQWKAHGADAADAKYAGFHSVLSILSYMLKAPLTPPGTPVINSL AKQRAALTNIFRACVGLEPESDMTLEHKLF CC84DRAFT_1261574 MPASSSSPVKVTPGDGFTPEELQVSPPTSTWVPTLDYEESDIAS LEPGPRHLALMGRVVNFYDMPKPSKRPKAAQGLVKIMVADDTGTMFVRLWYAKTTYPL QLGQLVTLWTIHISQGDGQVGLAPSAAPLFTSIFPENERNCHFMIHDRSDDRSMFKRP FGARDSQVLPGLMTLKSFVDGGFDIDDCKLMVCVRSIGPRKKYTNKNGSISDLITAVV FDDSSEGHLTLYGSVSGSASAWKPYATVLLITAPGWRIDKTAKLSLNANTRVFIDPSI AEARYLRALAQRLTKKEHVNPPFPYDLFDTKEAELSTVKVLYKLSEIDEFARTNPREK AVGYMSIIVTELHILTNYKREMLMSNECCGKPIFASKVKARCRQCDRECTLRINPRIL GDVIDETGTISTGKLIFSDTAWEQLLGRTAEQLVTASLDVIKYLEQRLLFLRLTLGFG IYLGSEEIGRLVVWCVKI CC84DRAFT_1166692 MATNRATARRRSARTAFDDDDAPVVKRVKTEVTATAKRTNGATR KAAKTAYDEDDDGFQFTRRTSRRTTKTQTAPEPILEEPSKPPPARRKKSLAAPEPELE QLAPQRKRRSARLSGDKDHLDQMDGSADTAKAAPKRAKKTAIVEKVKKRQTTPAPETQ PEGKAAPAPAQTPKLDGLHVAKKRDGATKIMLPFADTPVINRNKEMRKGGKDGHRRSS TGLRGRRASSLIDSGMSNALPHSEVEVRDFYKYIEQSLPEPRRMKQLLTWCGSRALPE KPSGDVKNANAIMAARAIQQELIDDLASKPELSDWFSREETAPLTVVKKPNPQNVKNQ ATLEEFEQEVKRLEEEKAAWEALAAAPNALLAPPSTQTGTPTFADIDASLLDSEQAAI LAALQTSQPPQPAKTSSEPASSTFSFTTPAALQFHLEKLSLSLEPNIDLFADGVHKIE QYRGTAERVADRVLGTASKRLEERDKESKAKVGSEGIGVGDVLRGLAGVLKES CC84DRAFT_1097521 MPRPAFTTKLSLPVRLKHNNSTNSAPTSVPTSRAVSPRRAAMNE NSKPGLVLRANVIQGRNLAAKDRSGTSDPYLVLNVGDAREATPPINKTLNPEWNTTLE FPIVGTQSMLLEACCWDKDRFGKDYMGEFDVIVEDVFQSGQAVQGPKWFPLESRRTGK KKAVVTGEIQIQFSLADASNPNASNDELIQKLMAFTSQTPSPDDDDDEFLLRTESAEN DEEADEEESSDEAFDESKKAEKREKRRRKLRLARLRKKANKLRSYEFSEKTEVAGVLF LEISKVTDLPPEKNGKCTFTRTSFDMDPFVVTSLGKKTYRTKTIRHNLNPVFDEKLVF QVLRHETNYSVSFTVIDKDKFSGNDYVGTVNFPVEKAVSVAPEADPTTGLYKLPEPSD SPGLSAEASEARRSRFRLPMSRSSSTHSLSRLGRPSLRKENSAGSLSGKDSNGSLAPP PTSVPQTSGAENNGQAAPSIPAVNVDPAAVDDQDLKMYTLPLEMKNKERWEAKHSPTL YIKAKYLPYKALRQQFWRAMLKQYDADDSGLIDKVEITTMLDTLGSTLHESTIDGFFR KFSGEHNGDEVLTFDQAVMCLEEQLQRVEERDAKKGSFGHLTASSSDLFASGTQTPSL TSGTQTPLNAHPSQTSIPALETDHLGVEGEEGDVLPGDDLADEKGEEHVIEIRECPIC HQPRLNKKSDADIITHVATCASQDWRQVNNIVMAGFVTASQAQRKWYSKVITKISYGG YKLGANSANILVQDRLTGQINEERMSVYVRLGIRLLYKGLKANNMEKKRIRKLLRSLS FKQGRKYDDPASAREIEGFVAFHQLDMSEVLLSISDFKNFNEFFYRALKPGARPCSAP EDPRVIVSPADCRSVVFNTLDAAQAIWVKGREFTVQRLLGDAYPEDAKRYAGGSLGIF RLAPQDYHRFHIPVDGVMDEPKTIEGEYYTVNPMAIRSALDVYGENVRVCVPIDSVAH GRVMVICIGAMMVGSTVITRSKGDQVKRAEELGYFKFGGSTLLLLFEPGQMRYDEDLV DNSKAALETLVRVGMSIGHSPNRASYTPDMRKENPTHEEKQDAKRRIEGSLAPEGVQG PMPGAGFQ CC84DRAFT_937375 MCHLLSIELEHRCMPFQWRSAQCTNKSDCCEVPREYADRCMEQR VTVLQSHSGHHLNQ CC84DRAFT_1166694 MSKLLAVFGATGHQGSSVIHHVLHDPILSAQYTIRALTRDPTSH AAQRLKAKGVDVISADVLDRASLDRALQGSHAVFAMTPPYSSPSAPRGTSAFAFEVEN GKRIADAALAAGVRFFIFSTLPSVREISKGKYTAVAPFDGKAEAEKYIRGMEVDGMNS AFICPGAFMENFAAQGWAKPRREVEGEEEVWTLCRPNSSAFRIPLIAAREDVGKFVGA MLRDPQRFGGRRVCAAQGRYSWAEVAQCLGKSAGVEIRFRQCGWEEWRKMAPAEGMRD FLEEAYRYAEEFGGYFGEGEEEGVKWAVESVRGEGELMGLEKFLETEPF CC84DRAFT_1166696 MPYDKTDELAINTIRTLAVDATLEANSGHPGAPMGMAPVAHVLW NKFMTFNPKNPDWVNRDRFVLSNGHGCMLQYALLHLFGYALSIDDLKNFRQVDSITPG HPEAHDTPGIEVTTGPLGQGFANAVGLAIAQAHTAAVFNKPGFDLINNHTYMFFGDGC AMEGIASEAASTAGHLQLGNLIAIYDDNHISIDGDTKCAFTEDVMKRFEAYGWHTQHV ENGDSDLEGIEKAIAEAKKVTDKPSVIKLTTTIGFGSKLQGTGGVHGNPLKADDAKQV KEKFGFDPEKTFQVPQEVYDQYHKHAAEGAAAEEEWNKLFEKYGKEYKELHADLARRL TGELPEGWVSKLPTYKPSDPAIASRKLSESVLEAIYEAVPELLSGSADLTGSNNTRWK NAVDFQPPSLGIGEWSGRYLRYGVREHAMAAIMNGLSAYGTIIPAGGTFLNFVSYAAG AVRLSSLSHQRVIYVATHDSIGLGEDGPTHQPIETLAHFRALPNMMVWRPADGNETSA AYYMALTSKGTPSILALTRQNLPQLEGSTLDKAIKGGYVVLENEKADVTLVSTGSEVS LCLEAVKVLSEEGLTARVVSMPCVEVFDAQSKDYRLSVIPDGIPTLSVEVMSTLGWEK YSHEQFGLNRFGASGPYKKVYEKFEFTPKGVAKRAKLTVDFYKDVKPLRSPINRAFTQ LI CC84DRAFT_1207716 MFLSHSSWLCIILPTLIGATPHKTAPARPKRSLPPKLQQQVPAC AQPCLRTSLSEQFPFACTTPVDLSCLCSRYSFNGQALGEVAVGCIYASCSTYHGATSA YNICLGQSYAVAPTLTALTIVAITSSTPVSMTTSRPITITTFHTTPKTSSKLQTRTSH TETVIADSVSLIPSATSTTPPQTTTPLPASEPPKTMTPAQIAGLAVAVGAAFVIAIGL MGLTIFLRKRRERKKGVLVNEKEPSSPGPSTYSSRYSLPFVPQSSMPDPPKRFLLPPP PVYKRSGQSIRTQYEADALPKPLKLNGTRNLDTGSEQPVASSNANPGSIHPLLRPDPK TLGNASSSSVPLEEIGLAITVEPPRPATGQNEQKTQPPIQEKKYERPAISRRNADLSQ RPDSVMTQTTLFEEDDFRRESKLLPALPQVPIPPIRTFQPSRPLPSTSPIQAAMESIT IRRVPQQSELFLDIPVRYSRSQLSIVATSQSLPCGKRESAAALHKQLPSASRTSEHDP RSAESEINHGDIPDYYFTTYDQPKTIHSVSPGNQGRRLRSKADQTYGTTKPKRSSSTV SRATSRASTNIRDSWSSQTSFETVDPEDPTPEDDDGDKRLQDGRLSPVAESPIHKLRY PKVPRTSNQLVPRSPKSPQSQASQNSPRWQSQPSSLLVKRKGEQGALKLGSPFRSGSP DARTASEIRRYRHHLRSSSVEAFSPARNSERSTRTQSSLWPKSPAMFEPDVVRPLTIR KTQLLQTPTEDMHALKSPVWVPRLTPTRQGDDLFINVTYSKPGQPSSGTSSYIP CC84DRAFT_1166698 MAHETLSSVAGGVTAVGGVSLGVWSWTVSTSDILDEHMREMWRV SVSTDAAARKEKCALPNAQSGAWRKKDEQGAQGSGCRIVEGR CC84DRAFT_1219841 MGCGMSTEEKEGKQRNEEIENQLKRDKMLQRNEIKMLLLGAGES GKSTILKQMKLIHEGGYSRDERESFKEIIFSNTVQSMRVILEAMESLELPLDDQRAEY HVQTIFMQPAQIEGDSLPPEVGNAISVLWKDAGVQQCFQRSREYQLNDSAKYYFDSID RIAAGDYIPNDQDVLRSRVKTTGITETTFIIGDLTYRMFDVGGQRSERKKWIHCFENV TTILFLVAISEYDQLLFEDETVNRMQEALTLFDSICNSRWFVKTSIILFLNKIDRFKE KLPVSPMKNYFPDYEGGPDYAAACDYILNRFVSLNQHETKQIYTHFTCATDTMQIRFV MAAVNDIIIQENLRLCGLI CC84DRAFT_1178473 MSGQHSTNHSRGVQNTMRHQGLPSDQQHIHLLDQEQLAFFSIHK PLNVSSWDDVTPVHHRLSTSDIRKALSKLHQNPRNTVKDTLMSLKSESARRLINALVQ EQNERESDSNIEWIIAGIDVKKEIVKQWPSQKKVIKSIEVILKTEPTHDFNDDSFGSP PLRGPFEGGVTQTNQSHRNVNQHGPGMGHVQPHIMHQQPPMGAQPIQVNGHERGEHMH GRQDLPHPPHYVQGGSHFQQPHSMLPVPQHPGGGVGIPPPPPPHGGPVKQTQVVQPPF DPQQQPMPMPGSFPEVRPAPQFMPQPEILDPRVLKHQKSKSKNLRREFQEVPMVYAGE SDSDSSGSDIGSQFSSRSVEDGAYGFIERSRSRGRNRSHGIVGRSHSRGKDRSHSRGR ERGMPKIFKVKKSHGRSRSDVEVIHEGKHSPSSKSSSPRSSASALPTQQIFNIHIDND NDRERERKDGHGRDAHAANHDQRRNNKPMHSPGFTNPIYTKRDKFDVHPMSRHSSVGG SDTGSSVIDGHSSIYTSDDSVFSEPIRPRMHSRTTSEVGGGLHLRSRNMPIPRHDAFI PAHQESHRRQKRHFEADDYPHRPRGSIYDGYAEPPHTPSFRQPPMAPRRHSVQLSNPF DPRHPAQPSRSYTDYATQPFQQRYITDNAPEPFEFRAMADELGAMNYINQSRRSGLTQ RRNSLRGRGAPEVDEWAYRPQGRMHDAYRHMY CC84DRAFT_1178474 MPMAISHSDLTHIPTPEAGLKSSAFSSPSPLREMIDCPRRDQDS RSIDRPVGDDPAAQRGLLKTRNEFLAHSNPSSVASEKGKMLGLISIGLGYFHKHLTGL KMPRTHMKSLLYDLRHYACHQSHEMPGRKECMGFLVKRKEDSHRLSST CC84DRAFT_937569 MVESNSIQRGYEGYRTRIHARLPVSQSRQLRRTQYRKTDIQRHA CKRAEKHSRLKHLHMSRRS CC84DRAFT_1261581 MPRIHGWIQAAMVLKDALFTNMTFEQWSQVVNPKVQGTWNLHQT LSSDMDFFVLLSSFAGVIGHTGQSNYRVVNTYEDAFAHYRSAKGEKTISIDLGVVLGE GFVTENDKVMDRLMRLNIPGQTVWTTAPQLHLPQSARCVLPPASDKKLSERCRLPTNH LHEHQFLVAAQRTRTSSAMPTRTINPFATVSPSSSTPSLDALNEKKEQPRRSSFTKGN KFTSLFGGNTPRTRGEAAALRDALVAQQQALLDNPSPPQQINTASISLPTINLTTATG EKMPTTEPKTLFNPEEARRKARADAQFGPIGSQKHRYTSTFEGEFGDPVEDEPPYYFL LTTYISYLILIVFGHVRDFFGKRFRAAHYSHLAERDGYAPLNSDFDNFYTRRLKMRIN DCFSRPTTGVPGRFITLLDRKSDDGNNTFKLLGSTTETLNMSSYNYLGFAQSEGPCAD AAEQAVRKYGVTMASPRADSGTSELTVEVEDLIARFVGKPASMVFSMGFVTNATAFST LIGRGCLMLSDELNHSSIRFGARLSGSMIKMFKHNDMRDLERLLREAISQGQPRTHRP WKKILVTVEGLYSMEGTMCNLPALIELKKKYKFNLFVDEAHSVGAVGPRGRGVCDFFG IDPSEVDILMGTLTKSFGANGGYLAASKEIIDKLRTQNAAMLFAESPGPPVLVQIASS LRIISGELVPGQGAERLQRLAFNSRYLRLGLKRLGYIVYGHDDSPIIPVMLYNPAKMP AFSHEMLKRKISVVVVGYPATPLVSSRARFCISAAHTKDDLDRMLAACDEVGDLLQLK FSSGVAGGADPEELTTQEKDSLSIVKPPRWRLADVIKRGAEDVQLPLK CC84DRAFT_1207720 MGRGACSPIILPSIDDGISSPRFRFLRDKFRAAPNTVLFNSPRA YTDIHGTRANIIRGNFYKAWKCDEHDLHTVNAMEPALHAKRRKLLNLAFTEQSLKATS PIIARHIDRWIFLLSSTVGSNRNVHDAKSGDTEAWSQPVDMAERVEYLVFDILGELCF GESFNTKEPGANMLKEVTGQILNQVAFGYKLSKSPFYNLFLFFQPRGLNRLLARLRKR EVVAYNEFVENSVTKRIAAHKADPSGSQKDMFHFLLTVIDPDTDRPAFSDRGHILSET RLLALTGTDTTATTLCGAFFYLAQYPNVLAKLPCEIRTTFQSSEKIELGAKLSNCKYL RACIDESLRIAHPAPSELPQEVLPGGAVIDGEHYPAGTLVGCAAWAMGRDENVYGDDV DVFRPERWIPSDDANASKTDAEVLKLRRTFHPFSVGPMNCAGQNLAVLELQMVLAKTV WALDFNLSSSDDTDGDALDQPCPPVYHVKDIYLSMKTGPFLRFKPRLG CC84DRAFT_937736 MALNGFCRLVGICSCCSLSTPQSLMLTCASTSNGSTVGVRQRFY VPRDQLAAAKSFKLQFFMSIKYTAARL CC84DRAFT_1178478 MLMVTLNLKQQNQFEIESTAFMRSTADVDMITPSLQNFPRGQIP SRLVTVKCIYHQAFGKDGETGKEMNKRICGKLPVIPPRGAYLNIRPIILYLDAPHFQY FDPNAHSYLRALRCLETYIQMNGPFDRVLGFSQGAGLALTYLIRHKHLYPDVPLPFKL AVLFSRVGVYDLGQWIRNGTSVPLTSMPRNLNKIDLSVAMVWGENDWDAAKFEATTTT ALDLKEAS CC84DRAFT_1097224 MASPTASVATPTHGSAPRRPPRKSTLTQQQKNQKRQRATQDQLV TLEVEFNKNPTPTAATRERIAQEINMTERSVQIWFQNRRAKIKNIAKKSIEDGGDCND IPESMRRYLALQAMESGKSIGTSFLGRAGGSMAPYGSGMLLNTDTSSSKVVIHHFACR SLSIGSWRRVGQSAMDLVIFYSPEKCCVTYYINNDSAGYKIEYPFSYIKNITLENGDM NGNAEGASQRPGGLIVELNRPPNFFMDSSGSGGFFQCGDFTEEQQASTMMVHHLGGHP KVLSGQLAKLVSLESFQNRHNIYDHNALAVSAPVSPINHRPASQPNHMQHPHLGHGFH DSPFAPGGFQARGHKRQRSRSVPVAVDFSLLRNPMPSFLIQPEPSPYTPNPEIFAPVP QSASGPIGPNLSIDTSAGYGMEYRQYPMSATTANSPSEFGTPAFFTSGPRTENVPAST FGQQYNIPPYLHTPMGPPPHSAASTSPMPTMSHQDPIIANQSPPLSSFGRSSSADVYP MSHENGMSEEALQMTDMYAKQTLNLPFRSPLMEEHGDDFDMQNLVSFGTIDPASLSPE NHHM CC84DRAFT_1178480 MAALWCTARPTAHRAHRRSRSLALTLATHTAVKGPRKARTRPPA SAGGPVPSTASPLSSDCGLTQRNGCGYTASYRYRENCARRAPVADRSHEARRENRALT PVPFHHAQCITVTPRSDKVTAWKNSREHLPFLRQSGSGTTADWCPGHRPPVVVDALPF PVHVPGITLHTARLTRQHRNPGPSRSWFLAQFPRDHRPWLLARRPSIAHQPWTPPALN AVAAFEKRPTPAENKVWNSLRQHGAA CC84DRAFT_1219846 MLDFMEHVQLAFYDASHWNLDNSYGTLNATARALLDFQTPRGLR LQISSLAAPNFATSYTLGNVGVVDGSVSYLYSSLPLERKLKSSELDLHNVIRGYRQLR ELRRPDEDWWWEIWHNGKRVDRRDTLLYGRIFLPQSRLEALYVRRLTPTRQLRVNAVS DSNLNNGGTILTLLQNDHGKYSTEYMYSTDSALIGLRGLYNFGPDPRNAPTAPTTSQQ VAEHMHGRFSAGAELYYGLLNKSGGISTGLRFATLPNHHGFPYTMTLTLNPLMGNLSS TYAVKAGPNLALCTRFDFNFYSYESDLQLGCELWRRRSNTDVEWALKKLRPDWKRPTV APDDDVSGILKARVDQHWRVGVLWEGRIKDLLFTLGASLDLKKREQIFRAVGIELQYS S CC84DRAFT_1124941 MPKSKRQKVVHLSKTDKKGKELSQKLFANVQEAADNFQFIFVFA VENMRNSYLKEVRAEFSDSRFFFGKTKVMAKALGQTPAEEHLTNLSKLAPYLVGNVGL FFTNREPAEVLEYFSAYSQTDFARAGVTANQTFTVPAGVVYSTGGQQAAEDDVALPHS QETTIRKWGMPTKLDKGKVVLDAPYTVCKEGQVLNSHQTALLKMFGVAMAEFKIDMKA YYSTAAEDVTEVNAMEE CC84DRAFT_1178482 MPHGALQWLEKGEVDGRDRDSVINHPILTLVLLRVTINITLRDF TTFSLCCPGPNSKGQPFPSLPMSLRHQRCSRLASPSHGPPMALDPVLLSMCVRIRLFQ CHRNCNMVPPDRRRDTVSLIKNCVLTIVRAPTRPSPQYTTQAQEEALSQDLSNHQVVH SRADHA CC84DRAFT_1250895 MKPSTISSILTLAVTTFATAIPAPAAHSDITLRDTPDITYTIPS TELSALSTQLIGVSGTLQLNFVNGNTIKSWYSVTDTKGDSHSVYMTTVVYDGKDDVLR CNNKLGHDHTQVCGWREYHRNKRISYIAPKWCVNIQGGPDKCVTGPKFQNPRL CC84DRAFT_1096621 MPTDPLKVLIVGAGFGGLTAAIECRKKGFSVILFEGNTSRRQLG DIISFGSNSGRIFRSWPGVEEKLDPICHHSDGLDFRTYDDEFLTRQIWDAEANWGKRF NGHRGEIHEVVWNHAIDVGVDIRLGCKVQEYFETETEAGVVVNGERIVGDVVLAGDGV RSLARTIVLGFEDKPKSSGYAVYRAWMPTDGLKKNPSTAWLANPEKDLHVGWLGPDVH FLVATLQKGTACSWVLTHKDEADVEESWSAPGYVDEAIKAVSNWAPVVHDIIRATPDG ALVDWKLVFRDPLPTWISPKRRIALIGDSAHPFLPTSIQGASQSMEDGVTAAVCLELA GKQNVPEAIRAYEKIRYPRVLATQRTGVTNRDAWHKADFDYVRKNPESVKLPRLDWVL NFDCEKHAYDVYQDTVESLRADPGWKPDTTL CC84DRAFT_1250899 MATESILLSPYLWLSLLVILPAATLLHDAWIWYRMPPGPTPLPF IGNKHQLPKSQPWLQFQKWSKQYGPIFTIWIGRKPTVIVSDPVVAAELMEKRSTKYSS RPRMVAMGEILWDGASILVQPYGKEWSIRRKLLHQAMTPKALRLYKPTQTAEASRLCY QLLETPDSWEKLLERFTSSIVFAVAYGHRIDSLNAEVIRQRFKFMHYASSLNVPGKYQ VESFPFLKHLPDVLAPWKVEIKKHGQEEAAANMQLVDLVRSDIARANTRGDEIPESLC KLLLELREKENLLSDRDFSYIPASLFGAGSDTTASTLCSAFLGLVTHPETLRAAHEEL DSVIGLDRTPTFEDEIRLPYIRALAKEVLRWRPVAVLGGTPHASTEDDHYEGYYIPAG TTVLGNSWAINLNEEYYPNPHHFNPERFLDTALSEKAKNPTPQTGKAHPAKAGHSSFG WGRRICPGADLAANSLFIALAKILWAFDIAPLKGVRYDTFAYTEGFNIRPRKFECEIR IRSQAHENVLKRELADAERVLERFTPFGE CC84DRAFT_1261586 MTQNNNTKTPNPSNPSSLKPRYEIRQLEPKHSEWACAIVIHSNL FHSPVWPVLYPEGLNARTFEGLDNASYLVNHQIDSGHSFGVFDTEYQFKREESKATGG KLYWDRNEKSVQEENGMEAEGQRLLEQMDFPLVSVALSYDGADPLDLEKLGPLLAVLP HFGPLYHVLEVLDPREKESWAPKAHKEVLMRNATATRHDYEGEKIMAGMARWLMREAD ARGFRAIQIECVHDAVTHVWSDPPAPYKGGIVSEFHTGTFKDEEGQLLFAPAQQRITK CYVELKPKAQD CC84DRAFT_1166709 MAGDAHSPADDGPADHTDGSASQPPAKKRRTGTSSRGVANLTPE QLARKRANDREAQRAIRERTKNQIDTLHRRIQDLESQQPYHDLQLVLREKDQVAAELH EVRKRLESIVAIASPALRGPNGLSELAAAATRSPLPAPPPHPRALHAKLGDIAAASPQ NGAQSPGAAEGARHWGYAGEHPPNHDRHWDGAQYHHERGSHGTPEMPFDQMPFDNDKR MGVNFLLENNGQSQNLDPSLPPPPPVTITTTSLGSGLGHHAPILVPHLTLPRNVPTTC PLDAILLDFLTDRQGRAAQGDHIKTIVGPMYPNFTPIVHPERNVDAHPLSKLFTDILR TFPDICGLPEQVAIVYIMFLVMRWQIEPSAENYDRLPDWVTPRASQLFVPHPVWNDHL PWPKLRDRLINSPTPVPFDAFFIPFTTTVSLNWPYEPRDCLLPASKLHPSSLSATSSI PASSPYSTAVNAGSPAGPHTPHTISTPGVPKEDEDWLINPAFESHLRNLNNWSLGPAF RSVFPAFGDAVRIKEGR CC84DRAFT_1124950 MADQAKTISFGDRVGIASTTLKAMTAASARLWTSPFKGQHGAHN YFKDVVFQLMRTQLGNINIDQETYTRVPTTEAYLSFCKDHGIPPASVTLPSGTQAHWL GNKDAKRVLLWLHGGGYVLAGSAGHFSYLVDMKDTLNAQGSDTAVLVLAYGLAPENAY PTQLTQAVEVLRYLVKTTGRIPSDISIGGDSAGGNLCLGLISHIAHPHPEIPALPLPT KLHAALLLSPWCSFNTHTRAYQTNAEKDCFDGRALERWSSAFLGSDSPFAGDFYNEPV TAPASWWEATASVVGEVLVWAGGNEILLDGIEEWARRFTKGYGKQGGRVNVVVTPKAA HMEPILELLLGYKGDSGTGSAKVVKDWARAKL CC84DRAFT_1166711 MATATPYGRMTPINPYNTRSTSEREPLLPHDTKHADSASSPIPP PPPPYPTADHHPQAPPTCPGHRLPPPSSTAPRYRPESRRHLRTLLLTLIAFLTVFVVF YAVLVLLGLVVCLVVPSYVHVRPLGQNCLKGMWVPFWWW CC84DRAFT_938038 MPFYNMAKKVPTVEPVSVTDEQSPKASIEPKRPGTSGTELLLTT NRRTASQQYAAAQRAENAYKAKKRSASARQHRSEAASHFKLSAHHMKEGFKSCWSMVT AVPWVFKAWKEDRQAMKEKKAVERYMEKKKKLEERIAKQEVAQEKEKEPEA CC84DRAFT_1250902 MENPGTRKCVSCYLSKAAGNYLRSICNTCFESGMTCDYDFQRHI PQNVYEGNLNPTDYSSAWAWREAKSDEKNRASRALLDEGEDNEDDEDDDGDVGITEIT QSMQSTSLSASGGPYRRRRIVPHIGESMANPKRRVIYSNRKEMDAF CC84DRAFT_1151105 MSAEVASPSAADITSSAPSRRKSGRVPTQRQLYSPTGSAKRKRG DGNDSDVDSNTPSSEEEDSESISDEEELKERRKQSRKKKSATSARKPPQKKPKTNGEI TGLAIRPATGAKKKAARPRKAALRKSALVEQDADGLYAEIFARGTRLNDVAAQWISRF HEHEAKAVAEIVNLVIRAAGCHVQIDEEDIADPDNCPHRLGDLQDEYNAEQVTDYPLI SKARGAAAFRNALHGFFVALTQTIAQTGLMYEENQLMENIQVWICTMTSATNRPFRHT STVAALGVTSGLTRIASDIAESTAKMMRQKETESKKARANKSRLATIDQEVEELNQKL EHVKALITDWFDTVYVHRYRDVDPKIRVECCEALADWIMTYPDKFFDGQHLRYLGWIL SDTNEGTRAEVLKQLANLYHDKDKLAGLKTFTERFRARIVEIATRDAHTNIRASAVEL LDILREAGFLEPDDIDTVGKLIFDSEPKVRKAVVGFFAENVNAAYEVQIDDMGGQEAL DEALAEPDDDEYKNPRLEWLKMKCLVEQLLSYDEDTGLPSQIERVTPYGAELGLVAAG IESRFSLAAQALYDAIPEVRSWEVLAGYLLYDHSQTSTDVAGDDAESLLRRNCKLEEH EETALLDILNASVRIRLQRLAETQKDKKRTKAQRNQDQIEQEDTAKRLTTLIPELLKK FGALPEAASLCLRLERELNLDVFQELRQNTALTALLDDINKQFLTHNSERVLGEAITS ILHALGNDELRELVDSKTQSLWDDLSGTFDALRRGRDLSARGSVEQNILAGISNIVLK MSELAKASEPGVLDQVPAPTKARTKNKKVAQLDTPPISALLEILERGVPVDGVDAEVD EAENALVRHTMLLMLPYFLWKCRNFSTRIEAGTRIPDDELTMVAERRDVCITALMRII ESRKGADELRVEAADLLLDLYNLFRALKAIQAKASKSAKKPNGRASNENDDWEALCQE IDGKTVRLLLQILTGLENNLAKRLRKRLEGPDVDDDPMDDDEDPESSDDEGDDEQIQN QKQIRALLAEERLCTFGSRVVHSVQVGSLDADNEKTVRTRLERNKAKLTPSWKEVVGH LDISKQVKGKGGKKAPAAKELAKAAKSKTIVVEDDSEDEEVQDDPIEDDEMPDADAEA GAEAEGRINGDAESANGDVERESVLGD CC84DRAFT_1166713 MRQLNSISIRLRIDRLPSKGVVLSAPYIWAGTGATCLASSVSGG CNTRKSRLGHLCLQ CC84DRAFT_1151107 MPTPLYILVTGATGFIGAHVVDELLRRGHRVRAATRSLPKGELM KISRPQYAAQLDIVQVPDFATLASFTDAVQGVDGIIHVASPFTYATTDNEKELVKPAI AGVRAVLEAAAQEKTIKRVVITSSFAAVLDVGRRPPPRFTYTAEDWNPLTYEEAVAKE TSAVVAYRGSKKFAELAAWEFVRERKPGFDVVTLCPPMTFGPIAHPVERLADLNESNA MLWAVASGREMPVARVPFWVDVRDLAVAHVEALCRLEAGEKRFVVASGEKFSYGLVKT VVEEEFEWGRGRVAKGEQEVDDSYDLDGETAGRVLRVRYRRFRDTVRDFVEQAVKMEE REKS CC84DRAFT_1261591 MSSAAATQADKKRKHSGRTIKELFTSQHKPNVSGSAPLSPSSKR PKLGSSPVAVSTTPEKAMSTANMYHFPSKRGEPEVIDLGSSPDNSPARMTGIRKATPN LSANNGPKKLLVKNFRPTRSVDPKAFLDQTWQKVDAALDTIFQQGKINFSLEELYRGV ENICRQGMAVEAKKRLVLKCKTHVNGPLKQRVEEKLERQNIDVLRATQQAWADWNEQL KYVDWIFCYLDRSFLLPKQESLRDITVKLFRTNIFEHPKLNSRIVDGACDLIAIDRAG EDLDRKTFSQAVDMFHDMQVYTHYFEPRMLEFSQTFVKEWADRESLELSLPAYVKSAL SLMKREIARVEIFRLDGSTKRDLLTLLEDHIISRKEARLTNQDDFADLLEDNAVEDLQ RLYTLLERRKLGVNLRSAFMKWIEDTGTAIVFDEKDQDGMVVKLLTMKRQLDAIWKSS FHRSSALGQGLRESFEAFMNKTKKSSGTWNTDNSKPGEMIAKYVDMLLRGGAKAIPAQ LSRKTEKAVDVDGEEDNEDVVFDEDTEVNNQLDQVLDLFRFVHGKAVFEAFYKKDLAR RLLMGRSASADAERSMLSRLKTECGAGFTANLEQMFKDIELSREEMSSYKMISEERNE KHAIDLNVNVLSASSWPTYPTVPVIIPRQIKSEIDKFETHYKAKHSGRKLDWKHALAH CQVKAKFPKGNKELVVSSFQAIVLLLFNGLPVDEHLNYEYLKEATGLPPPELNRTLQS LACAKLRPLTKHPKGREINETDTFTLNANFTDPKYRIKINTVQLKETAAENKETHERV AADRNYETQAAIVRILKARKRITHSELVAETIRVTRNRGTLDVSGIKKNIDRLIEKEF LEREDDGMYAYIA CC84DRAFT_1207729 MAQPSRFLPIRDVLQCQDDSCFIGAISNIQAPNQVNKAGGDYIL NFTIKDKFPGDDKDSVACILKRGNIEHLPSGAPGDVAILRGMKVEILGTPAKKTLVNC SERRSEALFFPAKSIPEPQYGQAYYVGGTSNLLCTCMLGSKPASPADQTAVINMKAAA APLLQELQNPGAMFLASIGSNRANFKKTKRQHPLSSWTFGVFYDLIGEVVKTFWGTSD SVDLYVTDYTTNTELFLYEETTDCDVFGGGSSRKPWPGPFGQMTIAIRTYEPHAGKAR ELKEGDFVYLQNVRTKLSAMNKLEGAMHADPQYPEKICVRKLTHPAQLSALKERKEEY ERKCATNRIAQDEPTNVPKKPSAIASHQKKRARKEKMRLHREQVQTGPQEKADAAETV VKGLNQNICTGDKDVGISTVDEIVNNPWLKTTVPETEGELLLPFVNSRYRTHLRVVDH YPFKLENFTRLTNAPANSQPSISISSDGYSQFSEHGLPPVRFAWHFFLLVEDADAPAG TTPIRFPLTIDTPRAQCLLKMEPVDLIAHPSKLCELEQKLFLLWGNLHERKLALKEKG INLPLPVGDETLALSNMPFECCVEEYGVPVNDKGLPYTDDEIKTLIKELSDPESEEGK RAVDILGNPETWKRAWSPHNTIIKGP CC84DRAFT_1096963 MADKYIPEHRRTTFKAKSAFKPDELRRRREEQQVEIRKAKREEN LAKRRGIAGRDQPGASLGAAPDSDDEGTNIEGQLNEELPQMVKGVFSEQIDEQIQATT KFRKLLSKERNPPIERVIETGVVSRFVEFLRSPHTLVQFEAAWALTNIASGSAQQTQV VIEAGAVPIFVELLSSHEPDVREQAVWALGNIAGDSPPCRDFVLQAGALRPLINLLGD SRKLSMLRNATWTLSNFCRGKTPQPDWQTIAPALPVLAKLVYSLDDEVLIDACWAISY LSDGANDKIQAVIEAGIPRRLVELLMHASTSVQTPALRSVGNIVTGDDVQTQVIINCG ALPALLSLLSSTKDGIRKEACWTISNITAGNSTQIQAVIDANIIKPLIHLLQNGDFKT RKEACWAISNATSGGLQRPAQIRFLVEMGCIKPLCDLLACPDNKIIQVALDGLENILK VGEMDKESGNEAGEPINRYALFIEEVGGMEKIHDCQNNANEEIYMKAYNIIEKYFSDE DGDAENMEGAGPQVTQDGHFGFGQPQAQQQQNFNFANGGDMEM CC84DRAFT_1151116 MCRFMVYKGKDEILLSELILNPTHSILTQSFDSRLRLDTRRPHN GDGFGIGYYTSPSLGPEPCVFTSTIPAWNCINLSRIASKTTSSLIFAHVRATTEGNLS DQNCHPFSYKRLMFMHNGGIGSFKRIKMKLAMSLNERWFTLVQGSTDSEWAFALFLDC LDKAGHDPDTDAGFGHSVLRKGILRTIERINALIKEVVDRDLVGEEDSRSLLNFAVTD GESVVCSRYVSSRTDEAASLFWSSGTSWKESSASTKPSAEPGQKDYVMERRDKGSDIV LVASEPLTFERDNWVTVPTNSTVTIHKQTVMIHPIIDDFYSPNPAHTRSAGFAQAKGQ TITGPDKVVLQERVNGEGSGNGSPDPRSKEVIREAISRLSVG CC84DRAFT_1219861 MPLDQIDSCAVTAASELQEVIGSFPHAQDQNLDYFDIVLGKDIV GINRFDFLDFNAVKSILDLHTRVPCDAFKPYCLAILKVGPDIKRPEYDSLERAESRRR LLERMAEYAFKKVLKKEEVTALSKKCEVAEQLEPPPKAKIVLRDGKFVKMFEQAEEA CC84DRAFT_1061420 ILDPASITQDFDELRFEAAASRQLEVLSPTAPRSSQLISSPYNN CGHYLDLTTLDTPNLIFAKALTALKPLRSDYATAPYLTSLSFPAVLSLVRSLSHEAGY TWKKHAFYVVIFRSQLKADIDNDLLYKLDYESHREACESGGLLKYWFGKTNGERRNLA TCFWRSREDAHLGGLGPWHKKARAAGRTMYESIRFSTHRFTITDGAEEIEFEDWEE CC84DRAFT_1124969 MDVQLYVYDLSKGLARSMSRQFLGIQIDAVYHTAIVLGGIEYYF GQGVQTCRAGATHHGNPMEVIKLGRTELPIEVILEYLESLRTVYTPESYDLFAHNCNN FSNDFAMFLVGKGIPEHITNLPETVLNTPFGQMLRPQIDAAMRPVTQAPTPQNGAVAP SAINNAAQNGTPKPAPALTKAAVELKEATGRVNSVTTIQAVDSLLDLAKDRSAIIFFT SSTCGPCKIMYQPYDDLAAEAGDKCVFLKIDFSFADRSIGARFPHVRATPTFITFVRG QKRDEWSGADPRQLRSNVELLLNEAFPVHPHLAKSLPTLLSQSQRPILYTKVPPLEKV VAKMGNAGKDEAVSSILSFIDARERFGAMEAPLTKLPKFADFLRRSTSILPPELLFTS LDLLRVALTDVRVAGFFAEEHVGATGTPATVAHLLQHVNSLGDSAPYPLRLTTLHLSC NLFNSPLFVPHILSPPLSSTLISILTTALLDEKHPALKVSALSLAMNIASSNHKIRMK KHSSNPSASLPSESELDDSEQVELLASLLEILGSDDEFSEVKKMTVISTGWLAYCADM DGEVRDLWRAMDAAGTVAKLQAKVLQDRMLVKEVKSLLEV CC84DRAFT_938129 MVCGRIIDVWLTDLRMLHRSPLTRRGRLYVILGASTGWRRSSIR APQATPTSWSTIDKPNTSQILDLLHLKVPFEPFQTQKCAPSLSSPSASRPSRPQLPSP RPTRRSTSSSASLRQISLATPQRQTRRPCSAPAARTAPCLRVALPSCVPRSPLPAASL LDTRRWTARAKCLLYSRRLTAARALMMCQ CC84DRAFT_1166720 MDFEGPRPSLSEEENSDAKSEAAQESHAASPVSYEPKIRCRLTR DQITSESSNSVRFEFLAEGAANTVFRVVPYSLQDGKESFVFEDDQGNILAKEKLAKNV LRMSKGKPKTLKYKEIMEGFETVVKPLFRKTEPSEEGASSRSTAIKLSINESFEEFIM DHEGVAITPEAIKALLAEMHTHCPDNRHIESHHLEERGILLPDMSSVLGSVTTIEIKP KWLLQSPNAPRDAYLCRTCALQASRQSKKAIKKTWICPLALVAGNKAAIEPYIRHRSL STMAEKPGHHVLHRPSRDEEALNQHLEDEVEAVVQRAVPYLTTGPGHHILQHIRYLQG QLDPAGVLSCPLSSTEEDRLRLAMTLRDCSLFIRIPWADPNAPIEAKLGDLDFKSRGK KQDWSMKEEGLLHGGWYVDLESRMDECWIAQGWKKYAPHYF CC84DRAFT_1151128 MNFDDEDDNGAGSQDSGSENDNDEVMEDVDDGDADGDADGDGDG DDGDDDGDDGDGDDDDANGDNDDDDQDDPDSPSQRQRNGSSAMPGPLRSDTNPTVTLT SPSPRAADSGGGLPFRPSIRQEALNAPVYDIIPTIAAPHSTSINAITATPDMRWVFSG GADGYIRKFNWIDTANGKLALTVAQRHPFVDSVTKAGVLLSYWENEDSDRNEDATTLS PVYSLAVHHQSLWLLSGLESGGINLQSVRHEEGKRIHTLRQHTSAVSVLTLAQDETSV LSGSWDKTINDWDLNTGQVKRRFETSGGHQISAIEQRPMSTLPIPQDTDIVPVSNGTF SSNNASRPQANGLSNGLGPSSRPSATETNGNEDAAGSPEDSLFGDKDSLFGDDKDPSN GPSALAPFGDDEEDEFSRAIANGIQQADEAANAGMDMMDLGGPVQAPAREQSPETLKE PAGPAEADIMVNGVTDGEPSTLVNGLPHSEEISGLVGTSAMQAEQPASSETTFLDAGI DGTIRIWDRRQSNPIARIAPSRNTPPWCMNACWSPDGNFIYAGRRNGTVDEYSLHKSL REPRRTFKFPGVSGAVSAVRTMPNGRHLVCASYDILRLYDLHEQENKNLAVPFLIVPG HRTGVISQLYLDPTCQFMISTGGNRGWEGSSTEVLLGYEIGIPS CC84DRAFT_1207736 MPKKRGWGRAGQRPCSANIYGALAAGGDEYERLCSPQDDYPDPD VAPLARPSRINGDIWKYYQNASQYTPVNAGSWVNKPEIPTPSEILPGSCSPGFTVGEQ IINLNEELRPNKVEGNYESKEEYLGTQYDLLREDAIRPLRQAVEEVRKDPWRDESDYP PSSGIGIYEPVYLKAVQFSFRGLSAKVAFSLSRVKKLIRWSQSKRLITGTLVALSPAD DAFQDPSKCLLAIVGARPLTAVESNNPPEIDLFFVEPEQYDWDPARKWIMVESRSSFF EASRHTLLALQHMMREPFPLSEHLVNAQKEVEPPEYVRLSPHTDLSPLVSLDEADRFQ DVNILQEWPSGDNLTLDSSQSKALNRIMTKKLAIVQGPPGTGKTYVSVVALQTMLQNM RGEDPPIIVTCQTNHALDQLLRHIAEFEPNFIRLGGQTKDQDKIKKRTLYKVRSTFVQ PKIPGSRKNRATSALRQLAAKMQLLLVPFEKAPDKGPLDHRLLVKLGLLTEKQATSLE VDTESVMGIPQTTPSIQMEQWLGRCLERCDHPYQPDDFGMPYEEEDFEAEQIKEIEAE AVARDDDEDIEALRGPISAICDNFRGLKGGSLRTIADVQKRLDKTDDLTTVPLPDRGA IYNYLLRKAKQIVLREFRGLAKQYSEQVHLKKIGQWEEDQGILLNQRVIGMTTTALSK YRALISSLRPRVVLIEEAAETLEAPVTAACFPTLEHLVLVGDHRQLRPHCNVHDLEAY NMNVSLFERLVSNNIEHDTLRRQRRMIPEIRRLLQPIYGDKLKDFPSVLSENNRPAVE GMGGVNSFFFTHDWPESRDNNHSAKNEHEAAMIVEFFDYLVLNRIDPEKITVLTFYNG QRKLLLSKLRRHQNLCGQSLKVVTVDSYQGEENDIVLLSLVRSPKDGNIGFLSVDNRV CVALSRARRGFYLFGNAEKLVCGSETWEAVVKILWAHGTKKDIPTSGPPRRIGYHLPL TCQRHGNKIFIEQPDDWEHLSGGCGESCRCLLPCGHRCMLTCHPFDSTRIVCRQQCKK PCTTCGQACSQGCPDPCKCTYCDRRMGGRKALIKPLQKTVQQALPSPPFHTHSTALPA IPVVPTAVSYSGPALTVATPNMVVDTVSDSGSTPKQWQAYANGGVKADDAQAREKGRK ETAKFKELISNGSTASSPPPLGKLIQISPKKVSGTSANTSLLIDLFDNDAPAPASASA PASSTPCKAGDRRVSYRERFSYVGDITSPIDRKENEKSGEKAGEQRAAAPVFNLLD CC84DRAFT_1166724 MAFNFNWSPLIADTSRARDMLTTALNKGPKPPIIVDDITVTELN LGSTPPELEILEIGDLAEDRFRGIFKMTYAGDAFLTLKTKVQANPLNTYLSTKPDFAS PEPLAASAGLTIPLQITLSDIRLSGFVILVFSKQKGVTLVFRNDPLESLKVSSTFDSI PFIKNYLQKEIEGQLRVLFMEDLPAIIHRLSLRLWSPEFQELDAETEERLDSSKSTIA PIDPLSGPPQDAVDEFGNPIDASQMATLALDSSSEIHASFSQKNMLRLASLSQSQHTL SLFTPGIREAVFRAWASNPDRPESGSATPALTQSSLSRIQSTFASVKSNASSVASGST GQDTNASRPTLSSAYSSAAGLSLGANRSRGHQMRKRKKRVVDLRKNRDAADSSGLSTE PTTPLTSTTASETASVIPEEPHRVDNNEPALSTPPRTPINPLHSSALEPGSPTPRFRE TEKPAPSDALLPPAPLLSDPPKPKAKLANATVHDFVQTEQSQRPKPAGRSSSSRPPLS HTRLRSTQQASSPLLRSLSFDKMPSYQSQKNSGALSPPYAESTTSSAGGILEQAWMLK MANEIARKVREEKGRCGGSRSPSPPERQRGSLKRPQGLWDGAEECMDAPPAYVA CC84DRAFT_1166725 MTFSDDVMNLQTPPACIADFCIIPLGTPTASVSKEVAEVQRLLK KSGLEYSMHSAGTTVEGSWDEVMKVIGQCHALLHQNGVVRIQSDIRVGSRTDKKQTHK DKVAAVEKLLKDDAA CC84DRAFT_1151139 MKFLAPLLATLGLASAAAVNSKVSYDGWKVYRVTVGADSSKLSS VMNKLQLSTWKGKVGHSSVVDIPVPPLQTLEFESGIQGYNSLVMHEDLGASIAQEEIF ETYASDFSAKAAAAAPNATWFNAYHTIAEHTQWLKDLAAAYPNNAEIISAGKSLQGRD IQGIHIWGSGGKGSQKGVVWHGTVHAREWITTMTVEYAAYQLLTSTNADDASYKNKYD FYIFPIVNPDGFAYTQTTDRMWRKNRKTITTSSCVGTDINRNWPNHWSNAAGASTNPC AEDYKGQAEGDTVENKAIKAQLDSIASSAQGAILYMDIHSYSQLWMYPYGYTCSGTVP NSASYASLAKGAVAALKAVHGTTFTTGPICSTIYQVSGSSVDYALENAGNNKGVKYSM TVELRDTGANGFVLPAAQIKPSGEETWAGLAYLLKNMT CC84DRAFT_1261604 MESISSPHAPSEAAPDAAQGDEPPKTKRAHQACLNCRKRKSRCL LDNDGSVPCLRCKRDNLDCVLGGSNRGGRRVRRKTVAEGTLPSLANYHQEESSFPLGN VGTTPWMRTDPTPQLPEGENQLQRSETSTSDEMTFPNLQNPSDALGILARVAESSSEE TAPNSNALPTAQPGTIPPVRTQLSATYGYVLLDEGIITISTVNQLLARYQENYHPYYP LARVDTFDTTRLAYTVKKEPHLLTAILVIASKDLVEEPYIYEACSGHMKSLISTVAAG GDAGVEAVEALLILAEWAPYTQRSSGKVGKGEEDKESWMHVGLALRIAYFLAVDKYSF RFVDQDKDPEHDRKRLLWTSAYVSDRHISIRIGKAFWSRGPGPLTTLRREDYPSLIPS NTNQEDYASIFQANLELTQLFSNVHDTLYSNPGSSFRTHMSGSYIKFIDDFRAAIYGW KSVWGTLTCSPPLKACLLMSYDYLRLYINAFAFQATILRALPTANGSADAQGSGSHSD HRGSKMHAPQRVFVNNVGAVGDARFIYEGLDAAKAILTTANNFVDPEKSLRYMPLRYY LYLVYAGVFLYRARCTGVISNDEDRAIRAMINETIARLQRSSVGANIGIQHPGSRYSQ LLRLLWAKAPRKDKSSSTTFRHPGTHVASHSLHGASGGYTPLTGQSGVSAHSPSHSGS VGTAESPAMTEQMGDFGWTDLGAVGDFAINGSGATGPVDEAALWSGFLPLDMGWSSVM GGSGLGWDGMGFDGAGLGMTF CC84DRAFT_1219872 MYLGALTTAFVPAPGCIDTIYGEIFSVGTVRDKWHSLGQSDKRS CYPSAFTTPGKYYSPGICPSAWSSAHTSYVFRHKGNANGGMHSGYICASSQTSSSDTF SCSRLYTDPPKITVPQEINGKTTYMETTLAADGGLFVVYANQIEVRFQKGTSRLRHR CC84DRAFT_1207741 MPQATVHLISLQPTTNASNFISELNLVSFDSPPYLIKGRPCGWV QRPRTLNKDNLTAHEWDIFLVTKSPTLPAGMVLSLADRVSATIEMPQAQYTALLSGAG QDAQAAGDTPPLPDNWQPDEVQAGRRGSKFYGLIPETEVLGALDREERPGELRLDHPM AWFLSTAEPAGIRGAPVLFFNLFKYRGGDRSTHDEYMQGFKEKSGKAAGAQVRFMGEV GDLNFAVQGASEGGRGWDEANLTQYDSVWHYAYMLSTDVYKELNKEKILPKSRVFWSV STRAFPTLVLAPAPTDIDGL CC84DRAFT_1124993 MAHLTREEVLASGIVASDYQKAFETRPVDIKGNDFFEVRASRAK HLQKLRYLYPIPGPIPDEVKESMHDASARDGYSIRVRVYQPAKGPPEGGSPLVMMYHE GGWSMGDLTDEDLNCRMFVRDLGCVCVNVEYRLAPEHQFPVGVNDCWDALKWALDNAA SLKATPTQGIIVGGASAGGNLAAILALMSRDTLLSPPITGQYLCVPALLPEYNVPEAL TPFYRSRYDSRSDPVLKDLQPGMIEAIYKPEEKSPLWDPFNHPDGHKSVAKAYFQVGG LDPLRDEAVLYDRKLREAGVLTRFDLYAGYGHMFWTNYPEMERSKGFVEDTLKGVRWL LEK CC84DRAFT_1151148 MSTGHLKAPLQGKIALVTGATGGIGTAVCRRLAALGCSIGIHYN TDQDAALALLEEFKEDYMHAFGSKFVCYGADLGNYEEVRELHEHIVALLGPPNILINN HGATVQTGTKSIDEVSISAFEDAWRINCGSSYLLTQLCMPALEGEGWGRVIFVSSVAG ITGGIIGPHYASAKSALHGLIHWLANTYAKKGITVNGVAPALIEQTKMLPGSNEELSK SGANDIVVVPVGRLGMPDEVAETVLWMINTSYVTNKVIAVDGGMVPQH CC84DRAFT_1166727 MSGLFATQYVQGVYIPSALLIVGTAIVKKEWLPFAAALAVILGG WKVFSNAPRKVLRPNEFQEFPLKEKTILSHNTAIYRLALPRPTDILGLPIGQHISLAA TIDGKEVVRSYTPISSDEDHGYIDLLIKSYPTGNISKHVASMAVGQTIKVRGPKGAMV YTPNLVRHFGMIAGGTGITPMLQIIKAILRGRAAGDNTEVDLIFANVNPEDILLKEDI DAIAAKDSKFRVHYVLNNPPEKWNGGVGFVTADMIKTKLPAPASDVKILMCGPPPMIS ALKKATEGLGYQKARPVSKLEDQVFCF CC84DRAFT_1250933 MNQAPGISTGNYHALIFELATYSLEDFLEDEKCYQRFVDKSLVL TRLADIEEALYCLHVNPRFLHLDIKPGNILGFVEPEPVPSSSNRENGRELIWKLSDFS LAREKDNTRERTGRRVFSSLSSSHPSKLPGRDLPKQIHKYDCEYLENFSPSTGTIPAT NSKLEAAVHPDLIKWPNALYESRIHDSKQPLVKEILEIIFRRVLLVTRKERITTVDLH KLLKLIPKRWEAELDIHSGEDNQQQATLQRQLPPKAKRMTITARIRLHVHCTIPLYST SSPHSHPIPFRSLRKKVYHLANLQLSALCDALKHDRAHDVQKELNKDPELVGKACTNP NCQMRPIHVALRNLSPGKANAFDVVLKHADPGVTSARCFHSGNHTALERTGRHLISAK TSTIVVRRMWDVNPGSCSSSYTRNPIGGLNKRIHSPDDRIH CC84DRAFT_1250938 MRFFLTILTAASSAFAAAVLQDRSSNYVGYLISTFTDANPKVQF YLSNGNSPSSFRFLNKGQPVLTSTVGTKAVRDLFLATNSARNIWYMLGTDLDVYATGF SWEKVQTHGSKALVIWSSTDLVKWSSSTLATVEDNTAGMAWAPSAVWDDSTSQFYVFW SARTYAASDPGHTGSPSLDKIRYATTKDFKTFSAPADYYTIAGTPLIDQEFQYLGTAG HWARFLKNNSGSHVLEETTTGGLFGKWTRKPGYVTNDRPREGPVSFPDLQTAGLYHLW LDDTTTGYLPYQTSNIDGGAYTKSSYTSFPAGLKHGSVTPLTQSEYDAVLAQFPPV CC84DRAFT_1219879 MATNWQPPFGLPFPPFPPPQQQSQAQPDAQDAPSDAYQPPPATF VPPPAWNAANIPGLNFQAHNQNAQQPQFASAWPAHFPTPEQLAQWNQMQVDMQQLPFI MMQNPPPIPGLRLASNAPSQPPFLGATPAHPFPALPPHLVPAPTNADHVPQAVQNDRI TDVTESDKEEGEVSEGSRSPAVNGRTYTEPPRSVPLPSTRSSNKQDVYNPDQPSAGHT SASALQHTTRPAKSTADTITQQQSDAKAFIKLLHNNNIGYHALAAEALDASCLRELYR SLNLPSEPEPVPSAPKVNGNAPSSLSNGDSAPARPSPPTVGSKPVTAVNTSVPPTKSV PSPANRKEYLAQLQAAKKARQQGGAKLTPPQNTPPPTAAASKGTTENGQLTEAEKKAK TTELIRKRIEALKKNSSSPAGAAPSKPSVTGSTTGASASPLPKAAKSLPPTPTSYATQ AIPPSPFGNIPGLFMNPSQVVQPSQPPVTPGFAKRKLSFPATDGTTLHADMTNQATEV DGSQDATTTLTQTTPVQTGSQGARTLPMPYGFPSRPASANPSASGMSTPGPQTPSGAT RSQEMDEKARKQAALKERLQKKIEEQKRQAEQKRLASVQDSPKSLPHQPSLLPKPSSD QEAPREPKRRRKADIEAEKSALDVDIAQNAARLAQITKELEMLTANDKKMRLDKERLQ AELESLGIDTEGMPHAELQAKKDEIEREQGATLETLTAATHLPSISPVTQPPAAVTAP ISLETGLSSRVATQYLSQSPEMSMSVPQTGIPGLNASFPLASSPSTVTDTRVTTSQRT PMPRTALASTIQSEQVTLAKHPAISSVYGTTSNGPSKPATPVDDEEDFYSPEPADIDL NADENEITTGPLALARSPSEEGEMAMSESDEEDYEPEEAQEPLAQALVSKAHTMKQNA SLVNAQVSSFGPPTPANEDEDPYEPPDVDQPMVDLAPSASTAELNSIAQQVDIEEEEM DMSTSSDSDSDSDTSSESSEEEPNGPAFAPSSKNPGSSVTVADDLAPELQTEVSAVAP VSQELAGPSVTKDLAVEEDITNLRSFVPYESPLRLFKSYRYHPRFAQDVSSGFLSTTF SHQIDQEKPLCEHEASGHDCTDADCPFQHFRDMNISGEKLLVQLGTANPGKTPEERQR WNDGLRGVLKDLRQKNTKDPNGIAMEIANYRRQFLQDDTRVVNL CC84DRAFT_1207747 MHLPTFLTSLLFLATSTSTTATPLAKRWECSSSAPGAFSCYPSN IDSCKNVAGWVSCAQTEGIDKCKDPAPSETVPVSQCQGDAFAKCGLRVVILMPPRKLC VIFAANRPVAMFPQSAAIHSRGFTWICSLSNVAAGGPSSIVSVLFHNDFIIPTGQLAH IQTRARIPVLLPFMCETVKGFYEENKSERDYVLNDGICKIWAYSATDFHRHIEWGGGS LYDWIVLMGFGVIEHAFRT CC84DRAFT_1219880 MKLLLLTPLIAVALATPAPAAAAAAASCDKGQVYCGWYLIDQLG WDSAKLRANLCSTLGKCDFNNGDAWNSLWLCTGSGSAVPSAVCGGEGSCKGPAAHCA CC84DRAFT_938387 MPAKRSLARTRQTKLNFSPASNASPRPAKPTFVVSSESDDEPSP SRPAKRRRLERQAERGMKQGVPVNQNKRGIFGSSDNEIIISSGSSSETSEGYGEAQAA PSPKKGTKTSRKAKKMAISDDEAEIRPKDKKRRTARIASKSTEGPSEQDTEGEATVVR RKDQPKRGKTSESSEEEEIVRPKRKGRVPAPQPSKSSESESDGEVTVVPRKNGRRRSK PSGASEDGNTSRSKKAKRREKQPAPAPADLSGDDEDEARTTPKKLTRRRKRAADESLE DEAPRTAQKGRRPTQRDSTPEDSDAEELDAGSDVEADELKEELAFLQSSPLGVSSTQN KAKSAREKALEAMKKRRAVHSDPPSSSAARKKPVVVDSDSDLEIIAEAPEEDSKEEQG SDESEDEEYGTTAHEVLYGDGDDEDESFINDDDAPIGVPAEHVLPLQFSSLSNAKPRD LFKYAIDWMVQKKINPAFNSEDEIYEMSFRKLDDEVNGLAGSKYHSSVWTAEFTKAIK ARPDIVINDIDPRTRAVMEPHCEACNRRSHPATFELFFTGQPYHKETLEPLAANASDS DSESGSDSSNASSTDSEEALNGEKRTYDAQGERIPPESKSFTLGSTCKANAQVAHTLY HWRYHLNSWVIDYLNRQGHCTSEELVRRDKLSQRKRQKIANKIVDEMDSNGEIKKLYR QYKEQVNFALEAENEYRKGWGRRR CC84DRAFT_1098246 MRVESVRREDLPEIFEITHRALEKDEFFGWLNPGREKYPGDLRR NQIIRLRSRLVGLGQYGYVAVTEEGDQDWSGKPEVAGFVFLHRSAGDEASKKWRADTL FKKVERKLLDWEIWYDTKFLNRAEDPKRLAEYIKLEPWNSFTAINPRWHVGLICVSPK FQRRGVGSMLIQQAQKLATDEGLPVTLEASIVGRFLYLKSEFKVVGEVKLCEEYTDAL MLWEPRGLEGTWLEQFEGDKAKMKER CC84DRAFT_1261615 MSSCPWTNKKEEGKETACVECISGTIHAGLPQGTIEPLYGLNTY IVGNRTNPRAIIVIYSDVFSHNLPNNKLIADSYAKSGEYLVYMPDFFEGDPLGLNLAD VLIPTDAAKQSVLSKYGGLLAGMPGYLMWISRHGKDKTHKACVDWLQKLRRSDEVKGK KIGMVGMCWGGRFVFRAARSSESIQLADGKKVPLIDAGVALHPSNVVLPEDVEGLTVP VSVGWGEVDIVTPFKQKGQIEEIMKKRAEAGDPPPEMEHKVYTPGRHGFSVRGNPEDP AERKALEDSVTQVLDWFEKRL CC84DRAFT_1166736 MEYGLSFSDSTAGPSAFSTAPNPVRQPAGTKPRSCITCRTRRVR CDKRSPCSNCRRGGIACVVPSTEGPPRWARNLEKRPGATPQAVTPSITKVKERLQKLA GLVKQFEREIEEANASVHTPISAGGRDGAIDTATQQWSSSQGSQNAVRFSSALSQNGK FCGASSHYVTSTFWAKIDQELSALRADTHGVAELDSDSSEDELRAQETAIVSPTPVLS EPGAFLFGTSSNAPLSEYRPLPSQIPYLLEVYERNVNFFVRVIHMPTLLETTRSPGSM TNIDPSDEALFFGVYYAAVASMEDSDVQSSFGISRAALTTKFRLGLETCLARADFLNN PTITAVKALTLFLALHRRSESPRFVWMMFGLLTRMAQYLGLHRDGEGSPHRTVFEVEM CRRVWWAMCLLDQKVSEDQGTHMVYASLDFDTKYPLNINDADISPQTTVTPPERYGVT DVSFARIGAALTDVHRQLLSSGFHLSFKERLSLIEEVFQKFQSQYLQHTTETDGGLSY WVSVCMARLVMAKLTLLSFAPVICRRAPNSRVEPSMDNMHCTKLFHAAIQVAEYNHAM NAEEACRNWRWIYQTCTHWHASVYLALEIPRREWSPTVERAWVALNSPWLIPSKALKD QDKQIWFPIRKLIRNVQRHREAELHRLRANPQAARDLEMLDRKISFGSHPAPFPTAGM EGVFLQRWRRVVGLDDGAQKIMADAALDDPLRFLTYSAHHELKDQNTYATSEPTDEVA RESAVVGAESLFSALGPWHGDPEMEWDAGEDWQAWIDSALIAEHSELQEWH CC84DRAFT_1166738 MSHESVWYSRPRTYGKGSRECRVCTHPAGLIRKYGLNICRQCFR EKSADIGFVKHR CC84DRAFT_1151169 MDDTAELTERFERYCATKDNDVPSEILNELQSIQRIFSISAEEL DFKWQAYNMKMGGEEKMDLKTARDFKKDLQDSLEKESRGKVQQRGDAKRVAPTPRAAK GGDMYDMLDGMIATPRAAPLGSAAKRRSNFDAPAGKAHKNHEMSSPAGGQTPRGEVGD ASVFDFSSRKNAGDIAEQLNGHIALSDAPEEPPSETRIKLKANTDMSKFNYKTMAMKL SEASEVLDDRIDEFRQLLQEHLDLEDSAFGNPSYQSPNEVIAVGRICSDTSEGKLNAA SIVLEGSRRWGSGRRVPLTLDKLTSYNFFPGQIVALRGINASGENFVVSEVLSFPLLT QPASTPAELDTYNARYLDTPESDPSTVRPLTVLIASGPYTTDQNLDFAPLHTFLDNAV SASADTIVLIGPFLDAEHPLIRSGDFDIPPHLTSPDQATMTDLFRHFISAPIQTFTSR LPTCNVILVPSLRDAHHHHAAFPQDKFAKKELGLGAAGRHVQCVTNPMTLSMNEFIVG MSSLDVLHMLQREEIAGGKARSTHLYERGAKNVLEQRSFLPVFPPTGRDKLLAPSAVE AAVFKEGVADGDTEEGPSPFLPLGTMVDTSYLKLGEMLNVRPDVLLTPSVLQGFVKVV ESVLVINPGTLCKRRAAGTYARLVVRPADVSEEERQRGAAVAHKLWERARVDIVKI CC84DRAFT_1166740 MSAIGPFPHPAEGKKPAAPPALTADQQKKYDELLATVKAWTTLP KASAKGAEEEALADPERMWLTRECLLRYLRATKWNLPNATQRLRDTVIWRREYGTDSF TADYISDENATGKQVALGYDNEGRPCLYLLPNKQNTKNSPKQVEHLVYMLERTLDISP PGQETLALLIDFRNSSAGSQPSIGTGRQVLSILQNHYPERLGRALITHLPWYVTTFLK LISPFIDPVTKTKMRYNEPLTDHIPASQLMKNAGGEVDFEYDHSVYWPALAKLAEERR RERTERWEKAGKVVGESEIYLWGGEEGSVATAPTPTATAEAPQPTAEATVSA CC84DRAFT_1098086 MTRLTILSSLLALASGALAATPIPDISPLGVVGAFGGCSVDPSD SNYAGSVTVDGWSIKVPKNLIVQFPTIWVPFKNLCGAGIDGYEVTVFGNIIGSTPTAA QIIVTGGFELRSGVGYIDSIDVADGSFKLRGLGTKLRLSDPNGVFGKESNLSPFFPVD DENPSIQAFSGFPMCFPRSSSDDRCPSSNRPAGSTNFAAPDPLAMVPFLVGDFITYTA IPKSGELLVFEMSATNVQVTTSASNTVPNYIFIEDAIIGITDADPNVEVADTRFIGYL SSCTGASVQIYAIEVDPCTGDENLRQVGSATPRAGDVRCKWEARVAASTPYTREYVIK TNTPVVETKDGLKAGQYITPVTEWIQPEVNVPGAEPPAHRFSDIRGLVQGDFLDGEQY GPLSPFPGPTPPSPSKTCSPNDPSGPVAEPTATIAPFSADQRTGATIQLVVQNNNTDI PNSALEFKWTQIEPSGVPSASVTLESPAAQTASFVAPKLTAATTLKFEATISLKSDSS KTSKAQVSVRVSGTVADIVTLDTYTWESRQSGTIGVTCHSNVANGDVKTMTLALNNNG TRLAMSSLGSGRFSYSARSTKQPTNVQCYSNLGGKSALLTAPTKRKRDFKRTVVLE CC84DRAFT_1125026 MVFRGKPSKACQRCRDRKLRCNLQRPSCSSCLRIGVRCYGYRDT DVLRISNETEFVHMKAISAEKRVMTPKRANKASFKLSHLPLDLQAQARQLFFAYYIAD FSRVWDFLYPHFDPKTAPEHLSLSIDAASLAFLSHHVNSPSAQNLGRQKYVGALRETN KVLQDPTTLPQTNTLEASLLLDLFERITDSRPTSVVSQRAHVDGALALVRLRGLQHFT DPGGLKALTRLALNSVVISITNRESIPEDVTKIREHTANYTDVSHPKWLLSGITLKVT DLLSEITKSVLTAEEKVRRCVVLERQLEIIDNEAPPKWSYDRIYVTEEQEDTTLDGYY DVYASRVDTQMWNVLRFIRIVLCDEIIESCANTNDKTSQHAMETAALVVREVCATVPQ MTDCNGPARHKLPPGSSANMHTHTLSHFLDTYILLLGLYAAAWSRGCPEKARIWIIKQ LDRIAEHFGVKEAATVAAILKMQDARARTGPWDVYRLIGSYAFAA CC84DRAFT_938733 MSFPYKHVLLVGATSGIGKAMADKLIAEGIHVTAVGRRKDRLDA FVSAHGSSKASSLAADISNLESLSAFAENAIKQHPTIDAVFLNAGQQRRFNFASPSTV QLSEFNKEMTTNFTSFVALTHAFLPHLLASSNPTALIYTGTHISLVPGASWPAYSASK AALDAFVQCIREQLRNSSVDILHISPPLVQSEIHDYEFGAETGQKMGMPAEEFVKETW AELKEGKKDVYIGSIGASSREQFMEIADRRAEAIERLNELLRRLH CC84DRAFT_1125029 MAESINITELPLDILVLVFPYLDAKSFLAFCSTCKAFQQPSIRL DPAYWSFQSRSTFRIPNQPVVQHDGVRWQKMYKRLFTQTRVYTWGSEGNNRLGHQTNA RSCPSPQEMHGAREMGVIADLQNGGWSTTILNSKGTLYSVGLLDGERSWGGNADPKAL TFPPGYPQSPAAAAYNEPTIAIRQFSSGRSHILGLSDSGRIWSWHSFDHPAVQIKFVN IDLKEDSSGGSKLANSSLFGTVKQVVAGWSYSSAYIHGVGVVAWEPLRPRAAQHGPRR ARSVPRRLLQRDPEPDEPDTMLLLENFELPKTGYQRPKGAVRESDQDRALGEEVGQVK NHIVLEHFVVFVTDIGKVFCSRLDEKGRADNILELCAFGGEIGKPLDVQGSFRTFAVF SNGRVVIAEQDYLERSWNARTTNPNQTEIAGLKYIPALQHNNVVSIAFGDWHFLALHS TGKITAYGKGVGFQESLGLGALQAVRLRGLDSPSFARDGYTRGKEVWFRKEQQEWLEL LHSGGKDVQEAAGRYGLYSGGNLNILGELGEWIEQEAKVWDEDKGEDGLGAYFALRVS AAGHHSGAVLLVNEELAKKEINYDWRNKSFPRLVHDGEEMPGSVPIDEWREGRPEL CC84DRAFT_1166744 MSGLVEGEAVAPPAAPLQAPNDQAIPAAPAKADPKDAKPVEDGA SKKLSGAELKKQKQLEKQAKRAQAKAAAPAATQQSGPPKEQKQKEQKQSQKKDEARPL PIRRRPSQSNAVPQQHAKRDSKRDQKNLGLFFGHLYSQPRQHSMVGASKDVHPAILAL GLQYSSYVICGSTARMVAMLLAFKAVIESYQTPVGTSLARHLTNHHLSPQIDYLRGCR PLSISMGNAIRWLKDLIIKIDPSTPEAEAKRDLVEEIDMFIRERVTAAQKLIRDQAAT KILPGDVILTYASSSIVEQTFLQAHKAGVPFTVVVVDSKPLFEGKTLARKLANQGITV RYYLITGASHAVKDASKVFLGAHAMMSNGRLYSRVGTALVSMLARSHSLPVLVLCESV KFTEKVALDSIVGNEVAPADEILSEAERASLLPLEPHLPTTHPSKNKSDNDKSTAEDA KSGPLDVLKWVEGSENLHHLQVLYDVTPAEYINMVITEYGSLPPSSVPVVHRLSTNT CC84DRAFT_1125037 MPHKHKRKAEHDANNFDLPPSVRAAPLPVGKVRTTPAQKSKKRK TTHVQGYGTDDTPKAFARLMAFSANPKRRSGLDDGKKSKKPKSTPKPTQAGAAADTEQ KPAPNSEAQPDVAAPALKIMPGESLSDFRQRVNQALPLSGISKSGKKTPGLGDHRVTK HERHLKRLQEGWRKEEARIREKEMEAAELAEEEQDELDAMWEDKTQDLDGLTAGAGGK KKKASKRGKKRRVVGEVNDKEEDEWEALRRKREEGDGKRKGLHDVVQEPPSFTKVPRE IFKVKGGAKVNVGNVPNSAGSLRKREELGEERQGIIETYRRLMAQKRGEQQAE CC84DRAFT_1096648 MAVRRRNVGFGKEEPFDRVRFPPAGKEKSVRREWRVRRCGIKTV LGLPLLVIVFFGIVHISNVLMSLVNLVRDDAVTYSRDFLPLEQYQAGDFTGLTRNVKP IHCHSHNDYWRRVPLYEALRWGCTGVEADVWLFPERSDKLFVGHSTRDLTIDNTFGSM YVDPLMRIVDQQNTPNTPFETVSKSGLKNGVFEMDPTQTVVLLVDFKNDGHALYPVVS SQLEPLRQKGYLSYYDGHTIVPGPITIVATGNAPFDLVTRNSTHRDIFFDAPLAGLSP LSSQTTDHASKRAGGQGTVGTTPLSTFDLTNSYYASVDFKKAVGWCWFGRVSATQREL IRAQIRAAKERGLKSRYWGAPKWPVGVRNMVWRVLVEESVDYLNGDDLREMTRGDWGA KVHGSWVG CC84DRAFT_1166749 MHEHHRACPGSMETTDHAWEYGLQIQNENTRLGEEVKQLKDDRT RLENDLADKAKESEAKEQEAATLKRRNDELTRHRDELKAKLDQETKDAADIRTNLDTA YADLQHRIDEHNKLRKQKDDTDADLLDAKLQLQDALEHYQHSQARIEELESQKSAIEA LERETATLQADMDGMQEKLNDHDRTVLVKDARIAYLETQVQKALEAAARAQDEQNKAD AAVDPTTAEPTSVTTGGSLEDELNDIDEELDTEAASQLDFSLELVAAFTAVEIAPVDE STTTSSTQTAVSSAQGVTSFTQTDVSTAGEIIPVEPSATLSESDIRVLWKSRIEPALR NLSTPSSSSIDLRFIIEAVQDLTGSALTTTSTQTEAVEEKEPQLSFSLETVTHIAPAA FSLESSATQTEAPKLAHAGTSTFFDQPPVVYEERHTQTDTPEPVVHEERHTQTDTPQL TQTGTSTVLDQPPVFQEDRHTQTDIQAPPTGVYAPKKRSFFSLSTALAIFFALLAILY YTELESWKNSSNRAGVNRLYNSMGYQRRGRHLFGTIPVCYERGETWLTEALCQQFAAG IQKIEAQIGIEYPSRW CC84DRAFT_938835 MDGQETRRFLSQYSSTIGSYRQRLKEDLKYFKHTQMKLPPKTSS RPDFLVVPGPGEPSWLNILGGVDLVGVRSTESYDRGATKIQIRAKNPLVPHASRNGRR RNGESDRHMAHSLIVRQLAAMKGMNHARFLNILHWGRNRFTPLRIMKGGDIHGVSPPK YYFRNEFSSANECSIDNDTGDQTKSLSNCHEFLDDDVDPVLRKIGLLYSGNG CC84DRAFT_938863 MRKQICLPAVLMSVAPLPRDAVPHTIDFDQENTRRRRSGVRRLV GVGRYVCLPGRQGSRLAGKQTCQKGSKTWSENRWTKRRRQSIEFGESKQFQHHIYDCN WTTMLHQFRLPFHRTAILLTFPYRGIK CC84DRAFT_1189010 MTSSAAQQEYNELFRDKDVDTRHPEDRDNDSDRDEPTKEEHYYE HDDPADDTDEELGLTEPSAMRSNNYVLPSIRSEANTGPKGVIADAAAFEKAKKQARRF TWKKNQQPTSYTVTAYHDEKLSDDDEEDSFMKQWREARLRELQNAGQRIRSRTTSPAR RIYGNMPAVDGEGFLEAIEKVARDTVVVVFIYDPTSEISAMMEEQMREVARRNDTTRF VKLHYEDAEMEVAGVPAVIAYRNADKFAGLVPLMDEFPEDSELTAVSIETIFRRHRIL N CC84DRAFT_1178529 MASRPHSFYSQTKGPSVAVRRPGWANLCGGACVGARCFEPLCAH TWGDASTESEAARGSEHNARKPWARRGSRVCAGMRCVVGMNLPSSGHVAPLRLPSIAS PGSPTVFTAGVYVFIQGLEGSMGTCLAAQPPGHYSAAAAASRASTSTAEAQYWLVKLF LWKESLAGRIHTSATFFLAH CC84DRAFT_938878 MGILAKIEDRPTPKEVYNWRVYVSAATASFASCMIGYTTSFIGT TVSLKSFKDEFGLSSMSASQASLIQANIVSLFQAGAFFGSIFAYSTAYYLGRRITLWT FVSMFIVGACITFAAIGGNIGPMYAGRVISGFGVGGCTMIVPIYISEIAPPAIRGRLV GTYELGWQIGGLVGFWINYGMTQSLPYGRNQWLIPFAVQLIPAGIVLIGSLLFLRESP RWLWTRGRREEGVATLCWLRKLQPDDMYILEEIEMMDLQIQGLPSGFLKPIRLALTDS KVLWRLFLGHMLFVLQNFSGINAINYYSPTIFETMGVTSIKTVDLMTGLFGVVKCIMT ILWLTVLIDKLGRRTLFLFGGTVGAACMFVIGALIASNPSSSTTNSSLSSQGIATIVV IYFWTCVYITSWNGTPWVVNAEMFSQASRNVGQVGASMSNWLWTFVIARVTPNMVAGM GRNGFGMYFFFGAVTVCAVVFTFFLVPETKSVPLDRMDRLFEVKPVWKAQGLVMGELA ESSLEWAKVDRKDEGEAERVDGV CC84DRAFT_938872 MARSEYLLRVTRSQIPPAMILPLLFFASILSASAVTVAASLTPP VPIRECFTQSNKTVVSLISPFATLIESEPPHNVPPFHDNQFGTHLRRTISGVCLELLT LNNDVFFPIAVDGAGLAHTAMGIAEKCGGLGWGYYTDRRTGNTFHGELYAVLQECSEW YPRSGGTRVICPTDTTGEEKHDPKLPCPGEEE CC84DRAFT_938913 MADMSAESIQQKIQIARRDAEALKDRIKRKKDELADTTLRDVAK QRVEALPRLSMKTKRTLKGHLAKIYAMHWSTDRRHLVSASQDGKLIIWDAYTTNKVHA IPLRSSWVMTCAYSPSGNYVACGGLDNICSIYNLSAREGPTRVARELSGHSGYLSCCR FISDKRILTSSGDMTCVLWDLETGSKVHEFADHLGDVMSLSINPLDHNQFVSGACDAF AKLWDIRQQKCVQTFAAHDSDINAIQFFPNGNAFGTGSDDASCRLFDIRADRELQAYT IPEPVCGITSVAFSVSGRLLFAGYDDFECKVWDVLRGERVGTLQGHDNRVSCLGVSND ALSLCTGSWDSMLRIWA CC84DRAFT_1166756 MANAAPVDLSFARRLPKIELHAHLTGSISRACLHDIWETRRQHD PELQLDDPLVAIPPDKVDYDVQTFFPLFSSYIYKLCDDLPSIEFSTKRVLEDFQADGV VYVELRTTPRAIPNRGITEDDYVKTILDVLRNHNDQVGNTMRAFLILSIDRRNTATEA QQVVDLALKYRSEGVVGVDLCGNPAKGDVSLYTDAFTRIKAAGLKITLHFAEIAQSGT DDELNTLLSWQPDRLGHVIHVNSSFQERIKREHIGVELCMSCNVHAKLIPGTFSDHHF GFWRHTNVPVALCTDDVGIFCSPLSQEYYLAAVHFGLDRTQLTELAQRPIASIFTGAS ERTRLVESFEHWLA CC84DRAFT_1166758 MRYAACFYLVACAAAQLQYGQHTDAPGSNSPGSSSVITYMSSVY TISIFDPSNALTYGASKTTINRPSIETTESARHSHSSSSHKLGSSAVTKTQESSSATA PTLEASVSPSSSAASSVPPAPAEQTIGAASRIYSCGAGVMAGCVLAWIALL CC84DRAFT_1189014 MYSKIAALLLPLLAVANPVPAQGSATAPDPSQIVIIDSSYSGNG CPQGSVSTSTSTDKTVITYGFDQFQTYIGPGIPTKDNSRACQLHLNLKYPGGFQYAVV SATYHGWARMDKGVTGDFITSYYFSQDAGKTSTTRMSATGGGALTNGQVYTKQDNVET TAVVWSPCGTNGILNVNNRISLRSSNSSAYGELSNDDATIAFTQQVHVSWQPCTPKSG TSPGDTIGIGSVDADVEFN CC84DRAFT_1178535 MANISMADSYPRRCRHEPPKSRAAGTLLNIAFSRSTLRVELSSA HRRCEASRPRSSPGRLGKHWRSVRIRRARKRKHAGRAAVTTTKRCVFILQLMQRGRGR GRGRNPNPSRSSSRRGVRSVSRIATSRNRSNASIRRSARIAELLNGELNGESESSANN SMAHVAQQPAFGRSTVRSRHDTGNRRVTRPNRTSLSPEERGYAMDIIVQPPSNARAGH SINGTIIVRLRTNNPNPNAATEDALNLAAIASLVPGPGSTAPSDPAVLNTLLSGRRVD NIHTLADDEADGSIASMELNDSQAVGYMRFSGLVIRQAGTYRIRITLLRMRNSSSDPP PASVTGAAAVQVVDSNPIVVQAGGPTAYNGNDQDDEDDGGWRTVVQSIINNTRNSS CC84DRAFT_1097010 MDAATQAQAVEALTGKLKTLGIDELPAEPNTYPALNPFDLYRSH VAELLSKSTGIDTKIICPALQRTVKTEHGDLQMAVAALRQKRKDMKEFAQEIANGFPG SPLIEKVVVMDALLMFWFKAQPLTSLVVPAVLKFGKSYGFNNFQGLRDPADPSKGRKK MIVEFSSPNIAKPFHAGHLRSTIIGGFLANLYDAGGWDVTRMNYLGDWGKQYGVLAIG FDLFGSEEKLVENPIGHLYDVYVKISKIQKDEEEKMKELKAKIEGLKKEEKDTSELEK QLQEVADNGVDEGARKYFKRMTEGEPVALGIWKRFRDLSIEKYKRTYARLNIRYDDYS GESQVKDESMDHAAKVMEEMKVSENSEGAIIVDLTKYSKKLGKAVVRKKDGTSLYLTR DIAAVSERYEKYKFDKMIYVVASQQDLHLAQLFKILEAMGYKDLAAACTHINFGMVLG MSTRKGTAKFLDDILETVAEKMHEVMRTNQAKYEQVDNPEATADILGISAVMVQDMKG KRINNYTFDMDRMTSFEGDTGPYLQYAHARLCSITRKVTAAVPEIANIDLASAADLTL ITETQGIDLVRQLAAWPDIFINTLKTQEPTTVLTYLFKLTHALSSSYDHLQILGSERE TMLARLALYTAARQTLNNGMRILGLSPVERM CC84DRAFT_939179 MADVSRKRALDGDAAHRPYKKPKTSELPLEQSKRAAIDQLVHTF RKKGEFDSIRNAVRAQFEASPAKANLLESLADLVDRETDKNPSLLSRDPNMAAGLIEG AGERSDIYKAARDAIEEMVNNLIESQGRDKMREYRVAEVGEEVAAEEEQRGSKSEEEW AREAEQRRSEREAVREKEMEEERAREKEEKARKEERRRREREEDEARAKERQERAEKR RQEEEERRRLDRERIEREKEEEKQNREKEEAERAARLKRIREEDRERERKFEEERQRE RERERERERDRDRDRDRRRDSRREHRRGSRARSRTPDRRRDRSRDRDDDRARTRRRSR TRSPEPIKDVKLEDVKVEVDDDLALKALLQETEEMKKSKSRGPFLSRRSVSLEPPLRK ARPPKSLVPEDPIAARLAAIEALPTTPKDHGTPMEEGLILKSTESEAKSRWDRPPDSK HDKYRGRSRSRSIARSVSIRKHSRSRSRGSRVDRRASLIEDDRRSKRDDDKRSSRPRD DSRRRGRDDHDDRRSRRPRSPSRETYSSRRKSRRSPSPDDRRKDRPRSRSRSRSRSRG AARTRDRSPARRRGGTRSRSPDRDRGNDRWAPGDGRRRDDSRDRNDRDRTDDRRPRRD DRNFRRKDYDRYDGNREGRTRLQESDRYLPGREAAGDDRGKERERSRDRDRDRDRGGR RRDRTRSRSRTRSRTRRR CC84DRAFT_1151214 MVHKVLFWGGFGVGVRLWQLGIEMRPLFNRESLWVYPVFAGIGG SFGYWLMGVEQRQWRVLADRRDALLEKRARRKEREEAAAQEA CC84DRAFT_1151215 MASNKPKGIVVFSGGSAANNLVDVFKAVREDKKCQLSYVIPISD NGGSSSELIRVFGGPGIGDVRSRLVRLIPDDPDHDDSEKAAIKIFFNHRLPKDQGAAR QEWMQIVDASHSLWTNISTAKKELMRAILNSVNFEILKRMRPSSTFDFSGASIGNLFL TGARLFTGSFESAIYLLSSICSVPNHTSVLPAINTNFTHHISAGLANGTIITGQNSIS HPSETTALDTTPLTAHEDTERHDLIEDANLPGTLPTLRKQYINFSKTDEDDLPARIER LWYINPYGQEIRLAANPAVLAALGQAQAIIYSIGSLYTSLIPSLILKGVGAAVANPSI KYKILILNSTNDRETGPSSSPFSALDFVGAIAKACAESQSISPSREVGKEEYCNYVTH VVHLTGPGTPAVEREALKQIGIETIKVYGRRGEGEGFTRYDEKGLVQALEVTMGRRDP RKDKVRRNTLEG CC84DRAFT_1097327 MGSTVVPNDIVLFNYPSSPFGRRIQWYLQLRAIEYALVEQPVMM PRTDLEKLGVKYRRIPILAIGRDVYLDTRLILRTLEKKFPDGKLGSDKPEQQFIEKLL DKYMVEGPVFQQTAGLVPSAFLKDPSFAKDRKGFLGRNWTPDELDEGRPESLVFVRNL FDLLETTILADGRNWVLGTERPSLADIQAIWPVDWATSMNMPSHVVSEELFPKVYAWI SRFQHALKDAAASCSEPVPLNGDEAVSYMKTFKESQQATAEDVVDKHDPQEVERGTLV EMYPSDWGSEHRDAGRLVALARDEVTIMAEGALGLRIHAPRTGFKMTAVEKNAAKGGT KL CC84DRAFT_939102 MSSRMNQWFVPGEGIAREVITADIQRYLGPDALVRPGTGTGEYE QGRSGYWITAYRTLTSQMIQDLRSDSQRWQAEKDRGRGGGTPHIGDKKVTRHPDASVV AYQDSTIHASRQYHGPTTSDSYAAPSKPSYSTPTYGNSESPHYTTTPTAPYGSHGYQA PPHSAHPAQPRTDPQSAYYGQHASYASTPAPQSYSYSQPPVQDPYGRAPTQQYAHATP SVVAAPGYYIASDGRQYPLSQQPQQPQPPPAGRRRG CC84DRAFT_1166771 MSTFSSVLRPTLFSTLCNAIFLGGATYHLSTRIDRNLEEQEARL DEIEGTLRGHIGLIEDALDRIEGKANRGRQEELYGKRGRDEKEQKGKKE CC84DRAFT_1151227 MSSPAPAFASSPSLSIISPRRIPKSRFTFKQLQQLKSYSTQTPL RVIAHVDLDAFYAQCETVRLGLDPSKPLAVQQWQGLIAINYPARAFGLNRHVTSTEAL KLCPELIMQHVATWKEGEESWTYSPDAFKEIATRKVSLDPYRQESRKILACIKTVLPK DLQRVEKASIDEVFMDLSAHVHSVLLERFPELRGPPPYDDPSEYLPKVPTTVLDWKAD ALVETGEENGEECDPDWDDVVMMVASEVVRDVRQAVFDTLGYTCSGGVAKNKMLSKLG SGYKKPNQQTVIRNRAIGHFLGEMKFTKIRMLGGKLGDEVVAMFGTDKVKELLGQPLD QLKRLGDDTGSWLYNTIRGEDHSEVNPRTQIKSMLSAKSFRPAINSFEQGVRWLRIFV ADIFSRCVEEGVLENKRRPRTINLHHRQGSQTRSRSSAIPQGKPLSEIMLLDLAKNLL AQVVVDGRAWPCANLSLSVSGFEDGVTNNKGIGGFLVRGDEAKAMMSSGRSTMSGGEP LAKRRRTKGNIKNFFGPPSDKKRDFDAARTVLMARQKSMREEDTDDVEEDDADEFGAP PLEESEEQPTTPIPERELYISSTPPSAQPQTHDDPKSHLPKQSKPDPPRPPKHYQESL DTFFCSKCNMRLPVSEKAEHDDYHFAMELSKEMRAEERTVPNMMAAARHTPTSKPSRG RGGPPGGRNVEKGQAKLAFGRPT CC84DRAFT_1261641 MAEIELFTEITLDRRPDVIKFWPHNDQYAVVGTYTLLEDGPPEK EEPVSQQRVGSLNLIQVKDDRITIIQSLQTPFGVYDLHFAPIEEIRNGKENPGSFAVA SSTGSVALYRLVPSEQDDATFPHPKIWHTYTVQYFSADILITSLDWRRHDLVAMTLSS GQVCLGKVRDPSVNPIASVKLMQHDFQAWHCSFLVSKDVSETGLGLLSGGDDATLRFT PVEADHILDLGLVDSETNSLPAIPWVDRKIHQAGVTALMPILSSSTGHLVVTGSYDDH IRLLYIPSIGRREVLAEEYLEGGVFRIKLATQLRESNSGLSEIEQSYGLIVSCMQAGA RIVNLTKTGGEWRFNVKAKFEKNAGTLLYASDCQSVGADGRRIIVSTSFEDRKLYLWK ADISP CC84DRAFT_1166773 MAEATTPEVRASKRKRAQVNYYEEPASDDEVPDIPDVDEEQEEE ANVKKSSRAKKRKITSSKPLPKKKIFPFLRLPAEIRNEIYSYCLHDPAGIYLFSTTQK FRRTVCRGSERSFLGPAADPPLPRSSMQLDDGNFSDDEKARTFHRDPPCDSFRPFAPA LLAVCKQINIEARPILYAHQFFVEDTLALHSFLVDLGPRAAGYLKNITLGEWGFGRGV HKAYNHACFTALSAATNLERFTFHGILSWSQAPKAGATIFYRDAFPWLEAVGAAKGKT DAALDIIDIMVPDSGTIHSFYWGRRRRWGNRARPFFKEYDQIEGFRKELSKLLNARME RIRG CC84DRAFT_1098077 MPSSGPAHASAPTADFQSPFQSPNAIPPRTSSHGIANGVKHRSS VLRPLSEIDWISQSKKSKLLHENQTPNQDQHQLPARPVDTHNTMGGEDEKPAAQQAPH PLAPPQPPLEPSRDIGHDLIYGPRRGWSEENERILLGPYDYLVGHPGKDIRSQCIAAF NKWLKVPAERLEVITRVVGMLHTASLLVDDVEDSSVLRRGIPVANSIFGVAQTINSAN YVYFLALSDLLALQNPHLIQIYSQELLNLHRGQGMELHWRDSLTCPSEPAYLEMVDNK TGGLFRLAIKLMQAESTLTTEIDCAPLVSTIGLLFQILDDHLNLSTTSEYQSLKGMCE DLTEGKFSFPVIHAIHADPSNRVIYNILKQKTEDDEVKRYAVRYLEQKGSFEYSKGVI DGLRGKAEGLIAEIEAKVGEEAREGAEGLRRLLARLVVR CC84DRAFT_1151239 MSGRLTSARLAQIARRTPANTPRLHRLFYTTNKLPSGGLLRNGA GARLAQRRAWPLGSYALHNLPATRSISFARVIPKLVTKFATAGAAAGGAVIAGVSYIQ YQAGQAGSWAVNIFNKTYDGASEIAGEGVDTVSGIFGRISDGWGKTKEDLQKVETPEW LQRLIAKDESGGDEGGHGRGQGPEEPKKGGAAAAAAGVGAAAAYAPADEDEDRTEEEK IARDEQMMMLTKKMIEIRGLLQTVGQSESLTLPSIVVIGSQSSGKSSVLEAIVGHEFL PKGHNMVTRRPIELTLVHTPDASAEYGEFPALGLSKVTDFGQIQKTLTDLNLAVPVTD CVSDDPIQLRIHSPNVPDLSLIDLPGYIQVVGRDQPPELKEKIAQLCEKYIKPPNVIL AISAADVDLANSTALRASRKVDPRGERTIGVITKMDLVDAERGASLLSDRKYALRLGY VGVVCRVPSNASGSKLFSRGNGNITNAIAKNENAYFGSHAEFSSDSQLAVGTSNLKKK LMYVLEQTMAASLKTTSEAIQRELAEATYEYKVQYNERPLSAESYLAESLDGFKHSFR GFSDAFGRPQVRELLKHELDQQVLNLLAQRYWNRPFEDLSPPLPETDPLSSLPKADPE GEHQIWQIKLDSSSAALTKLGVGRLATSVVANAIQAHIDRLVANSRFAAHPFARQAIT EASTSILKDLSYDIADELEICIKPYKYRIELEDNEWARGRENVTAVLKEELKVCEAAA KRLEDQAGGRKKVRDVMAFIDRVRNGQVVLEGDGIGGAGGFSAPLLAKGREAVFLRDR TDVLKMRLLAVKSKQCANKKNKYHCPEVFLDAVADKLTTTADLFLDAELLSKFYYNFP RELDARLGRGLSQSEIDRFAREDPKIRRHLDVVRRKDLLETVLKEMESLRQLEAREKR SIRREPKEERRKGGWSLF CC84DRAFT_1151241 MSFSSPFAASTAKAGHGSIKLSILPPDTPVLRDVSYQYPLKLVA PDPLPPSEDKSNGHAPRLVHTVFLLTYGGGIVAGDAIDLSVSLEDRTRLVLLTQGSTK IFKTPDPSLVSRQHMEVTLAAGAALVYLPDPVQPFAQTAFSQSQIYHIDGEKSSLCAC DWVTSGRSARGENWDIHEYKSRNEVWASDKSGKKRLLLRDNLILDKHGRTGMQLAERM DGYSVFGTLILRGPAFSSLAQYFMVEFEALPRIGGRDWGDSAPLELLPHEQRRVQRQI REKRDGLVWSAANVRGFVLVKFASREVEGSRNFLRDMIANEGSVATSFGERALLCLK CC84DRAFT_939296 MTAGSDELLDFLLSGIAICGLGGASSADFRRIINDYYQQKRKEQ EPTSDERPKDLGRNFYEQVWQWVTEHPEVRIYHNREAQSLSLSEFEALETQEKSNEDN GNSSHRDGSPAADRSLAPGQIRPSRKLASLGSSLRQQLLEEGRVSSNQLKLQSESASE PPGNDAISNPPSKVRESRKIPKEIHVSQPAFDAPDASVQGPRLCTSQNQTWIAITGHP IDLNKVPGSEFVLLSIIATSGPKGISQPELQKLSGQDKRSVPARTDSLYKKGYIDKRP IQWGKARTSLCTHKMYLRNVQEEPKGVNDVFGVHTLSLTGLLALLNKLLETTSVVAVR NLRKKLGVSVERWNGRAVRGALIRLEQTGYLRRFTVEKQSRDSHLMSNHFICIQRLRE PLEDDIQNLKFKRIAGSTAADAVDEEPVETETTADGSMQDLEQDLMNDVSDADEDGDT DRRIPPQWTPDRLLTNLVIDAVDATGTDGTDTAKLRDTTTGFFWKRPLESLVTRLTDG WDKSQPMHVRHLALVRDTAMSTDKKRVHYLYRTHQNFQKAVDAGEVTWEALDLDENKK GARPKKPTPASLSSVDSWGFRELNEADFHDSTGTSSLAESCAAIVRNRGRPRDWEAKL LNGDRAVQTLSTADREPATAVSRSPSGDRGRVRKSNLTPRPLLTQAERLIMGLPAKGR LGSDYESQIRAHREKTGDPTSLPDKLVKGLNVDAPKPKPRYGRRPGPPLLTREERKAR GLPEHGRLSFKVTEQILKEQGRNEPLTHMKYSLDVDDSSSVVYGARTDDSEEELEGGQ ESRLQHVEERIEAITGKGEIAVLDNMHTNAERRSPRNGRGSRKRPLNEGGRKAGRPKK KAKRGGMDQDQSVDEHDIELVDGPVDTELADDRPSEDVHMDPDVSAASLDASKPEADS NNVPPFSVLINKRVSTETGKPLIPSQTPKPPAEEHRDPSVKSVKPRPNVPLTKAELRA QKAIERYDSRTEPGVYFNPYATRPGKGGRGRPKKTFMTTFKLPTLHKFDWFVSDAIKE RNSSVFSIAPNARAGAQSSRSKTKSTSVPSPTLSIADDELELGLAPSIQTPIAEVEQQ SSSRSTKLIRILKFTKSQIESAIDTMDTQETESMPDSASAPFEIGRPMDLDHPSDAVH SPRGGMNKLHGPVDSSQRAGGQPSEILDATAALRRSMSLEASNCQAMTETLEVASSKS VEGSRGSLINPQETSSAHFDSTTHRFPLSVSAASQTTTPLPVKSHQSPHNPATSQEPP HTEVTNAGPNAAEKLSQGSPSHKDTSGSDAAICTPSTREETDDRTSRGVILGRGSVAH ARTRIIRHIFDLCGGVFPGTEVMYPVFASLWEELGPKTVTCPIAGTVRKAVTDLCRAP SDLKKVEFTVPRADVPGNKQRIVFFKKHLHFTSPEVQSVVKEVIQAYPEKYCPPGVRQ YYREEVKPAPARPKVDNSFAPELYPLASRRLDARIKQARSERRRLVKEAREEQRRLDK EARKEQKRLEKQQRRQEKVQISASRRKLFKERQRLGGLNDGLHTGASNRTLLPKTAES PLPTQQPQKTVSATDSSDDEALINSRPRPRIAIGPVTPSVVDVQPVLQELCRTESFDP VAVLMLPKIGYHSSTHTFSTLLTASAPSEVDEPKRNKTRRKRVRIEQPVDQSSRKKPR KSRPNEVSRAKQSEDDFLARHHIRIQESSDEEEDDDEQDDVEGVKSPTIAQRLAGLTG DLNKPDYEPVIRKKQHAWTARDRQRRNRTRRKYTAVKERKYPETFDPLSEFRKLCYTL IVASSMAGEGGNVDWDIVKKVYSDSLRFNLEKTKKTWAWLQKRMASQLRGMTGSFQSQ FLSAYEDGKIDPIEDPDTYDWGKLVRWALLTCTHVEPPLPLAREALDDCQFDLSSYDV LDRTVWYNTALANINRDERFAKYTFGLPLNGKRKLTAPGDEDDLKARALIRANISTPK ELYEKTLAHEKLRKLPNSVIGRSVNDLLQASLIRQRKIKRLLPGRNYHFSPGFAKNYR RSFELADFMTAVQLKKDLDTAFTNDDPDKRNFLVSRTAENGTVMALISLASEGKVKLV PQLPPIDNDFNAPIPRISVWGFSEGDYQHRLMDRKRLFWPLAVVPTDSYDYGSPLRPT SLPSTTTEDSPIVWNPLPEPPLPAREQNSNALLPIWNTIDGQTVIYPWWNRILNIVLQ ALLFQPSVTAQEIFSRCETYTTEVFEIQLVLDWLVTAQAAKRSLHGTYELLPGYWAVF GDKLIDDENDEFGEHVRRQKTNNKLVPTWRTEYNNEYSLRSQQAQNSCKGVPQGNGVS AGEQILGNVRKQYTIVKHALQKQNMTNASPARDRSPGEIGPPSHPNPGLQREGMVTTT PTPAATPSTTTQDVDMTDADADTEGADVDAEGESDDEYL CC84DRAFT_1056817 MSKIANTAAVLAAAFISQAAAHTYVKEFEAGGKTYPGFYAADKS GGDDSSPAWRTNQGWGFQPVFGDKINDPDIIAHMDAEPSPFTAPVDAGSDVKFTWQHD GECGGNEVGWDCSHHGWTATWLAPCNGDCKDVQKENLSFFKIHQSGLIDYPAGSRYAE GGEKEQTGYWGTDAIFYDNANTQTVTIPKDLPSGNYVLRTEVASVHNNGAVSERQFWP QALNIAIQNGNDNAEMPAGIKATEIYSSSDKQLTFDLYQHDAGETFDAPGPALAPCA CC84DRAFT_1046046 DSEPDLTAVKQEIRTFKLADVHRTRTALSLAHSAREIGLSTLSR LRTQNERLANTDIHIHEAGVQNRIASQQIRTLKRARRFLPAAGNPFTAGRRGRQGDEA ALAVHRREREQRDALRWARWEEAFRRRVTVTVALPAEDGKLARLVERAKYQFEPDSED DLFEGEIEGNLDRLVEVAPQLREIAVEMGRAVEEGTRRLEGMMERMDAVDDGLVRNRH ALGRV CC84DRAFT_939337 MIRVRVLWACRLLAWKRTLLVRQCEKLWLAFWHVFWLSVLQFCG VSLRLRMRWCPCLRLGFVQELEVPDGRLSHGCGADSHSCRPWVTVNVSDGEFVETLGA RVLQSLQLYRTWKLCRDGVVMEGGEGRFRALWALMTCQNKGN CC84DRAFT_939345 MPSFKNMIAKLRSRSTRAATGNNNRAATTNGNAPKSAPAQAPAA QANQQVMASATAPTLTVTLRNASNSSVVYVYVTGIALDSGNRAMFLQSDGRTIYYPAS PNTVGSPLLQDVAIQLGAPGTTKNITIPRIAGGRVWFSIGAKLTFALNPGPGVVEPSV SNPSDPNINIDWGFCEFTYNADQLFANISCVDFISIPVALTLTSTSGNVQSVPGLPAN GLTTIVNGLKQQAASDGRPWDKLVYPATGTPLRVLSPLKLLDSNGSAWDGYWDAYVNQ VWNRFSSTDMTINTQAAAGNVTGRVSNGQLSLGSAGTFNKPNARDIWTCSEGPFATGD NAARNAVIPRLAAAFNRSTLLDATQFPNGSSPANYYKNVTTNHYSRLVHQTMKDGRGY AFPYDDVVPDGGQDVAGTVFDGSPANWTIAIGGS CC84DRAFT_939339 MRCVAFSSSQRSSPCGNAAAESSKGCPALAAMTWQNHRQRTGDR YTDGRECSGRKSRAHRRLQEPSYPLLFPASSGIFPSSETPRACTGAVISTLLYTQQDS PAPACAWATVACPFSMPPCCLRSPTGMSSSVLHLISTSSHCKAQQKRPGLYVYTLAPY LVLRCANAKFACLPRCHEP CC84DRAFT_1166778 MVRKAAPLPERARLKQEQDLTRWQRDLRAKAEAILDSLDMRSLD FEDNYRELGGRYFRS CC84DRAFT_1261650 MPISPSTQRDIYRTREAVRLIRLRHAMDPTRATDIHTDELIDFD CPQAQRIFKWLSSMPSDLTQLVGSEVPPEQTAELCKDYLNWALSVLKCLEKELELHET EYRRLGLKYHETPLYLRDPMTTPANPRARGLSPSDLRISSAVPAVLPINPAILQSLHS IFNSDHPRTTNHFFSNWRTVQNAFVDISTLLNIDYNHFKRHQLKSLLRSVEHDIDYAS RLLSQIGAQLEGVAQNLGEVVARRRMGEGAKLHDAFGVAGSAFRRWVGTFPEVVAGEV EVEVVEKVMRLAGAELSMDWRNDYVTWEDEEERTHLGLIWEADRDCD CC84DRAFT_939371 MRAISNFDHLLLEDSSIPEVSHASRKTLAPALNAIAVGLDLGRN ASPILCSCLVFLKMTAVGEDVVPCLSDICRTCGIPWTYAFVSSAFLSTSSTIGRVAAC AKIHATIFTISFTALVNAWSPTMLIPPLLHLERSHPRISQDLGFLYSARHMPSTSSCI VDWASNFNQIAWMRILHNMSTDECVVAPPYSL CC84DRAFT_1178552 MSQQSNTKDIWLRLTSSKSGMPDQEHRQALQTGRDSVAAGNPFA GEPRTSDALQTSSQPLRKARGASHPPQAKPEAPTKRPTPSHASTPPDSLQPGKMCCVP SIESHASSKRSEDSEKPQHEHGSTPTEDEDDNDGNDTCPLLYFYENLYKLRELDALPQ NKSMDD CC84DRAFT_1151252 MAHFQISRKTRLRAVIAISVTFFLAEISTGFYTKSLALVADAFH YLTDLIGFIVALVAVHMQERKDAPVALSFGWARASLLGAFFNGVFLLALGLSILLQSV ERFIDIKEVEKPEIVLIVGSVGLALNLISGIFLHEHDHGDGHEHAVHIDELAGLNHSE HNHHNLLASKKHRDLGMLGAMIHVLGDAFNNIGVIISALVIWLTHSPKRFYIDPAISL YIALMILGTAIPLTRRSGTILLESAPDGVVLDHVKKDLESIPGVLGVHELHVWRLNQT KSLASAHIVVRDTEVRDFIGRAKIISECLHAYGIHSATLQPELADGSEVEPGGEAQQT EGVGKCTITCGTFCEPLKCCN CC84DRAFT_1125106 MGNGAKAQQKRDRAADKGPKEAKSQLKSNASAQSVKCKTCFQTF QSTTARKLLTEHASNKHSKNFEDCFNAEDGVVKK CC84DRAFT_1219924 MEIAKVDTASKPEKTTTLLKPVYEASTVQLFWNDTSEKVNRTVN AAAYEPRTNALAKKLSKRLSLKQKKLLDGSSLEELENMLQLNLDRAIEQQTADRTSAA MLDRAERKTTRFLNSFHSYVQAYSGVIQIMNGAPGGGGYGDAAYGALSLFLMFAVNKA KTDELIDQMMHKLHQQYCRIKMVETIYHTDRMKEFTAQVYRLGVEFLYTATRFYSMSA IRKMWHVVAQPPSVQLTNKIAEIEDAIEGMAQEMRILDGIRIANIEMNLHQTAAQVSR VAGHTEALRAHEQQRQLDSMKSLLGVVLDRVDPSLDKYLELLEERFDGANMATFDVDA LYRHRDFATWRNSDVLNLLILRDSTLVPGMSDLSWLSPVPVRIVQDSNELFQEHAPLL LRHFCRFTDDWSSRDTKVEAQSIVRSLIFQLLRNRRSGSLLLNDAFYNKTKRDIEHVN GVDAERPSEILKRLFRILGSIMEMVGVDRLLIIVDRLDEMIGSLDAFFEPLSELIAKH QCKVKVLLTLGRQRSLDEGRMRRALGEEGYKILDWDQSD CC84DRAFT_1250995 MPSVEPDPKKTLEKARADLGALLERVRSSPDIDTYLSAIRTANV NRTIHFDNLWTIFPPGELVYATPFMKESQIFIVKECSILPIDMSESGRTNKDTRKVWD LHCWSYDWDGKHFTRVPVMFRFEEFAGAKVIDTLKCHPLRFKFPEGDPNSERETLEKR LIERGRLFRSFCARTTGEQMIYYEGETISHGSGFQRLRNKPKQASSDDDFYMAFFGRR RRKEAPPKASERRQYQITGPVMVDFSSYLQHAPNMGAQAPMGDIMSSYKDDECNCGSC TSNIPLRENQRRHFDMYELDREFTSDEQYLLCPPRVLGYHLHTRTWLELNIRHFITKE IQNDDAFKRLQLDGRQKDLIKKLVQSHTSSTQKAPMMEDIVRGKGKGLVMLLHGPPGV GKTLTAESVASLTGKPLFAVSPSDIGLDATDVEHNLESLFELAANWRAVLLFDEADVF LESRSSHNSDLQRNATVSVLLRVLEYYQGILILTTNRIKQFDIAVLSRVNLGIKYEPL EHRDKLAIFKDFLMRVENRHDIERREKIIEWFEEDSDAKEWFKPLNGRQVRNVLFSAA CLGSSGGDKLTLEHIKTMAKCTFKFQDSLLFEMEKWTKQNEAGRHDHY CC84DRAFT_1125114 MDPATAFALAASILQVVDFSKNVLSTGYQLYQNGSTLSNSDFMF VADDLSTLNDKIKTFARPDLSVSGPLAAENQALENLASELQKIVDELIIILLRIRNKG ERTVFRSFRQAIVTIWNKSKIEETVKRMDSIREEVQFHLIVSMIEKVDRVEVKADASL QSLDNATKSIVESILQGKVDLADILATQTSRFMEREDAREAAAIERHNDISAKLDQLT QQPVVELRPQGAVGKEIEKDILRNIKLYLSFSIQEDRYDTIKTAHETTFEWIFDGSNK RDAPWDNLNDWLRSNSSLYWISGKAGSGKSTLVKFLAEDNRFRAALELWAGEIPLIIA SYYFWNSGENLQKSQEGLLRTLLLKVVEQQPSLAPVLFPDRYEEPKINWRGSPTLPQL RRALGKLMTQTVGVANVILVVDGLDEFDPTDASYVDLADMFITASQSPNIKVLLSSRP LSAFEASFADYPKLRLHELTRIDIETFVNDRLRRHRRIADLSEEDARGVEALISEIVS ASSGVFFWVKLAVDLLLEGFRNFDTLEDLHYKLKTIPRDLD CC84DRAFT_1166781 MGASDIHKYGTDDGWHGKIEEGGEFPPEKDRYHLYIGLFCPFAH RVNLVRHIKHLTPYITLDVVRPYPKDGGGWRFPASNDEYPGATVDSLFGSKFLSEVYF RDDKDYKGKYSVPLLWDKKAGKIVNNDSAEMLRWLPNAFDIFFSDDDAIKKINLYPEP LRAKIDEIGPWMQSYINAGVYKAGFALTQEAYEAGVLPLFAALNKIEALLASIGGPYV LGMQLTELDLRLYATVIRFDTVYVQHFKTNLGTIRHDYPTLNNWHKNLYWNVEGFRES TDFKHIKENYTKSHGDVNPLAITPLGPFPEVEEGYDEDWRKVRVGEVRHPAVLKEEAV CC84DRAFT_1096850 MRSAGILSSITAYLTLPLRFVGYEWNRSSPLRSSPDTASPMYPD RPIRPLPKRRLRDRMSPEQADTIIYPPNPPSTGPLFNFPYSTGERSRTLNREGASDHH TCHCGHNHSEVESDEDDEGFTDEHGLPLPSSPSYHYSRTLPGRPVTGAVSAYHKPGST TSSVDGYESFENTNNKKKRKIPNMGGASTHPTSLSAEMASMGISHPDELAGLDGREVS ANYYTSAPSTPQHNNAGTGISGAGRGRFGRTSSGRSERRVLHNSTNIANAKTSSKRPS DQQGIISTAIANAQATPPHIGNENVSLLQQEAAKPSANKTQFTFTCGSDSAKNMVWPG QENGYPQPPGAYPTSAGAPPPSSGPRARPPVRPDNPVVNNQGTQTSPNMNGGVRRSKP STGAVADQQAPAPRKPRRSAAKLYAYAARKRRIEQEYQNFHNPPDPAWICEFCEYEDI FGRPPVALIRQYEMKDRKERQRLAEKRRLLEKARMKGRKGKKVNKKAQHNANNAQNHQ NPPPGDYDRRPENEPLDGQEEDYYDDEYDDAPANTAVQHRRCDHPGCHHHHHHTPVMP PGDSRGREASRA CC84DRAFT_939555 MRCATHSGCLPAAACPNGISQYTSRSAGSRWESSQMSNRAITDP PGAKSTRWQNEVAPGLPNHQYSGKWTPRFGEFASCTWKMCADDIREVVGGCWEGLGLY VDLHRIGNISWLHVDNPTRHISHLSSPFRGLRSVGKPQECPRAVLKHILARLHSLWIH EKQCDFQKDAITPIASRTVSPHPVHGPLKPLRVLQTAGSEPGISHRVTSRMRAHARDV KELVLTSSGYICSHTSFASTPLCAKYPTKAYIAPIDILYHFEHMPDVEVLLRRVLPKT ISAQRTSVP CC84DRAFT_1060061 MDHSRDPCPWVALNDFGGAFCMGAVGGAIWHGVKGFRNSPYGER RIGAVTAIKARAPVLGGNFGVWGGLFSTFDCAVKGIRKKEDPWNGILGGFCTGAALAV RGGAKAMRNNAIACGVLIGVIEGVGIMFGRMMAENTRLEAPPPPP CC84DRAFT_1125126 MNQPHDVEIILSHDRKYPFHASTLARSSVFFASMLTETSAARLS TKAKNAGVTKRWLIELIEMPSAQYPAGQLELIELNSNGGRADGWAGRVLNENGRVPTK IFKYWERVLYAFYGTEIRIADDDMGAALVDCIGLIEVAEYLGCVNLIGKPVEVALLKH GQVLFRSIQANPQGWANMSFRIRSELIFRESIIHLAGNWNTWKRNRTTLQGLTKTPEA RRLAEKYHRRLLERSRALENKLASHYPPKIAAPKEDLPVKREEYAKDILIWMALCWFR QWLAHKIIANKGYNADDGGFSLYEAIGAGGDAYMDKAVLSQFHAMFPITKKALNVIEN HVCEIKELMQSWVVKSGLLKSNCQLNIEQFPVSYITCTEFERADFPWLEDEQPAPPVR KGKRPGGNDIAQRNLEAAKRHQQHQEVNFGEEDGVIDEEDEEEEPMRAPKRGRIQQ CC84DRAFT_1097530 MSTAPFFFKEPFRYLRWASINKPAYFYSICIGLAGPGIIAVAVP TRKYLGYERIAKVPQTYPIPKGPRPRPSGFEDE CC84DRAFT_1261660 MRWLFSCMLLALLGAVQALSSSGQRLLVILEEEGEREKYSVLLE DLSSRGFHTTVQSPKSDKLSLFRHGARAYDHVILLPAKSKGLGPALTANQFLDFMNNE GNVIVALSSDHATPSSVQSLLLELDIHVPSDKGALVVDHFNYDSTSAADKHDVLLLPF PKAIRPDVKNYFAGEGLIAVPRAVGQTLGNESPLILPVLRAPSTAYSYNPKDEADVVE DPFATGEQLNIVSSLQAHNSARLTVIGSAELLQNKWFAEKATLNGQAVKTANRDFAQK VTAWTFKETGVLKIGKLLHYNNESASKKLNTSVAVPEHNPKIYRIKEDVAFQIEVSEY ENDHLVPFLPAENDALQLEFSMLSPFHRLNLTPLSQTANATIFGVSFRTPDQHGIFNF RINFRRPFLTNLDEKRQVTVRHFAHDEWPRSWQISGAWVWIGGIWVTVVAWVGFVALW LYSAPTTNSAKKTQ CC84DRAFT_1207791 MRFPGRSSKTTPTPAVPSILTLFPVLDDTVLEKAPVTHRADNCV GYGLEVVPIERCNTSCGKTVSPDTPEKEVLYATLTDWNRRSKPLPPLPNAAWKVLVKR KWYRLPSNAKNSDHFHADRASQVQLGLLLTICLGLLSVKPRLAKREPSEQSSDVATSP IRPSSPSIPLDTFSLNLSTPRQQSSACLSDSDDADSWPCTSQAKLHISVSSSERPLSQ LELTIQKTSGTGTSSYGQQFPPTISAEFDPIYPMNDSDDEVIWHFQASYDRIVLLAND ELSFHGQPQHEAERETSGKISPNDSPWVCYFNNTIMEVFVYASQRAGTATNSTQGANN TTETSSLPAFPFVIQIFEQWIQNGTRAYCEQQKVSERGALRKSDAPRYFLSTTDATSV YASSIDKKTKQQAGVSDSACQCQWIVQ CC84DRAFT_1063533 FWFMFVSNFCLSALSITNLGLISSMVGFILHQKNEIVTYQVNWP EETVQLVTHPANLWTDQGHMSNGVAGYGFFLGLIAMYVACRQRHRAGKPPSKTLVAVF VLQLLSVLFTLSAIIFVFIVTNETKHQHILESVARSNVPYPEHWWTPENWYKAVLELP LASEQHRSSISSAVTHMVAWKWILIPIFLLDVLTFVITTVEMIRQRR CC84DRAFT_952260 MSWLLYTMAAPPILIVFLRALSFVLPGKLAQLASFAAFFITSMI MMSMCAMYGVFASILLRAVGYGGLSQWTVARAFKWSMWLITGVTFKVTNSGGEEALLT RPAVFLGNHQSELDVLMLGCTFPKYTSVTAKKSLKWAPFLGWFMALSKTVFIDRANRT TARAAFDSAAHTMRTQRQSVFIFPEGTRSYAEQPELLPFKKGAFHLAIQAGVPIVPIV VANYAHVLNVKKREFNPGVVDVSVLPPISTKGMTAENVDALMKKTRDAMLEELVRLSH VSGTGNGVPLPRTSGRDEQGELRRRN CC84DRAFT_1198040 MAAHKQRVTDVTERSAPFSVKSKSAIVTGAGSGINFAFAALLLS KGCNVLIADLALRPEAQKLLEQYSTGSPRAVFVKTDVTEWTQLERMFDVARREFGSVD VVCPGAGIYDPHWTNFWHPPGSPKSKDAPNANHYATLDINITHPCRTTQLAISHFLAD AVSPTNPKRVLIISSIAGQASNLNTPLYVAAKHAMNGFIRSLGPLDERLGIRVNGVAP GVIKTPLWTEHPEKLTFLDEAQDEWASPEEVADAMVRCLEEGELGGGTVLEVGAGQTR KVEQFNDPGPSGKGHTVSNLERSYEEVFGWLGAKGWGRVKAKL CC84DRAFT_1166791 MRWVLAGPERAVAVAVGFSAALVGSAQSQTRRHCDAAAGRFFHA AGQQLPKQNNAASRIIPNTLPFFTLPPVSSKQFLQSPQN CC84DRAFT_1098189 MSSSLDQLKATGTVVVCDSGDFATIDKYKPQDATTNPSLILAAS KKPEYAKLIDAAVKYGKEHGRDLDHQVDITLDNLLVQFGSEILKIIPGKVSTEVDARF SFSTEQSVNKALRIIDLYKEAGIDKDRVLIKIASTWEGIKAAEILQSKHGINCNLTLM FALPQAIAAAEAGAYLISPFVGRILDWYKAATKKEYSAQEDPGVKSVGNIFNYYKKYG YKTIVMGASFRSIGEITELAGCDYLTIAPNLLEQLYNSKDEVPKKLDAANANSLDIEK KSYINDEALFRFDFNEEQMAVEKLREGISKFAADAETLKDILKEKIKAA CC84DRAFT_1178566 MVKAVAVLRGDSNVKGTVTFEQENESSPTSISWDITGHDANAER GMHVHAFGDNTNGCTSAGPHFNPYGKEHGAPSDENRHVGDLGNFKTDGQGNAKGSVTD KLIKLIGQDSVIGRTIVVHGGTDDLGKSDHPESKKTGNAGTRPACGVIGISS CC84DRAFT_1189045 MAPTMRLSLRTIEDVLIRSIRPVPITIKRKPFVARPDSNLRNAG TARAYVAATEDKPYGTTHRDWTRNHSHQTVLQQHCEFFDTDRDDIIWPQDTFYGFHKL GFGVLLSLLAVIVVHANLSYPTLPSWLPDPFFRVYVANIHKDKHGSDTGTYGNEGRFV PQKFEDIFEKYANGRNWITLWDVGNMLKGQRCIVDPIGWGGALFEWLATYLMLWPDDG RMMKEDIRGIYDGSIFYKIAARRASNRAYGYE CC84DRAFT_1178568 MAEIAGFLASIAQVASSGMQLSSAMYESASGAARAEQEVADIAD HVKTTAIALDGVARIVAQEDGIHDVTNTALSEAGDLAKRCEAVFGDVQDLIAKRKNPD QDESKASRMHEQSKEQKTELLRRRLDSLKHSILLLLHVLQLANAQAKGSIDNSLIAQE RDAIRELHQRQQDALRALQALESNLGDVFFSDDETLNGSAAPSRVPTINFLVHSSARG VSSDGKNSGPDRSREQAPSALGDKPETSDSDNSDETVTDDDEDLTINELARCVNRAQK FSKRITALQQAGNAHSNGRFPRNRVLKLYRRFCRKFEVAMSAHESATPTVAPLKPVQY THQHGQLVQPDEAARDPLPPTQQTPVTLPDPSAMAANRVVHSQSYSQPHQNSTRTAGP AVEVQHAPAAPAWTISSEPLGPGRRPQLPPLISPVSTVPRASRASPTNDTMSPIDDHD ARYSGTDGDGDHGSHEHGPVVYTKTGRISKAKKGLKVHVCEECGRSFTRAEHLRRHQK NHGPNQVRCELCGKVFFRADLLQRHLERHKDLPHQTYRSTFASNTESASPVADNQHHR HILPTPETVRHVIPSQHSPGGRSVNSSGASGAPTPLEASATASSTVFPRPHSTWETGK PQTAISQAPEDVASQRTHAWQTVNAQERRQLENTTAHASSPSIQQSHPGLPKLQPRAD TANGASAQKRYSNILPAPGAASSQHQNANYPQEHMPNMVPPVQAAVKAVASPAVQHEL HQSAHRPHSPVVSTGSGSAVTSYSDQSHVSRSLPASTPGHAANHNIESGKVSSDKEGV NWRLAETDAQPYRKRKHHRTVSQTDGESSGIDDRGSGPVAHRTPDLLDRSRSGSRSLS LVTPQDDRDHALLGASRTETRQVVSPRGVQSATALSPSLAQRRSTSRPSTGVSEPANA PAGKATSGPESPEPESPYSLATINRYIQNQGPQPGAQDDTTEAVSPQISLSPCERCRE YSIRCDGKLPSCTACATTKYAGECSFASMSAHPAHLVSANTGPPGPKFYENMSAMTRH AMAEPPSQLIQPVVASNQPRVQEYNSEEDLPSPYLKARDKGRSTAHIPRTSLDADAEA QTSRKRKAGAQNDAGEGGAAHERKRSKGESVEGAKGKDIVDLLLKEWTVPVS CC84DRAFT_1207797 MAKPLEIEPNREKRMLADGSTAYTMGVADAHFRPMAGLQDSQIM DDFELFKDTSCRLIPCATDKDPEHRHSHSRGKLTGRRRNAAEERKAFKGEAQRKENCK LRQRIAVPVHGCDRHRTWVSKDTTRDSLSDAEVHEEKDKLQLYRGQIELLGQALAEMA VVGLRDRECGVL CC84DRAFT_1166794 MRTASILAALSCAATTYAQAVEVGIEPSSPAPEGCDRSPKGNFT IGYIPASAVTKRETAVADADGSLYLTLVDGVLKDGFNRIGSVVANHQFQFDGPPQAGA IYTGGFSICRNDSLAIGGTTRWWNCLSGDFNNLYDESIGAQCTEIRIVATFVDQPSSS SSSAAASSTAGSSSVVTSVTSANGTSSTITSSVASSSTLSAIPLTSINGTKPSGSVSR VSTATPTATSSETGSPQAPNAPGAAAPIAVSTFGALIAVFAAALML CC84DRAFT_952920 MSQFPSLPPPGPYVPPNNQSQQLQGSSQQQQPHYGYQQPQAPSP YKAHAQDYNQPASQKPTKAFGQVFNQAVTQGKPMLDKLGKFISSKHSKPVYNPQSAPQ ENYQSYQQQHQQYGQPQVQQYQQAQPQGHHNQGQQFNPRPQSVYQTPLQSPFPQSAYG TPASGNLGQGNNYLPPQALPTPQQHAPQYQQAPQQASYQQSNSSSHNNPGEQAHGHSQ PPGQPGQGQVSHVHAQGVPPQTTYGQAQGQQTGIIGGTQIQPPQNFQQHGPPANVFPP EKQPQQHQWGAPASVNQHNASSTPQSPAPSLGGQQQQQWAPHQQASPAAQSPVHLQPT PPPQQQNAASPAPVHPNQQQAQQSPQAQHQQWAPMPPVSPQAQQVHQSPGANSPAPQT SEIHKPASPAPATLPQHQSQVEPSQQKSAQLPAAASQKGPSNAGPMGFIAELPAELGS MSPVEGAKTEQVSSNASQAAPYRAYKPGPGQNGSPGPGYTIARRAVSTSSLPLADPWR FADPVTEVPTREFYIIADLVFDSIDRMFEPQNTGMLEANKVLESWKAQQLPDEAADLF AHDCYSAFGKMWSLEGVPHVMVPSQPSLMPTWNFQQQIHSEELKLPDESTSVSTYPTY VPALNRAGWYKYSFLNWLHQPEDVEKMLSAFCADTYKPGILNQPDMQKRDKNESPALV SRATRISTTAVSRVCQEVVAQMQGSARDGRQGGGLRDATQGAPQAQHSGDVSDPDASL KMHSLQIQQQFNAMMHHSTPSGSA CC84DRAFT_1261669 MPISELVLGTLRPEVAQEGLSHIRKNQPGIFSTVEGSLSNSVGH VIKHNGKDTFSEYTPILALEWNRVESFHNFYPASAAFQSFIGVFGPYAGGKAQPQLFQ PSSGSSFFSDLVAQGAALIFIASAAAGKKEDISATWNTLLQAAKKDDALVGQWQGWGI EGDEGTWAGILSWRNVDTLERSSTDKSVAEAVQKLKALVELDEYLVSFTSTTGTAA CC84DRAFT_943059 MLSIVTKPMLQRSGSYFHEMIPPEPEIEDQQRSMHKPLPTSQNS PKSDMCTVRVSALLTLALAVVSFVLTLLVVLAGKRPGSLEDKYMLKLNASHIGEDIIR FERASSTASAAPAATTTSSSDPLNLLNPFSPSSPLSPSNTDNPLNQLNDSLEPIIRNL TNSINDVLGDAVNEVIEGLVDLAGLSDFYYLYTSTVCEGNATDRAGGNADGVKIARCT AYNDASGGLSALLPNIQSSIVIGRTNISIPIIAQLTRTVGSLSSTTGTILRATIAFLI ISMIGSGLAALLAIPSALFPISRLLVYTVVFFSQLSTLSAFIAALLLTALIKTVVSTV GGLGDALSLFVSEGSAVVVFAWVSWACMLVVSAYWTAVWFVEIRGWSFVRRRRSGDEV GNWKGMREEVWRDLKGGKST CC84DRAFT_1178573 MDNEVWNVYRQFELEGGRQYEAADSCTPLTGTARLPKDEHTRFT MLISASACFQLALKTFEIASSPYWVNEKQARTALQEQQRNKGMIQCSGCYAILRATRD TDSNYNRFNPMDIFMHRNPPINSPEIEEFWRSLIEVVDALRGIHDFKTQNDGYHGLYN DIKPESIITMNDKHKLAGPGFAKFKKTLEQDDGESLNKIIAQGRTTTYAAPERYARKS GEKMPSVTERRSMVHQLHFLFFGDDHTGTEQLRALDDGMEFKEYQNIIDHEMFSVKGD VRKMLLANFNPYMDESKKFLLLTKTLKLQRSSHRDTRCHMDHWIKLACMKIRKFLTDH H CC84DRAFT_1166799 MSPPTGVNAQLRHLVHYHLDNGLFENALFFASRLHAQEPRNGDA AHLLALCSLRLGRYKAAFDYAKPKGHQPQHLGCAYVFAQACLGLERYDAGVQALEKSR GLWAGRNHWNKHSDTLRRHVPDAAACYCLLGKLYGAHGDTKKAIEYYVESLKLNPFMW DAFTGLCDIGAVVRPQNIFKVTPEMLASISHSATNGHGPTSLASHDSFDAKNPFVSTP DVDPFNSSIRPNGDANGLNLGGPSLFSKLNGSMPPATSSYHDVETPTSNGQNIRDEDV MMGEPGGPVMEDMMQERHAPDVPRAPTRKTRMQNLNAPDDPPRMRPITTRSRLKPASE SNERTEIPQPQWQNGHKRTVSGHSMHHPAPTNAQPADPSAAPPRRSTRLQTVQSGAHN MISGIRSLSSRNATAMSRDPDLKDRRELRKVRATGTKGKTSSVGNVGRVVSGNRKMIV DVTEVTKHDSRPPSVASTAPPPKMPLPTDTSREQESLNWLLDLCLRIGSGYRHLSRFE CVKAVEAFNSVSTHQRETPWVLAQIGKAYYERSMWAEAEKAFLRIRERCPSYIEDMDV FSTVLWQQNKETDLAYLAHTLNDQDRLSPQTWVALGNAFSLQREHDQAIKCFTRATQL DPKFAYAFTLQGHEHISNEEFDKASYAYRCAISANNRHYNGWYGLGKVYEMQHKFDVA ERHYRAAFSINPNNDLLAMKIGSVLDRMKKTEAALMQYETAIRLNPHALQARVKKAHI LLKLGSAEEALHEYLVVKDAQPGDANIHLHIGQAYKRLRNKSEAIKYFTICMNLDPVA QRYVKEEMESWDEEVGGWSSDEG CC84DRAFT_1219946 MAQKAVKTLAAANTKRLNTTLAFTLGIHLVFWLFRALVFRSSFT RKSLLLYLALASPQLFINFLFEKQSRPVNAPDGSIKRAGEDLEAKGLTEYLWDVTYWT YGVLVLVTFLGDWAWIFWGIIPVYSVWLAWSTYSGMRGGYQDAAGVPQPGAATSKRQA KLEKRGGQKVQYR CC84DRAFT_1151302 MPPRLRSPNFPSVSSCPSFRVQSIPQPARTARDFSQSSCRQVTL RRRKFYEWLNGPGRALKQPLPGSTNYLGAYDKFGNLVRGNVAPERTPQKPKEGEAATK EGADGEASVTAEGQESADALDEALRQHEKEEQASKKKDGVQKLPPETAEDLRPFPLNR FFRSQPVLSEELREAIYQFVVNEGKTVPLASITFGVSNERVGAVVRLKQMEKQWIAQG KTLAMPYSKAVLEMVPTTPYIDTSLPKNAGKRPVVHEPINDLIVHPATRQQLFVPVAE SRHFTREDAGKAFDNNLLPADERIPHPELVKVVRDEIAGFSNEERRTLAEQRYRKAME EKTAAVEAKKLQELQTKKVVPQRRWDFVFQDVSVEDAGRDGRSHKGVGWRYGLPHQDR KKGQVKIPTEVEA CC84DRAFT_1178575 MFGGVVQATGTGHGSRAHFPAPTPSTRRKGADEGGTKGNGDADS SGTVARSRAAMVNGRSMAWKSAGVFRPIMQPDEGWLRTYCRSDSRRTASAPACWDSAC VQGREDGRPSPASWCEGRASPALSLQACVDGRPPACVPRPNMSRYSLMAARQGPSHPH PPPSETRCGSQAARTCCAVVNVAMSVAHPRRPQPSSAAGMTDGWPSFTGQRACSGRAA NHASPVRRRSQTQWAETVQRSAVLPQSQAAHLQPAGRLRSSVQRVIKSRTVHGQPSEP SPSPSPSPTSSPRSVLPARLRLLHPHPHRLVEKHSRPRLAPALHASARTRLFVDDVLR GVLLFCPTASARPIGCPGAPSAASSPPKPSRILASLASAAPLPRPRTRRSPAPISSAA LLHALCPTARDLLAPASCRLPCLSITARASTAGPPSAAAHTRCDAPTPFARAPRTAAP GSLRGPLHRVARTASRGRHPFSRRAHPVSAAVRANHLPARAPALPVGAHQKANRALQR FEAVLPPESPSAPATDICPGRNPLHLASAAATLHLFACDTPRPPRPHRFVSLAATAIP PRQREAWPNTMTADVFSPSHAYAMPAPIGKRRDLDKNGLLSFSEAETSPEPKGAHTIF LRKLPTNSDKDAVRNMLLFAKDLIDCELVSAPPKSPDDMGFVSAVAHFRTLDSAKEAR DLLNGKRNATNDATMIVEMLHDNLPGTIGSRRNTVDSTAMRQGSISATNGIAGATANG RQSSRYNGTFQSLEKMSPPNSTPGLGNGEFPVQNLFSATSPVNTSFPHRIANVGKNTI NDEADDDEGLLKESIGYGTTPVQNAVPRRTTNPSSGMPITRGFASLSLNTNGVNGMSP PPMPNYASPRSTATMQSPGTALSAMSPHSSISSAMGNLGPNASYQQFQQHYNRPTYPP VNPADQNPPCNTLYVGNLPVDTSEDELKALFSKQRGYKRLCFRTKQNGPMCFVEFEDV SFATKALNELYGHPLHNSVKGGIRLSFSKNPLGVRTGQATTMGPTSTITPTTPLPGFG GGNVNSPPGFSTATGPPPGLSAPPGLSTPSHSNGSPAFGMYSNGGFGMSHNDMASPIR SQPMPAPLTANGHGNGFGGMGGNYSAYMMGR CC84DRAFT_1189051 MGIFETVAILTATCLAVALFYGLYNLYLHPLRRYPGPSLYAAYR LPYVIANMRGRLAFEVLDMHRKYGPVVRIAPNELAFTEPEAWNDIYGLQGGRVQNPRD KAAYTPPVSDSFGEAKGIIHASDAGHARLRRIYGQAFTPKAIEGLNDMLLKYSNLLVE QLKIAIGTDPVQDLSAWFNYTTFDLTGDFAFGDAFHCLDSGGKSHFFLDTVLAGVVVG CQIWQLERYKLLTILSPLLSLFAGDEMKKAEMMGRYTQELVDARLKEGYQPDRKDVLN YLLQSKKKEDQLETAELYENALTLVVAGSETTATLLSGVVYFLCRHPAVLEKVQDEVR GSFKRDVEITPISVNGLKFMIAVLSETMRVFPPAGFGFPRIISSEHGQSVAGRWVPSQ TRCNIFHYAAYRYEANFARPEEFIPERWLVNAPAEFKNDKREVVQPFMVGPRGCIGKG LAYAEMRLILAKMLWHFDFELADPTKQWHERLRSFLIWERTPLRVRSRPVRR CC84DRAFT_1166803 MSINGTPITETEASKNFTMTPPLFHLSSKVIAITGGAAGIGFAL ASLLVAQGAKVAIADVNPTTLSAAHASIQELCKDGGEVLATRVDVRKRAEVDGWIAEV VEKFGKLDGAANLAGVIPKSINVERVEDLNDADWQFVLDVNITGVMQCMRAQIPSMNI KGSIVNASSIAGLGGFPKNAAYTVAKHGVIGLTKTAAKEVGDRQIRVNCIAPGIIDTE MHRESVRIRGKEGDYKIQIPRKGRPEEVAALICWLLCDGSQYITGTVQIIDGGVMA CC84DRAFT_1125168 MSKPHALVVGASIAGPMTAYWLAKAGFRITVIERFPKFRPGGQN IDIRTSGVTVMHKIPGMEPAVRAKIIPQDSIQFVNSKGKPYATMKPTGDPNQQNLISE YEIFRDDLSKILYDLTKDNPNVRYVFGEQVSAIHQEEKGKVTVEFQEGKLSRQDYDLV VACDGATSRTRALGFDSTVRTHMHPMNMWCAYASVPTDYLNGSKAATMYGSIGGRAVA FGPDPTGGNRVCALAVLPQKEVDATLPFRKAQKEGDDALRKYVGQHFASVGWKTADEV VQALTAAPDFYASENVQIKLPSLSRGRFTLVGDAGYAAGPTGGGTTLAMTGGYVLAGE LLRHKGDIDAGLSAYEAIMRPVIDDMQRIPPGVPTAIAPQTWWGIAIRDAVLVAVTWG NAMFGWVLKYLAPSFGGDNYNLPEYEWVE CC84DRAFT_1166805 MPSPSRNIPAAAAKPQRVLACILCQSRKVKCDRNFPCSNCVKSG ATCVPAGSIARQRRRRFPERELLDRLRRYEGLLRHNKIDFEPLHPSSTTDQSNPVAEG NCFELSSKIQAIDIASSVESPESEHVDDTPNSKTINIWHVMNQRSQSPSEDGETDVDE YDVDKELHADIRLTNVKTALSHLFEDDDDGRFNFGARSDIDPITLHPSQVDMIRIWQI YLDNVNPLLKVTHTPTLQTRIIAAAADLASATPQLQALMFGIYCVSMLTLSDDECITL FGNKCGDLLRKFQLGCRQALLRSGVLRTDDRDCLTALFLYLLSTKPRAEPRSLSTNLA VAIRLAQHMGLHSEDLNSKHTALEAEMRRRIWWSLVLLDTRVAEMSDFKCSILLPGWD CKPPSNIHDFDLGTETKTPPAPHEMITESTFAVVRCELANFVRHSGYWLAFNNPCLMP LARRPPVGSSPAGDELAVIENLVEEKYLKFCNPANPIHFMIVWMARGYLAKHRMLAHY ARHARAPSKQTEDQRDLALGYALTLFECDTKLLSSPLTKVFTWFTMTVLQFPFQAYIH VVQDLARRPTTKLAGRAWAVMSANYTVRFTHWLGSDDNPLANIISRIVLQAWEARRAA VPSETETVPGIVESMMNRKQAGMTPDYSHLPSWIQQGTTSNDDSWMPVPIPAPMPMMS FDTFDPAYNFAWPDPMSNMQDTSSAEMSMDSMNWGNTEWSSLRGGGQGW CC84DRAFT_1166807 MLSRCLLLKLGMSNSPGSSFLPPEIVECFQSFSMIQGLKRMDLD GSYQSDLVLLSHWKQISATLLHATYVTNGFSYFDSRTANIERALRDLNPLLAVYSVTS GASDASRLLSLREILRKGARLAFTLFGQPSFWQFDWSQAVPSSSSQYHHEPREFLGKR APSLNRNASDALNTRNLVIWPALVRVVDGEGARVVREDGVLSEKITLETLASRFQDDS FPKGL CC84DRAFT_1166808 METISRLPRRLTQLYTDTKVSCEAVIEQDTTDTQPEINALHRKY RIQKDRLIAWGLEWSDSTKGRQDDIDESVERAGLTETVTSVLGTIKDILDEAERMHPP AVLAGGRMLSGEKTRPPVTSAWAAADRSRYEDLAKDLTTSIDILYDLSRTRRTQREAS RGSSPHHKTVPPPPRRASASAVFSSSQYSASDLTLINPDTIPVIAHELANIATQTDFP PKLNPADLALPQEEPPPYESVGASSIRVIGRLRTKHTSTNPWKTDGAKSVETPVLVEF ATYDPTYRSTGVPTPTDRLETLLSILGRLSTNQSFHGTLKCLGYFEDPTQPRFGLVFE LPSFVYSGAADLSKPVEELRPVTLLSVLQTGSKSLHNSNSATPPLEDRFRLAFTLALT FNKIHGDEFVHKDVNSSNILVFRKNKRQSANSRALQYALRSPVICSFDLFSEYDIEPA STMPPLNIYRHPEDPKFTGVKEKTYGPQFDLYSLGLILLEVGLWQPLADLWKPKYTLI DFKQRVEDVYIRRLASKCGTAYMQVVRDCFWAADRIESGAESLQNFPQLYNRILIRLQ RCCLLDEVDPGLDWGELSTGAPHLAGSSPLKRKTASHVQPAELPSSPSYRSAKRWALE KGSHVLERSRSLSKTTQSPKSSPNLPALSRHGSQRSQHSIRKSLSHLMSPKEEPSQEV DWQHSKTLHMDTPPDSQEHGDLALVNEHHRAHSTGDIQRSRGFQPEFGTPPRSMTHKD YKDKITLIQNLWRQRTQSRANTIGALIMDGIGHWPQAAIGYPTPEPEEKPQDQSMTHS IHIQRHPSQSVSPRDSTLEINTNVELQSRQKLRLHPVMFSEEIVDEWHKKILPRLERV LERTLRDSDETVSIDLVAVGETADKTRPTIFVTCSSVPKVKAVLQRRFKYDPSIFDLK VRRGRVRRSKLNRSSRRRRPPHRSMANTDDYEGDMHVMNPFHQERPVCGASIGAFRGE HLPPVSYGGVVLVDDEPLGMSVHHLLDAPSDDESDAGDEPVLSSGANGSANPWLMGMG SQPGVQIAPNSPMPMWELEISDDEPLKSDDEDYDSFDLSGSEFDSDEESESDNADINS IASRGTIGDIDGIELGDGEEIKITQPAIDDVDDDFFPNEEDRDEDHLDSHELGHVHAT SGIRRWKKDGIIHEIDWALLKLNDQRLQPYNLVQGGRRFCATAAVDPSQREISAKLEQ PVTRRHYTANEDEYPNGVASATSLGGLSVHCFGRTTGLQGGMVGHAMSSVRIYRRKTF SRSWHVAGGFGVGGDSGAWVVQNGTHKVVGHVLAWCERNHIAYICPMEVLLEDIKRTL GAKRIYLPGSAEEARHRARVQKHALKSDSCVEELEVAVEGLGIVDARVAPPPPPPRRS RLPLLSVNGEGEKENLPVLRSRVMRVKEVGGQLEMVAGGV CC84DRAFT_1178580 MSAKSPASEKSGRSSDFGQSPKDTINNDDSPWPSDDSNRFSSPE NSEKSAEVSNNTGKVYQNHPDTFDQNPGPTKHVSSRKSDEYIADMTAPGREAANQFTF QGSQQQSSGSSSS CC84DRAFT_1057526 MPRLDETTQQYLQDAEQGVRARTARAWDSFTNFAIRDNVLEVAV GLILAASFTAVANSLVTDILLPLISLLPFLARNLDEKFATLQHGPNYNATIANGYNTK DQALNDGAIVFAYGNFLDKIVRFMLIAVSLWAIASLYSRGSGDNIVKRQVK CC84DRAFT_1251039 MTAPSPLPTYLYKILPSAPPSPLPDRLPLSDLDKKDGYIHLSTS EQVPGTADKWFNEFSELWLLKIRYETLASGTDGDGETKSDGKAEIKWEEVGRGVFAHF YGGDLGRGNVEQAFKVEKGASWTELKLEW CC84DRAFT_1166811 MRFAASTIVALAAILSTTQARPHNRQGASGLVADSGSTNPLMDW LSSLLGALPTGGAGSGSGLPGFGGSLPTGGAGDGGFGSFPTATLEFPSGIFPTDPATV PTGGSGGPSFELPGFSDAPTPTFELPGFSDVPTPSSVAGVFPSNSAVPTGGVTAPATT APATLPTPGEDPLSTVSFVFASSSADNGFGGFPGFPGFGKKA CC84DRAFT_1166812 MPLDLSNPGRRIHVGIILMGETEILDVAPIDFLHALSPKFIHAM PISDELKAKALDMEFHWVTEKGESASLTAGISLNATDSYATCPPLDIVLIGAYFPTYT PTEADLAFIRKAHDNCTAFLTICGGILPAQLAGLLAGHTATAPRFMLPMLREQDPRTT WVEKRSHHDGKIWTSGALLNGLDMMREFGMHYWPELTAVAVPLGGWPERGIEYEGPME QLVGEIKWGAATA CC84DRAFT_1096824 MTSRDGFTWTPSDGLKPGVPSLGVISPSSNISSTQVEYDVIVIG AGYCGLTAARNAALDGLKVLLLEGRDRIGGRSWSSNIGGYPFEMGGTWVHWGQSNSWR EITRYRMEKDVEMSFDFAKGVKHFELNTPESSVTMTHDDEDILLDSALKKFTNIDGFY GRKTIPLPYTTFHQPSSIPLDSLSALDRINEIADTLSPQERAVLEASILLASGGTLET TSFHEFMHWWAMCGYTYQGWLDMLITWKFRDGQSTFARRFFDEALATKRLSYAFNTPV QHISTSPSAVEITSRSNTTYRALRAICTIPLNVLSDIKFTPPLPTGKQAAIAIGHVNQ TVKVHAEVSNKDLRSWTGINYPNELHYAIGDGTTPAGNTHIVCFGGQNRHMDPEVDVD RTKRAVSSLFNPGNYAGQNVQIERLVFHNWSKDEFAKGAWFFAPPGLLSEHLDDMRGR HGNVVFANSDWALGWRSFIDGAIEEGTRAELEVREEVVGLRGVKQKL CC84DRAFT_1261687 MGDMKEFKSSSELERHATNDEGQVRDVSHRLVGLNVQSEHARAM SGYETISAGWVICNSWVGIAATFALAIAQGGPVSLIYGPIIMFLLVGACALTLAELAS VYPTAGGQYHWTSILSPKSSSRGLSYCCGATNVFAWIAICAGIAIIIPQQIMGMAVFY NPSYVPQTWHAFILYQGGNLMVLVYNVYLLKRSMWIHDVGFIISISCFVTLVITSLAR TAPNFQPAKVVWTTFLNQSGWVDSVAFLTGLVNSNYMYAGIDGVIHLAEECKNAAMVV PRALMSTIAIGFVTSFVFAIVMVYCTNDFDAAVSTPTSVPIYEIWRQATRSDAAATVY LVFLLLMAFFALNGAQQTASRLSWSFARDNAIFGSRWLSKISPTQDVPIAALVFNFAI MFVIGCIYLGSSSAFNAFIGTGLILQHLTYAMPAALLMYRGRSSAWLPQTRSFKLPSW LGWTANVTTVGFAIFVLVIYCFPVAMPVSGSNMNYASAVIAVMALFATLNWFFYARKN YQGPRLEFSD CC84DRAFT_953294 MSGIALPPSGGNGGNRPNPRERGCFQCSRRRIICDKAEPSCGKC IKKGIECSGMNRIRFTKGVARRGKLKDCTIPDVGNDGTIQLPSSTSFPIIRWNNERKR GTKRRQEDPSRGDVQSRAMSSDQRQDITTRSFRPMMAEQLQSSSDTVASPTQTWHDRS ASSELEDDVEGMERVEGAMMKLPAEHGSLQRWISPLSAEGRMLFSHFSEAIAPVMVVF DTNSNGYRELLLPMALEDEVLRRAVNVVAAQHLSREFPGMKHAAEAGRAAVISRLRKD ALSATEDQIFSKFTWATLIVLLVGETVTGSEDCGFLIQMLLCLSANSRAETGNTIVKF LQKQTDMFELLCLPLLGEHTGVSAIQRSLQSWYDWLTPEQFAAGSENHQNLNGIRFCF DAAFTIYTRRATSENINSSVDSRSQYSRLQALTIQEVAQRLSLMPPHTDGAHALVWPC FVAGAETSDPDQRAFFVNYMESIYARTKFRNIPLAVQSLRRFWESKGERRWTQYLPEY SKVLVM CC84DRAFT_1151339 MSMTVEEAGVERPGFPKPFPNTPSNVLEQFQMKGKVTVVNGAAD GIGLAVAYAMAEAGGNVALWYNSNHAAITRAEEIAKEFGVKTKAYQVAVENADAVEKT MDEVVNDFGKIDVFVANAGMAISKPILEQTLPEYDKQMSVNVNGVVYCAKFAGHHFKR QGFGNLIITSSMSARIVNVPIDQPIYNGTKAFISHFGKSLAHEWKDFARVNIVSPGFF DTKMGAGEKVINEAYRMTPLGRQGHTKEIKGLYLYLASDASTYMTGSDVLIDGGYCLP CC84DRAFT_1166818 MRAASRKRSDARELACVACRTRKTRCSLQPGHTSCSHCIRMNKT CIFSGPPSRTPLTRKNLDYLEKRCRGLEAQLARLTHDATPAAPAVSTLSESIAPENSN IVASDGQNPDVHDSSEQFETTFDWNESQNTPGGDTQHTGDGMASLTLRDRQRGYLGNS SGSSLLEKLTSTLPRHIPPDWKTPRHHAYNPDLQMQRLALAHGMSSSVVRESLIEAYF RAYSPSYPILHQQSFRTACEKRSSISPNSTWHIVYFMVLAIGEWVSGFNKDSLSMYYD AARSRLSMDIMEAGTMNTVQALLLLSNYLQKTDRPNTAYNFLGIAYRVALGLGLHREI RLDRAPETCALQQRRLIFWTLYSFDSGFSLTTGRPIMVSDEFIDTKKPLNIDDSKCNS NSALPAQVSYPTRSSAMAAQSCLAIIANRIHSTFQSVNGIRDLEQVTLIMEQALNDWK QALPAYFTASEVPEWFLGPRAMVLWKSSNIRLVLLVTSQKCSAHAGYHRKMTKKICQL ASESGREICNFCERNQALLHHGLTWYVVYYLLQCLLAIETQRVHLEDKSPLLETNQQL PDALPEIITRARNCLRELSRTNMPAARVCQLLDHLSNRRYPEDRNTITCSNDDLTADQ APESVVTFNIPHQNSLSEPHSYLPGSMGSNDLSQFPLETNIAGDSWMMAADPSLHMFI NGSDDLGDIFDDLGGFPSANDGDNFSHYLRGHGL CC84DRAFT_953422 MLITRGFSLTNFVIGSSALGFQVFVLYPWHEKLEAQFNRLTDEH EKMLKNMKEIHQEELSQIRKELELLNRGKR CC84DRAFT_1096840 MRAARFYGKEDIRVEEVEVDPCGDGQVRVAPSYVGICGTDLHEF LGGPTFAPVTPHPLSHDSVPITLGHEFSGVVSEVGPGVSQFKPGQHVVVQPTLSCGSC SACSSGAENVCEKGGFIGLSGGGGGLSDSVVVSQGSVLSLPEQIPLKIGALVEPLSVA WHAVSAASLVPDSTVLILGGGPIGLAVVQCLVALKTKKIIMSEVSKTRQRFAREFGAH HVLDPKTYDLVAISRELSGRGGPDVVFDCAGVPASLVTACQAVKPRGTVVNVAIWERE VPFQPNMLVFKEAHYTAVLGYQREDFQAVIDHLATGTLKPERMITSTIPLNDLVEGGI KALINDKESHVKILVEVGGG CC84DRAFT_1125200 MGVTSIQDAKVLIASTKVKERGAGLKDLIHILKHNHGKASLETI TNKAYLALCETLFQCLRDERSTYQHHLAGRTKSAQTHANLLQLAAVALRHVINSALRT IKATTVELIVETIIELLPDKNGRFLGPLDELPKALRALLEYQPHVERMSHDCWHDTVQ FCTESLSTIFAVAEEEPHDSWETTVSSRARTPFESTDGAPRPSLRGNDSAPRATPRGT ASRSRRYTDGQTITAEDLVQCLHMLAKASNAPILSCAHAILDALIAFLQKKTGRGTPA ALAAINAILPRVILSKSQLSEQVIRELLPLLRSLWSDPVIRDEVMITLTYVEAHLRHL VATDSDGVLSLDAEALVEAFYSDYRRRQETTAHQFLEEDYLCFRKAGGVAANVHPLST HTFSMDTENVRYESLWATVAYIAKLSAMLDGRKHKLARGNDRDDELATKRHRITHHFD EYLRHVSETRSNAKRSALQVLAFMVQEIPLDEDQILALLDKLTVIISAENSVHSSWAM IALAAIACQQQATKDALKPYWSSVWQSATRAVTSLSSCRAACHLMDVLLKLDVVSFST VTASVQNMLFSIELSGPALLTETSAAFMTTLIRERVKENPTSHDVTAERILNWLFGKW TPHLWAERTSTSLNAHHCDARDILSLLHACLDRSVASVRPAVFQILGPIAQARLRIAN FADLSAYLLLLEPQEKFIRELTLASGAVNSTPSSCAIQLEGRIVNFCISELERTKSRW KDMSQQNPQGITSNMWRVITNFCVVTAALSALLHRNDRPVAALDAATDSAAQSLSHLL AKPQTEQYKMEAVLETCAASLPDITSITTLSSTVFHEAGVSYLATHLRRALNSRQETK RSFYAEDEDLMDLDGGMDSQMSNGTSTNEVEVPRHDLQASGEAGALHACSATYLHLIS SVGDQLEDERDTIPAEFIEHLVSKSQSDLLRSRQLLKGILCGHFHMSAADCLKLLEKL MEALIDPTAREYNSSEVANCMMVETLVGMTKVWNSEPIDQESEEVQEQTQALYEYYTR DMEKSGVRASPSLQTRVADFLHGLLSCYNISNDAKSPSVRTRLFQLLSKGEMTVKYHI AKKLPTVFEVWSLSTHDDILQDVDSHLPGDGEDLEGIAIRLLVLSKLASRWHTLLRQC VYRIFATAGVVSTAALHASHCISEVARAKKLGDSTSLFRLFAPQVIFTWLDRKRKYAE IPYTIFGYDSLAHLLRDVESEAVGQAIMLGMESEVDYIAQELGVKVSELLSRNISKAA AYTISWDTCRGSGRNKDLPSNEQLLCKLISKTDHEQKVGGDRGNMKNGHATGPKHLSL DQKYFALALGHLFQTVDYEERIKKSLEKRNSSDPALHALTRMLNNSQSSGDFNVGIEP SFSAFYLPDQVDRLCRRAFGGITNFWNPATYTLVLRMLLDRIHPALGSLYARSMIRKI RIVVAFAGSVAYQGYPLQMTLQSLRPFLTDIQCAEDTIGIIQYLFENGMQYLSDNLSF VTGIGLSILISLRSFLGSSQEIMTQQSQYLASMDSAKVFHTWLTAKLKGYSEGLTVND PSSVNAFKLITTAASQVRLQGNSIRDSEESKLLLEILEDVRSGRKLLNTTSRDVALNL LCQDFQPASTAAEDILGNDIDAAGYAPFVWDSCRRGNVGHGYLRWAARVLGRAFSAFG GVERSSAHSHPWSSQDPNIRDIVGRASREAVVQDIIDMLYSDDRNNVSLAEDALRVIV SRLSRLRRDEADEVVRNIPSHLVEALKGDLSPSTIPVLPNESLEDAVAPTSHTKAAAE WIKDLAIALCNAASQDHVLGALPKLLDGMSHMADKLFPYILHLVLLKDAEGDRKVRQT MSAAIMDWFSKHEPSHVPYVRSLLQAILYLRSQPIPREMTRVDRDRWLEIDWLRASEA ATNCGMFRTALMFAETYSGTPTVKSVSRRSSIMQEAPKLPVKLQLSIYKNLDEPDSFY GVDQGSSLSSAIERFDYEGDGLSSMLFRGARFGSQMRRSNVVNATDSRGFLNSLIKLN MDSVANALLSTDQLRDIGDEAVEYTLHTARKLGKWDIKAPELNHSESSTLYKAFQGLH YATGEASAKLSIDNQLLATMEFLFGRQDSTSLTKIRLRTLAALTEADELISCGSPDQL LDSWDRVKGREKWMQTGEFEDVRQLLSCRETLLSVMGSNNALLDAIHTRAGTLRSMEV EALVSTSTICRRHGALQESLTSVTYLSDIVQDCKAFGLDVEASAKYEEANVLWDHGEQ EKSIGIRQELVDYGNFDSQDKQISLPVLLAKLGHHLAEARSATPEKIIQNYLKPAIRE LKGQIQGPDPGQVFHEFALFCDKQLQSPEAAADRDRAKSIMDRRAQEIQDFERLIRQE QSKQTRETYKRSHHKAHVWYKLDHAEYERLRKGREELLRQCLENYLLSLQASDEYNSD ALRVFSLWLEHSETMLANSAVHAHIDKVPSGKFALLMNQLSSRLQHDRSDFQKLLTNL VFRICIEHPYHGMHQIFAMQMKTANVTREDVIRSKDEAAKSRQKAAASLAAELAKDKR SSIIWSCLFRSNEIYHALAMFKDDKEQRQGRDISLDRYPESKALMHKVPTYKVPPATL QIEVRADMDYSHLPRIVRFKSRMSIANGLSAPKIITAIGSDGHTYKQLYKSGNDDLRQ DAIMEQVFDQVSRLLKKHTATRLRNLGIRTYKVLPLSTRSGLMEFVQNTIPLHGWLMP AHERYNPHDYKPDKCRKDIGAVQQETLQARVKVWNKIAENFHPVMRYFFLERFQDPDE WFERRLAYTRSTAAISILGHVLGLGDRHCHNILLDEKSGEVVHIDLGVSFEAGRVLPV PEVVPFRLTRDLVDGMGYTKTEGVFRRCCEFTMDTLREERESIMTLLNVLRYDPLVNW SVTATKARRMQEQQDTNAAQRSNTAGPERTPVPSGISATDLEVQGDDKKKDEQAGEAG RALSVVEKKLSTTLSTKATVNELIQSATDERNLAVLYMGWASYA CC84DRAFT_953480 MADDDGLQALEALHRDLCALIDNRLPVLDRLYHNLEDHLDSLKA LVNKRGKNDQSRNALSSGKITIDGEEYEVNDEFKQEALNVAEELDLDELEAAACFLGA QQEAQDLDRPQAQTAIIRFHRHREYILLCLRILMKAALDSSEDETAQEDRLQLAVDLI VGVHGTNNFASAYQFWGKCLESMGDIEKWLQKIAERLQSAQVVGQSQSASFNEVMTYQ LQSLTRQHENLAAICTHMVRKGFANMENLKALIARAKTIDKHDLITIHYVPVLMRLIA HIASEANTVTRDARALHSSLLAARDNEPWAQRSLHAATLAWWLAEYSGRYTDPNHQDV DLNGVDFDQEAIARSEAFMRTLDDGAFHFMLSFSQDVRPTRWYDPQKTSMISSLLQDA AVLAPDSPQPEDFFRILVTEQLQFFVDSFITNMPDTLRKLKAEEDDQRRRLQLHFQQS TGEYPLHLERFLVVVSYAYDGSPDAAEDFWSDKESNLYGFLQWAAKRQPTPRIAMFCE MLRAISTGNANADSAHRFLLEEGASSTGKIRRTSSLSYTHIFSELIEFQLNIHEPKKT IQGGLYAPSQHAVDQIVEPESATMLESYLRLLAHLCRESALARHYLLEHPEYNLAGIC FGLCTDKVVTGLRAAAFDAASALLVGKGKERSTATWNTLDEWTISGFYVSSKQSSALN PSPRDEFWATLADGYDESIAFIRLLQALVEPYPDNDTLNDSLPFPESLGSNRRIPGIE NYIDFVMQRVFADRSPEVQDPLQRHVLRWTCLRFMVTCLQTFNENLVVFANKSNIPVD EVIETSSLAAYVTLHPFTRVMEWLFNDKVIRALFASSHHNVAEVDAAPADSPLVQSLM LSIEVMDLVMKLQATYLDIVRPLLKQQNLMQRTPVSNLSLASFEDAVLNNLQIIVDLG LYCGTGHESLTIASLQLLEKMASSRKLAVSPASFGQRTGRSKIVGILEKDNEAERIGR SLASLLKFNVDELDALQEAPGYIIKLRILEFLNSCLIAVSQRPTIAHILLGLSCENNT VKVAPDSLFDNESSLFHAILLLAYHYPAEDGGNHIAWMSVIKTKCWEILQRLWRSPLT GSIVMEQMREFGMPFEEAMRLQVVDLRTLWDGMSLQQGLEEVAAGTMSSFFIGQPAFA LQLFLQRRAAFLDYESRELRYSVKEGLFSLKTRIQSLLLGTAIRPGEDPVRTADIFNL FDFMELSYPEPGEMHHEFFLGVNLTSCQDTKDGVAMYSIPLLEQVMLLKMKYWRKFEG LDGEEEIKRVQEGDMLLELFEDWNRRTQLARYHKDILRSWVQLLIVTLHAGEFDDSER TAFILQALQVILPKLELSYGTDIDTALQLASLSETLIEKIDLKSSAFENTRNGDLAND RLSQLFRAALAGVYSPISTPRLREYCYQICFRYIHGTFEKATRGSLLGRHTLTTIKNC GSQLLEVVCDDAYSGERTCRISALLLLNALVAVATRQESKYMLDTFGSLNFTGVLVDN IKHIPEELKAATGPQVAVLLSYYDASLALLLRICQTHAGATSVLNAGLFPSTRESGIF GVDPDIGLEFDDPDALKKYYELMLAVLRVINAAVLARGRQNDQTVFAAREFLKESRHS MVAIFKRSVNVGAGIGQDGVLIQDLSELVDCFTVLVEVTGFLEFEDSSRQQKARQNMF S CC84DRAFT_1198060 MSNLPQQQKAVIFNTTTDALSFTSAAQTPSPHDGHVIKVHSTAI TNGELTWAPFVDWPTLHVPCYDVSGTVVTEVAGSPFKVGDAVFGRVHAGREGTAREYA TLLPSEAALVPEGLGLEDASAIPMSALTAWQAVFEKGLLTGSYAPASVPRVTDAGEIQ GAELAKGKRVLVLGAAGGVGMMAIQFARLAGAYVAGTASGRNDEFVRGLGAEVIDYTT TSLKEYVGGEEGKKFDLVLGCVGGNAMLDGWNAVKDNGTYICVTPGFREPDGGKPAGV RSEWFVMDSRGSELAAIGRFIEKGLVKGWVDSVWKMEDFEKAFAKTATGHARGKVVIK IAQDEE CC84DRAFT_1151352 MAARFSVARAARQLTSSAARPQAPRACPWQKASSQQPRLFTVSA QVKEKKYTEDHEWIEASADGKTFTLGISEYAAKALGDVVYVELPEVDLEVSSGEAIGA VESVKSASDILSPIGGTVTEVNDALQEKPGNLNKDPEGDSWIAKIAVTGEPEGKLMTK AEYTAFTEDA CC84DRAFT_1166823 MLYEMIGVVRPGRLSEVKEIAKTAGKIVLERNGVVRGVTNWGTF LLPKPAKKLQSSHNVGHHFIMRFDASARTQHSLRRTMSLDPRLIRYSIVKMGTKFEEI KDIAGQASFR CC84DRAFT_1151359 MTTLEEKPDVQRQSNPADREKIPADFTTKDVEKSAIEHHPQTDI QYHVTIKTWCVVTILALSYGISFWIVPAISACQTVTATQLGDPSAASFYVSLYTMTVT IAFMVCGANSDLFGRRWFIVAGNVIMFVGFIVGGSAKNNTSMLAAMALIGFVNEDAGV YFAVVVGPVAGRFAAQNADSWRWLFYAPAIAVFFSFLGLYLYYFPPKHPRGLPTKQAL KELDYVGAILFILAATLILVGIVYTTTLPSKNPKVIGTLVSGFACLVAFALWETFAPL KQPLTPPHVFTRDKGRELTFPFIVGFVVTMFYYATNVIYPTMISVFFTDATTDFRYGI LLTLPQNLGLCFGAALLTIFGSKIGHWKWTLTASVTVMVVFGALLALGNPERKGMMLA FVFLSMTGFGWAQYLSIAFIQFGVPQVELGISGGLAGVSRFAGGAIAISVYTTILTNV QSTNAARLVPAAAAAAGLDPSSASALLAALPLGADALAKVPGINSEIIAAAGAAFTQS YVIGLRTTALSSLSFGVCAIIACLFCNDIGHKMNDKIEVFLENDVGAEQNKFH CC84DRAFT_1166826 MSFDNNMYYGWGAAGVVDSACYPLGTMKYDDIKPASSWELYYYS PAACPDDWARALTFTDGIPHNNMLTTLSVSASTTAILCCPSGFRYYTEGHVCTSAIKD GSTVKYVVPQNDDFGTLKIGPVSTSSYTAESHAFANGIVVMFQSSDVAMLKSAAVNPT AAVTSTSNLSTPTSTGHSETTGTASSTSAPTGAVTDAQSGTSSGGLSTGAKVGMGVGI PCALLLGLVFGWFLFRRRKANPYPPPGYDEAGMVKYAQVHEAHSEPPEIGGNHRMDIQ PSDTKVAAAQVHQRHELQ CC84DRAFT_953809 MPTVKRAKTSGFAKRTPCVLIIHLVPAYTHARSETLATFWRSPT PRGQPNSRPRKCRARPNPLSRCRSSRFEPCWECPSGVNLGDSVVMWHGGGKYSFGVDA HRQVWSGPK CC84DRAFT_1178595 MRRPLASGIAAPHLQTAARGPIEPADEYYAHRDESQDRPQGVAL LRRQSRARAWVVSAHATRAPRDYGLLRAAVVGNQSPWHPYCHVQTPATACSPAAGLLP SHPHGRILWNALRGQQKQRPDNRTASRALIARRPVTAAHSRQRDEWSGVAGAAHLPLS ATPAVAMAASTSERDLNPTVEDYHSDDSEDPVLESFRRSPAANVAAKRSHPSDLGSDK AQPDKEKVLHSDLQSDSGYSSHTAGTMSSADSVPSAKMSQTSTAPSSAASMPPPSPAA TRRRPTVVEDRRKTTESPRKPLQRNNSTASRQRPAVTRRPTLAQAEECRDPNCKTCGP NAPPPRRGRRESLAMDSGLDVSYSPSDVRSQRSDPAPSTYASPPSPTYNRQPAPYMQG STMVQPAQTRPRASSTARRPMSYHGDPGQNYFVPGMPAPYPSPPHEYGPPPAMPTHYS MPQPQHHPQMAPFMMNATPPSHYYQGGHPMAQAQHMPQTSPPYEHQRPQLSARTASNF PARRPVSGFGGALVTYDNNKAEQSMPSARYGNAPQSARQERFPMKALMPTAHHDSESS EEYDSEYEEEAPVAPPPKQLMPPPKMKSRSKQEQRPVLRHAKTTQVTQVYNDRRERRQ SVSQSQTLPERPRERDNRTSRVSTAPPSRSISVSRPAPPKRVTQSAYDTPRARVLVEN PRSSRRQSYQAYERAYEPQYEDDYDSEQEERRLRRRSKVYRADEDDAIVVTERPARRR TDASVKRAEYVVGKETARVMDDVEAYQQRTRGNYEPLSEQVHKIAKQRASRVPSDPDR KTRISQSARTTVTNGNNNGEIRLRVDASAPLSLSFNGDMEGRTLQINPAEDGMADIVI GGQRGEDDVYRSERGSVRGSRKSMIAGSARREAEEVSVKSGRSSQGRRDREVEIESRR DRDRDAERRPLRRQTRYY CC84DRAFT_1166830 MSLLEAKVKSVLSGDTVILHNINNPKQERTLSLAYVTAPRMRRE GDEQFAFESRDFLRRQLVGKVVQFKILYKIPTGANREYGLISLPNRTNLPDLAVAEGW LKLRDDAGRKEDSEEAAAILEKLQVYEARAKAESKGLWQESGSRISSSSELSDAKQFV EDNKGQDLTAIVEKVLAGDRLIVRFLLSPTEHVQTLILLAGIRAPATKRTSPSDGKEQ PAEPFGDEAQQFVETRLLQRNVTVNVLGTSPTGQIVATVRHPTQGSIAPFLLEAGLAK CTDHHTTLLGGDMAALRQAEKRAKDSKQGVYQGHVAPKASTAGQNEATVSRVQSADTL FLRNKAGAEKRINLSSVRQPKPTDPKQAPWVPEAKEFLRKKLIGKHVQFRIDGKRPAT EGYDEREMATVTYQGKNVGLLLVENGLASVIRHRQDDTDRSPIYDDLLLAESAAQEAQ KGLWSPKAPSAKQYVDYSESLEKAKRQLTLLSRQRKVPAIVDFVKSASRFTVLVPREN AKLTFVLSGIRAPRSARNPTETGEPFGQEAHDFANKRCQQRDVEIDVEDCDKVGGFIG ALYINRENFAKTLVEEGLASVHAYSAEKSGNANELFAAEKRAKDARKGMWHDYDPSQD EDAEQADSGADVNGQNGDAAVERRKDYRDVLVTHVSDEGRLKLQQVGTGSAALTELMN AFRSFHLNPTNNQGLPNPPKAGDFVAAKFSADDEWYRARIRRNDREAKKAEVVYIDYG NSETIPWSRLRPLSQPQFSPQKLKAQAQDAQLSFAQLPSNPEYLADAIHFISQETADR QLVANVDQVDKDGTLWVTLFDPKQSDKPDESINAEVISEGLAMVPKKLRAWERSATDI LGALKKRQTIAQEERRGQWEYGDLTED CC84DRAFT_1261699 MCFFPQVTHACGHTTLVTHPKAIRLCPYALRFCTQDLDRAPPCC TPLGPHGRDDLYNKDIIFAIIEDSSQCAGCHATESTPARAVRVAQSNQSWETEELQGL KLSLNKEIENVEKGCQVVEFDQKIRAIVKTIIHPWLRGMLEVNGDGYSSLGEVVLHRV RNVQRLVDNVLAMCNTGVPLNSLRIDFHAAVDQYEKAFNLMDHFQQIVRLLHDLENRG SYQNELCKQAEMCQLLLQGPQVAPHDLAYLQSGFKTPNAFQPKRDSGVVPGTYLSSHH ALSKNWYASALVNLLKQKDVLPFRREFYHGPSRNTNKRRRTPPAIQIIVSDEERAKFP KPDMKYWSHAA CC84DRAFT_1097794 MPDTTKVVPLTCHGHSRPVPHIHFSALQDEEQYYIISACKDGNP MLRDGLTGDWIGTFLGHKGAVWQARLSSDASLAATASADFSAKVWDTHTGEALHTLTH DHIVRAVAFPNQQQPQILATGGKEKKMRIFDLNNPSQPYEIGGGVHGDTIKSIVWTAD PSILVTASEDKKIRWWDLRTQSTIGEYAVEGPVGTCELDNTTSDGVLSVAAGNSVYFF NGNSPASLIKSIKTPYELASVALHSGQRRFVTGGSNQNDTWVRVWDFDAETELETNKG HHGPIWSASFSPDGKLYATGSEDGTVKLWKFTNGPYGLWK CC84DRAFT_1151374 MSKKSHQDHGIDAAPSVDEGQLAAEKQMRDIGAELYLEVQQYSR EELDSERKVVLRKIDWVIMPMICFTYMIQFLDKLSLNYASAYTLIPDLGLQGQRYSWV AAIFNFGYLFWALPANYLIQRLPVSKYTGSMILVWSLLLLCHVAAKNYAGMLALRFLL GMFEASISPAIMNIVSMFYTREEQPLRMCVFLAFNGMATMVGALLGYGLGHAHGTSLK TWQLIFLVIGLINFVWSWVFLWVMPDSPSSAKFLTHKQRIVAIDRIASNMIGVKTKQF QPRQALEAALDIKVHCLSLIALGCGVVNGGVSNFASSLIKGYGFSGINATLLQLPTGA FEFFLVPLCGVAASYFKNTRCLLLALICLPPLGGLIGIRLTSLSQRWTLVGCTWLQYL IGGPVILSWNLLTTNISGHTKRSTANGLWFVFYAAGNIAGANIFFAREAPRYYSALTG LIACYCGMVVVAGFLGGWMAWENKSRDRKYGQGEDERAIVEGFEDKTDKEATHFRYAL CC84DRAFT_1251106 MLSPRVISFARDQVYWECFSQAASEESVDRVWDRDPHLDNFNKF EMVNLFGMRRSSAGYIQWSITPCFG CC84DRAFT_1151376 MPSIATWALAALAAVQVAHAAPQRSVSHTARCGANFGLTCKGSS FGNCCSKAGWCGSSKDYCGDGCQSGWGTCNSSGGNKPVGPKVSKNGSCGQNGGTTCLG SSFGNCCSQHGYCGSSKNYCGKGCNPLFGKCDGYQPAQSSTPVVVSSAPVASPSSAIP VSHNARCGTKNNASPKGQTCRGSSFGNCCSQYGYCGSSKDYCRTGCQSQFGHCDGQPV SSPIRSSVVSTPAASSPASSSAIVSTPAASSSIISSVVASSDSSSTSVVSTPAVSSSI VVPSPTLVSSDVASSAVPSSSVDSSSTPVVSSSASSDIPSVVSSTPVASPTCTPAPDF QPSGTCNYPGFPRNANAAATYLMTQGTTLDGCKQLCFNSEPCQYFVMQGSSCYLYNRP VSGNGDLVPDSSVRLYERSCFPPPGACFSEGPASSSSTE CC84DRAFT_1166833 MPTPKVLIVGCGAVGLSQGFHFSTGAEITYLVRPGRSAAFAPPK RLYDYKTDKLHTFENYRVIESTTDVAGEEFYCVFDTLDGHTAQSEGGVATLKSVGDLI RNAPKTFVIYDAVGADIEQHYASTMGISKERLVLAFSMLAHQPTPMISIPPTANRDLV AQADMLYSHLGADGGLAVVNKNGELTKKIEAVYNQNGRLKIQRQPAIVGDIVMIAMVQ LVVWHIEGWPEWSRLPRSGESWTLLIRAQKEILSLPRFGWTGWILSWFLGSWVTAKMI EFPAKGASPLAYHEFNAFHHGGKVVQQDVQALEDLVAQGEKAKVKMDATQEICRQARK KLEK CC84DRAFT_1219979 MQSIKTPLLEIHYLSLGPPTGWPVILSHGFPYSPHAYANVAPLL QKAGARVIIPYTRGYGPTRFLYQSTMRSGQQAALATDIVALMDALGISKAILAGFDWG GLASCAAAALFPERVAGLVSYAGYDVADVEAQKHAVAPALERVMWYQHLFQSERGREC LSKDRRALCRLLWEEWSPNWGSVDEAFEHSAEAFDNEDWVDVVIHCYRFHLGKEGGDP AMDELEEKLARKPKIDVPTITLDGLEDPLKPEGSANHAQFFTGRHERWEVRCGHAFPL EAPEEFASAIIKVHEWSESDQ CC84DRAFT_954045 MTRSAILSPALLLGAKAFAAYDKFHYTTEASNWPCPGMSWSCIA PSICAHDGLTDLYNCCDTDAEDAVCWNNATPCEGEEEKTPASNQIGCSSGANAFCCLK GREECTQRFNQINVCWEIADDPFRNVSQAKMNATFSSLSSASPSASKLAVTDIAILTA TSTSIASTITSTSTRKSRALSAATDVSTSSLLANALNTASAEPEEPNPKTGASGGTIG GAVVGAVAGMALIGGGFFFLFRRGRESDSAPKGSELDCHGSANPYLVNQTQMQSVPQE KYAHEVAAQADSEYPPQELSATSRPAEMDGSGIQPKVPHSFA CC84DRAFT_1178604 MDTHSPIPTTATSHPDDPIPCEPRNVPELTLKPSSPQQHIEHTS PQSLGESMIWSNTSSDDSEAPLTPNTEPERQMDEIVDKLQELPAATVNFNEQPRPRRA STTLISENPSDIRRILGDGETATQLISQCCGGGCCLLKTLAPDTASPNFLPVVIPDNK AFRSLNLKLGPLALDSELTDTTPLPPVNMSFDKLPTAETKHVSRVHKEPPTYMKPHPP YDVYSAPLYHARELTKPGAEKRTFHFDIDVTDYPDEGGVEFKVGGAIGVCPPNSQDIV DELFDVLCIPKFARDKPVTLKTYTGRWPTIWGDEKARELVTTRRELLTWCVDLQSFPP TKHLLRLMAEHADAPNEKKILMYLSSAQGQAAFCDLRTTSHLTIAQLLSAFPSSKPPL PALLSNLNQLMPRFYSLSNDPHVSAARPGLPGNRRLIEVAVTIHESPDWHAENGTRTG VGSGFMERLALQFIKAEKEGIDPGVLDLRVPMFRGLMSNPLSRQFGGDGPMVLIGAGV GMAPFRGFILNRLKNANCANKIWLIQGVRDSMVDELYSGELGDHEREIKKVVQSRKVK VGASDAKYVQDEVRLQADIVWFVVNALDGRIFVCGSSKGMGEGVEQALVDVAMQKGNL SEAEAHEFWNKKKEDGQYIAETW CC84DRAFT_1178605 MRAAADWLSIAAVCAADRASARVTLVSPARSRSSMEVAGDAHLV SDALAIARRPRRLLLWPAPPDATAAGSTIPTGQLSPPCPASHRKAYRSVDARRRKTVA RRIGPLPRVGPSAPFRNSTASCHDLTTISRDVHVPAPCAAGYLGTLQALGYSLAAPQP SWTLP CC84DRAFT_1097572 MRNSMTFAAKAWHDAKPPAKLRKSYKQELADKGVVDVEEHEGEA EDMGFVKSEKANAAAKVNLSAKLSKEGATANSAGGMKEMWRLIKIASPEAKSLGFAFV FLLLSSAVSMSVPFSIGKILDIATQPEGSKELLFGMDINTFYAALAAVLATGAACNFG RIIILRVVGERIVARLRSQLYRKTFIQNAEFFDANRVGDLISRLGSDTIIVGKAITQN LSDGLRSMVAGAAGFAMMGWVSLKLTGILATIGPFVAISAFVAGRQLRTLSRKIQKNL GTLTKVAEERLGNVRTAQAFAGEVTEAGRYNRQIKRIFALGKREAYVAATFFGVTGLM GNLTVIAVLYVGGGMVKSGAITIGELSSFLMYTAYAGSSMVGLSGFWGEMMKGVGAAS RLFELQDRNPTLSPTKGFPVKSARGPIEFKNVTFSYPTRPAVNIFKDLNFKIEQGTNV AIVAPSGAGKSTVASLLLRFYVPTEGTISIDGRDITTLNAKQLRRKIGFVGQEPVLFS GTIAENIAYGVPNATRAEIIAAARKANCTFIGDFPDGLETHVGARGTQLSGGQKQRIA IARALIKQPDILILDEATSALDAESETLVNQALGKLLQEKNTTISIAHRLSTIKRSDR IICINADGQVAEEGTYEELTSNPTGAFNKLMEWQLSGGEPHPRIESPRPTEVEEIEQE LDEAADEAADEEESERVKRRIYCNPRAFLLPIASQTRCFSGSRTMSEQSKVQEYKQAG NRENKPPKHEAVYFKRLMSEKRAFGDFRTVLHTGLYSQIVAMEVPVGGEIGDEVHLVD QILLFTSGKGLATVAGKDQEVNAGDVVVVPAGTQHQFVTRGDQPLELLTVYSPAEHDP KTVHKTKEIGDKEEDEGKDEAPEWSNASKDENEKKGLVKESGKY CC84DRAFT_1097273 MANPIHLEGTTLEGGGQLLRLATCLSALTSTPINITTIRGKRFG GGGLKAQHLTSVQWLGNASNARMSGVGLKSKEITFTPQSRIASGLEWRNGGDVHIKQN TPGSVNLVLQAVLPYILFANIGSADAKVKKKIRVKITGGTNVSNSPSCDYVEQVLAPM LSLIGIPPIETQIHSRGWSQGGARLGSVTYSITPLTKPLPAFQLFERGDVKSIRATII APKEAEQRFRDEVDVMFERREEGMFRSTQPDVQITFEDSMHEKRFYLLLVATTTTGVK LGRDWLYDHVVRVGKIDSIISSIVKKVFNDLIEELDHEGCADEYMRDQLVVFQALAVG KSNVYGGKRKEVLLEPSLHAKTAHWVAKELIDVHFDDDGGCEGIAFGADQDYNTAESV VVDETASVLKKLKVDKD CC84DRAFT_1064174 SWTAETHILVFSVLTFAGLVATLMAHQNKPNPKWPQLVTINSIV SLFSLPMRAVISLVLAEGISQSKWQWHRQSRRLNGMVHFDAAKRGPWG CC84DRAFT_1097582 MLCNLRPKRAYYVSYTPLGSRVIVASLIAVLGALVTIMVSLTDS FAQKLLQFEICSQRNDTTLVTVAKTNLSNASRMEIAPGLYDEYNPLAVAVNVGLIQLV RDQISVFSRGCSSGDCSFSSTDGVSFLSSHGCEDVTTYMNNLSITNEHNEIVPVITVG NQEKKIDATFVPHA CC84DRAFT_954031 MPSYLRQSQSSRSYSFPPCLDSLDYSSQSENELPDIDEEPFAHF LTPLTDEDDPYGPLSLSAGIFAPNTPRTSKASKFKSNVADKWARYVKGNHTQLHSRYH VPQLQTLDEDDESFMQLEDDRLNDTPHMVTHIATPRITITEPTRGRAQELLTRRSRRR YSRTLSGHRHSWREPSPDLFTVDESESEEDDMPVLRRTKTKKSRDSLGGRRSSRSRVR SEIAEKSRL CC84DRAFT_1097757 MFLTTIGAAVPSQISPPPNIPPPPAPEPIEVCELPLPPVAPSDD VGSCTLQVNPRGTGCILRVLDGFQAGDFTTDGNNVIVNVRFTGAPASPDPASIYTGDH LILVKADGTTFAHGDPWKCLSCGVPGSQQQLRDPQLDSPHVFRSGDKALWGHNILDCN GTQLADDECTAERTNIYPIYWATIKNGTDVNGAPRELRLHPDDTHLGWSSFTPSGGQN TFFGRLRFNPEPTSGDVLAPRYELVDVNLLRDPNRSEHLTVEEGELKFHDDVIAVGEL RGFSGTGDEIVYIGETYEANNIDVSAVHVVTGAVRRLTEHPEYTDPISFSADNKWIVA LDTRGTNRQMFMSGLRHIPPLIDLVTVTVASSTRNNGNRRFFNPILIDGYGDRGDYFG QQINAEGDGGNGAVNDPNWNARADPAFSRDGTKMVYWQAIVTGRECGGTNPLPCPVPT TQGQRVYRLMLAKLTSRAPQEVPPVFDVPDQLPWATPFPPGTPLLNTTRGPGAGKYTL RGKISGLADVELSSSSQDQSGSFDSVAVTYRDYADVDGYVLNGWENVTSIILWPNPWN NLVDWYSDIEQTGVVTASKKTGSDGFHLQIDAMLNIFNATGTLTTTVDEVVYEQPANG T CC84DRAFT_957890 MWTWFRGFLQSQRIFMAYPIRLYGTIRNRALPTNCTCDLFSIII NCNAQTLKAKPFDRYVNAQWHCCQSWLSWVGRTRLTSNYVGHTSTLYVCDH CC84DRAFT_1178611 MNNFDETGCLNAWGPNSEAEVAVVKSVSPNEKVQNPGLFECANG DHTCNENGNLRNVSKYYKALVLYNSGVLAESGNQGDGRATACYASNLASRLMGWTGIG RTCDPDTIGDYDGTPAELRPTGGDSC CC84DRAFT_1151402 MSDKPLRRSPICDRSSERHLFPFFAIVSWVAIMNFVDIQPTSFL DYAWTSPAQAGANGPKSATRTNRKQNRCCDQCRKGKRACDASILEDALLNGNGETPTT FHYSDVFGPLAPCGNCEKTKKTCTFEWLRSQRILQATTPQPNPTPPAKKRRTASKSLQ DRKDAIDPTCGQQAPQLSIGSGLGDGASYMPQSEPINVGVTFADFPCGIQFSDSLTSQ FQYDYAPTDFVWDDSPLLDLIPPCNVPEQPHNALPIEWDSGNGSSTHTTSPGDAIPNS EESSPSVGSSDDSKSPHEVMRLPRKRRRRGSSATSAISHSSIALTNDLLSSANTAFLA DGLLRIYHDSFETHLSCWLTEKTCPYSRDCDVSLPGNCGPDWNRIYHRVFKLDQSPSV RGRLLTMPEDRAASRALNLAIFSFASQWAVPDAKTRARYPFQIDERTGCIRQPHRTSS SQTEFNRSLQLNAWHQARKAVHEASDIESFRVVLAHIVFSLTQKPEDESDHVKFSPGS ETTDPLLKAKTDEDVDMKACEDLFSKLDLTMNDDGPPLYLEQGLRLIHSLRSRMTMAG AFGGRRKKKPRCWKHLQTSGLEAADRATVDVLFWLGIMFDTLSSAMHKRPLVVALEDS DLPAIRTMVRDGQSSPGAPIPSDPRAEDLWDDLLFRRQRMRKQRIHVRWPCSEDEAAS LLCEAAPIKVLLFRKVTRIQTLLARHAQSDKIETAVHSALEVYEHWQRAYAPFLRDCI EHHEELSERVQSWYVCLAGHWHLATLLLADLIETMDETESGLESRRRDRDSLKFIARF REDNCRSLSDIARCACLQENGILSQTKSGLFSIGQGALLSEPWSDVLVRAFAIAGAVL IESAKAPNVGNLGQDDAFRRAGNCVKALSFLGQRSDKALSAANLLTEELELGVERGDS LFPSAVPGTVACAG CC84DRAFT_1125262 MGITALWDVIKAEDHSVPIAQLAEAHFKKHERPLRIAVDEADWR FNNLTAQQVYMIRETSNEPAFQGIEKSMFYRICRLLTLNVQLLFVFDGPGRPWKRGRR GQGRINYEERRLLKELLNYLRIPHHEAPGEAEAECARLQQLGVVDAVFSQDSDTLMFG CSMLVRDDRVAKEKGNNNRSKENTKKSGTSVRVIYGQEIQQRHRFDREGLVLFAMLSG GDYDITGLRNCGSAMAAGAVRAGLGTSLCQCRTKTHCVLWRSELSAYFQTQRGRKPEI PADFPDIKTLDKYNRPKISTDDQLRNLRGLRHGWNVPIDEMKLLELTSSRFNIWGKLY MNWVGPVLLTKYLVSRDLTLPKENVHQIKITKQGAKKDIVQNVAPPLLKSLAFSPFTL TTLIQKDFEGDRAGYWTSKMQDPFEPDCTVKADIPVYLLERVLP CC84DRAFT_1097885 MEKVKRSRFAQWANKLAVENDQNLTTAQLMLYNHDLKPVEHERR QWGAWNFVGFWIADSFNINTWMISGSNIADGLSWWQSWICVWLGYSIAACFVCLTGRI GATYHISFPVVSRSSFGIWGALWPVFNRAAMACIWYGVQGWIGGTCVYLMIRSIWNDW RDDTGDGGIKNGIPNSGTNTVAFVSFFLFWAGSLPALWFPVHKIRHLFTVKAFFVPAA GVAFFIWAVVRAKGIGPIVHQPGEKHGSALAWLMIRGIMSAIANFATLIANDPDFARF ARKPSDAFLPQLITIPVGFAITSFIGIIVSSSSTVIFGGQPIWNPLDLLESFLNENGG SAQRFGVFLIAAAFTLAQLGTNIAANSVSAGTDMTALAPRWLTIRRGSYVCALVGLVM CPWHLLSSSNNFTTYLSAYSVFLSSIAGVMVCDYYIVRKGYLQIHDLYSGKKTAPYYF TYGVHWRAYAAYIAGIAINVVGFAGAIGREVPVAAQHIYDLNYFCGFGVAAVVYWSLC KMSPIPATSHTWLEVGDLISDDFNANASDEEGRYDEETMAGGSGKDALRKKIFSEDKN CC84DRAFT_1151409 MATATQAPTSLPAPTRPRLQAINQTFSDLNEQIHQATQIIGLPD DWDSLTGEARSKIAKRIVGARADWVLRWILEKLKDTADGGAQVRASTKAWKLLDWMIE VLPVSRCAPHLRDAGFLTILERVLEERYSDDAVIQPEPIPQGSHPRDDSSETVQEDPQ PSRKRKRGSGASTPSKRAALESSGSVTLFEALATTVRSIKDKGNAQEKREESIQSEHM KMVLRAESAQAARVLKNWLNAVLRIHSSTQRTSAHFDHLDLSLIVDIWELRAIDTKDN TGASVDQFSTECLIPSLLLSQALHQARKSGSDSSNFSNTLSVLDRLIAKHVLIPSRTA FFNDRSGKDTPRHNGKAELLSSSLAPLSAKLLQAAQIQDSGVEIPVYFAPLFAAVPHL LHLVIRSSPARSPKTRTTEKPWIQASLTTLSQCVGCELSPPESAAPSASIATVEACVT ILASYDVSIDTQVLRDIFWFHSGLKYPLHKETSVNWSLIAALVENDSSIFLADPKAAK SSSEERPDDLAAFLFEHISTAKFESPELHDDDGMEVDNDQPVIAAAVQKTKAFSRENA VKKIIVPVLHAFSRNRQLLGFIELWDTELRRTVLAKRDPLAELHPRVWQDRHLLLALA EVFEQSLTLTQITTLFQKHAERLEQYTKKNPIEALSSAVITQAILRSIKSDEAIDALQ PTMLTAWKVFQAWVQHDGPSQSTALEMSWTALCFLLRHLWPIHLHGSEALQTEFIEAL LDRAANDVDSARKEDPNRHVPSSCRTAAAIFVFVACDFLHTLPDTRDVIQKRLQKTLK AMTPGQMEPQQLNTTIELFCIEYAQLLAPFDADTAQKILFRLVETVSGFDIELGGPLI ETLSVSIFKQGNAVVEASFVSVLLNALDQSGENLRAASLGALLHISPSSLSREQREAA LDKLLGLITTSPSIAASILNIMVNLMQVPNATAKISSDGNALFNIAQALHKVELEVPP AIQLLQNLVELTLGHLLPNKDQAQNKVFFTQYEEKITASLKKPKTYSPARLAVLNGTL LATHASESLIPLSQYLEFLFKALSKWASSQEFVLRAYNQIPLSVLRKDDTLFEAVQEN LRRWMNDRYSLDSTLKKSSTVSEVQDNMSPTVLVTIAKFDLHKLSGGTPRLFELAASL LWKQSSQTDNTHVLQCLRDTLAPLNVSEKLHLAHGCISLADDDIPPQIAYQLLHVVVF AMDDKEEIESDLKAQQMALLPEICARLNEVRDDAAFNTLLDNVNTILLHKPNMTSQHN IECVLMALLKLATRSSSRLSPAHAPAIYARLCETARLVLLLHRSRLGGRFHLLLPLLQ GLLLCLFIPNLNRGAALPPWLDSLSPANPTRLTATNAAQYTHLVSTLCSPTQSSVQRT RSATTLNDPIKAAREYASQYVYPLLSSFCRFQLYGRLDAEVREKLMPGIWEIVSVGQL NKDAIDGMFAGLGKSERDVWRGVWGEWVRVHGRKERKVKEER CC84DRAFT_1166844 MADKTPEPTAQPVAPIPRTTRPMSEALLNEKWDRCLSSMLIRSG LGVGFGVVFSVLLFKRRAWPAWVGLGFGAGRAWEECDGSFKRAAAPPKDGLRVIRP CC84DRAFT_1219992 MAETKRASELLGAIQAATPDRLREVLTALCLEQRATFTATYQKL LVDESQQLDVGDSEDDASASEAELPPKRRFPMPEGPAPQLGNKRKRYEVCKQCDKEYD SLVNTKTSCIYHDGELEVDWEGDIWADHDERCHGTINTEAMRKEYPEGFLWSCCDRFG DKTGCRKGPHRPQEAKRRRY CC84DRAFT_1097315 MPHILSTERNLGRTRRARRIPSRRSGKRNVTSSLLPILLLASSA HAANQQTSSFADPLTGITFQRFLGARTGFSFGIALPQNPSTDFIGQMSTPMPNRKGWG GVALQDDMEGPLLLCAWPNGDKVVSTFRVAKNEDDSPPVVTGNFSVVQIDQGTVVNDT HMTFTFLCKNCIGDSRTTFTAQDTAGDFGMGWALADTAVSDPADPAAVLGFHNVGFDE FTAFLSQARSPLFGEWALLAGAQGAASNGSTTPIPVNPPGTTTGGGGGDDSDDEADSD DEDD CC84DRAFT_1261719 MSGLVYYGSWINWSRGKYGGATITLTPRNAGILVAFLAIFVSVA GGSLWRIIAFFLHQRRVAKDPRDGLHYQQQVILRNAATPGVASWQLLWLVPSWRTISR RPLWKSLPVVLLAVLNLLAFFTAGVLVAEVTRTAGTEVLVRSANCGNWTIDDTRLVYG FTTKTQNDTVSAATYARACYGGPTDALECNQYKVKALPYSISETEPCPFDKDLCLKKF PVLSFDTGNLSSHDHLGINTQPKDRIFYRRKTTCAPIMDKGYVTAYNYTDAVNASLGE FLGSDGDVLDFYDFGGTVHLDGSKANNFTFAYNRRMAAIGTGYGLSAIDYSNGSQNLW QPDKVLRRDDGDLSLMFLMANDILYYGMVEDPIFQASIEIKTILDAGQNVTLYSSDYF VNPLACMDQHQFCNPSNDKCTKLDAYAQAIVAAQKDLAYNPMQYGTVSTLSLELYLST ISQSIGGRGSSALRAQEVVSGLFSGPLPKDQWKKEVEYWFTIGLSKVQKYFVDYASGP SNVFNGTHISKPYDAPSRQLCESQIIKAPPGSNATSFSTLGVAIILTVGGLLILTHMV LEYIVANVVPTKNYKLIRWALDDKLQLQRLAFEGAGVGSWNAGLGTVPTTKRTQTFGM EIGGDQRHPTMTFTDDDEEKGPGAVMLDDAGSIRSHSFSTSKESGRTWPTASMQRVES VQIPPIRLFEDDREVWPLVRDARENPYSYNVGAARFDSR CC84DRAFT_1251156 MRSSILAVLASLGLANAACSTVSKVTHTFYGYPDNDPPGPATAY DCGRGFKAGGTGTYADPLTFASAQGEFKSCEIIYDPYLRKYLRYEDYCQQCTEDWAAS PKINHIDVWTGSPTVNGGQQQIQCENDLTPADRSQKIVRQPATNLPVDTFGTALYVKG ASPACRTSKVFPNYNIGDYCK CC84DRAFT_1219996 MASLKALLGFALYFLVHTSFASNIATFEDAKCKDSKENINGPNG YPNGTCTRIDKKGAYKSFQVVGLDEGCSVTLYGKDSDPKSSCSSQTQLEFPRIGACYN ASWVYFSIDFCDPPETRSSSTTLHVTSTPAASSTSSTTLTSTSATTPNSTPKTSRKGN TGAIAGGIVGGAVALALVVALVIFSVKRNRRLQEEAQGHAPGTAPEELPTQDVKHEIY SHEASLPPQEIGRNSVYIPPSELHSNAMKGGAEPGPAIDVKA CC84DRAFT_1251168 MARLPEIELKEDQLEFKKYEPTIAGVLGMVADVEKTCRVRGKKG REGKRWASFIDCAMTIIIKLSPQSSSTSPAARRKWCVFRTEEMQRAIADLYAHIFLFL NDTLTFFTKKHCRLLFDSMNDKFLQVFNAEIENIVRMSERIKRKATQMSKAEQRFTRL TLEESSKDLRLGLEGIWNDNAEMKYYALQFADRRIKLLTDTAQSNIESIPALAIEGGS SSDKRRSTIRSVSGEDAMVSRDQLLLATTCG CC84DRAFT_1151423 MPPKRRAPPSRQAAPKRPSKLAKEHGLTADQEAEIREAFGLFAV QHPDHEDSKEGVLRRDDVRRCLISLNLTPEKSELPEILSTIDPLETGFVPFEPFFAYA AIAIHSKDDSEGEDDDNEDEEDNYHGENDQVQVNAAFKLFTHGGPGPITLAHLRRVAK ELKEDVPDDVLKDMIREANGGAKGKGRDTGGVEVEEFESVMRRAGVSFG CC84DRAFT_958037 MPYGETSVDIEARVKSHRPPSSKGDDAFETRSLRRQLAQGRGLK GTYFLFAVTVIMICLHPADLAVKVMFLYGDILYNQIWHCQSYIRRIKSSWLMHSCHRG GFGYGTLVAERNAPL CC84DRAFT_1219999 MHPSIFAAESWTLYALGVGLVLARLISRLSTLGSIRKLQLDDWT IILAVIPFTGMVFSANQTFDPNTSAKDTTRRLKMRFLLEELQMTTVWLIKACLLILYR RIFPATRSSAKRRLLLCISVYCLASYALTQALLPLWCSPMRNYWNPSTQNAQCHTYHH HSILVLAADTTTILLAFVLPIAFIPTPRKALQASLFLLGTCVLGTSILARYYVLVQPR SPMYIHWYTAEVTLMVLFANLPFLSSLFSTAVRARGRSVALSSWPREYVGARDGEGLR WQVRKGSAATAESAGSVGSRKEMWGSDGPAPLLEIEHDGVVADVPAVMIRRFSIRDSV EEVEVGRRERRPSGMGLLPPLS CC84DRAFT_1096729 MQWGYRIHDLRATINWALSAMPKIILYDFPSREPCAAWLPSAWK ARMALNYKKIDYETQWVEYPDVAPLAKSLLVCIPPGEGPGLPYTIPIMTTAGGEHIMD SKKIVDYLEEHYAPPDYPSLHLDSPILARVTEQMPGIMQRWASSVFPRNLLNPRSAEY YERTRKGFFGISLEEFGRQKSGEAWAELDATWGGLAALLRATEGPYFMGDIVSYADLQ LVAILHWAKRADVSVFERLVAFEPAFRMIYEAAAPLLEQDT CC84DRAFT_1053975 VINIIYKRTPDLKLDTDYLVKTHIPMAYKAWIPHGLLGCTVSQA APDSDYAYMINIRFKTLEGWQKAASDAEQMGPLMADIPNFTNAMPDFVVGMVVE CC84DRAFT_1074391 QSRDSSATYLHFHASHHADLLEDFTQSINQLPAEEILVPQHLQP LNPEDEDDVVPDQHAAFGIQCATQGKKEIHWRDLGLEDIARRAHADGTS CC84DRAFT_1166853 MPTTGRCNCSSITVTLEQLPSNSALCYCSNCKRSGSGLCSIVYI LDRSAVTVNDPKATLKTYQDNDTKSGKTIHRAFCSNCGSPIYSSTGPDAPKLILKGGI FDEYPEPNFENFPHERPKWLNLKAGL CC84DRAFT_1166854 MKLDYKLFKGTSFQLKNVSDVDAAAAKIANMDMVKQIWPVRVFH VPKDEVVWKGTDGRTEFVQAALKKRQSNGTDSDTFSTHVMTQVDRLREKGITGKGTKI AIIDTGIDYLHPALGGGFGAGHLVSYGTDLVGDNYDGFNTPVPDDDPIDECEGHGSHV AGIIAAQTNPYGFTGAAPDVTLGAYRVFGCGGSAGNDVLIAAYNQAFEDGSDIITASI GGASGWTEDPWAVAVQRIVEQGVPCTVSAGNDGDQGLFYASTAANGKGVTAIASVDNT VAPQVLTNATYSTSNSSAESFGWTPGSPANWPNISLPLWNVNNDATDTANGCDAYPAD TPDLSEYIVLIRRGSCTFVQKATNAAAAGAKYILFYNNVAGLISVSAVVDGIEGAGMV TAEQGGEWIADLKSGATVTVNIVDPKVAPIYIVSSPNVATGGFLSTYTSWGPTYEVDV KPQLAAPGGLILSTYPRALGAYAVLSGTSMACPLAAAITALVAEVRGTFDPVELENVL SATSNPNLFNDGASTYPYLAPVAQQGSGLLQAYDAAYTKTILSVSSISFNDTANLLQT TNFTIENTGDDEVTFDLANVVAASGYTLEAGTIFPSVFPNELTATGATLKFSEDKVTV PAGGSAVVSVTVTPPTLDASRLPVYSGYITLNSTSDSLSLPYLGVVGSMKNATVLSAD ETHLTTTADPNSAPIRGNTTFTIPSNNGTAPNGTAYPEVFLGLALGSPYVKLEVIPQG GYATNTSSLGNIFGFPQVYLPRGGSLPEFNGQLEDGSFVPEGTYKLKVSALHIFGDAA KESDYDTVETVSFGIKYI CC84DRAFT_1098170 MGIITSLSILLTAAASVRAGFNPASKSNVVLYWGQNSAGQQSTQ SRLSTYCAGELINTTTLFIGTGGQPVMNFANQGDKCTLFSGTETFSCPEIEADIKTCQ AQGKTIMLSIGGDAYTEGGFTSAAAATAGADKIWAMYGPLQSGNSALRPFGSAVLDGF DFDFEANVSNMATFANRLRTLMNAAGGKTYYLSAAPQCPYPDWYNQDILNNAPMDWVN VQFYNNGCGASSYVPGATDQWNFNFNQWDTWAKASKNPAAKVLLGVPANTGAGRGYLS PSALQPVIQYSAQYSSFGGVMMWDASQAWTNSGFVASVKSLLRGLSKREMRWGARQA CC84DRAFT_1220006 MDPPVKITFQLEDFPTTPTGTGDGLCQECYDSFKPVGPARRKVP RISSLRVSSKSCQGCQTLLDFFTAAQRQSVYPVSASNPVDLKRSWAYWVSEYSQKKHT NESQAIALSGLITFVQDLKKDEPLLGLWKQELAEGLLWERGIWHEPAPRKRIAPFPSW TWMSLPGAVQYPSFRLTSKHIELIHPRICWSQGEYDSSLEIAELLLRAKVLKGTLTYH GRESAQSSLYVEIGSSKVPLFERVDIPDSYYDNTTVQLILIGGTDRHWFFLIASNVVN PERNPYVRIGRAYSPCDRAFKKLEEHFKTVNSQVLNLR CC84DRAFT_1151441 MVFRGRPSKACFACRGRRIKCDKNQLGCGQCSRMEIPCPGYPDP IEQSYRDQSEIVMKKAEANYRKGSRRRIKANTCSSNTSSVTTPLGSEVSLETGLEALC HNPSLHLDTIAVTEFMTTYIPQSPFDYLSKISTHQDPEGLMSINIRAVSLAMAASKLK DLRLLHPARRLYATALSDTNTALIRPGEATRDSTLVSVLLLGLFEALACQVTGASSNW AAHTRGALALLRLRGKDQFRSALGRRLFDQMCGILTFDTMMRKMPLPPDLLELVSIAQ QLHWESPRISFVNLVGEISEPPCVLWDINLLPSAKVAKALSLDQRVLQFTRGLPSDYG YQEFHQTTEHTLKSGWDTYGCIIHQYGHHHAARLWNACRVLRIMLNSVIHRALRELPL SHEGKWAQQRAVATIKDAATDICATVPQFLDPAKYDTIGIEASREARVATLIAALSVV KAESLAPQTAKSYAADRLKHLGKQFKVPQAESAANGLGLDVLHSGFHMFYVY CC84DRAFT_958745 MIVSNPGPQLGATTHRFILPKYNPSLPFLRLPVWLFELRREIFG CPMSLCLILSNEALVDHLSYRIPSLLQIFDHRLGPPRSGHAAATLRPRCSLPCYLCGS CC84DRAFT_959014 MSARWSTPMLSSDSTFSTRTKKIEDLEKASLSLSIPTSAHCIGY KCEKYESCTASYPSTANSSDVLLGQPPRTASHPSPPSKNWRQELPFIFITCIAQFLSL SALNQTVSPVMVLAKHFRVEDYGNLSWFSASYSMSVGTFILPAGRLGDMYGHKKIYLI GWLWFAIWSIITGFAYTSNHVMFSICRALQGIGPALLVPNAVALIGRTLPVGKQRMIG FACFGASGPLGATAGAVFSALLAELAWWPWMFWVLAVLCLIVMGLAYMILPEERMEPV RGPINAKPPTFDYWGTITGVSGLVLINTALNQAPLVLWTAAYVSCLLGAGCVLMVVFF FVELYATNDPLIPIRGLGKDTIFALTCIVTGWASHGIWAYYLYLFLEQLRGHSAILTS AQTSPVAITGVLFAFSTVWLIQRFGVSYVMFIAMTCFMVGVLLLATMPIHQSYWLQAF LSILIMPGAMNLSYPAANMLLSSALPREKQGIAASLVSTMVNYSISCGLGVAGTIDRY VTQAAAKEAGIVHPPPLSDESPELMAIRLIGFRASFWFAVAFSATGMAIASVFIGVTE KRKRALRREANVA CC84DRAFT_1166858 MTIGIALIGSGIFAKEEHLPAIKAASALSLTAIYSRSLASAKSL ASEAGDVELYSEDSGEGKGYDALLARDDVQAVVIALPILVQPEYIKKALSAGKHVLAE KPIAKDVATATELISWYKSNISGPSFSIAENFRFLASYDYAAAQFAQLGRVLGFRVRV QNFVKQGGKYFETAWRKKPEYQGGFLLDGGVHFVAATRLILESGGVKPVTTSAFSTQL QEHLPPVDTVDATVKLSNGANGTISLSFGTTFTGSEYTFAAEKGTVTVSRGKVTVVKD GKEETKEFPEEGNGVKQEIAAWGKALGEGKPDPRQSAEEALRDLQVLEAMLQSGEKGG APVELKL CC84DRAFT_1220010 MPEDKFPWVEPPAVAITPCDPWPPPYYLEDNLRKVTPYHFTYNT FCKERWRNREILDIFASEFRDRPTEYYEQAIVEGRVVLNGKPVPSTKTLVRNGDVISH TLHRHEPPCSAKPIGIVHEDDDLIVIDKPAGVPVHPAGRYNFNSVIEIMRADRGYGWN PLPCNRLDRLTSGIMFIGKHKQAAEDMSAQIRGRTVKKEYITRVVGEFPEGEIVCEKP ILQISPKLGLNRVRANGKEARTVFKRMAYYPPKDDKGGPAPTDGEAHEGMEWKTKRGY SIVRCMPVTGRTHQIRVHLQFLGHPISNDPIYANQRVFGPSLGRGHSEEENDDDIMTR LARMGKEEIADAVAYHDEMVDAYNKRKAEKMTGEKCDVCATELYSDPGVHELGIYLHA RRYRCEEGRWDYETGLPDWALPPPGYEGATEPTHESDPLAVDLKKLGIEDGGDVGDGQ GKPLGTAHAAEDGGDHQLIAAGAGA CC84DRAFT_958042 MYLLSYTLLLHVREESGYTAGRRLGLHSQPRKPAWDFLYRCCKP QAYDPEAKHGPRREDACSGALGGAYSLSKVAVQRGRDAGISASNSSRSLARVTGLLRG DPIALALEGSLYLELTSPQHHGRKPSFGSSATVFAVSDHLSWWEMKWGRAGNGKRILP SEFDLKILLPGAAQHGLWIRLDDGLEAVRPS CC84DRAFT_1220011 MRFAFILFLASTATALSFPDITEFVKFLPRKNGDSGNSGSGNSG SGSSGDGGGNGQCPAVWTSISKELTQKFLTGGQCNPDARAAIRLVFHDCGAWNKAQGA KGGCDGSLVLNAEEGSRAENKGLEKIAADIKAMATKYSVSVADLVVFAGNHAVVTCPG GPRSKTWIGRKSSSVAAPNGLLPDVNAPAASLSKLFVDKGFDDRDLAALLGAHTSSNQ FNFDLENKGASQDSTPGVWDVKYYSETLNPPPGVVVFPSDSKLANYAGVGKEFKGFVG NAGKWNGKFADAMEKMVMFGSSGTAGMVDCTDALPRSTSAKRDLKGMNPFKPRV CC84DRAFT_1063811 FALAAASPTEPDPIGQSPQIQDGLVIRAPTTCPVVQDGLTSTTF PWTHAPTCLPLVLPAGEHGGRHSTYCVFTNAAFNDGRGISIVTSPESAAELAAEVWET GLGRGRAEQGLWEAREVEGKGLGLFAKRLVDSGETIILESPVLVVSREVLGSVSHSRR RVLLEKAVGQLPEKTREMVMALSRRGGESEVEDIVNVNAMGAKVWDGTSHLIVVPEAA RINHACRPNAYYRFSDASLTLTVFSLSPIPPGTELTFSYGFSQLPFTSRTAALKETWG FTCTCALCSSTPSNISASDTRLSDIAGLKASLPTSLEDIPQYIALIPRLVALLEEEGL YAELGMYEEILAYAWSAVGSEGRARAWAERAEVHWGVVAGKDSWEARRCGELAGNVKE HYTWGTWEGDIWEG CC84DRAFT_1261734 MAAGDDGSGGEIRRRSTTHHVDDVDGVSAADMDTPAQHDAPQPS ATNADAPASQSSALESFSAPSISRLSTDNAPRVRFSTDIERHAPAQRSRPTTATSAIG EAAHAGTAGGTKNRPGTPGLTIDTREVAVGASSAAAQSPSPSPSGISPLPPRSAQSPL SPTSRNRGYSLRSALFRRNVHEQARSPGSSIELQETSGFSAGGAGSSQVDGADNTNTT SKTFNTTISITPAHVNFAETPSYLSNPPKAKLGKGPIALPNYDTWLQNKDKGSGYIQQ VKALGVKAKKFILRIKDIPPSKDGRHIDLDPSRKEPLTDERTNQPFITNWIRSTRYSA WNFVPRQLIAQFSKLANFYFLCISILQMIPGLSTTGTYTTIAPLLVFVTIAIAKEGYD DLRRYRLDKAENNRETQVLRASRTTSSYADDLDRNSTITEQLNWEIIKWQDLNVGDIV KLNRNDAAPADLALLHTSGENNVAFVETMALDGETNLKSKQTTASMTKTIHSQADILT CAAHFVVEDPNQDLYSFEGKVTVDGKTAPLTLNEIIFRGSILRNTPDAVGMVIYSGEE CRIRMNAIKNPRIKAPALQTLVNRIVILIVVFVILLSIFNTVAYRIWRSNEADAFYLN GRSVSFFPSFTAFVIMFNTMIPLSLYVSLEIVKVFQMYFLHIDIDMYDPVSDTPCEPR TSTINEELGQISYIFSDKTGTLTDNSMKFRKLSVAGAAWLHDADLQETQKTKLKHKKR KKGKQPIRKSMRSAKDVHSPLEPPTAVAEEADPMAGAGDEPQETQWKSSARPTKSQHE LRTQDMLRYIQRRPHTPFSKKTRMFLLSLALCHTCLPEVQEDGKTQFMASSPDELALV QAAQDMGFLLINRDVHTITLKTTPAGTDGEPVVETFEVLDVIEFSSKRKRMSIIVRFP DRRICILCKGADSIVMQRLKMATLANKKMVDIEKRANKRKSMEAQHAIARMSEQIERK SSVGGRKSFSQNVRASIAGRSSLGRPSLGGVGRSSTGRSSLQQVRDVDQWLRERENDH YEIESIDENSPMQTPRQSSVAVGRMSLAFSEARSSLQLEEMDEMVDETVAGDETAVIE RCLQHINDFATEGLRTLLYGYRFLDEDEYATWKKAHLDATTSLVDRAKLIEESGDMIE QDLELCAATAIEDKLQKGVPEAIDKLRRANIKMWMLTGDKRETAINIGYSCRLIKDYS TVTVLDHETGEVEQSMGAAVLAINSGTVPHSVVVIDGQTLAQISEIEPLKLLFYDLAI LANSVICCRASPSQKASLVKGIRKRVKKSITLAIGDGANDIAMIQEAHVGIGITGKEG LQAARTSDYSIAQFRFLTKLLLVHGRWNYLRTCKYTVGTFWKEMLFYLTQALYQRSAG YTGTSLYESWSLTTFNTLFTSLAVIFMGIFEKDLSASTLLAVPELYTKGQRNGGFNFK VYLGWMFMASAEAMVIYFCMLGLYGQALFTEDNSIFALGSITFTACVVLINTKLQFIE THSKTTTNLIALVLSIGGWFLWNIILATAYPIGTPIYFVRDAFFTSFGRSFTWWLTLL LILFSVHVFEFAVASLRAAFVTTDEDVFQALEKDPEVKRRFEEAAAEELQMGWDRATN EEKRKEDAVKKVVDQLKRKEEDIREEQVREMLRNRERGPGENGSDGRPTIGAEMEGEE VNRILSRGYGHVREE CC84DRAFT_1096941 MREVNFSIPNANKASVNITTALYDRRALDCTSTLPLINSLNHLA YLTTSSARIRDILTVDGGIERLICILKEGRSKDMMDMWKWNLAFQCIFNIGVRGSENV RTRVVEADMVPVIATILDNYIKVVDKCRERAEAELRRNARLGTSGRHHSSRSGEIASS SGHRAERTRRAIPPPIEIPQAFNHSQVPLESQNGDITPTPAFSLSSPPERTSFTRGRP PHHHHRSHENRAQLFGPVGTNTRNIGQPLVSALPTMETTETFTLRPVRDADRLPSMLP ALQGEITSQPESPTTPGAAQARQGSPRAAITRVRRRPSIRHQLSMSGESDLDAQQDDI AAEATTTAGTAVSEPIVGIQNNDINMSDIVDNAEMLDAGATPVPIAAPSDATDENHET FNITHRPALDGSLINPTTQATAPIGGFSPVQPAINVVNTNPPPPWYPRYTQDRTSATA GALAAMPRDEDVLMSLQLLAYVSKYCNLRSYFQKSHLVPKLKIGNDLQLLDGETASPT PMAEDEEDLEEYELPDDFNIFPLVEKFTVRHHTSDMQYWASVVMRNLCRKDDSRGGIR QCAYYQCGKWEDKECQKSAWVYHRHWCVAAN CC84DRAFT_1166864 MATPTEPDNNPKVLDFAAASPPQTQENRRPRFSDASATETMPAI SRLTVDTEVDVQSRGRSSSQLSATAARADGNSVLSPTVSVDSTLRRRPTRSNTVRHYQ SPHHAGRRAWEQPGAEPGVDTKKEPEDNYSNLKTECDITVVDFSDEGYNCVELDNDTL EAFLRDYPKDPNQCRWINVNGLSFDVIRTLGNTHSLHRLAIEDLMNTRGRTKVDWYAD QAFLLLTLSKLVRLPDDDSDSDSDCEDDDDYTRPRSRKSSFVDRFRARFGSQSEENPT ASGHLWRYPDQEKGCETDGPPHYRRKGQHATQIRTLQRYRGGPNLDRTIYMEQHSSLI SRKLAVSVEQVSIFLCKDNTVISFFEHSAPEVEEPIIKRLSTEDTILRRSADGSMICQ AIIDAIIDLAIPVVAAYEDAMGELELDVLRDPDIGHSQQLYILTSEIAILRNTIQPIV SLINALRDHKSDPVATASSFTVPTRKTIASLTISPLAHTYLGDVEDHCIMITASLDQM RRAADNLIDLIFNMMGAYQNESMKILTAVTIFFLPLTFLVGYFGQNFKVFPGIENHSD QFFWVIAVPVMVATMLILMSQRIWRQFKKWKTGFNLREARRKNGPLVEMRKGVHNGIG IAMGLKKEDRGNRHKHRSKSELRKRQTMYTRGNIGSF CC84DRAFT_1166865 MDYTTLLRHLCYTALIELYPACGRLRVIYLSLTIFASTSHLSLL PLTSTSYFYLLLLPLTSTSYFYLLLLPPTNRCLSSHLYIRLYLSYYHQTIRGTWSNG CC84DRAFT_1097332 MSSGVDVRRSRPGIWSYFPFSGPRRRISVSLPRSEPPSTARDDP YEKPDRHARHRSTSMNDFKTAATMNGGQRARYLKTGGFIAFVLLVLYFIAPSDGLARA ESFSKGQGQTAAPGSAAVACTKSYSKDKPLIQYALMIDAGSTGSRIHAYKFNNCGPTP ELEQEFFKMTEKKEGGSGLSSYDADAEGAAASLDVLMDYALESVPESYRACSPIAVKA TAGLRKLGEEKSNNTLKAVRKRLETKYPFPVVSEAKGGVEVMPGEQEGVYAWITTNYL LGKIGGPDKTPTAAVLDLGGGSTQIVFEPTFPKEPRGGLPNKLADGDHKYELSFGGRE FTLYQHSYLGYGLMEARNNLHSAIVDSIYQETKESGDREWLKKPIINPCIAPGMSRKV DVALPKDHALGATVSVNMTGPATGAPAQCRGFAEKTLEKDAECKVAPCAFRGVHQPSI EKTFAREDVFLFSYFYDRTKPLGMPDSFSLSELKDLTHRVCHGEQTWDAFQSVEGATK ELRGRPEWCLDLNFQLALLHTGYEMPIDREVKIAKKIKGNELGWCLGASLPLLEKESG WQCKIKQIQ CC84DRAFT_959165 MSAPSSRRELWDSLHNFLVVLALFSSCRYMRKHQSRALDFTNRS VVVVTMHATIQHHSASSPASTVPAQHLLALVIPSLLPRPLPCDEWHINALTLHLLHSG VFTLVQKPADPICSVLKTSLRLLRGHHPALRVGDVHHPAACTYYRA CC84DRAFT_1097643 MGLLNNLVDQFTHQGAHGHSQGQGYGQSPYSQQPYGQQPYGQQN GQQQSGGYPRPSYMNDRPNPPEPWITEWDLSANTWVFINRQTGQRTHEFPRGGGNWYP PPAHGYGAGGGAYPPPQQGYSPGQGDYPPGSQGYGLQEGYGGPPQQQQYQQPPSQQKK DHSGRNTALGVMAGIAGGALLVHEGHKVEEKWDEDKYRAEERFDEEKYRVEDRFEEDK YRAEDRFDDDRYRMEERLEDFPDDAARWTGRKVREVEDIPADVAGWVGRKEGDVERFG DGIEDAYDDGRDEARYEDDDDRRDNDRW CC84DRAFT_1198092 MANNTAAFQPKIPISTWRSEYPNAKIMIAVGGWGDTIGFSQAAK DNAAIQKFGTDIKSMLAATGADGVDIDWEYPGGNGADYKETPNSAKTYEIAAFPKVLA AIRKAIGKDKLLSIAVPGKKIDMIAYTDETGPLIWPSVDYINLMAYDLMNRRDTKTAH HTSVKGATEVVKNYIAIGAPPSKMNLGFAFYAKYFQTASSGSSQSALGIPIVPAEDAA GKDTLTSGAWTFEPAHMNPVNVTSLTISQDGTCGPDKGTKCATGCCSQYGNCGVTKEH CNGACQHAFGTGCTDPDIAGSWQSAAAGGLADEDAGGQYFLDTANSLFWTWDTPEFIS QKFSKIVRKYKLGGAMAWSLGEDSADWSHIKVISSAVDEGGTCDCQCGWGRGC CC84DRAFT_1166870 MDVQARGVMSKEMLETWAKRPKRNFSRPPNRFVTFAQARYAFEA LYHELIGFMQALDVEPPPAGVDASQWIQSEYEVFQSEFNALSICLDQFLARLTHITAQ EDRDSVLGIKLFREQIRVYLRLFKGFDPAKRTREIEWCVEEQDMKLMLELACELLRAP PDISVPAGAQPDDYYPNTTDPKYDTKSDIPAYSRPVFSSNTGLLSALWLVVSKAKSST LRRRAIALMLDFPRREGIWDGVVAGRVAWEILRLEETAVDGVLGVNPDQQAETIADIN KVRDCSIHYVAPRVMEVEFRSLRQWEAGPHVRGMKKLLAW CC84DRAFT_1261740 MALLMPCAAAFARIGGSPGAVPIPFSVFPSSYKNVSKDAVKEST KVQVEAKAELPKAGREGHSERQESFSVHVNQPAERPVEHRETYTEDVRVFEDRQRRPQ QHREEVHIHEEHRYRQPEQSRRTERVEVDIHEHRDSRDRYPSQRPIVGSQSTTYDRDF NAVRGAQPDYATTQVDVSERQFRERTRPIVGGYAHESHNLNTQPNFEQASSYRKESFG AKHDTYPSHREVDAVDSRYPRREEVRVETTRSTVDAPKKFKRDMGYYDDDGHYHSFRH GIKEAAHKVADHIAHPIHGSRHHHSHDHSSKASQHKDIREDIVVKEKYTTTSAPAPTH FRDTHVHAPAPRAPAPAPAPTYRPSAGPSVVSSSKTVTTRKMAPPNTITIPCHHIRIG DLLILQGRPCQVIRITTSSQTGQHRYLGVDLFTKQLHEESSFISNPAPSVVVQNMLGP VFKQYRVLDIREDGRVVAMTETGDVKQGLPILDQSNLLQRLTESFDNGRGSVRILVIN DEGLEMAVDYKVVHGSRL CC84DRAFT_1251210 MPHSERTASPPADASAALPSFPDNVPTAPLLRISLAKLLRNDAD EYERCWRACCELGFFYVDLQTEDGTGEALLEEVGQLFEVMKDFFGLPVEEKTKYDFAD QGSYFGYKGYGKGIVDGKGTRDRNEFYNISKDDILNLSPPLPCPDLLNPHRPLFESYI RASHNLCMLFASLVSSRLSLNPQTRASGGLSALHDLSAQSGDQIRFVKAPPQPHSSAA VALGEHTDFGKYTLSLLRDDSIQPSRRTPSAPPTVHRANAAFIVCAVLGCRKGALPGR VDFSGGRLRSNIHRVVPPPGEQWQVDRYSLVYFCRPVNDVVLKTLVEGEELGEEEEVS AKEWILRRALGRRREDGWKESAGTEGKYGIDIWSRAPSLKGTCENYFETLSIRQGLHF LEEVRYKQGLGRWNLLEIMFGRGSRNDLETPPPLSCSLPHHLDLRPRSHFSGPERRPT RHVEASRVVSE CC84DRAFT_1178641 MCAPKDDEEYEKEWPYSCDLSVLEGPRQAWAYWNSSVVDYQDDD DDDDDDDDDGGGMGYYLAYGRQEPMKCEDIDMPAGCTAKPLYLTTETVSVFLNGGKCL RRSVPWPLWSTCCQSNKPYCKERDHMYLRIQSNEQ CC84DRAFT_1097473 MADFVKGLFGGQQQKVAQPHSGGDDDFADYAGAPDPSPVSFASS VGAASAVPTSTVGQVPYTAWYRVWERTSVDDFKLELYILPFILLIVVVHLWGTRRNRS KAHHWIKSHAPVLQQEFAQVGYTRPQAGANDVSAVGLVNPETFLREKKPDEFASYATG RANVAFVDFKLTLAKRFNPLMRFGELAISLFFESMPVPIERMEATAYVFDGQEAKIAP AIARGVGEKVANSTFDGFVFAVVHKDLMKRLREDRYDLSLTASKDHAKLPLWVTVMSE SAEITDTMLTPELIKAITDAGDDFEALVITDQPMDQPKKLDDTVPRKRINLSLKLKSA STPYDSTLPLFQYFIRLPDFLASKAHFRPEALRRIKATREEQIAKIRKIDDEEKAEER KLASDKLKKEQRDAKLGRMTADEQRKYLDKERERDAKKRTKKQTVKG CC84DRAFT_1166873 MAGWIYRENRVPAYQKEYQKHDGVRLWMKSRGRWMIPAYKVVLY TSIGSSLYMMGRLVLGHKTWFGKN CC84DRAFT_1166874 MPPALDRLLASPSALRLLRTLATAHAPPTAWLHAAECVACICPR RSYATGPPDDEGPRWRRWKEQEDLRRHVKENLKVFRQRRHEPERVESVQELVQRLQEA VRSQGRDEILKEWILRTRIGFELPTDDTREAEVLWGTFIKDPRVVVELLAYAADLRKR TGHVYPRLYELCIAHWLPKPMHHRQALAYHQFMRRELQLDQLPLQHLVRILKRRLQPA MYDTLLEIYKDSSETNLYDEVVPRLRRFPAWALAWHAACILKGDLPSPDVAATPMVRS FIAHNATSSIPEVRKNAAVAGSLGLDDKMDQALLRRLRGQDTAPVRFEDSFCARMFAT RAVPPESVIRGLALVGVNEIGPLAVRAMASRTDPISELSQRFEELRASGIALQGCVFS LALESFAEQKQHLLVQSMLESDQHPEVYDNAKLQTELLNHYLEQHDWNQAHRTLAILS LFHQQRATRAWNLLLQSYSERRSPSGIVQTLQSMAQCKIAVESTSLVKLKTDLLPSRH RGHHPGRRSGRPAVTSDRPRTFDDLRFVARVYLFILERDMAYIHPLNWREILRRFGMA YRLRELRRLVQWLCCWYTPRGEELLSTVREPVFLNPAIKRSRSLLSARVIESRPLATG HQMKGQMHRNHPLRLLFPDSFKQALVVWGFRAGLLSNATVEQSLLTGSKDLGREGKKR HRRALRQAHMVQRLSWDSGLKMLTELRDLGLHVSSSPVVTALKVVFVNLFGRGRSRKI HNRMMEAANKTRYEEYVRRVNEIWGRPLLVEPRMYGRSNSHSLMWHPRFDRVVPRRSH LKLQEIVSDNAVYAHQGGYVHQYVHDKLEQEPAQSPEQGDPLAQHADPSEDPGLQQLL ATFEAQTRAMNPDAVPRREKPAATLPDSATKTSSSTYEDCEPTAGEAMDDEQEERRS CC84DRAFT_1098051 MSRLEPESGIWYATCWLVLITRLISRKLHHGSWKALGTDDYLIC VAMISMTVLMGAMHIVVRSSSNLIAPGEDISKFSADEIQRRIYGSKLVLVVEQMQCIT IWLVKACLLLMYKRMTALLPQHKIVMIVSIYTALGFVVMEILYLGVWCRPFNQYWAVP PSNPQCSAATNHLITNAVLNISSDIMIIAIPMPLLFKVKLPKKNKAILIGIFLIGTFN IVAAVLNKYYSFSHPFGDEWTEWYLRESYTAFLCANLPLTYPLVQRIFRLKSWSHNSY DGRYLSGSLQRSAWRSGAVRSQRKSRIGLKSNPQHGGISKTVSVNVSNSRSIHNLERS ESEERIYGPPTSRIELEQKAFDGRTEQHLWVPSVTIEMGSTSPTSAKAESMTTTGSIK SLDEAHVKQ CC84DRAFT_1151486 MVNKTDVLICGSGSAGIFAGTWLARYGIPFTMLERRAGPMEIGQ ADGVQCRTVEIYESFGLSEELLRDGYHILEVCFWGDDGNGGIVRKSRAMDTEVGLSHM PHIILNQSRMNGLMLGKMDELCGGEKRIEYGCEIKKVEVDEALVGDPQSYCCKVVAEK DGKEETWLAKYVLGCDGAHSIVRKSLGYEMVGDTTDTVWGVMDIYPQTDFPDIRRKST LHSSSGNILIIPREGGSLVRFYLQLPPGSRAKDVKLEDLQSTAKKIFAPYKIDFADTF WWSAYSIGQRIANNFHKDHRVFLMGDACHTHSPKAGQGMNVSLQDGYNLGWKLASVLL GLSPQSLLRTYVLERTKTAADLIAFDRVFSKKFSSKEERPGEFAEYFIKSGRYTAGFT AQYDDSELTDANGSRQELAKGCPVGMRFPSAQVIRFCDCKAMQLQRALQSNGRWRVVI FAGDINNPDHKLRLEKLAQFLEPLARTFTPASGDIDDLIEPIVVLRSKFLETQQEQIP GYYWPVRGKWKIRDLHKVYIDDGHYNHGHGHAYEKYGVDGDIGAVVIVRPDQHVSKVT ALDDFNGVEGFFRGCFVGNKTLNGKL CC84DRAFT_1261747 MHSIIISILSLLALLCPFTTTSALPQRKHPPVWNIDNSTLVEAG ILGETHSDIRFSAVTNYPNSHITNNYGACASAGPDPRYLENDPNSWMVSLDASVPNWQ SYCGKKVRLTAPDGRTAEATIVDKCPGCSIGGAYSLDLLEAPWNAVGGKTSADNVYGA KWEIIG CC84DRAFT_1261748 MARLYFYLLSFLAVVTTVTALPQRPLIDSIDARDPQRPLIDELD TRDPQHPLMDSIDKRDPQRPLIDSIDRRTANEAVVADGISKACGIAYLTTTPDSYVFL VANTCGLVHPDVGVTSYRAVNEDCKTCWFFENAGCTGGIAWHGLIAPKEEISFPPARS YICRV CC84DRAFT_959715 MRCRPKSVSKKDVNNPLSTTDEILYNSIWRFLALREYIDNNHNL TAWGKVLKTAIAALQGKSELEEATVVAIELIRQGVLNWELDMFPYNGAPMRGETRDRQ FNLLVSRVAGLGNLRHKAIGFTGPLSQHLLAYGSIVNLVRQTLRDLVEVAATHMFMGA FAKRDLTNLSEIAMNLPFLLSNNCALSIAVKSYLDELYTDKDPTATETKERVRETAAN RYFPQATDLVGDLHTAGELWDAVYDGVKSSGSALKESEKKQWAEANEWFAARR CC84DRAFT_959696 MPRIRIDCRTLLPRPERVILLGNVEAAEDEERLYLQSRKFVEIL LNVQPKAQWQTAQCRYKRFGDAFAIEKAVVEVMGRFDADAKTAQVFQLRRFADCVIPC LEVANHIARCGEGAGVSSVCAGDFWSGAPHAREEGGWDAGSLSPGQRGGLGRKIRRAV VLQVAVVVRPWSSKQLHQLPHYAWTVHIA CC84DRAFT_1166877 MPQPDPKDPNIPIIDISSQAPDVAQQVLSAASTHGFLFVQQDDS LLPRAQVNAMFALSAQFFSQPVARKSTHAIHSAAAGGINRGWVTMAGEALDPSHPGDP KEAFNISPPHPELQPLPSPLSDSSAEIRKFLDSCHAICTRILTLLGTALQIEEKEGGE RWLADRHNESKGPSGTTFRMLYYPKMHADGATPTSSIRAGAHSDYGSITLLFRLPGQP GLEILKPDQTWAPVPVNPSPDLVTPPILVNIGDLLSFWTNGMLKSTVHRVTFSGREER YSMAYFCHPLDSARLEAVPSEVIEAFGDKGAEELKSQRERLGLGGSGGGEVLTAKEHL DRRLKVTYGLKD CC84DRAFT_1220030 MSTITGAYGTSQMPLAGAILCFTSVAPEQRTELSVIGAQMGAQV KLDLTSDVTHLVVGNTNSAKYRYVAKAREDVKVLFPEWIHAVQKVWMTGEDVNVLEVE EQWRLPPFYGLRICLTGFDNPEQRKYIQETVTKNGAEYHGDLTKAVTHLVAAHPSGSK YEHAVNWRMKIVTWEWFEQSCERGMALDEAYYHPTMAVDERGVGAWDRRRSTSPMLGK RMRAAEQVEPILNPSRRKLRRAASTRLSGQTEALWAGITSGGLEKKPDDGDDWTEDSI AQPDRQPEIEHRTTPVVESRDGMQPRKDPASRPRGPFSDDHDGIFSGRVVFLRGFDKN KTNILREHLDSNGAVVVCDAAELEKFAADDLGEGFVLVPHDVQPDLTSLPDAAGAMSM VTNWWVERCLHGKCLVDPTDFVLWLAGLTINSTGFTGIELLHVTKAVAMFGGTYDEIL SPKVSVLVCRNDKPNRDKLKYAADKHIPVVHADWLWSCITSFEAQPFDAYLLKAVPSQ SPQLRRKPNDSSNKVVPTAPLSKEDSIKLQQKKAQKGRLGFDTRSNSRRPGALELSAS GPPTPSTEGSSTNPNTTNSQSKPSSLAFEQAAQFSESYDGTASLPLQDVDVNSPRKRS TSSTDSATFSKPADPSGKPAPAQRNTKLVRQPSPDSVIPPDTERPAEAEAPIAPPPEK DYSDIMSKLLANRKMSVPLEKEEEKGRRRRRPLGRAQSGRSNTSTADDPLSRPSSARV VEEEEMGEEDGHVLEAFKPPEPSQMLGWDSPGAQRAREKMIRAIGGNLATESPAIQET RAVKDAVTDTSLSMGRASRKRR CC84DRAFT_1151495 MTATGDYLPIYTDRGHLSYIPDHLHDPESTRRRLFQGRNRRPHR IHIARVAPDPLLFRRRTTTKSYIFEKLAGPRDNAKRFLRSFIDSRFANPPPLPDVGTT PRSSTSGASTPNASPPESRRWSVATGEMRSTPRGSIGLSAVGGPRPALVESHRSSARS SVKSMMSSSVKTLAEDKPLASGNGVSVGVTLTEPVLFLQGFEQSDSSDRSTAMLRGTL NLKITKPTKIKAITLKFRGKALTKWPEGIPPKKTEFEEIDTIMSHTWPFFNAQFPTAE AGTGADQVELFKGSSTNLQASRPGSTNSPNASSTNLSTKEAKRLSLQVNQSRSFGKGE SPSGGPTVAQKGYRSFNPGTYMYNFELPLDSHLPETIDVELGSVKYELEATIERAGAF RANLVGTKEVTLIRAPAEGSLEQVEPIAISRSWEDQLHYDIVISGKSFPLGAQVPIAF KLTPLAKVQCHRIKVLVTENVEYFCSNKRVHRMEPVRKVQLFEKRADGPATSTFPGST MRIVSGGGVPYDQRAAASRGEDVTVQDPTNLLGDLSTGDANIGPTEMEFNVQLPSCHN MREKDKASRLHFDTTYQNIQVHHWIKIVMRLSKPDANDPTKRRHFEISIDSPFHILSC QATQANTALPAYSSPEAPNADHQMPQCGCPGAPVRRTSPPSIVPTLGSMGRSRDNSEL SIPSPALARPQAAHIGGPTDTQLQRPMHLLRAPSFNPPPFEEEEPPPPLETPPPLYET IASPTHGLADYFSRLSAYDDDSEGESGDGTGGRSRVEIPLTPGSRIHSRSLDERRTWL PVGN CC84DRAFT_959864 MDENECRCFVSRARRGFLLGARLASAGWASGERLTRPQASLWSV FWGASFELWDACVACRMLVPCSGCAVACLSCLSMAEKHVSAWGARRMRRWSGQLSGAS AKCAPRVKGNASIKPWPPSRAFVTHICCRFCAPSPLQRALRPPKSPVSRIGVHCPRFA CAARRFKVPQPVATQAEGKKGTSRLFRPKLPACHGSG CC84DRAFT_1189113 MAWDHLSITKPHLVYLILGGFTSLFMLCSSVIKERLYIGEATVA TLCGIIFGPHAADLINPREWGNVDLITLEFSRIVLVVQCFAVGVELPRAYMEKHWKSV TLLLIPVMTFGWLITSLFIWWMFGSHLNWLDSLCVAACVTATDPVLASSVVGKGKFAK RVPKHLRDLLSAESGCNDGMAFPFVYLAIYIIRYHHHPNEVALHWFCYTILYECVFGA FYGVCVGYGARRAIRYAHDRDLVDRESFLVFYFVLALFCAGSGSLLGMDDLLVGFACG VGFSNDGWFLEKTEESHVSNVIDLLINLAFFVYFGTIIPWEQFNSDVLGITPWRLVVL GLLVLFFRRIPIMLALKPLIPDIKTWREALFAGHFGPIGVGGLFVAILARAELETKET TPLSDIPPPGFPHRNVIELIWPITCFLVICSILVHGSSIAVFTLGKHINTLTISLSYT TANEDGPSWMDRLPRIQSRSKSSMSLGRRPSESSLDEKDTTGPDGLPTAFLRRQREDE GTSRASSLRPSARRRGRGWDNGRGPGGPISASAIAPNRGNRDPYETTSPLQERDSDTL AGPSDESPEGSATAREKGRTMSEEEIYQEGDKTVIEDEEGNVLGIRESGANEDSAERL QRDNQEAIRLGRERDVEHGVAQTEHGGALEEAPGKAVNAIEHPGKWRKRMESFSGKHH TAAGPAEPPRAQRPEKRGPALAYQFGNTIIVEDEDGEPKNRPSVDRKGSMAETGGRAM MSLRKMGTEASAEAPTNTEGKKKKPMQLDEDDERIRFTVTAGGRRMSKAEFIQQMSSM APKERAKFIQESNVPDGIKREAHQDAKDASRRGAASSAKVPPVVGEEAHDEERKRGPE GLTLVDSDDEDIPFHNGNGETAAQRRRREAAEQSEISDTGADKAPQASGDEEEETPAE QKRRMAALVSSSSPSNSARRTIDPTQPLPKSHMDEGETAAERKRRLGALGMGSGDESS PESDSDAEEGGDPLATGRGAHTAQQGAAARRAPGIRFAEQPRPPTKDERAQEEQVKEE TESSSKKGPLGKLRWKK CC84DRAFT_1166883 MRSFSLCSSALALFALPALAGPSADNTCTPLANGFAAIDDSPAI NAALVECGAGGTIVLPADQAYSIFTPLDFSLCRHCDVQIEGTLILAAEQLPYYGTLPY YANGATRSVFTIANATGVRIRSVTGSGVVDGNAVSWYQRTKWSPKTGGYPFVHVTNGS TDVSVENLHFKNIQDRVFRLQGGSSNLRFEDVRITAEGINPNYASFDNFAFEMGKVEN VSIANVDIDFGAQTGSDRPVGTCVSFDHGTDGVAVANVTCRRAFMGAAIQFDTISAFA PSAANATATVSNILVSNFTFAGAHATGVESWFNLSKKVIRNAVWEWVTVEGGTPAEFD PCYASMRDTQYYPRCLQYATYDAEVVFRHYRGKVGSPPTDPRWGEVNGLMDVRPVFED WVADA CC84DRAFT_1166884 MRQSSTHESYEITDLTLSPVICAGEQSKQRDSGPRRVQDRSTEN SDDESLLNRHAPRKIESRSTPTISKESPQSSLQPSGDLHVLEGISRNENNRNRQLPQM IACSPAKTPMTGAMPGYNLVARTIELPVKILEMDGSNGYKWRNEGTPRDLRRKLEILL LSHINDRSREQYFRAWLRNPKGCALAYAVGHRPGSIGPQPYTACDKCTGAKRPCVIMV WERGCRQLEFKLIQDFLSSGTISDVGFYVPERTASS CC84DRAFT_1151501 MAYQPPHHYYDERDVSPVQPDSAMIPPPPPPHRTPVAMDNSYGQ PQGPGSNNYSDYSPPEITPGADNLGAHAAGGGINGLAADMNSNNYSAPMGVPPHNTPF ADAYAYDSPTPPRPIHSPYNVSNQTLGSGPFGAAGAYSSASSMHSSQHSAPISSPTAV PYSDNPYTRYSSSHLDLAPAMGAIDPNEVADDDDWGMSHAPVQSKRQSRGLFTREGAA GATVGAGAAAGAAAGVAAAGSHDGSGSYNAVPTGENAAPAAARGEKSAWLERQNHGRK KLMWIVVAVIAFVVVGAILGGVLGTVLNKHGGSAGSGKSNSEAQSDVAKDNQNDLSIN SDEIKKLMNNQNLHKVFPGMDYTPLNSQYPDCMHVPPSQNNITRDMAVMSQLTNAVRL YGTDCNQTEMVLHSIDRLELTDMKVWLGVWLGNNDTTNSRQVSTMWDIIDKHVVPKWK DGKDHQFKGVIVGNEVLFRKDLTKTQLLKYISTIRDNITSLGKKYNVDDTKLPIALSD LGDNWTSDMATQVDIVMSNVHPFFAGVEVDKAAGWTWDFWTGHDVALTANNASIKQII AEVGWPTGGGNDCGESDCTSDTQGSIAGVSELNQFMEDWICPSMKNATEYFWFSAFDE PWKIIYNEKGKEWEDKWGLMDVNRNLKKGVTIPDCDGQTVS CC84DRAFT_960161 MDLPKLKGAVAFGISTKNDIFEKVHDEFIDSLPGKERAYFSKCS SPEELLETLRNFKILSTKRQKKTLNRCLDVVKRFNDKLRPYFDAINVIASANDTVAVA YGAIRLVLELASGFPAFFEKLIIVLEKLSDTFPQYSAIVELFEGTPPTRIRRHLESVY IDLFRFIQMATRIFTASSGKVKRPLTMITTVIWKPFDAQFSSLLESMEVHRNFIHAEL DIHQAQQAKDSERAAAIERQRAEDERQRADADRKRADDLFKQTERMRKELDSERREST VQRILNWLAPPQFAQVLENSLEQREEGTSEWIFESQVYKYWAKSKLDIQGDVKWRRMP PWVLWVHGNPGSGKTILAASVVEEVSESIVSELQNHQTCYFFFKHDDRNNSTLDAAYR SILAQILHRSRNADNILDIFVFARVSMSMLSGQQIATSKELYELIRLLASDIGNLTLV LDGIDEAVQPEMMCPHLKELVTASPIKLIMFSRPNINSLHKLVDQTNRIAVNREAMSP DIRMFLEHQLQDFVENEQLPDSANIEELAEALLHGADGMFLWAKLMINYLSSFTLSPQ QRLATINSVHFPEGLNAMYDRILSLITTADTLHKDLARRILLWLNNVADDSLLTSKFL HDAISGDNDEEVPEDFASTVVSVCGGLVEYSPQTVFQLTHITVKEYLRALPQSNLKTD LIPNKPAAHAELTARCLQRVCAHAPTRPPTRDSGALSRLRLLEGTSFSAYAIKHWSLH LMHLSIGYIQQPDHESTFKMSDAICSFLSNPLARAAWIEGIYSQGANMKLCTSQLRDW ICLPHSLRLQETANRLVEFSEDLDAIDGDWHWKLLQNPEMIWTDVLVFPTSRFAAELA KVLGAAQATTVKPLAPTHDNGEVIQCLSNISSLTSDGAMIATLNIYPSSSFERFWKQI DPSTAYEEAEQYCSDWRAVYEIWSTESKVRIASATIALSESEIRLLIRQSFRQNPYKF QDPRSRKGVIPYSALDDRSFDTSFPLAMGPDCLTFSILRTVYNITPGHPVAGCTSDSF VLPLEVLPHFESRWGSHLATFDPDNFAFLPPNDRVGWRDWYAYSVSFSGTGEYIAFAD YQMPCMTHLVIFEIIREPKFCARLLRSTMIRRGQPWVKEVMFHKESPLVAFLSENKVW VWEFRKENAEPVCLQTSNTLPGRLFESLTFSACGRYLLARDKTDVDVLPIPKDMLALK TIAQPTDLGAVQATMDTASTVQESQHRDLQLMAFRPGNLISDSHLVKMASESNGSQTL LVTTIDNELKVESKQLGVSDRRSYLQILSLPESFNTENTAVNLQVPTSSTESLRVVFN KRAAESYSLSDGRTSQYPSIVEKKLTSITQIEETVERHRPLLEDEVEPSYKRAKIDFG HVGSSRMFPRIEFCEDDRQLDSEYQSRP CC84DRAFT_1261758 MPKGIIWVSSRLSHPPVDQAREGVPALTPERFCDWYENTHIQEV TALKGVPAAVRWEAAHPQPSPSAWSVAAPWLTIYEMPDISYRDTAEFKGLDGQSPPKD ALLHEIFEQARFDTRFYEETFSSHGSESDSSTPLPKEGKYVLSLSTSEPEATARLFDG VRGVRRLRAFRVQAGTTLERFVRRDALGEGGIKGGLVVVEFGEGAGERVSEVVKGEED GEVGWYVRKREYGEVQ CC84DRAFT_1166887 MADYNQQQGREYARPGQPTGARDAAFANIFGASPAMAGRSQTMT SQRPMMQQDRAATMSAQTADMMQRAPPMRQPINGYDRRPPPQFDQRPPANYDQQRPHG YGQQPDGYNRGPPNGYPPQQRMADGVSPPQAQRQPQPQYLPRPLRPERQPYGQPQRFD SRDSPQGQPPFNKPVPQRFGPGPGPAMHNDPYRSQSMAAVPRPQFNAPGPGYMSPANT FRQQPYMNHMARTTAQGRVVPERPDERTMSMTSYSRDPEYAQTMSGRVIPSRRRESGD PETSPMADPNQVSPPMSAGPGSKTRNASHGSQGSIPQIRTMSMASTVVPPSERTDTMS SSMTRPSSTQTVTSNNRASSQSNQGGQVGIVAQRRSPLVYPALLSRVAEVFKDRIPIT EKQKDGLVYNNSFTGAEAVDLIAYIIKTTDRNLALLLGRSLDAQRFFHDVTYAHRLRD STNEVYQFQETMLEEGAEQVNGVFTLLTECYSPTCTRDRLCYSIACPRRLEQQARLNL RPTGLKRETSHASLHEDLDSEEQKLWINTVSKEVAESVSDKEKKRQEVISELMYTERD FVKDLEYLRDFWMKPLRNPATSPIPEHRREKFVRTVFSNCQDVYMVNSRLAESLTRRQ QKNPVVDSIGDIFLEYVPHFAPFIKYGANQLFGKYEFEHEKRTNLQFTKFVDEVERMK ESRKLELNGYLTKPTTRLARYPLLLENIQKYTADDNPDKQDIPKAIKMIKDTLSKVNI ESGKAENHFNLMQLNRDLKFRPGEFVDLKLTDENRQLVFKGNLKKTPTEQTGDITCYL FDHAVLLVRSKVVNKREEQKVYKKPIPLELLVIGQMDEIIPKIGIAKRPSANLMGAKA IAAATPKGDAAKQQIYPITFRHLGKGGYELTLYASTQISQQKWMEHIDSQQKNLRDRS NIFTRSIINEGFFTAAIRVTCAVPLDGGRKIAFGTDAGIFVTDRKPKDASHKPQRVLD CKAVTQIDVLEQHQIVLVLSDKTLFSYSLEALDPNENNAVAKRPRKICHANFFKSGIC LGQQLVASVKTSTLSTTIKVFEPKENMANKSRKSGFAKMLSTGQDQLKPYKEFYIPTE STSIHFLRSKLCVGCAKGFEVVSLETLETQSLLDQADTSLDFVVRKENIKPIHIERLA SEFLLCYTDYSFFVNRNGWRARPDWRIAWEGTPQAFAIFHPYILAFEPSFIEIRHMES GALVHIVTAKNIRWLHTSTREIIYAYEDELGNDVITSLDFWQTAPGHKQSIENNRYNE KN CC84DRAFT_1261760 MDNQLTPSFAPFVGMSGIAFAMIFGCLGAAYGTAKSGIGISGVG TYRPDLIMKCLIPVVMSGILAVYALVISVLIASDIRPPPDKNYSLYTGIMHMAAGLSV GLPGLAAGYAIGIVGDVGVRAYMRQSRIFVGMVLILIFAEVLGLYGLIVALILNTRAV G CC84DRAFT_1125374 MAAAVHKLLHPHRSRDQAREEEHERQHSATERARDEEKHTLAQW GEKKAPLEPGQIDKDPDQKMVGHSSSVLRKEDFELIKTLGTGTFARVWLVRVKNARDG EENQVFALKILRKMDVIRLKQVEHVRNERNVLAAVAGHPFITTMVASFQDHDSLYMLL DYCPGGEVFSYLRRARRFNEPTSQFYAAEIVLILEFLHEREGVAYRDLKPENILIDAE GHLKLVDFGFAKKVENRETYTLCGTPEYLAPEVIRNTGHGTAVDWWAFGILVYEFLVG QPPFWDQNPMKIYEQIVEGRVRFPSAMSADARDLISGLCTVDTSKRLGNISGGASTVK SHAWFKDIDWDALYHRKVQGPIVPHLRGPADTRNFDEYEPESIHREAYTKDMQEKYED SFEDF CC84DRAFT_1220041 MIRRQVYSIALLLQFIAGLEVAPNSPCAKKCLDDPNKGNPSWTN ASLTFGPDLACMDKDFVGKNATKVAKKFAECQTCMQSSGWGDEESGERDTQWFLFNNR GAADYCLFGRFAEESDDNISSKEPYKQCFSACNPIYAASDYRVKSDPESYSYCDSNGN YTSDAQNCIKCLYDGKGLTILGNIVATLKELCNTKPGKNIDLDADIYNTTQIKLSAAS PNSTSTSLPPVSPSDSGSSLSKGAIAGIVLGGLVAIAAVLAGILVLLRRARKRRETKT IEMPDSGTSTAAIPNASYQYTPVREHKYAHDSAPGATRPISELPSMGNDVAELGPMSP THGASELGTGSPYRGLPGLNGRRN CC84DRAFT_1220042 MSERPTGLKANKGIELLTFGTPNGVKASIILEELKEAYGMDYTW QSINIMQNIQKEPWFTKLCPNGRIPVIVDHDKGGFAVMEGLAILTYLTRTYDPEHKFS FTDPLDISRAEQWMAWQHGGLGPMQGQANHFNRFAPERIPYGMQRYTGETERLVGVLN TALEGTDYLVGNKYSIADIASYGWVSMLRFSGIEIDDFPNVKAWADRIGARPAVQKGM AVPSKSPFGNDTYSQKLKDDKEFAEKEEKVKEQIKAAKEKYGYKYASP CC84DRAFT_960703 MHSYLSSCSCLTFLGLGNSHRPTAFHGRRHLHQYMHFDRLCVHF RVETQPMKLFGGLPQDQSTPRCPLTANILGVRHRRQTLAIGDAGHQAIWALSTRQEGA NVIVARSWWLTQLHNWIAYKTSRQSSCPRHHILASLRRSIHSLQQLCCFVLLL CC84DRAFT_960211 MAFKFLSRGSMKGEKVGMANNLRASMTPGSILRILMRFFQLIMG IVVIGLYAQDLNRAHKAGVKYDSKWMYATITGTLAAFWAIVCMLPLVKAWFLFGIDFI VFILYIAAFGIFGKMYIKEDPEGNGGIKRMKNAVWILLTNMILWFITFVYGAVVFWKY WKGRTTFTSRAPNHPSMSHA CC84DRAFT_1097843 MYGQQPPNQGGWNQAPPPQGQYGYNAPPPQQGWGAPPPQGNYPP PQQGYQQQYGAPPPQQGQGHWGAPPPGGQPGYGAPPPNQYGSPAPPQGGYQQPPPGQY GAPPPQGGYGQPPPQQYGAPPPQQPGYGAPPPQQYGQQYGAPQSFGPPTQPSLGYGPQ RTANIDVTQDVEGIRKAMKGFGTNEKVLIQILAKKDPIQINTIRQAYNQRMLRDLIKD LEKETSGYFEKGLVQIARGPLIGDCYTLYEAMKGLGTKEAALDDVLIGRSNADVNAIK AEYQNIFKKTLEADLRGDLSAATEKMYMMVIAARRAEDSNPVMPQAVEQDVTELQRGM GNMISKNASSVCDLLFTRNDAQIRAIAQSYQQRFHEPLVKTIKSKFSGHMEDALVLLV ERAINRAEAEATRLEDSMAGLGTKDELLVQRVVRCHWDQGFMRAVSDAYQRKYGKSLV RRIEGETRGDYEKLMVACVQ CC84DRAFT_960216 MSAIFASLLLVTAVNAKVLPREEARKSSMRDPASFFSATSLPFE DPETTISNDFPTPTTIFDPSTTTMFPNFTFTEFPSVSFSSPDFSGTFFIPIPSQADYL SVTVPACTQADGEVVDSIVVIGVPTGTFSPGITKTIVVPPQANPTTATFYDYPPNNDT EVVIVEPLPGNCGPFITPTNAFAPTGTESSAEPSNTAAACPTKGCSGAVLRAAETVIN AINDVTQISFALQTGARKIGAGIFGRDDVSVNADVDLFSNPITDVALGLTRITTTVQT ALPTFITFPPFPPSCSSDTIVLAWLEFVRVHQELLAILIGRSGLLETGPLKRSSVVNH ADGTPITRGEQGFVGRPIAVALRGIEGVVDTLAVGIADLVPGKSECSEKKSAELKEKI KKAQMSYEG CC84DRAFT_1125387 MLAASKLSPFHLTEIAAVAIFVYLIGLAVYRLYFSPIAKFPGPR LAALTLWYEFYHDVIRGGQYVFKIQELHQKYGPIIRINPYELHVKDPDFYDELYSGPG QKRDKWGWATEMFGNSSSGFGTVSHDLHRLRRNALNPFFSKQAIGRMEPLIRGLIEKL CERFEQSQQSGEPVNTLHAYAALTSDVITAYCFGTSYGCLDDPYWKWEWPQAMVESTR ACHLNKQFKWVFPALQATPEWIVQKLNPAVMQLINVQKDLAHQISDIMSGVPRKDEEQ NIFRELINGNLPTEEKSLARLVDEGQTMIAAGQETSSFFLQQASYFILANAEIHARLK AELTQAIPDGTSIPPLARLEKLPYLHAVVQEVHRFSHGVVGRLERVSPDEPLQYKKWV IPPGTPVSMTSLLQHRDPSKFPSPMKFDPDRWLKQSDNGRLEKYLVPFSKGTRQCLGI NLATAEIYLTLATVFRRFDMELYETTGRDAEIVHDFFIPHGHQDSKGVRVVFK CC84DRAFT_1261768 MGPQPQTSTFPQDLPPRETSWKDRNCPGMRSCWSFSLSSFSLAT SDKAVLARRICMIAILGLRTATSIWDVVNSIIHFNLGWLIIGIILGVLGFFFIAWCLA RIGEATGYRRVLGARVGRWHFDVFLLGMAIIHIGIFAGAFFWKSSGGVGGMWLAMWLL IFGAAWVTTWEPEPASSV CC84DRAFT_1220048 MSGNRAAFLVEPKGCFEVRDAPMEQPGPGEVLIKVHAVALQPAD EKVAKLAIILVEYPAVLGGPVGGIVHALGEGVTRVAVGDRVSCGTLIFKKHKPKYGGT QRYTLVSEQEVIEIGDTDFTKVVTLGSYTPPSCLFASNCLNMHWPSLPPKPLPAGEQG KKILIWGGSSAMGSLSISYAKQAGYTVISTSSPHNFDLLESLGADYIFDHSDLATVER IRDLFPIDYWFDTISLKPSVTTILKILAPEGKPANKAYIHMLLPLAMAGNPTLPEGVT TGMHFFSTQAPENADWAKFFLSRGGYMEKGIKSGLIQGVPPHLLGGLEKVSDGIEEVH KGVSGKKVVIDPWA CC84DRAFT_960357 MADAGLTLTVKRHTKNLQRGYLLDTYCDNSDGANFCSCQRDLVF QKPCMFYGRNLEPLAFSIAKDRIHSQAQSTLVTGLPIEIREMIWGYALTDTTSVPAHG TFMWRSDKMNGKPKLPVSDIAFGLVESCKAVYLETYRLPLQLNYYTVYDFQGPFFPNL EILAPWQAALIQRLDISLQQIALERGELRNWLKEWAPKERHQGAYIEPRFLHTRDRRL GRVHHREPFPFNILSAAKIGKKDPRDGDELTLGIAMDIPDTEHNIFYELGTFATHFKA RAMVARPLTHLTIRLTHQDWWNWSVDSTAPSYSPGPHNQLYLEPLIGEKSPSVIHSRD PGDTSMDFRAARQRPGEDVGNGRHGGWGTIVERLPDLKELKLVLETFDVKKDSLDTIV DCAKAWRFPIEKSSFELTWDGEVEDASWEIEPGDLDQNYNESLIVEEGEVENDEDRFT EDEQDSEDSYDSSEDEGHSEDEETYDPPPPPLPSWHSLVTRFEVRVVRFVRRKRGQPA CC84DRAFT_1166898 MATPTLSTLHHVTERKLGKLSDQRKKFEADKKSILAKVASAPDH RSKVEALLDGFEQHGLTAKHPELSIANLKRFIYQAKHDPSVSTTLLSDWQSKLEHELD VTSVKYEYAALFGKLVIEWIKHPNPASSASGGNAASSDSDTEISESFDTVGRKEMHEQ RKEWESYAFTERKVDQDRIEEYLNEIFGSTLQAKKVTKSPLQNLRDSMKQVMDFKSDL QPVKKMNTIYGSDAWGTSACSKRFDVDTLKSCIKGVLRSDLLAGTKREALADLNNQPA VLKELVDVLNMDLEGLDKWEWDPSPVPLNMRRQLNGKYRVYMDEETHQAVLLHFVGQT WAVALKQAFTAFYHSGAWLQSPYRSMSRKARQRWEYFVPGRIERQNVRNCRRESYQED YFMTQLPSNDFEVQRDYNAEDQDENQPGSSGSPLATKQSMLRLVTAELLLNTKVYGEF LVLQSDFKWFGPSLPHDTIFAVLKFFGVPGKWLRFFKKFLEAPVAFAQDGPDAEAQVR KCGIPMSHILSDALSEAVLFCLDFAVNKRTQGANIHRFHDDLWFWGQESTCIQAWNAM QEFSKIMGMQLNEDKTGAALIVADKASSRPLPDALPKGPIKWGFLNLDASSGRWVIDR AQVDEHIEELRRQLGACRSVMAWVQAWNSYVTRFFSTHFGRPANCFGRQHNDMIIETY EHIQRSLFKDAGTMNVTDYLRGMLKERFDTDDSVPDGFFYFPYELGGLGLRNPLINAF ATYRTSFRDSTERIDRAFELEKADYDGLKERWESGENRPKHSKHSPLPKDGSGTDMEA DEPFMSFEEYTRYREETSVHLRNAYENLQQCPPEEQVETLPTIKTALCERSLEQSSYW LWIYSLYVGDMNKRFGGLQLGERDLLPVGLVDVLRSERVRWEG CC84DRAFT_1151532 MFNISNLVQKAQQFIEPTLNIATGPATSSDRRPSKANLFRHQFR LPDSQNPLQEITAELTLSPHHSTRGSGDASPEKERSQGNHYVGKLHLSEQYLCFSTQG SSFLNTASLSSSSSYTGQTHGAGPAGNGFTLPLCAIRRVERLHSQSYMFALAITTWNG SPDPKGKAPSGQKLTIQLAGSRQACERFCDGLKKGLREGVKEVENLRTVVRDAYSEYL LLTDGDDKKAAGEDSKAEREHPDTGLGLIFRYPGNARKLRDATKIRLWKEYLRENGRS ATLIRQPTFHKLIRVGLPNRLRGEIWELASGSWFLRLQNPRLYTETLAKYSGRESLAI DEIEKDLNRSLPEYPGFQSEEGIGRLRRVLTAYSWTNEEVGYCQAMNIVVAALLIYMS ERQAFFLLSILCDRLLPGYYSQTMYGTLLDQRVFESLVEKTMPILWDHLVKSDVQLSV VSLPWFLSLYINSMPLIFAFRVLDVFFLEGPKVLFQIGLAILRINGEELLDATDDGTF ISVLKSYFARLDESAHPKSENPKLRAVTKFQELMVVAFKEFAGITQNTISEQRGKHKD AVLENIESFTKRTSIRNLGPESKKLSVNDLGFLYDKFYAVLYDRQQRAEIMQEEAERK AKSARNKATEIVTGFSSSSIEKGRVALGPSPTQMDYDAFREFLAGLTKWAITDSPSSP PETNGTPSHSYFGGSTRNKPPMSPWGSGPEPTDHDFMRRLFRRWDVDMTDSLSLQNVV AGFAAVKGSKDIMSNISYFFELYDDDGDGRVDREGILRISEALLFLSRRGFDGSASPS TSTSDVRSVHTLDRGTRDEQFLSSVSAFIRRCFEYADPDHPSNQGNKAVDEARDDLDS FAIGDDDEDDLIDLGTEPSTPTAAKPASSTSPQQEKQPSSPTASNSDASEGESSGKTD KATAANAALDPNKPLYITLPTFRMVILADENLEQFFEVGFSASFHLADAPQASQLSGS NLTTFSNASQQVAASSSGMGGVVGGAGAGVVPPGKGLRGMLDNIVSDGMRVAAEVRRR MDEASQEMDKEAKHGRDDDEEEEEAEIGHGKDADLLEGAETADVDTSKGKALDLLAYA EGPSEPPLLTQTVPQHFREIVKNFGDRKAVISHHQRTILTYEQLDRDSDALARGLAKQ GVQKGDRVAVSLGNNLENATATYAIFKLGAILVPLNPAFNSLQVLSGLNHLAASHLVI GAETNLPYKAPKSNLPLLQALVPDLKASKLQSEAVPSLQSITLVDNSSGRIDTSLYKS TTPYQAVVEDGQNGPIPQDTDLQPEEIINIQFTSGTTSMPKAACLSHHSILNNGKSIG DRMLLTECDVVICPPPLFHCFGCILGYMATATHGSAIVFPAEAFHPLHTLHAVQQYAG TALYGVPTMFISELELLANGTVSHEGFQHLRTGIAAGSSIPAELMRKLHKTLNLTELT ICYGMTETSPVSAMTTTDDPIDKRIDSVGRLMPHVTAKVVDAADRTRVLAPNERGELV VSGYLTMRGYWGDAGKTAEVLVPDDEGRMWMHTGDEASIDEEGYIKITGRIKDLIIRG GENIHPLEVENCLFANEKVKEVSVVGLPDEKLGECVAAFVVRHEGVDMQAAEVRSWVK ERLSHHLVPKYVFWVDGYPKTPSGKIRKVELKEMGIALLKEGKGLN CC84DRAFT_1166900 MFRSQVLRQLARSARVQAPRVAAAAPHNAARPFSSAPARLNKGT NPEDDIENATTSSEPGASGDHEGQYARTQDDIRVEYPEEKDLPRSLPVQGRGGSHFKR TLATFSLDGRVGVVTGGARGLGLVMAQALVASGADVALVDMNREEAQRSAQQLVDTFK EENPDAERLPKVTAHFCDVSSPTSVNQSLAEILKVHGKVDNLVTSAGFTENYNAIDYP HDRMQKLWGVNVDGTYMYAVAVAKHLMERKAPGSIVMIGSMSGSIVNVPQPQAPYNAA KAAVRHLASSLAVEWAHAGIRVNCISPGYMLTALTKKILDDNPELQKQWTSLIPVGKM GRPEDLMGAVTFLSSDASNYVTGADLRVDGAYTCT CC84DRAFT_1207893 MIDAYLLTFNCARHLVNPETLAPALFDGLPKAAAVPEVLALSLQ EVAPIAYSFLGGSYLKPYFDRITTTVHLAADLHSHGSERLEHVATRSLGLTALMIFAK PGFMERIQYIQAAGTGVGVWNMGNKGAVAMRIGVSKPGSDEMLNVSFVAAHLTPHEKE VAARNKNWEDIVRNTVFATDNDSAYSYCDEAPLWASGEAPSGRTGLFHPDSHLFVAGD LNYRTSNEGPGPGAHETFPQPAASDALPEQFSHLLMTDQLNRERKANRTLHGLEELPI KFPPTYKYSLRKDKSENLMKPEDRWQWASHRYPSWCDRILYLPCPTSPSKLDPQIYTA LPIQATSDHRPVALYVRIDDNALPADAGDIRSNPPFPINAEWKARNDAARRYEIVVGV MSYLVLTNKGKAMIVVLVGAVLATLYLTSWLRR CC84DRAFT_1166902 MQDRSNHQQRISICRFHGPPNSGAKINSQATSYHPPQRQNSHFP LVHNPPSPSRSNSAQLTRHTSKNPVQSAPPRPVALHQAANSRPPTAPPRAPLAKLPRA TCVTVQPRPLHPGRARARLPISAVLTDRSGRGTRCRKEGVSGLEEGIGGKA CC84DRAFT_1251266 LGTNVAEQLAKAAQGRFRVLLVERNSHFQHLFAFPRYAVTTKVP TNKAFIPYQASRLGENGSFIQASAIGLTSSAVQLDRKVLLDGQQVDSIPYFALAIATG TKLAPPSNVPGENKLSRTTYLRKHAEQVERSEQIVIIGAGAVGVQMALDAKELYPEKS ITLVHSRENVMNKFHPDLHSVVKARADELGVNLVLGKRVKLPKEGYPVDNGRFDVELV DGTKIPTDLAITCNGMTPQSEIIRSLSPDVIDEQGYIAVKDTLQIQDDRFPNVFSLGD IAATAAHKAARPAMKQAEIVTKNVVHLFGQEPLEKYEVTDPAAIHLTLGIKKSVIFRN PSPASDDRPVVMHKDDGSLDMGIDGVWARRGADISNPNL CC84DRAFT_1097960 MRRLTEFHVILAPAHLFAYATLLGTQLYQSFVMVKVAYQALPAH SFTDLQKRVFPIYFRGQTALLILTAITFPHGPLALAKRKGDWIPFFVAGATALLNLAV YGPRTSRLMMRRRRLANQIDGSRKLPGVNRDFSWNHAMSIHLNIITIGATLCYGWRLA SKLNFEHW CC84DRAFT_1189129 MENNDSSTSARPANPRVTQACQRCRTLKTRCLPSEQSGTCQRCF TAKRDCIWAEVPRRPRRVRGPSRISQVEQKIDGLVASLVKTSATDTAETSTSPPERPH WTTIGTHKLAGRDRPVAPGSWLQLPDSFEQQTSLPEIHAPSEVSAPEPETEVDAAENA QDLDYVEKLRSIHSFAEKEDSCNPNHAPAQSKRKAEDPINDEKVNELLKNGKADDLLD IYRSMCITFPFVPIDDVTSAEHLHATKPMLCLAILTVAAWEDHKLQRHLDRVYRKELA DHTFIRPRRTISLLQSVLVYLSRYHFVFSHKTQQIYFMQTTANGLALDLGLHQSSIPP VIDFPGRPSPSPLSTTEQLERQRTFLGCYYLSSQVSAGMQKPSLLKYTEYMGKCSSNL RDHRQFPSDVIIGHLLALRRLDDQIQDCFFTEETSRLDIADPRILMNFRLLESQLEEW KRERYNQEYQLIFDLSSAFTDMQLHSIALRAPKSSNQDYIADATRLNALLATLEACKR YLDTLISCPMSNYHILAFAEWFRIPLVVITLARLCIPSDAHAAAQWDVKAAHERGRLD LYLESLCYRMKNLSTFKRTQSFHHDFYWSLEMIMDLTKSWFMKKISAKTTPSNGIPTP DTFQGFPHGLMTDGTDGPSSSLGLDTTRCPHVSIDQGPNPNGMNENDPFAFMRDMDFE MDRYELILSV CC84DRAFT_961313 MVATEFHIDTPRLVISHFDSLQDSHCDFLVTLYDTEQNRDHKPV HSLMPDREAARKNIDSNDLIYSSGYGRYLAYTKIGVVGLKFRKFEGAPLAPDVGYGLL PAFQGKGYATEAAAALVRWFEEEKGQTEFFGFCDPNNEGSKAVLRRIGFKEWGVRNIK GIYSDGGTIIGMVFSKGLTKDLEAYGI CC84DRAFT_961446 MPDSQPQRRRRRPAVSCTLCRQRKIRCNRETPCSNCIRSRNSTC EYETLPVLPHRSRESDRDEASITTISTTAPLQDMDSMRNRVTLLENQLENALRRVIRS TPPAPSTAETPVSSIETADSRIGGTFYIHHGDPALGQSPNIHRSLTHKKRMYGQSHWM NMSVIMVQDIAQMIDGQARDPSTQDFSEKVFSGMHKCKRIARLVKSQREPPWPCSPTP ELPPKNIADDLVDCYLRTFESVYRILHIPSFKRTYEAIWVTEGDPDSTFLVQLKLVLA IGATSYNNNFTLRPSAMRWVHEAETFLTNPHLKHRLGIQYIQIYCLQLLARQTAAVGE DMVFISTGSLIRSAMFLGLHRDPAHLPARTLYANEMHRRLWTTVIELCLQASIYAGCP PLLSQDDYDTQPAGNFDDDQLENNDAIARPETFLTQSSVSIILRRTFPARLAIAAFLN NFTSTGTFEETLQLDSELRIGYKALRDALRGFDSNHGNLPSDFQIRVVDTVMNRYLCA VHTPFFGPALKDAKYAFSRKTVFDTALKMWYAIFPASNDFGSSSSSGTTSPVRDDLQR IVTCGQGFLRSAVLQSILMIAVEMRTQIQEDDGLSPTPLRRDLFAIIQEAKSWSIWCM EAGETNVKALVFVYLMEAYTKGLAKGLGKEAIGRSLVEASHNALQRGMVLLEGMMETE IPNQDQAGLGSLSLNDLTPEMLADWQSIQIPTGMNDPYGYDMMNWAFDQTEVQNTWLF CC84DRAFT_961434 MTSSRTELIKLQADVTINAVISQPHSPDRHRGRPSLVFLHFWGG SARTWSLVIPHISAKYQTVALDFRGWGNSTGPDTPEAYTITALADDVEASIRELRLER IVLVGLSMGAKVAQLVASRWYSSGVGVLTALEGLVLMSPAPTTPLRLPFEMREQQLHA YDDLNSAAFVAKNVLTASFQDRDLPEFVVDDMIKGRQWAREAWPAYAMGEDVSGEIGR IAVPVLVLAAEKDLVEPVERVRKEVCERIPGAKLQVLNESGHLSPVDAPDAVVENIIQ FLDSL CC84DRAFT_1207897 MFYFGPILWFVVVNMDYRLAPQAKTKDFYRYTEDCASWCRQVLP TELGDGFVDPEKLIIGGSSCGAQLAFDRWSDPAGSAKGDHQLVSHQGPTNEAFTTPIK PSPLPGRTSLIVYDEFRQFIGPDAPMASRLAISCKIPDFRYWGQANAYFYMLKEGIYL ESVYGTFNEVVTNLKWNIPVNLTERFPPVLRHTPSKIILCTIRRARSFSKRYRLSG CC84DRAFT_1207898 MTCAMQALGSSTDSYYGSTDSHNGSTDRTEVLEHTFGGPDIGLF KNPARIIVASPLSRLHEITLAQEEVSQARKDLLVERSKAKAAGETVRLQRFVTGTTEG QLLSALREFYNANAEALPPSITKAYERVIQERDRLGLMEDSYIEAERTLGGSEWRFMQ KEEMLYQYHFPDLRAHMESTLPKYDARTQSRPPPPPDPVFLGNQTVLVHDAPPPPPPP LPPPPPPPPPPQYLPLNSREPVNVAEPPPQTQIKLNPEAHFEDQYKAAVTELDNLRKE FDSLRPQQWELLDLEFEKPITHVEAPEQRVLFQILFDKYSTLLTEIMKKDVEVQHLRQ NRWGTPDIHTDLSRRMSDEWMLDYAKTNAIERTMYFNVLEEKKILLPHGDSVDEWSER YWFSHATNSSNDGQDSTHTVGSRSHSTSFTSSNIDLSALAILTPGRCIYPSRRRPQQS LPSLASEKLLSRLQNVEVRPRRFSLSATKGDISEHDSRQAEPADHSNDLLMSGHASES LHHHRSQSFPAVLRPNVVTHTGGGSCRHFPVLSQAIMC CC84DRAFT_1261782 MSQSSPSVWTWDANRQDYYYVTRDTLGNYIYHFQKEQAPVEADP RTPYPHVNIDFRPRTDEETLPELAPAAVKSHPDFIKGNPQAGWLEQLDPSYRMRSGRE ARQFFRVGKVFAMLHIQAASATDLTALSANITVVQYGERAFSQIRRFVIVDSRRGFVY ACPIFTYSKRGTLKPGCISSEHSVIHLYGSTPTMFEGERERGLDKEPICVNPADTSVR MDPASRLHYGKVYPIEMNVKVKDIGDVIPEQHSLLLRYYREEDGRSDSDHDGVQHVQE PPNYTYAQQDQNQTYAQQDQNQAAQSYSGSTSSTTYSTTYPATYLAAYPATYPATYHQ APQDYPPQQYPGRHYQGSYGYQ CC84DRAFT_1178680 MVASPMSASMRAHDSFSTNGMGPNVAQILQQMNIKGFHDFLVGR LLYLSQEAHWRLKSDLDLRGWPLHLRSMDDASWQLEAGAPWAPEDQKSLMVLSAHGVK AEDIAEQFFEGRTVDECQVEIDAIKAGVPMNSIETGQGAADIDIGASKAASPETPSTK AILSGLAKTPWKPTSFINRLIEVSSGAARARSDTPHADSRKDWNQADRDTVWAAVQRG MSPRQIQEKYLPFRSESAIQTRMTKERKLRGVQAIPTKWSNRDNELVIKLVDEGHDFE DIAPRLSRERTPKQIEARYRNLKKQARVSRMSVEVNVIDDDEDVELGDDNMQVDADGD EGSEYNDEISRVVQTPHKPKTTRKTIPYNSSEKSPTKKPPSSITSIRTKLLNSIDAKY LDSDGKKGLRKALNKTGWPTRFTSVEEHDSPMPEKNGPKWPTKDVEALRCIRETASSI PYKKLEEFFPGRSQTAIRNIYNTRANPSPNYSDRKK CC84DRAFT_1166911 MRFNFGLVALSALFVASSAAPTAAVTDLSAVQDVTDLSVVKDVT TKLPAVEGELNRRTDNVVYEKLQYTLVEVKKHTAVINSTVSSVHGVVSTVEKTKIIAS VKSEVVIIVQLLSTVVGEITVLLTDITSITGDLKDNIISVVVELLVEILLTLNNVITA LKITIFELLGPIIFALLAVIVNLLAVLNVIIVDLLKIVFGLLGGVAGILVHVLAGLGA IIGGLLGVVGGVLGLVGDIVGCIL CC84DRAFT_1251280 MATGSGTPGPPPPPPGQATQKVSDVITAFRPAKKFKPPSARTSV TSLDFDDTGDLCVVARDDDTLQIYNTTLGKHAKEFNSQKYGVHLARFSHHAQNIIYAS TKVDDTIRFFSTHDNSFTRYFKGHTDTVTSIALCPSSDTFISCAKDNTVRLWDLQSQN FSGMLNLHGAYLACYDPSATVIAIASQPGGAILLYDVRNYDKIPFATFHLSEMEQRHL GPNGGEWSKLEFTNDGKHLVVSTTGAGHFVLDAFDGGLKHFAHRKRGGTGRLGPSAGV PKNNATNGVASALGQGDTAVTPDGQYLIGGSAEDGLLVWDISRDPPSDKYTHAEHLTG PCKAAVVGYNPKFNMLATADKDLVLWQPDPDIMM CC84DRAFT_1189133 MSTTLGSFIAGGIAACGAVTVTHGFETVKIRLQLQGELQAKKDA PRLYRGVIHGVGVILKNEGPRGLLRGLNCAYVYQMTLNGCRLGFYEPTRKFITNAIYK DDSVQSFGINLFSGASSGILGAAAGSPFFLVKTRLQSYSPFLPVGTQHHYKGAADGMS QIYRNEGIKGLYRGVVPAMIRTGFGSSVQLPTYFFAKRRLVKHFGMDEGMPLHIASST ASGFVVCCVMHPPDTVMSRMYNQTGNLYSSAFDCLYRTVKTEGLLAVYKGYFAHLARI LPHTILTLTLAEQTNKLMRRFEDRVLSPELKAKI CC84DRAFT_961577 MRYLALAALTSIAQADYVWPGPNDYMEDLLYLQSGFNRLGFIDG ILSCAFGQNVRGRQNSAEWIRTAYHDMATADVEAGTGGLDASIMFETNRGENKGSAFN NTFGHLHSFYTTRSSGADLLALAVVGSMSVCGGYDVPLRVGRVDATEAGRTGVPEPQQ DLDTHTKIFAMQGFNQTEMIELVACGHTLGGVHHEDFPEITGDDTEGNVTAFEYNESQ FKFDNNVVTEYLANKTSNPLVSGQNDTMNSDKRIFAADGGATMRALANPTHFQERCAL LMARMIDTVPSTVTLSEPLTPIDVKPYINTLALNANGSIDFSGSVRVRYDGDTGRSAD DLSAYITYVDRAGKNVSAPVQAARPSWESGTAQGFNGIKFVFFEWDTQVAADAGVSAF NFFLTTTSTGKTVEYDNGGKGFPVKDNVLFQQKQSCQSFTSNGSGGYDGALTVVAAVR DSAVSDAELSELELHFASHVPQGAVLERLENQVERFEATGTKMAGYTLYEVRDMAING QSDSTTFDIVLGGKAAVEFQKNSALSSQSCVPLVE CC84DRAFT_1261787 MPRRSAGFIAKRPHKKSRRGCSTCKKKKVKCDEGQPSCKYCSLR KLECVYPTEAQKEPDTSSSDTSPDWEHDLFDFSEPVDMPMWLTPAVITSSGQLNATEL KYLHHYTTIVWKSMSLQQNDNVAFINRDWVPRSCMASEHMLHSILSISAGHLHAHSPS KTSSDQTLSLVYRQRAFSSYNKQLANITSENYESLLITSMWMMVMVPPPSLPCSDDAC LTWASSLFTMMQGLRILASLRWASGIERLTIYPLFRRELKKLPPPPMLTPLPAWFFYT GTQQPAEWRSPNSPNDHYSDADSTSPPPRRSPSPTPLKSAGVQIDTSRLPTRPQSLMS ASQSPHAPASWKAKSDTYSTSAPAFLPPPLLALLHTLVDPTSSGPIDFHRPVLIPVLH ALSPIFLSMYYYRLSPDLHIRIFVLPTFLTPEFLVLTRAREPRALVLLGWWFALLSLL PKQNKWFAGESVARVLQAVSNAILRTGDGVLVDAMEGAYRIVKVERERGKEAAARTIF AAWEGVHWEEGPRREEEWRREYERRGLEEVR CC84DRAFT_1125428 MANPPKAKNFDWEMYRYIPSLAGAIISMIIFLILTLLLFWQWFR TRNHILIFVVIGTISEVIGYGNRIGSHFDNQAWGPFITQGTLLLVGPLFFAATIYMML GRTIVLAGGEDVSLIRPRWYTRVFVGADIFTLVLQGLGTFQSEKIVIAGLALQVATFV FFLIAAIDFQLRMERKAAISASLGTPANNQWRKLLWILYTVSSLVLFRCIFRLIEYAM GNAAYLIAHEWTLYVFDTTPMFLTVALLLVLQPTRYVTKEYRKGSSDSGGEVQLGSGQ AK CC84DRAFT_1166917 MSGLRFLDLVKPFVPLVPEISLPESKVPFNNRLVWTGLTLLVFL VMSQMPLYGIVSSDNSDPLYWLRMMLASNRGTLMELGITPIISSGMVFQLLAGTHLID VNLDLKSDRELYQTAQKLLAILLSFGQSVVFVISGLYGQPSDLGAGICVLLVVQLMIA GLIVILLDELLQKGYGLGSGISLFIATNICESIMWKAFSPTTINTGRGPEFEGAIIAL VHLLFTWPNKTVALREAFYRQNLPNVMNLIATVIVFGAVIYLQGFRVEIPVKSARQRG VRGSYPVRLFYTSNMPIMLQSALSSNVFLISQMLYSRFADNLLVRLLGVWEPREGSAQ LFATSGVAYYMSPPLSITEALSDPLKTAVFIVYMLVACAVFSKTWIEVSGSSPRDVAK QLKEQGLVMAGHREESMYKELKRVIPTAAAFGGACIGALSVASDLLGALGSGTGILLA VTIIYGYFEIAAKEGDMAGLKGMVMG CC84DRAFT_1166918 MPIEVTRMAEADIDGAIDTIQQAFADDPYNKWIYPDRSKIDLTR NRYSLTLRCRWGISHGLFHVARDTANPSKILGCAMWLPPSPASAPQSWSLYLSFWALW LNQIYMNLWYGRGGLSTRRYWIWKDRQAEAQKEIWDDPQGYYFCNIVTVLPEAQGKGV GRALMEEVLSMADREGRKCYLESSRMVPNQAIYERFGFRLVREMACQDPEVEGAEGRV MLYCMVRDPKVKGDGKPGAE CC84DRAFT_1220071 MQQAISGNDPEWSPVEVELLAKFRSIFPGWNPHEPKLTWIDPKI ANMVARQMKVDNGNDWEVFLIDWSSIKSRVRPSESYKRPKIQQMMMKDLDLKPDRERL AVIKGDDWNVF CC84DRAFT_961788 MVAFQPSADTSPGLGCPSQPIDAKPAIIEPDPFARWRARKSPSP CVGLCDLSSTPGLRCQTSYADAMHFLRLINTALIPEALAICMHHRDDSDTFRSNPTHP PGHCDGDPQCEVQCSRGCLRKGAACDPHPLRYQAHPTHPHHVSVEDAMLQHSQLGSMF VCGCGTVKRAPASSHHETRE CC84DRAFT_961878 MHQTRRGTLVSRSDRPGMEGRPGKPRVDGGRVRFAGIASAAVTP RQGAEGGVGSDGRACSPEPCWCSFGGLWACARCGGRSALLAREAVRSCCPISRRPAAP AAGCMRPPQPLGPLRRRTSVCICIPSNASQSPHLAHSVPRPRTNSASLQAAKHLLPLT ASPASSPTNTSPPWCPGPSPSPPATSRTFSTADPQSHHPACLSAMWRFLAHARMPSLQ RAPCWPTAL CC84DRAFT_1166920 MSNSLSPYDMATNMTAYAPNPYRTARSSNSQPGHPYRVEKPRSN HNSPRTVERRKTTTGAKLYATLDDHYNMMMGITSNEEIEPMGEPANTRPMSWHPSSNQ YYTRPQSTMFDQSQDWSQTYSDQTSVQGSDFYSFSARNSMGADTTQQYPTYMTGYETH RGSQDSDSSWQTQQSQQSHVHSAYSTPATEPMPWYLQEWARKNQEQAASQNNSMDFLP IQHPTEPEEQQAGASMEDSGKELIGMGLYDVSDSSLDWTSPLGEATGKGLKLEETWQP PEEDEDEDDDDQDDNASSDDGEEELPPPPAPVAPVASAKDQPAGNMEGQSFFFDEDEN ISKEWWYQHLKQPSMPVRDAGYGYGWL CC84DRAFT_1166922 MAPQFVVTPWRDADELLTVRRDLFAADDDVARERAVNKIIAWRA RKLELPLLLESTADIVEAKLRDGKQGVPSHALRLVYATAISRFVTGILDTHSDLARLS LPAQTTPQFPVSLRETRHRIVHRHLPSLAELKRAANESLEWLWEHYWSHLDALLTSAG SAQQLDESEVKERLQGVLKSYVKERKAEIKSRSKSPRAADNAVGGWLRIGAAGSVKGK ILVHLLVAERNILPVGKKLGSSMEGAYLIWTPFVTALGTIDSLFLQTLTERMIGVLAL PGRGTASVEEDPAMEGMCAWVVRMLDSAEWQQESQEPRRRKLLDDVLGLCLSTPTFWT LKLAEDLLKDGSVPGRKSWLEILGVAKEDAYEDDDAQALPPRSQAGPDEMDVDVIEAE SLVSESLSDTKQMRGPQKYPGLWRPRPIGWLPPGWSEDD CC84DRAFT_961812 MPSPKTLLSFYTSLVKSLLAVIFARLYKPQEIPPKDLRGQTAIV TGANSGIGLSIAVALAKQGTAVCLACRNPDRGAAAVEYVTSSCSGNSQGKVTCRILDV GDLTSVRSFCEKWDGEIDMLVHNAGIAEPASGGPIISKEGLDIMYTTNFLGSFLMTHL LKARLSPTARVVFTSSSGAYSGASHFLQESSKSSLEHRAPGLLARTTTRIKDFLGITE SSAPTYALTKAQQVLFASLLQSHFSASPFPRRTAHAFTPGFTSTPIFGKLVVSWQTWF SNPLFAALKMTEKWIAVDTDEGAKTGVWLATWGNELGIEGKGGGFWEWMEWRTSFVEL MGETRKEREWDTWERDAGAVWDR CC84DRAFT_961683 MVRRALLRKERSKETQFEYGGQKWTLEQALSRASRSKKPIEELA NTPEGMVVTTPDNPNDAVPPGNHDDDDEEEFPRSPSVTAIGSDTLPAARGLPLSYNGK TRQDLADILKVARASAQQKDAAKAEQAYIDVFRGLEHLLSPTAVDTVKIGYEIASFYW EQGRKADADGMLETMSATHIKELGMHNRSTQQHILHVVEMLNSWKRGEDALVMLQHAR DIEEIERDARPRRRNRAQNMATSSLSVDEKLQSLYTEVDSAVNSTPIDEGLVNARIFM GTNHPTIEVLLKAIERQCLDGPSGMVAQGLRARAELLKHYISKGGRLSAADKHAFETA GDRLKIFWRRTRWDKKQFQSHEVIEASLELAAGVLRGKLVQHARWMFGEIEKKATDVF GADDERTIWTLINIGIIYQTYRTWEEARRWFETALAASLAAWGTSDGVTRALENARDN RHFAYLNDEGRPFETIFGVCGITIRPTRLHLE CC84DRAFT_1151580 MDCDQQNSENLPIAYTTLESSPDRSPSIGSLSDLDKNAEHEINL GEICELHVYETRFNSKGEEVVLQVGAKEELDTEEIRSPDAALVLMRCYDESKVLESTI LEIRSPYIKKAMVDVIGKYPGVDIGTSGILKIYDEPRCLFHYRDELNAYAQANSDEDV KQHVTYLLKYVRQALRKEIASYETHMASNTETPGLDFDNLWMVFRPGDLLYHNINGEG QVCRLRSMRIQECNTQPLRYAKFWYLSCEIIKCDGEDFIYSWGNTSIFVYDGFRSLSD LEIIPLRFHKDNLRIRESLMARGRVFLTLLGVNHRNYRGTARIFKDIDDDGDAEYETL EVRDFHCIQSDADGRVVVDCEEYVSNIDPSMSDYIQGSKLIRGKDMEHEKLCDDDILI CSQEIAGFSFQHRRWGLFKVSGLAEIEYNRQAFDALVLPQHYKDLLISLVNAHQNHLS DFDDLVKGKGKGLLFLLHGEPGVGKTLTAESISEITQRPLYTIGAGEMGQNSALIERE LTRTLKLATRWNAYILLDEADVFMVQRSSWDSTRNALVAVLLRVMEYFEGIMFMTTNR LQSIDDAFASRVHLMLAYPQLGEEARRTIWTTFVSSTSSSTVPVWLDDEFLDEVAAYE INGRQIKNVTRLACAIAANDNRELAANDIMKALEAFSDMSYHGPVQPGQPGNPGQSLQ SLLPPQPVPIAVHYKRWLDIWTRNYGREIILLLPTAHVVGALLAIGLASALRHGAKFA SLAYWRFHK CC84DRAFT_1166924 MPDLTLYDISSPLQPRSYAPNPSKARLALGFKRASFRTEWVDIP DIERVRRSLNCPATRKLDDGSDFFTLPILRDASTGQVIGDSFDIARYLDATIPSPSPP LLPPQESLKGKWTTYSSPAKDTPFFAPITTNAGSTHPTFASFNLHIDATFSANMALYG QFLPFNPATAEAAKALMCKRAHLNSWDDLTIPGEARRPLFEGGFKDAMEGVAALFGSG EGHIWLEGPEPCYADLIVGGWLNMLSCLMPKDEWVEFRGWFGGVFGRVHDALQESFFV IQ CC84DRAFT_1207915 MSLNGLDDAAVTEAYTGALGEAGGWFLLKYASRDSVEILTRGTG GAHEARTAIAAYPDNSPLYGFLLYRRRKVLIKYVPEGTSRLLQARVAVHFTAVTDKFA PHDTTFSITTPDELSDAALTSACSLHTAAPSSSSSSLSSRKQKLDGITEDVEDAQSTA GASESPSTARPSTANTVTGNTPSPLPEKTAEKEVEKSASIDEDQAKADGEAAEKAPAT ADEITDRLELLRTPTAPDRDSFKKYDALFENGPDPRLSSQTTRPDLADLYAEIYAQYN KPKVKLGPRPKASLDMKRPSTSGAGTQSVTRPVSSLPPGLRSSNRKNVEPRRPKSRDA STVPSIAIPPPPPIPMAPEMPLSPMYHPKSPTSIRSLPMNTYSSLHGRSHGSSQEKQR LMKALQLRKKQMEARKVREEKDAEAAAEDTTAGAEEHVADEKAVDDPTLPADAPIEAH DEPETAKQLASENDEVTGALDQEEPKPEIPPTRADTDDIHSAASASSPTSAQTQGSSV APSTRPSSISEDDVPPAKEIKEETVTELPVRPDEALADNESTSAESTPTVVPETTTPV PYLQVSRPSMDTTAGASEDTVFDDSGERKKKRESMVLVSASEIDEARARRKSKRASMM LPLSSESLFDTRNKTSLNEQPTAEGKENRRHVVDPSHLSAENSEAEYLSDDSFMEELQ SAKVEEAMPMSVSKSPITPFFSRKPSSPEFSVPKRSASQHARLGGHSPEQPGLRKLSG PWVNHASTEPVVVAKKINVGSGISQRIKALAEKSNRDSTASLSPVITPDGVSSTVAQR KSSFFTTPPDGNSPTGKSVNRMSRASFINVSRSTTPEPKPAVHAPRAKTPTQPQTVYT MQQGSERPESVQVTARIVRDAQPQKPILTMPTETSPLELHRSAITIDHQKSTRPPTSS SKHSATRTEPTSPRPPSSSQSNEPVPNLPRSSSESSWRSFGRRHSESKNGGPPRSMSA NSLVESSSDEKPAKKEKKDSRTSKLFKRMSSISSITRKNSAPSIPEDIPSIPLPSLRE PPSAVQLGDLNIQFPDTLLWKRRWVEIDASGNLVLSQSKTTEQSKGGIMRRFSLMEFR APFAPDLDQQELPNSVVLDFLDGRTLQLACETYSGQAQVLAILSEAHTAWTDYNQGL CC84DRAFT_1097862 MTLLPPVSTLSHLPEDELTQVLDLLFEPSPPLHALSLPVLKSTV FPTYDILIVAVKAQLSALAKSDDPKDIEKLSEILCSHPRLGEKKVESEQSRAEQAQLH TGAEEEKEQLAALNKEYEEKFPGLRYVVFVNGRSRPAIMMNMRTRIVRGDIKAERQEA IQAMCDIAADRASKLQK CC84DRAFT_1061139 LLFLPASPPSPLCKRRRAIADVDGEHSCLHKKKRRLRLFLITSR LSPQFSHPATNIVDRGSSKIAVWAKQRALGRNILRKAAILNRIRRQSICALETAGGLG RVFVEQEKEQEQLQLARLTLLYGSHDSATQPIRKEDLGLP CC84DRAFT_961948 MRCGERLVQALVHRPAHTSLAYLHAGGIKVCRERDLDDEEAHVC TVHATMRCAAILRVLARVESTSQPDTRTQMDPSCPARSTPGFNTQPLSATISRRRPSA QSARTLHNLQPRHPRSPLRSSDRTVTASQSLWSGEGCNTLRDAGCCRESRDRFIGCEV PMGGSALCDRRATRVFCVVLDSGYRGASR CC84DRAFT_1097998 MSSSQPGGSGLRYPSNKKTIYDRNLNRSKNAELSRAAFAYLFIE MINLAQKNAKDVGDLEKRLNNQGYPIGVRLLDLLLTRSANPLASIRPTRILPLLQFIA QTLYRHLFGRPADALERSGDDPSQYMLFDNEPMVNTYISLPREMSNLNCAAFVAGIIE GVCDGAGFATEGVTAHSVGAQEEGKEGKAMWPGKTVFLIKFKKEVLEREEILARGSG CC84DRAFT_962003 MSPSARRPVRVAGASGGFSDRVRAIASLAKNEEVDVIVGDWLSE LTMTIHGTGKIKNTEQMMQARTFEEKLNYAMFAENFLDCFMPAIKDVAEKGIKIAVNA GASDTELLAQLVDKKVKEQGFNLKVAWIEGDDVTGTVKGMLNKGEGFRSLMHGRSVEE WGLDPVCAQCYLGGMGIAKALTEGADIVIAGRVSDASPIIGAAAWWHEWTNDMFDELA GSLIIGHLLECSAYVSGGYCSDFRSLLAQKKHINLGFPICAIDHKGEGVMYKEKNTGG EMTVASCTSQLLYEIQGPQYYNCDVTAQIENVKMEQVGEDRVKISGVKGLPPPPTTKV GLTGFAGWQAEYHIYLCGLHIEEKCKWTEEQIRYELGEENLKKFTTLKFMQNGASPID ARNQDVATVDFRVFAQSKDRELLNMRNPNGFFRISMVTFLQSCPGASLGNDMRQAEGK PYYEYHPSLLPQSALQQRVHCLWDGDEAAGFKDGKKVIDMPLAPEFREYDRQQPSYET TNPVPLDAFGPTVRLPLGSIVLGRSGDKCSDCNVGFFVRHDDEWEWLRSLMTVEKVKE LLGPEEYKGKPIDRFEMANIRAVHFLLHDHLDRGYDACSTYDTLGKNCCEYLRAKTVD IPIQFVKRGVL CC84DRAFT_1151597 MSSGLGSRAHSPPIPSEDTPLPSYIARHFNFGFDSDDVSALITS IDASQDHTSIPSLPKLPAEVLFNIVGYVPIDYILQWRLVCRGFRDCIDGPVMYNCITR AEIIGLVGAPDTLNWAGVPPELHKDLACMRCSFERLDDSVEPAGSKLTNAKWGARHAV FRIDDGWLDKFLLAETISTDRHRAQNFHFLVERLHLEGSADAFGKMRWCMRLDSAVLD LEFPIQALRERLTLDLPSKRVMIEWKRLLFRFIQGETQLRTIMEQKRDSEFSFGRKED CLRETRRRRLRASLDKNNSDHSLTRWGLSTLPGLFGKRIDLVPAEEFDVLKRSEDSAI AFLMPARKEAGMAKVERGRLWKLAEDHSGMIDALNNIRKLHTDWQNTLLVTPNSTSNM IESTSPGNPFKWSDDERHRKEAQVLKWKAQQANIQQVVRLFEESIEAVSVPENAFDDS GSEI CC84DRAFT_1151599 MDKNILIGRFDQVRTISVAKVFGTLLTLWLAYCIAIVVYRVWFS PIAKIPGPKLAALTFWYEFYYDVYPHKYQYGFKLRELHNKYGPIIRINPIHVHIHDHA YLDTIYASGNAHKRDRCSWSHHSGAKTLSGAMLEAMDHDLHKMRRNATSSFFSKRNVQ VLEPLVVNTTNRLLARLKQDMHRDIGEGGKGIVNLNYAFAAMTMDIISEYCFGEGMNS MERSQYGKQMLDILHQGIQMRPLARQFPTFFNWMFDLPPEYVGYLSPDILPLNEFNAG LLRRITKIMDFKDDGGKGTGHQSIFHVFRDDPNVKLPPEEKTPFRLMAESSVFIGAGT ETTSRTLAVTIYYLLKEKEVGMKLLEELKRILPVRGTTVSLPQLEALPYLSAVITEGL RVAHGVSSRQPRIPTNEDLTYGPYKIPRGTPVMESAYLIHTDPKIYPEPMSFRPQRWL DNSDLKKNWFAFGRGSRSCLGMNLATSELYFGIAFVWRWMELEIWGTSEERDVQTNYD CFIGMTSLGTESGVRVRILGKRME CC84DRAFT_1151602 MRVNAALAFAACLAPIAAIFEDDAYHIDFHYALLGYPKPEATFF QKPYAASKASLLYTVSENGTIGAINPKDGALVWRSDQGISGNGHLRAGEDQDTVISAV GNRIAAWSASDGRIVWETSIDGAIAEDLEILEQEDGISNNDAKDAIVLVAHGSSGVKR LDGKTGRVKWTFEDTSGDTPFQVSSSPTTIYYIALHSPLLGGGSKIRVTSLSPITGKK LDSYTLSSEGEVYTKENILFAGANTAAPILAWTDKAYKVLKVNIIGTKGVSSFNTPAS ESIESIVLHAPRKVNSLPHFLAEYQTATGHSAEVYHVDLKKNAISQAYKLPLLQGKGT FATSTTDANVFFTRITSDEVSVVASVSDGVLGKWAVNGSPSLAGAYPVHGVAEVVVKG NSASAIRSAALFSNGQWALIRNSEIAWNRPEFLSGTVSAVWAQLPEEESLAQQLEEEH HQDVISAYIHRVQRHLRDLEHFPAWLQNLPNRILGSVTDQSGSSTIEEIQHDTFGFHK LVISATERGRLSALDVGSQGKIVWSIDLHQFAPGAVITRPSLKAFRGFVEVKDPGLTS PLFINSTTGSQVSLEHAHNQWLPNLDQETLVTYKLSEGELQGFLGTESTAQAVWTFTP PPGEKIVRYTARPAKDPVASIGKVLGDRRVLYKYLNPNLVLVTAVAEAGRRASVYLVD SASGQLLHTASHYGVDVSRSIPSTFSENWFSYSLTLDSTSKASRGYQLVVSELFESPL PDDRGPLGDSANSSTVLPMGEAAKPHVISQSFQIPEEISHMTVTQTRQGITSRELIVT LPSSNSIVGIPRGVIDPRRPVGRDATAQEQSEGLVKYAPMVLFDPKWHLNHKYEVAGI KDVITSESGLESTSLVFAYGKDIFGTRVAPSFTFDVLGKGFNKVQMLLTVAALFVGVL FVAPLVRRKQINSLWTVPQ CC84DRAFT_962084 MSQREYHIVVLGSGGVGKSCLTAQFVQNVWIESYDPTIEDSYRK AIEVDGRHVILEILDTAGTEQFTAMRELYMKTGQGFLLVFSITSMSSLYELQELREQI RRIKEDDNVPMVLVGNKSDLEDDRAVPRARAFKLSQEWGGVPYYEASARRRANVDEVF VDLCRQIIHKDRDQRERERETRRPQRQRPGDRRNRRNHRPRCTIL CC84DRAFT_1220086 MAYYGGQQSYNYAPNNRYQSPAHPGQPPIPPPYPPASAQPQPPF SPPYSPASAHAPYGSHSPYPQTQSGYNRPPPPPPPPGPPHSPYPGQQNQQYQQQPYGQ QQQPYGQQQQQPYGQQQAYGQTQYGQQPPSGNPYGQPGQGPPGQYGAPPSGGQYGGPP QQQGPPGDPAAYRQLLQACIQEKNLGSFYPPGSQVVEQIAQKAPQLISQVISRWKLPK EIANDVVKLALYDIWLYIDDSGSMQFEEGGERIKDLRVILERVAFAATLFDADGINVR FMNTNLPDNLANHVAQEAQIENLMRGVQFKGLTPMGTSLKKKIIDPLVQQARSGQFRK PVLVITVTDGQPAGEPQNAVLDAINEAVRSLPPGGIAFQFAQVGNDQAARAFLGKLDE DPQLDRFIDCTSNFENEQEEMARANPPVDLTPDQWILKLILGAIDSSYDTQDQKSNRP AGGYGGPPQQGYGGPPQQGYGQPPQQGYGQPPQQGGYPPQGQQYGGPPQQGYGQPPQG YPPQGQGQGQYGAPPPGGRPPQGGPGGYPGQQPQYGAPPPGGRY CC84DRAFT_1207924 MVSGYANSTMPTGSLDANNFLPSLVHNAHFTRWLTWQTFCTALL AVVIYDQVMYQVRKGSISGPKFKIPLMGPFLQALHPRFDGYLAQWASGPLSCVSVFHK FIVLVSDRDFAHKVFKSPAYAEPCIVPIAKDILGHNSWVFLQGRAHAEYRRGLTPLFT NNAIATYLPVQEKVLATYFDKFVAASEAELGRPREFMTLFREINCALSCRTFFGDYIS ESAVKKIAEDFYLATAALELVNVPFSMYIPFTKTWLGIRTSTAVRAEFARCAAACRAH MASGALPTCIVDHWVLHMMESSRYRVRVAAGEQNVEKPTNLIRDFTDEEIGETLFTFL FASQDASSSATTWLFQLLAQRPDVLSRLRDENLAARGGDASKGFTLPMLETLPYTTAV IKELLRYRPPVIFVPYLATKPFPLTPSYTAPKGSMIIPSCYPALHDPVAYPLPETFDP DRWITGDAEKQTKNWLVFGAGPHDCLARRYVPLSLAAMIGKAALELDWTHHATPQSEE IRVFATLFPMDGCQLVFQKRE CC84DRAFT_1097004 MGHTLRRSCAACAKSKLGCDLRLPRCSRCEKRNARCVYANEPLT GLTNASKWHHDMTTPSPEGSISTLTHYRPGSLDMDPFDSYPQTRLPREHVQRLIHGFL NKIAFQYYPLDLSATSNPFLVSWWPLALGDPALFHVSLQTACLDEELWAQKGFQTSEL LMADSVALLRRKVEDTSLAVQDGTMNSVITLAAIEFGKGNLKIGEMHVDGVKRLVNLR GGINAVRQTSPLTARMVSWVSMLITREPQFETQDDFGTGSGIPPIPEWQLDPADQTGD TWYIGEDYCDKVTKNVFMRLNHVFRQANRTTLQPTRLHDLASFVIHRLLAATTDAQRP PTPYSECIRYALVSYMFILQGPTYYSHAVILRDVVTRYIAGLEQLESEFRVYDEIDLW LHAVGLVASAGTAEYSWFAGRTALIATSMALSGWEDVVARLKNVLWLNIPNDEAMFRP HWDEAFRMTGWPEVDMAK CC84DRAFT_1220089 MKQMWGRNPTEWDWGIHLHDDQWSEYDDRPIAVGHDWKRGLPWD YKGSHQECDVSGWDDWEHGGWDDGDWGDGDWNDQLHHHWHHHHCGGRLPDYYRDIIEL SDEFHPPLGFEVPVSSAPAMPISTPITACPRAATRTPEIDISWMSGNGK CC84DRAFT_1261809 MGVFRAVVLSALLATVSVGQDAISADGTNFTLAGDNVSYLFHVD TESGELISDHYGAPVDDFTPPAFVLPWGWHDNLANLRREFPDVGRSDPRLPAIHIEHP DGDTVTALLYKSHQLVQGKPELPGLPATYGNDSDVSTLQVHLYDNVSDVSAVLSYSIF PKYNAIARSFSVTNNGTGNISIERAASFSTDFPNVDLDMIEVQGDWSHEFNRVKRKID YGETTFRSTEGFGSHLHNPFFALVSPSTTETSGEAWGFNLVWTGSFEATAERFSNGFV RVLFGLNSLHTSIQVKPGESFQSPEAVSVYSAEGVNGMSRSFHDLYRNHLSRGKQTHK TRPILLNSWEGLGFKFNQTSLVRLAGEAEKLGVELFVNDDGWFGVEYPRQNDTLGLGD WTPNPQYFPDGLGPYVAQVNNLTVLNSSQPMQFGIWVEPEMVDLNSTLYNEHPDWVLH AGKHARTLTRNQMVLNVGLPEVQDFIINTVSGILDSANIQYVKWDNNRAMHELSQPSD SYNYILGLYRVINNLTTRYPDVLWEGCASGGGRFDAGLLHYWPQHWTSDNTDASDRLT IQLGTSLVYPPSAMGCHISKAPNGFNQRNISIEFRGHVAMQCGSFGLELNPEELTEHE AAAIPSIMAEAKKVNPIVISGEFYRLALPDNSAWPATQFVSEDGSESVVFAFQQKYTV KPAAPAVKLQGLDPKARYSNDLDNGTYTGATYMNGGINIQWDRADYQSKLIWLKKQ CC84DRAFT_1261810 MNGTKFITCPASHHRIENIHNGKVASGTVKAGGQDKPAPGRYIR WDAEGVEKIQPGEQEKIQAVSDQFNRFQMMNFNEHMHCLRGTHLKTQGIVHDNLPPHL AQGMFSKPGAYDVIMRYSSLTPKLVPDSIPAPRGIGMKIFGVEGEKLWGEDKRTQDWT FNNYPVLELRDPETTHDIADSLERNWNDLGTFADEQSKRVDADVATLGSQLPKQHMVA MPEYSQSAYRFGDYVAKFGVFPLGEEQKKLEDTEIKESDPINIISQELRSFHMKNKVT YSFCAQLLQDLREHPVDDIGIEWDAKKYPFEQIATLEFPPQDSWLPELRVWWDDRITV NSWHGLKVHQPLGSTNRMRRVVYAESRKLRLRVNGHEDYVEPMGLNEVPVPVPTPQLP LRHQHALQTTEVA CC84DRAFT_1166939 MTDLVFATEDMPYCPKSEQPVYYTYTKAEAEKLVLGPNRRNGLC TAVIRGTALPSEGDNAMPTQIGCSSVTARTCLTGPTFATLLKLI CC84DRAFT_1207928 MATCQQDSPMQRSVCVPGEGRVKLRSSCDACSAAKVKCDSQVPC ERCRDNAVHCFYSPSRRHGKQPWAKRVASQHQRLQSTMHVHPSQAPSMGSFLAFQPNP GGGTFTMPSVLPPGVPTSQMNSTPFVNGPADGTSADHSFNVTNNLQFQDPWLGVSASA APAHSSSPASTSTLTMSEASMSPLLEPAFQPPVHDCEAKALAMLHSLHMKSAEHPTSG THSSQQLPPLDKILHTNRMAVSTLGDLLQCHCAQQPHLALLYMATISKALFWYRLAIN LSFKSSTQLHGVRHEPLSPQSHTGPFSMSPGPNTTTSATNTMSNQIKEIPRGRARSNT IQIGSFDLEEEDEAILVRSVVVTEVKKVARLVDFMRSGGIQTNITKEHGEDSLSVGSW YRAGGEKLDREVQDTLQEARGSGRIATNFDPYAGGSEQMWSA CC84DRAFT_962652 MIRRHGWRSEAMCGEAAPGTHRRIFPAPKTETPGELWKQMAQTD HQSTSAVPTFAFPNAGLRWYGSATGVVINTPMKHTIHLEAPFCWCICCGGA CC84DRAFT_1125487 MLATPLTALCLAAVASATGVGDLSKDLIPKLSPAAGIYTPGSQA FDDAKIRWAANINPSFDAIVKVTSEADVQATVAYANARNVPFLVFNGGHGFSKTLNNF KGGIGINMRGMKNVTISNKRTEKGPVAYIQGGAKSGEVIGTAWAAGKTLVAGACDCTG FTGVTLGGGHGWMQGQYGLAIDNVVSYRVVLANSTAITVSANSHADLFWGMRGAGHNF GVVTSVHYQLYDRLTPADNGFSTKVYIFTEEALEPLFTLLNTWIRAKSRPVELTHFGV ILNNPSISPKPIIQLLVFWQGPSFPPKYSAPLDALKPYSVTSNYTDLAGANALSGSSL TGPNCASGFGRQTFPVDLVEWSPANLRTVLNLFAELPGTGLDTSGMLLEAYATNGVKL VSPASTAFPLRDGNILAAPTFTYDASNATQDALAYSYGKKVREAMLAGTGQRLGAYVN YAIGDESNEAVYGYEKWRLERLRGLKRKYDPQGRFGFFERIEV CC84DRAFT_962669 MKNRDRIIYTAPGLALCTACITQSSQQHANGPHHGSFLRCILPS NSKADGKHASADELPWAERPLYLLVSWHGLTQLISGRSSFSRCEQSSSLTSPRSVEYL RCVEGVSEIVG CC84DRAFT_1166942 MAPSPLSPLTILSNMDGFSPVAVHDIHLAKRADRGINPADGAKD PRAYNNLWFQLLFAFIGIGMTMTALWFFFRAKNGGFKWQENDWEDYKSTVLRRKGPDG RTLSNATKSTKLGGGSVVHGGTYGAPTTVGYTDETGTTVSEMREVEEGKSRRHFGIRG GDADKKKKKRHEHDNDYKDPDLRDYRDERPAKVGGLNRQADGMYTDYSATGTEPSDLG SNVSGRPLVKDKAKKSEKDQKKEDKAKKQRAIERMRKAKLAEKEAAKTAAAEAKAAKE AEKQAAKEKKAEQKKAKKAKSEVAPSEIGGPAMTEYTALESEYTYDAPKTEYTAPSEA PAPARGSSRRAPPSAAYSFVTGDDRTEYTGAYTDISHDNNTKSRAAPAPTSAPSEAQE SSYYSDYRPNAERTRASRSQSRPRQHESRSRPSSQSPRKHHRASTSRPGPSNASDIFT AANGDVRGTIAYPCHIPGLSTAGSVAPNDSVSQMGAPRGPRREERGGRDVMAGYRRGG VRTVRRDSLSDSDS CC84DRAFT_1189156 MGNPQTNPIRSWKGYIWDTWDLPQDQRRLLFKVDAFVLTFASLG YFLKNLDQNNVNNAFLSGMGEELSMYGNQLVTSTSIWTVGYVIGQIPSNLLLTRVSPR WVIPALEVGWGIATFCTAAVKSYKELYALRFLVGFFESGFYPGIHYLLGSWYTPQELG KRAMIFWLAGTVGNMFSGFLQAAAYTNLHGVHGLSGWRWLFLVDGIITLPLALLGFLF FPNLPQGGKKTWWTTDAEHELSVNRMKTIGRAGKQPWTRAKAKRVLLSWHTYFLPLLY VIWNNGIPQPAMGFWLKSFNKKPNPVPGKHFSIPQINNLPLVTNGLSIAMALLWGWLS DGPCRGARWPFIYAGAVLTLAFSIAMWRMPLYSDIEGRKVLYWLSALGNGAGPLILSW INEICSNDTEKRALLVAAGNDLAYVVQAVAPNFVWKTTDFPAARKGYTWSVVLQVLLI VWTAAIQVLLWRDRRAKGRGVEEAVSEREHEAEGEGEEGAVTVGKV CC84DRAFT_962698 MLRTHRKVTFICKRQTLTGAARKLSLLSPTAQPSPADVLRIHRS PSQQHPHHRGHSSPPSSLPSAPRRPPRWRSVSRQPHTALETLHYRSLSPHYMEQDPAF PPLLFSILTAGLSPTRAMEVQSDRVSTAADEPCINKQVKLNIKLRISSMRRSRSDSEI YESPPSPPSTSRHSLPPTSTPRRRLEDFSLPPLQISKARPSIAIDLLPNIPPTPEAML NPPLSPFTLLCPACHTLRPRIAKGQATAVCRACLTAFKSTERTCKSSHRLGSVLTSFP PLVAGESSESEEEDTNAEAGAGSRKLSIVGGITRVFGMLRGGAAKSRREVRVVRRAGG IMEVVRVEAGLRHGRYSRSRRLLETDK CC84DRAFT_1151632 MSSIRSRISHARAKLLRRQSHVSSSASQCSSNGSERVRTAHSVR KSMSLSKLPAISASDVPAEGDDTRMVQSELVVRSTGGGSGSSVSTSSRPETLESSMSR DRHPHEAPSRNDNVKLRETATASLPNDSDVPTACPAVTLEEPTPVHPPAQPPPVLPAL AAGGAGSAGSEDGPSELPSLPSSSRPAPTRRQSLVAPSNARAITTLLASDAAANPVAT VPPTATDYFAGASHFNASMLHRKIWVKRQNASATLVQIREDDLVDDVREMILKKYGNS LGRSFDAPDLTLRILPRDINAKGKERILGPEEEMCRTLDAYFPGGQTVHEALIIDIPI RARTPKPSPRVPQYYAHHDDSQNHVQTEYFPLMPIAHPSPALQTAASHETRASLDHAP HSISILQRGTLPPLPSPGGPRRPIASRPKNHRHNTASPGSLTGGVPQSATSSRPPRIR NDSSASDKHSASGNPPIPTPPALADPNMRQNSTPPTPRVSSPKPQKRPKKQRPIDTPS LPPGLLDNSVPPINVLIVEDNIINLRVLGAFMQRLKVRWQRAMNGKEAIEKWRVGGFH LVLMDIQLPIMDGLEATREIRRLERVNSIGVFSSGSSEAPNTRLGDKGIDRDLKDDDK LGEKNLFKSPVIIVALTASSLQSDRHEALAAGCNDFLTKPVNFVWLERKVKEWGCMQA LIDFDGWRRWKDFTNASDTTNSTSNLSTSYSSVAPRRGKAAASPTSSAPNGAGPSSAN GTATKSKDDVDKKSKRRSMGVIPPALPEVDSAASTPDVDSGDN CC84DRAFT_1166947 MPAKQVYGKRPVAKPSTSYAKFISPSKDAAAMKKGEEEAPKRRA GNVAKNESVNREANEEVDVGDLAGDLEGLRIEDGGALAEMDPEQLIRRAKSIGKTAAG AKQKTARKPSVQKKSNTINTSKSTQSKEDDESIALANQCQALDISKQDEVCTRTPQER RQSPRKPTKTRAKPSSSIEYAPEQEQVAKLKQQPKRQTAPETPKHGQKLKAVIIPAPR LMPKRLTPNPTSTTTPEDPYTSYVAPLLSLQSYGRSLMTFKEWSTSLEPHFTVSKIAE ASFSEVYRLSAMNTACRSMKESVLKLVPLKSAPNAPLRSLSRPVRNTDVQAQMDKEAR EEEDSWKSQVEDVYSEVKLLQNLNYIPGFTNFRELTVLQGRPSRGFIDAWKFWNKGRP RGKKSQFPDPSKKASYDDTQLWAVIEMQDAGSDVEKVMDKGGLSTVWEIWDVFWGVCL SVAKAEEACRFEHRDLHLENICIRSSRTDSEQDLLNPIIKDPLGRKLGFTGLETTVID YTLSRADVSSSVSRRSSSMTDASSFSLSSPCIASTPLEVAFLDLNKDMSLFEGDASEE YQYEIYRYMRGAVFYNNPLQFEDPRENEDDQIRRSPRKTAQHIRFNDPEPSSSQVPPS DTYCDPPSDLWRSFHPKTNLVWAHFILYKLLEHLESNEPSNLSSTQIMRNVEASNEDK SKIARKAMKLYKVLERVAELLEPSALAKKDSLGSMKELAVLAMEERWLKVGDVAGA CC84DRAFT_1151638 MQPEAQNAISGPRGPLEWGQVNFLHTTDTHGWLEGHLKEQNYGA DWGDYVSFTKGMKKKARELGVDLLLVDTGDLHDGAGLSDATVPNGKISNVIFENVDYD LLTIGNHELYVTEIAYETFANFSKVYGDRYLTSNVQIINPATGEFEYIGHKYRYFTTE QGLRIMAFGVLFDFKGNSNVSKVIQAAELVQQQWFLDAVNYKKPVDLFVITGHNPVRR NDTSSTIGKVVDAIRKVHPDTPIQAFGGHSHIRDFAVYDTKATGLESGRYCETLGWLA LSGIESDNCKSKAPRGVPNPTRKPVPPPSSTTSPGSSVPSSTSSSDLLVARRYLDWNR LTFAYHAKGSQKHATFDTAKGKKITSEITSDREKLNLTSLYGCAPQSYCQTCQPFLAE GNLFALLQTALSTVVVNETRKDTPRLIIINTGSVRFDLAKGPFTYDDSFIVSPFKDAF QFLPDVPYTQASKVLGILNAGPYQKKRDLSTSDFNFGPVLADRDICVDPPLTQASGMA KRSTARVIRRQAAEPSPGYTTTDDFGSDGDDTVHARIPHYAQPNDLQANSSFPTDGSL PEKVDLVFLDFIASNIVDALNEPDVGGTYSVADVSYYMDKSFTTNSYLPAYAKVAWQA NVPDCPVGAGIGSS CC84DRAFT_1166949 MLREGGYPIPVNEREIEGSCCAAEVVALGSSVTKFSIGERVAPT VNLNFLSGEERDADVCALGGDTAGVLREWAVFDEQHLVKLPGHVSWEEAATITGVGIT AWVSLNGLEHVPEGATALLQGTGGVSIMSLLVCLAAGIRPIITSSSDAKLARLAKLSP EIKTINYKTTPDVAAEVLLLTAGKGVDYVLNNAGLSSIPSDLRMLRRYGGRIALIGFL EGFEAGWDASLLMGLIEKAASIKGILAGSRADLEAVSRFLEEKKVDLGPLVDRVFAFG ESEKAFAYLEGGSHVGKVVIRM CC84DRAFT_1166951 MRAVRKSTRLSRQLLAANAVRHSSTNAVSIKPLTSVLIANRGEI ALRVGRTASEYGIKTTTLYTDPDAKSQHALSSPYAVNLGDASAYLDGDRIIQIAQEQG CQGIHPGYGFLSENPTFARKCTEAGITFIGPPWQAIEAMGSKSESKNIMIAAGVPCIP GYHGSNQDPEYLKKEAANIGYPVLLKAVKGGGGKGMRIVNKPEDFFAQLDSAKSEARN SFGDEVMLVEKYITTPRHIEVQVFADKHGNCVALGERDCSIQRRHQKILEESPAPHLK EDIRQDIWEKARAAALAVKYEGAGTVEFIFDNDTGEFFFMEMNTRLQVEHPVTEMVTG EDLVRWQLIVAEGGKLPLTQQEVEQRIKERGAAIEARIYAENPDMNFIPDSGLLLHLK TPKPTPTVRIDAGFVAGDEVSSHYDPMIAKLIVQGPTREAAIAKLRAALEEYEVAGPI TNIEFLKKMCVSPDFVAGDVETGYIQKHQDELFTPEPPAPEVYAQAALGLALQEISRA HADLFAGPSVTSIGYGNHFQRRQVDLVETPANGKGDSTSTPITLVQTAPTKFDITVGS HSYSNVVSTFAPSTNILTTFFPHTRLSTTFIRDGDRLTLFQQGKQYRLQLALPKWASK ALGVKDLTNSVLAPMPCKVLRVDVKEGETVKRDQPLVVIESMKMETVIRAPHDGVIAR VVHGAGDLCKAGTALVEFAEEEK CC84DRAFT_1061649 MSDYGDEYEDDYGDDWLYVEDEYMQADDLAEHAVASPPPLAYDD DRLDEWDRFDYFNDLEYDFEGYDDANFVTHNGATGQPKVGQKRKRGAVVGPSSKKQRL ANGDGKLEQDVAWLSNAPIIWRAQADRGVKARPLPEDAEPYAILKDWRKLDRAPKRTQ KAAQRELVSLPSQAHIEEADEEWEDEDLVDEENDDEEDTGMDGIDPAALMAALQSRLG SAGGPLSGMDPQQLLQFALRMANNQDAGDDIAGELADEMLNQGGEDEDDEEAEANLMS WVSQQRDGSKSDIP CC84DRAFT_963096 MNHGCRPTRPPHTRACAGGDSAALSRPPTISTQAYGIFCSVDRK RSAGVHGGHCSKTTDASARRAFVGQTWTTAAAHTPLLHRSSRPCLLPAAPTHLISRVL LLSTARPSASVDPTLPSAYDVALHASSSPRTPFLRLVFRAASAAWSRHLGSWAGHVQS TGCTLPVRGKVPAAASGTGGVVAWGWLAICDMFAPSAVHSLGMLVLSRFAPPCLDSCM ELWCPSTWTCSKPVGATAWPATCTVCSLFRRRPFSVDKVRHAARNVPVRTRGEHGRAG YEGGTARHGNDNFS CC84DRAFT_963371 MDGPSTASRPARCLSPCTTRLTRRREFHSSRRIPSTLRHSSSNT NVNPNAAPGPIPATTPLVVRQDQNGVQWIAFEYSRDRVKMEYTIRCDVESINVDELPQ DFKTENCVYPRACCNKEQYKGNRLHYETECNTVGWALAQLNPCLRGKRGLIQRAVDSW RNSNQDPRLRSRRVRRMAKMNNRKAVQAPHGNHMAGPNGPAAPGVPNSAGMAAPPQQP SMSMGGQMHHHHEHPGGPQGGSNDVSEANTHHHQAPNGQQSPEVREAHNFYPTYPPNE SVASSGGLPPMHDSMGHHHSRSSHGSSVPASQQEQDEEERKLAMFGDLPEAKRRKFIL VDDAQRGTRVRVRVTLDSVKMEEMPDSYRKINSVFPRSYFAMQMTSPPASPRGSKVFS DEPDNESDPSFPLGGRTTVPVPTLDGETTVPKPRLTRAKRSKEITLNDLGYRMSWSQS RVFAGRTLFLQKSLDAYRNKMRSSMMGHGQDVTTVAPHFETRVGKRRWNERIEKNKKR GRESSP CC84DRAFT_1166954 MLRMLRVRLVVRRWQALLGVGMMHVARRASEGAACRGCSMQRSR EETLAWSTDWRRARAQSWPCPVREAGAAATRDAARGAVRREGGWRPGWKGDGTRGNSP FSARRLEPALPYTSA CC84DRAFT_1251387 MREAVGAATTQRFHVSCHTRCDGAASLTGREGGSARRSGCRYRG HTPKAAFCARQSKPGRQCGCHVLACAARDNGIVASRRCPEGVGLELLAARYLACLPCR WTGRRDGPRGSPHSSAVGTLLNHVPCLDRPGRLTNDLSSAKRFSRMRFWKAVNRAHEW APKRAACFTRRAQGSSNECARRGLDTGDGRVLSLAGRMTGAVVIRRVRAGSLYVVTAN VGARDERLFIDACRRVA CC84DRAFT_963483 MHSLAISHNADVSIDLSRVPCFDGARKSRRRKRRTTRQRTRQSG TNTKHLLKCHVAQSRRSWGRGTQLHRLDECAASRVRIWLGGRAARIRLLPLTS CC84DRAFT_963526 MADLEKAQMTERTFATLSASSGRRSVYAERLPSDPKTGNVASAT GKPHLRFLLDWSLSVIQSERGMTNTLPLRQQDIPSKDKDKTLLSGKSCTRWTVLNNPK CHILRNEINVGKHGRTTGFTYGQVNPIPTIIDPIIANMKFASMANAYRLTVDDCGHAF SFVGHTHGPVVKSGDSGSLVLHAPSGDWLGLLFGSTNTGAGLFTPIDLVLRDIQTVTG YDVLEPAFNPN CC84DRAFT_1096693 MSHKTSSFKREKRKQVQSDDGWTVVSGGRANDGAQTSQLQSARP TRTATGLTEERLQAELQTMEQKWEKTSCAKNLVRMLGLRDWTVKEAVCIGIGSFSIDW DHRYRSLWQLVLFRAVVKIVQQEGVAIVLYAQEPAFTPLDISFLSRLGIKVTSSEIES HITSRSFVYAPFVDWYILLPMFLKGKDPELYIGNEILGSYGTFANTQEKKDVLEDCNE LGKIFANGRERRRVPEFEEHGNALEGLMIYWNEDEDD CC84DRAFT_1097901 MSASDGQPPPDTNYAPTFLAVTGVFTLLAIGLCSARIYSRLRPK PNLRIDDYLISTATILCVVDFFLSLIASLHGWGHHSAYVTAADVTMVMKVNFVQQVVW IAAIALTRLSIALSLLRLSHDRYWRLILWSIIAIQMVTYAGHMLFQFANCAPLRASWE PVYDVRCWPRKYVLVFGWVANSLLVLNDTILALFPIHLIRTLHRSPREKVLICFLMAT GLLAAAIAAYRMGLSKAAFAGDLLSSTVMMSMWCMLEVLLGIIAACLAPLKAPAERFL HRAGLLVSRREVTRPSFVVSLGERDLEGGGGEVPPSRSTDGEGWKDSVHDGVGKKERA GVATVEVGRGQGDVV CC84DRAFT_1261825 MAARKPPGPQPPGAVPPAVMENYLKLKQEQDSTSDEFERRVADT RRQLLERHAQEQQAFWTGQPCVAWQPPNGHAVALPDQQVSQRAVNQAPLPGPRKQAQD EGSAAQGHANAPINAPKRAAMKAEPPTAIRARARTLVAAQHHSQSVAEAQPQHAPKPR TKAAPKGGEIEIVDLCSDDDVEPSRSTTATSGQRVEFNFQPPSSGVLSFFGRNDNSEV IRPNVKGDEDLSKRQSPASFNTPVAMDVSVEPTQVSHGPSLSSMQTLNGPRDSGTRPA SAVPSPVQKDSSMLQDRQTQLGEGKINMDLKNSPGRPGGKYFQSITAFSRSFEQPEQQ HQQRRLVSANDTLCHPGGQDRVLGPEKASARQTEDIDMEGLPAETKQNENGPNVPHRS HTRGGKLSDRQNDNTDAEHADRDARAEYEKQRQRFMAIVQANGTLPAAIQAQWMEINE TGIKRREELERTLQNAKAVGMHNATDSDGCIRRVKDKIRTPSCVQGSSAGRPQTQANT ATDYQHPQQAFVESPMTGNQNEKLYQGDVSATGVDASSYQPYHRALDRQHQSLLFKVP RAPNRAVPINERTRREDTVTSRASSQTLPGHSIVATEKGRPDRLPLPTPPNSVVSPTR KRKVHHNVSDDSDVDPDFEPPSSDDEPLINRRHKPVVKKAKGVNGSGSARPVSLAPKI GFKHVKLTKPNRSVTPARPTTKTTAPATPESSLSSLDSESPSVQAAQRSSSRRAKQKA LAALDEHFQKDFEFYNEEDIREADERKQRLKDIMPASTEATLRRTLQRMSLTPVPPSA CPDSDRIETDGAQEANRRAHDGGRKRTDSVYGLVH CC84DRAFT_1166960 MFGPFRLTNPLSGGLLWKVPWRLSRHQKYRHRERLRGVDSIVST VDSALARLGQSLKKVEAWKKDMPTEQEMLPRDKYTVFDRKVKRYRKGIHKVPKWTRVS QRLNPPGF CC84DRAFT_1098286 MSYYFTIFSPTDTPLFTHEFGTSRSSGDGLPHFSPTDRHLAPFI LHSALDILEEVQWGPSSTAGSAPMYLKHIDKYANNYVSCWITGANVRFLLLTRPRDEV LGSGGGLGGQRGSLAGGRLGVGGGGGVYRPDAPATEEAVKNFFVDVYESWVKTVMNPF FHAGNGDVVKSPVFRARVAAAAKKYL CC84DRAFT_1166962 MASAGDSRLKHAPEASPAPDLPPAGQPTDKDDVDSCRICRGEST PEEPLFYPCKCSGSIKYVHQECLMEWLSHSQKKYCELCKTSFRFTKLYHPGMPKTIPT SVFLRRAAVHTLKLFMTWCRAVLVASVWLIVLPWCMRVVWRSLFWVGDGGWNRDDYIE LRTLANASAAPSIYDLDALHKAHTAAKAANRTADVPIPSLLLPLSQTLNASAGEPTVW TFAKRFLWGFVTPLHTLAPPPALNRTELNTTADHLGPRNPSLLSDVSFFNWFPSKAAN GFLVDVLEGQIITLAVVVAFILIFLIREWVVQQQPVINMVMLNGNAAAAADQAPQNQD EEGEEEAAAREEHDHELEAENLEDETDAAEDEEPASPVRPKIRGPSFECREKLESELP EGRAILETWHETGIPPEDMIIQAFKDGSFDLTEEWSYFLEELPGRILSKLDAEALRRR EDSRVTRGRALPERSSSLAYSTHETPSSARTASASPSKRPPLPARGRTSLATDVKRRL EEDGSWSFANVQEPGDENVPKPAPSIPNSWDDEVPDSTPPDLEQGSEHSSESWQQVPD IVVDSSVQESSQDKGKGRVVDYDTSSPAVAVPGPSVSTQGEKMPERTTIKVWPSTQSD AAGPSRVGDEASNLSTCGSDSYRDTLDTDAEDSAVEVAPQSTQEEHNETDAEQEREPE PAAVVDVQPPRRLLDRVLDWMFEGVAPGVHAAEDGGDDAHIVRNIADEAPFVPFAGNE PREAANPPIQDPEVAAAAAQAGIDVNDQDAIDDAEDLEGILELIGMQGPLTGLFQNAM FSAVLISATLACAVWLPYLWGKVVILFMGSPVALFIKLPLQIAATLADLLVDSFVFLA AGFVSGMLEIFFLFVRQASGGTMERPFELAFNQSKTISNSAASRLWNLVETSPLLPPP TSFRLSVHSHEALRTIQNTTSFTMNRTSNMVTAFLEDAASSSSPSFIVATVRLLFETI KVSCTTMYGRVASLASWLLATKSYKVTFDLDFGSDINPASVADAHWTATDRLIAVLAG YAFLALAGAIYLKKGGFFSTSSQGIKIERIITEILLQAGGVLKVILIISIEMLVFPLY CGLLLDMALLPLFKDANLYTRWQWTRESPWTSGFVHWFIGTCYMFHFALFVSMCRKIM RRGVLYFIRDPDDPQFHPVRDVLERSVTTQLRKIAFSALVYGALVIVCLGGVVWSLDQ LTSGVLPIHWVSHAPSLELPLDLLFYNFLTPVIIKLYKPSDALQTISGWWFKLCARFL RLSNFLFGEKATSQEGRTVNGEFVFEGRYVRAPASDQARIPKGDPVFVEVDEHNVRKD GLQDTGGVHNSTLVAKVYIPPWFRVRIAIFVFTIWIFAAVAGVSATIIPLLFGRYLFS LLLPASVEMNDIHSFSLGLYSLGSLGYTTYHLHAFLTAIDRPAAAALATLAASASRAA VRAARFTYVWASLVLFIPFLFAVLIEFYGLIPLHAYLGPADPHVVHLIQDWTLGFLYA RLVARLAFADRSSRPARAFAAVIRDGYLEPDARIATRVFVLPALALFAVSIVVPSAVA LALNYTVLLAAEQQTRKMVWRFVFPCLGLAVGFVWAGKEGLDVVRRWRGVVRDEVYLV GERLHNFGERRRAVHVPLEGQELLGGNI CC84DRAFT_1125527 MAGQSNGRAKKPSKAAPNGTANGHLNGHADKSRAAPAKQVNARR KPRTSWTGSFASLVARLASWYLIITLAFRCPSSLTKISDSSPQICKPYLHARSYAAPY VDPYYQTYVAPQVEKFQPYVDTFDQRVYAPVSTFTKNQYATYGARRVEQAQKYVEAEW ARTARPQIQQLQNKAYAQYEHHLGPYVKTASDALGPYVEQTKASLVEIYHLSILPTYE ALLPYGRQAYAQGHHATVHYIFPAVHTGRDATWKFVSRTLWPYVRVLYGDNVEPQLVR IRERLGRYKDQQKVESVLEAVGSSSSARSKTDAITSSATIASSVSSAVSSATESTKSG WGVFDDFFGAESSATPSEVETKSPTQPKQPQLTGAELREKLNQDLREWQTKFATAADK GADDLDVRVAEITKRQIENGVHGHGAAMIVQLEETAESTISSLKKFTKQTIRSLPEDA TEEQLEKAHEKCITKMRELGLAVKEKSQAVRNWKMTFDQETDNLVRAAVGSTVEVLEK IHGLGLQEVGMRWAWLDGVEYKDWQNYHKLRDTLNEWQEEVEAVGAKHDGLKAAHEEA AKLEDKAMTIASTMVNELVRLKDVSKWKIWAGDQTDDFSDKKVPARAYNAAQQVISNA REASSQASEAILGSEIPASESIASAAKASASSVSSILSDKIVGSETPAAESVASAAKA SASSASSQLSNKIVGSETPVAESVASAAKQSASDAASKVSEAVVGSETPATESIASVV KSQVSNAAENIESATEVAKKSADAAASAASGAPKKVWGGVNAQVLVEAREPVFDDVIE DDEEGTYSEKVQSMVANAGDRASDLSRAVSEALLGATKTQGTAESVTSLASEQYVKAL AAASSVLYGSQQAPLDSATSIASDKFASAVTAASYAIYGTPTPTAVIQTVRTHASSRY NEAVSIANAQFENAKSQFSVLVSGTTKPAHETMLAMIEKAYSDSLAAASERLDAALQY TESVKSYAAGPTQGYFESVSSIASSRLSEGLSQATAQFSSEPTDSPVLDGARRQYYEA IGLAHARYSEFLGAASTAVYGPEQGTFESLASVASESAASVAGSAQSYASDVSDSAQS AAAKAQEAAASLASQVSSGVIGSETAWTESVASQASQNWEALIAKASNQVYGAPTPWA ASVYSQAGEYAAQATAQAAEQAAAVQALISELVIGKEPDFTESVMARFSAAYYTALPA AVSSVNSIASENIAAVTSYAGESFEAASEFAAEAYASASSVVESVFVPPPAIETIISN VNEQLNAAVESASAAVYGTQKGTIEQASESVVNAYASVQSKASEAIYGTAQASHDFAA VASSAQAAISEAIFGTPAATGISASAANGAAAAASVYSSVASVASEKAANAAAAASEA IYGPKQGAVESASARLAAAVEAANSRISELYAAASTNAEAVASSASSVAAEATKRVKD EL CC84DRAFT_1198156 MSSLQLGVSGIALVPQSTGYALLIGLGVAFCGVILVALQIQKAY LSEDSGTSEMFMVANRSVGTGLTASAVFSSWMWINETVFAAAMCYRFGIAVPLWWATG LCFQIALMAALGVLAKIRVPYAHTSLEIIRMRYGKIGHVVFIILNITNNVFGCASMIL TGSQLVYGISGIHFAAATVLIPLGVVLYTAVGGLKATFLTDYLHTAIALLLIIYFTLE VLTNEAVGGLGGLYDKVMANASANFIEGNFEGSVLTFKSKQAIIWALILKFGNLALVV MDTAFWQKSFATEVNATVPGYNLAALAIFGVPWGLGTVFGLTARALHNTPIWPTYPSP LTQAQVNAGLVMPYTVKALIGAPGIAAFFVLLFMALTSTVSSSMIAVSSILSFDVYKT YLNPRASDKTLVHVSHLTVIFHGVFITGVALALNYGGANMTWIGYFRPILSCPGIIPL ALTLLWDRQTCLAAILSPILGFFTGLAIWLGTSKALYGEISMASTGMGLPALYGATAS FFSPALYSVLLSSYKPSVFDWAVFLRIELAEETQLARSSGALPASDATSAADEDDEKA TQPHTEKAVAGPDISILAPNPEHSPTVQKNNAAIFASPSPPSSSLSLTHPTHPFPPAT LRKLHWWHRVAWAWFGVIVLVTFVAWPLPLYRDYIFTRSFFAGWVGVAIAWQFFAFAA VVVYPVYDGWSEIAKGARGVWGAVKGWVGSRG CC84DRAFT_1261831 MAADVGHQANGTGKKQMILNAFVMNTPSHLAAGLWRHPRSKTDN YKKLSFWTDLAKLLDEAGFHAMFIADTLGPYDVYKGPANVVPALGAGAQFPVNDPLYL VPAMAAATKNLIFGVTASATYEKPYALARRFTTVDHLAEGRIAWNIVTSYLDSAARNH GLKEQIPHDERYAIAHEYMDVVYKLWEGSFRDDAVRADRDTGTYIAADGVRQILHKGK YFEVPGPHFCEPSPQRTPFLFQAGVSEAGNGFGGKHGEAIFVGGQTPEIVKKTVDNIR AVAQREGRDPRHIKVIVGIGVIVGANDEEAEEQRKEFIRYSDSEGALALFGGWTGVDL SKHADDEDFRLSDSPRLQSLVARWSATVPGTENEPWTKRRIVEYLSLGGMQAKIIGGP EKVADELERWFDISGVDGFNLAHVVNPGTFEDISRYLIPELQRRGKFRTSVDKEGATA REVFLGSKRLLDDHPGSRFKWATGEDVPQYQR CC84DRAFT_1198158 MDLSTAAAVEKKGSVHHDTHAQPPEFIQRQHWKYQSMRRADLEN DTNVQDLSNWETFTKEKRNIWRLAGAIPGEQVQAACEAYAGGKPLDTTVEDVPFFEHR DFPGLQVVPALLPPETQVLFTSCLMHRDLADPGHKMNLQADYDVPYPPKSSSPTSHRF ESSFFLRERAAEEDILAPKIPDKHKQLNTEQALYTKLRWLTLGEQYDWPTRSYAKHAT PFPADLSTLVTGLFPHIRPESGVVLMYGAKDFMPVHRDVSEQCQRALASFSVGCDGIF IMARGEDGGEGDNAPRTVAIRVRSGDVVHLTGQARWAWHAMARTIPSTCPSYLSDWPV GTPNATPEEKKMYKKWKGYMGTKRINVSCRQVWD CC84DRAFT_1151676 MTLKFFGREIRYHSPWVQNIISGIGVGASAGIYVALNLLGAGGG RPDSAHVVQVVNATLCSVWFFSSSFGGSILNKLGPGITMCLGVQTYAIYVGSLWYYDE IGKTGYPYAAGPIIGIGAGMVFITAGYIATAYPEEKDKGAYATMLMNMQGLGSVIGGI IPVIINRNKNTAAGVPRPVYISFIIIMIVGGLITLLLKRPQKLRRDDGSTVAVHPARG AWEELRSNLLIFSDPILLMMLPAFFPAECFLVYSGSVNAYLNGLRARSLLSFVAVCLQ IPAGWALQWILDRPYWGRRKRGLIGLTVVAVPLLAAWVWEMIRVRNYDRNVTVTDPTD WDEPRFGWIFVLFMLNWVFSQLWHNIVYYWIGAITNSPQKLTHYVGVFRGVLGAGEAV CFGLDSIKIPFIAEAGGVLLFYVLGICSFYYLGIYHIKDTNYDHREEGAVIPNHVLEG KGLTEGQDVAQIRRNSVSEDSSEKEKTKVGGGAA CC84DRAFT_1220114 MSSLHRLLLSLAWVTLGPLVGLVEAGQICGDIGTHNAAGTTYYM GNFFFRAPPTFALCADFCKKDFPRCKSFRYSYYSDADAQYCEFFPEFLETFFVSDNSQ PYYYYDVDCAFPSYASTETVTQLTTATIALSTTTSVSVNTTTMTLLSTSTITMTTTDT VLATATRFATSTSTSTLLVPTPQRATALTTSTVLVPNTQRFTSTSTLVVPTVQRTTIV STIIRPTTQTLTQIDLDHNINVYQRQREGSDIHIPDDYHGNQTDIRHTDDYKNCQILA KSLPSLGASNVILLC CC84DRAFT_1251412 MAPGILEENASKQAPIDNAHASEPLKPSGVLDKFDYEEVTPIIG REFPQLNIVDDLINAENSDELLRELAITSMCKVSRRGVVFFRSQDNLTNDLQKQLVDR LGKLTQKPSGSTLHIHPVLNNTSEFGVNDAEISTISSKARKQIFKHDRQADRRRYDAA QWHSDIQFEPVPADYTSLRLVELPRDERGIPTGGDTLWASGYEIYDRFSAPYRKFLES LTATFSGEGFTRAAAANPDKVKIHEGPRGSPSNVGKELSAVHPVVRTNPVTGWKSVFA LGPFPKQINELHSDESEELLKKLLSVIPNSHDLQVRLKWKNENDLAIWDNRSVFHTAT FDYESLGERFGNRAVGIGERPFLDPNSKSRSEALAEEAGAKATLPTRKAEGEQKEQVL TGSA CC84DRAFT_1178733 MPFMNPKGMSTLGHTFRNFDQTILTVKVGKGEYPESFSCHRELL RRCSAYFDRVLEQQSSSPYKGGEAALELDDTNPDVFDAFMMWLYTGYIPHHLIEGGKE VHAPSRSIDLGSSMNGSTNSTSEIDAKDGADDVDTASWGISLDNDDVDAPPAEGSQNG DVPPTSENGAEKVPGDAESEKSAPAPPIRLTAAEKPEIPKETLCPCCSRPYKWRGLEG FLERRFIALYIFAHKYEIPKLRHAVILAWQKNDEILQSMPDHSNVIDAYEGLPTDSPL CKYFLDMYCVYWDPKKDNERMVSLRSQLPQAFLFELATTLAQGERPKTEKDWCEWHEH EDEAAKKACTEDMMKDAAAAKALKRIRDVKGWKGLVMKKGKR CC84DRAFT_1251418 MSTSHARHVTSQWEATKQRASPLIVDGKSLTLAQTVTLGRYGGT ATLTQDRKVLDRIDACVAMLNQMLQQGQTVYGVNTGYGGSADVRCSHGEMHKLQQALI QCLNSGHAPIPKVSGSDQVAHSPTLKQEWVRAAILIRANTIVRGHSAVRKSTIEALLE LLSHDILPLIPTRGSISASGDLSPLSYVAGMLEGNPNIHCWIGPPSDRKIVPASVALA AANVKPTVFEPKEALGLVNGTAVSAAAASMTLASMNNIMALSQLLTAMNVEAMLGTAT SFAPFISAVRPHPGQAEVASAIRGALDGSKLATGLLESHSHTLFQDRYSLRTVPQWLG PFLEDIELANEQLRVELNSTTDNPLLDASTGDIYHGGNFQAVSVTSAMEKCRLAAQAI GRMLFYQFTEMTDPAKNRGLPPNLCVDEPSTSFTFKGVDVNMAGYMSELSFLGNPVHN HVVSAEMGNQALNSLALISARYTDTAMDILCLMCAASLYGTCQALDLRALNRIFESKL KTAIEDSVRNVLAEIGVSEEWEAKAQLIATTTLDSRPRFENIMKGAKAPLVSVLEEAN ATSSGLLQHLSTWTAKNISASLSLFNETRQEYIRSGDASDLLGKASKRLYRFVRNELK VPMHRGVVDHPMYQASGDPNNVFEKVEAASMKRTIGHWIGVINDAMKDDIWEVVVECL KEGLDAEPELMPEHVEPMTNGVNGVRWLKNR CC84DRAFT_1125543 MSELVSGIARLNPFSKRSDVDDEDKGEAIDEQTVAGGGHASRQS AITKNQLRVSRALKSFLAKEGVLPEDELGVDQDEPTSALREFLDRPHIHVPAEVLDRR YPLPDYFISSSHNTYLMAHQLFGESHASAYETALYTGSRCVEIDAWDDDDNKDEPKVT HGYTLVSHIPFRQVCEVLRDVVDKEAREERNAAPIIISLENHCGAHGQLRMVQIMREV FGERLLSKAIRDKGTREQEGGDHVTLAELGNKIVLIVEYHLPDEKDSDDSSDSSEDEE QRKAHEQYREKKKAVNAGGIIPELAELGVYAQSVKPVNNSWYESVLENAPHHHLINVS ETGLKSHMPADSPRIAVHNSKHLMRVFPKGTRISSRNLAPVPFWGIGAQICALNWQTF GAASQLNEALFSGSGGYVLKPAPLRLGGNGVLNTGRRKRLRLHVAGATDVPLPHDRDD EIKPYLTCTLVHPNDLNNLPQKRKTQPYKPHKLTGFLHKENPAPTDPLWKEVLEWEYD DNELVFLRLLIKSDDSFARNPLFAVAAVRLIYAAPGWNFIRMLDLKGHETTCTLLVKL EFQDL CC84DRAFT_1220119 MDPDSDAQVFLLLNSFQLTIKPRAGRRPARSKTEDLKKEGSEAE VPEVELFEVEYLKDIEDSKMWDSENEKFEVESLDGVRLYTEDKKIEDIQDWSLYTTPT GRVCTRMREGN CC84DRAFT_963665 MQRDTDLTFGEIHGSHDSFVQNIEQIIDTALVKSEEEAPIRLRF LTRPESARVGPENTDPACYQLTSPHEHKPRMRYISVSYCWNHNQSSEGLTLPDYHIQV EPGSQRRPISCPPLVFHRSMRFARWQRCSYVWIDQECIMQTDPTDVERHLKVMHRVYG QSRWTVAVLATPISDEYNLEALLKVTRTPGSPNGRDFEIATVVALNLLHTISKDRWFS RTWAFQEKYCATSLHLLIPIAGFKITSYFKQHLLGDEVDSSWDFCLPIKIVRKLLMRL RSGPSAAKIADHWNSKDGLSFRDTIENLGIWYHNVGQSIVGEGILDWFENSHLIHGAF AMLEICDNLVVADRISIFGNLCELPYRLLSNRLNDPQYSYSTCLLALLLANFFPGPQQ RAEAIKRYAWHIRDHTLGSTLETELFAQAIDASDPEHISDVMQSGKTVGWGRFCAIFF IGSIVLLQFLTVFNVLLS CC84DRAFT_1151685 MKIRRCVSPGLMSREGGYIEIYSAKHLIFSTISASSLHILANMS TKDQLKYTNKLHNTRILIIGGSSGIGYGVAEASLEHGALVAISSSNESRIKAAADKLT SSYPSFASNIHGLTVNLANPETLEHELSTVLKSATETLGGKLDHVVFTAGDALAPMKL TDMTVERIQRAGTVRWLAPLLLAKYLATYMNASYKSSYTVTTGAISEKPIPDWTVIAS YAGGHHSMVRNLALDLKPIRVNGVSPGVVDTELWRMSEEEKQAFFEGSKTSLFTGRVP TAEDVAESFIGIMKDYNMDASMVRSDGGSLFS CC84DRAFT_1166968 MADSQTTFPAFDKLPKVEGEPQGSIWGIFDKDGKKDECGTLNLL TPAVVRAAAREIQSGEHIQLDWPLHNVQFPGFGRKEFSQTKIDLNASLNFKAMDDELY INTQSGSQWDSLKHFAHQKTGRYYNGLTHEEATRSDTNGIHNWCERGGIVGRGVLVDW LAWYEEHKGSAPSPVSRHEILAEELDKALAWQGTKTQPGDILLIRSGYVRWHNNASEA ERKKGTQENSIAIGLQGSEESVRWLYDHHFAAVAGDTVAFESWPPKLDEGWCLHEWLL VQWGSPIGEMWDLEKLSKVCKEKKRYTFFLTSAPLHVKGGIGSPPGAIAIF CC84DRAFT_1166969 MSFRPPLKCAFATMHSKLLTTTELHTGPIFEALYSKHEMTETMH AFVNERLRLALSGHIPGHQLDTLVGNVLQFLCRPITYQTKNAPASLSHLFKTVRPDLT PAKVLIAVSEPSVCGSQYCSCHSADSILHTYKITVFVDRKEQGRRVTKPWVRASSAVG FGECVEGLLREIEQVEETKRAVDEEAERKKGEEEAEAEREKKATEAKRFERGGRSGLM EEFEKLKGRERGFTVPRRGLSGGNL CC84DRAFT_1125552 MGFGDFTTICKKASIPLCALVGHQNISGNRGIQAECYSRSLMLA NTLIFQAANDFMHILALVMTVVMIIHVRSKFTAVGRKEITSFFYIYMALTVISLVLDA GVTPPGSASYPYFAAAQNGLVSALCTCLLINGFVGFQLYEDGTTLSVWLLRFSSLAMF IVSGAVSLLTFKDWAGLSHENPVGVFVVTYIINAIMLFVYLCSQILLVVGTLEDRWPL GDITFGVFFFVIGQVILYVFSDTLCEGVQHYLDGLFFATICNLLAVMMVYKYWDSITR EDLEFSVGVKAHNWEVKELLPEEDKRGTVYNESEYTPSVYQQPYTRASHYSAVGH CC84DRAFT_1207954 MSRKHFFSSPEGVVVHALTSLVSRNKHMALDARERVVYNTAHKP SQVTIISGGGSGHEPAWSGYVGDGMLSAAICGDIFASPSTKQIMSGIRNVPSDEGIIL CITNYTGDMLHFGLAREKGQALGYKVDVVCMAEDAALGRAKSGKVGRRGLAGNLLVIK LIGAASQKGWAFEKCRQMGQLGNDNLVTIGTSLDHCHVPGREAFEQVPENTCVIGMGI HNEPGLKNISPMPSATDIIKQMLKYLLDPSDTDRAFVPFGPTDNVVMLVNNFGGLSGL ELEALTNLALQVLKSDWNIVPQRIYAGIMETSLNGQGFSITLGNMSGMAKAMSVSDEE IFALLDAPTNAPAWPKNNYAPVEVSKEMESRRQAAHAAHNEGGDSDKGPATPASLIAA LTSACNAGLEAEPTITQYDLQMGDGDCGEAVAGVCKAILSNLSDSPPPLLTLLEHIGE NVEDVGGSLGAILSILLTAFINALSAATVKNDAELSVDTCSKAAAQALENLKNYTSAR EGDRTVMDVLIPFINALAESKDLSKAVQVAQDSAAATANMKAKFGRATYISDDGSERS KIPDPGAYAAGVWLKGLLDGFN CC84DRAFT_1251427 MPYVETPPERTSENGDYGHELDDVVDGGLIEPIAIIGFSLKAPG DASTADAFWDMLVEGRSGASDFPRERINIESFSQDGIHRSGDIPMRRGHFIKDDIQAF DAGFFSISHTEARAMDPMQRLLLETAYHGFENAGIPMHDLVGSNTSVYTGCFTTDYLI QLTKDPLAIPTYAATGTGASILANRISWFFDLKGPSVNMDSACSSSGMALDLACENLR NGSTRMSLVGGCNLTFSAEYFTMLTNLNMLSKDGQSHSFDSRANGYARGEGFGTVVLK RMSDAIADGDTIRAVIRSTGCNHDGKTPGITQPSSEAQEQLVRETYRKGGLSMIPTRF CETHGTGTSLGDPIESMALGRAFQDARSPADPLILGALKSNIGHLEGASGIAGLIKAV MVLENGLIPPNYDFESLNPKIDAEYLGIQIPERVMAWPSNGLRRASVNSFGFGGSNSH VVLDDAYNYLRLRGLHANHRTVVRPSILAEVEPEIPRSLFFSADTGEALERVLSTYQG CFTEREFHIGDLAYTLDSRRSHLPWRSCLTVTSPEDLMKLSSRSSVPRKVQDKKPRLG FVFTGQGAQWFGMGRELMSYPTFAESVKRSDKHLKSIGCPWSTTTMFASTTRLGLDDP VRSQTLCTVLQVALVDLLAHCGVKPSAVVGHSSGEIAAAYAAGAISREAAWSLAYMRG YHAQTLPSRTDYRGSMIAVGLSEEDARPYLEEVIRSNASPWALRVACYNSPRSITVSG PSDQVDNLETILDEASVFNRVLRVPVAYHSPQMEVIAAEYGDSIGAMQVQELRIPMVS SVTGMIATAKQLQRAQYWVDNMVSAVRFDEAVEALTAVSPKTLTKKLDRSHLMMPAVD LLVEIGPHTALKGPVLDILKKTPRASEVDYDFAISRNVSAAETVHHLIGRLHCKGLDV DLRQINDPIGTPRAVLTNLPAYPFDKSQTFWYESRMNKDYRLKEHGHQEFLGSRSLDW CSLAPQWRYFIRTKELPWTEDHKINGATLYPASGMIVMAAEAARQMVAPTGEIKGYQL RNVTFSTALNIPPSRGELEARIGLRPSGNIEHAFEFILYSVQSNNGWVENSRGEIQVY LKAAGDLSDALPGLDASQASLTCDESLDTESFYQYLWEMGYGYGPAFQLVQDIHHDSK YGKVLGHIKPLVTTVSKDSIVHPATLDAIMHLEFAILFKAGTDRPGTFIPTKIDNLWM SDKGLNVQDSLVTAVTTVQSRTQRHARGSCQVFSPDFGQTLLRAEGIELTMVASSNNK SLVQPGSEHVLSYVCSEVDVDMLNAEQLKAYLAKTFPTEYDPVVKRKAIRDFVLLSLQ NLDSRLASLNLSPSTPHGRAYVEWAKSLWQRPDIVLPDASLSELEEEVLKHGTEGRMI VEVNKGLLDIVLDHRPPHELLFGPDRLLPLYYEEKAKKEVYFRRLVHYVSLLAHKRPN LRILEIGGGTGTFTSYILEALSVPGDDGSKRLRCMSYDFTDIGPAFIDQAKSRYVQYG SKMKYNILNIEDDPERQGFRPESYDIIIAISVLHATRNLGETLANTRRLLKPGGRLLL QEPTTPEDPSHFFVFGVFPGWWLGVEEYRRLCPLVDQPKWDLLMKENGFTGTDIVLRD YEMDDAHQMDFLICQAAASDVAAKPTIKQAVSLIVDSGSAAQLRAAETVRNTLLATTG TESEIVALSELMTESEILISTCISLLDFDGPFMTSMSEQQYDGLQQLLKKAKLLVWVS RGGGDQPHQPGFQMIDGFARSFRLEAAFLKLVHLALEPSEILTADQADAIVQVLDVTN ASDNYEHEVTEKGGYLHVQRVVPQPALRQEFLSRMRPQRTIQRQATADLSVQLDIDVP AQLDTLAFTTTANFSGPLGFDEVEIQVRAVGLSHEDHLIAIGRSEARAFGRDCAGVVT RVGEGCKLQPGQRVWMYASGRCGSTARSSMHAVVFLPESISFDQACALPSDVVTAAHA LHAIARLEVSDAVLLDCAGSLLHSALVTSNSLGLNVFVLAHSHNEKLVLEQETGLPPS HVLVLGTPFQHQFKALNGGQGADAVISTRADPACADVLSTFGTYVHVANDGQLPKIPF SASNLTFVNLDIAALAEARPRLLQPSLQIAVNLAAAVKQDDVHTYPASDLGRAFERLG EVEHGKKVILTFGCDDRISTKVLQTEAYTFGADGTYVLTGAFGGLGVQVVRWLASKGA KYMILLSRSGPRTEQALKLVAELQAMGITLAMPTCSVTDAEAVKKAVRDGQKGMPPIR GCVHLAISGKDKSFEYMSYPEWVDRTSAKVQGTDNIYAALPSDLDFFIMTASVGGMAG LISMCAYSAGNAYQDNFARYLYGKGQKAIAMDYGAAQDIGMLAGQDLMYDRLIQTGQY TPVTSRELVALLEYYCDPAVTVNSVEQAQPIIGIEPPGRRIAQGKDVPEGMKHSLWLN LYDMDDSLGAADAVDTGTNARSANLKDSIDAAASVSEAEHLIVDALIQRVAKTTSMPP EKMEAERPIHSYGVDSLTAIDLRNWIASVFEYDIAVFEILGEATFRGVGESIARKLKE GK CC84DRAFT_1220126 MRFLMLHGGGTNNQIFELQTAAIRYELGLQTSFEFVEGSIPALR APGIESLVRPGDNFFVYFVPGSADTILKAVDDLQGYLDQDGPFDAILAFSQGCSLAAT WCYRAQQLQHIPVKGIVFFSGEPAQSLAGLARGEARDLDPRSEEGALRVPTAHVWGVK DVTNPERNKMLYEQCDAENRGPVIWHPGAHELPGSGMDKAVQEVVQSIRRLVGRK CC84DRAFT_1097396 MALQQFPDKSKSFKTSDGTTYTYVSVAAENPSKATFLLLHGFPS SSYDWRHQIQSLTQLGHGVIAPDLLGYGGTDSPPELEKYKFKTQAGHVAEILREEGVA PVVGVSHDWGSGLLSRIYNYYPERLKGLVFLSVPYMEPGQFNLDAINGLTEQVFGYPV FGYWPFFNRDDAADICDKNNASLTSLLYPTTPDQHRENLCPYGAAEAWISSGKVSEPP SWFSEAEVAAHNKIIGDKGFSGPFNWYKVTIRGLNAEDEAAVPDDRKIVSLPTLFVNS DQDYITRAEVAGQIAQSGKLTDVKLEVVQGSGHWIQLEKKDELLEILKAFVEKL CC84DRAFT_963765 MDSERIPAATRFPTVPPASLSAAQKPVHDHVTSVSQYVFGDNPP FAWADGEGSLIGPYTSMLYAPTIGTAFFDHAIKVGTDQRLPIRVKEIAILAVGGHFQA AYENYAHARLAKLCGLSDEQVASALAGRDPIQGLADAEITAYRLALAMVSGKGPVSEE IWSQVRRYFGQEESAVLVFLISSYAYIAMVLNAGAVPAPATATATA CC84DRAFT_1261842 MQDMLTSLLAHGGTTIVVASFFAVLTTVGVVLRLITNWSTKAKY GWGEACIITALGLYFAQYGVQVHGIVEGRKMKSLMDPHLTTYLRAVYIAGEFYFPVIF FTNMSILFLYRRLFPVQSFKLLTTILMVAHALWVIPAFAAETAMCSPPSVLWEAPLLI PEKCFFYSTFFIVMCSVELVLDVCVLALPLFYVSRLKLPWPRKAELSFIFLLGGLVVI TGIVRILKSAEAGVQAIDFVLDMLWLDVHSGIAILCACLPTYRPLIVRSAAFLSSHGS KLFSSRGSSAAKSAGKSGNSNSTGGNGLSNGTIGSGGGYKKYEKFGSLEEVQLVGLPR GADRV CC84DRAFT_1251437 MTQIKRLILCCDGTWNDSVSTNNPLTNVARFSRIIEERAADGVL QIVYYHTGVGAGTSKISNSIDGAVGRGLGANVRNAYNFLCQNFNHKDCTDEIYLVGFS RGAFTVRCVASLIDSIGILTKPGLSGLYDAYGLWKNQQADSGTALKAFVERLDKAGLT KIGIKIKACGVWDTVSAIGVQLPAWLPQPPPRRLGHVDNRIPPCVEHAFHAMALNERR SAFWPVPWSEPGDSTTLRQCWFLGAHADVGGGYEDAGLANVALVWMVAQFQHFTSLAF DVTDLINFLVTREITTETNEVGFSLKTAGVEFAVKNVKETWAYKGEAGQCNF CC84DRAFT_963779 MVIHSDSNSSHATTNDTTLPIQQPYTNIRDAPPPAADAHGYRIR EQPLGTLKPLRVILMGAGASTLNFLKKAEEEMTNLSIVVYEKNHDIGGTWLENRYPGC ACDIPSVNYQFSWKIKLWSHYYSYAPEIWEYLKEIERENGFIGKYIKLRHRIERVEWD DKIGKWRVQARNLESGEVVEDEAEFFINAGGVLNSWKWPDIPGLKEFKGKLMHSANYE EGYDLTGKKVAVIGAGSSGVQIVAAIQNKVDHLYHWIRSPIWITAGFAQTWAAKQGAN FKYSEAQLSYLQNNPKKYLEYRKQIENELNQRFKFILKGSPAAAEARAYSTQEMTTKL KNDPRLVDKIIPKDFNPGCRRPTPAPGYLEALTAPNATIFTDPINSITLNGFMDHQGK QHNVDVILCATGFDTTWLPRFPFIAHNTDLRDAWNTPSGVTSYLSIAIPGFPNHFSFC GPYGPLGHGSFMPLIEQWTRYIFLAIRKVQGENIKSVKPKMERARMFRQHADLFLQRT AWTSPCASWFKGGRKDGQAAIWPGSRLHFLSMLEAPRWEDYEIDYWDDNIFSFLGNGF HVRESDGRDITNYLGCLDEEGRDVQPEYDEGLIDVLGGVTLDDKYIVKGG CC84DRAFT_963778 MPDYATPNLPSRDFDATSRFYKQFGFTESWRDVEWMILKRGGLV LEFFPHPELDPATSWFSCCFRMDDVGAFFEEIVRAGVPVQTTGFPRTHRPKQEESGMT IGALIDPDGSLIRLIQTQGSK CC84DRAFT_1261844 MSYYQNGGSNYYNPSIPPSSSGQYHNSYDHQHQTADAGDGTNGG AQGYGYPPRRNSHSQHQNDELFMGGDASQQPASPAFQYQSQAQAQAQQQYNPQNYGSP VTQLPNPQHYGGVNRTFAPLHQPYNPALYADNTLGRTSTLSHPYGFSPTSPTTTSYPS PTNYQQSQFGRTASVQQHSGRSAYSPPQAPPVPAPPGSAQMPADDWGNYPTRQSSSAS HSHSHSYHRPSDPHAPLPSPPTYANQDQLTRYPSTSSHLSNPLPPTPEVPPHQSLQRQ DTLGRRPLPPPPPPNESDSEEYFQAQNGGYNQDELFSQLENMTAGAASPNITVEHANG DYYYDQTGRNGTRVNGNHLAPHNNQAADTSDSDVEAAAGLAALRMAEEQEQADEARRR SGGAGLFSTYASIQSPPLPSATNPPGQQGVESASDSDYVPVDVGLYGGNFAPHFTYGG APDQLVTPNSHPVSSSGSQRRSEAASEDYDPVHPFPPFSSNARVDTFGTGGLEEPSAR RRSYDEGDESTLMDTSTAMSGEVPDMFFHPGMSTNRPLPPPPASGNRRGHHASTSSSG SYEYWRNSQSSRGSYPADPNTMHMVSPTGTLVPRSTSLLQHSNTPQAVPLPRSKTDAE QGYKPRQQANRQTFYGGPIDSDNNTLTPGSADAVAIDLPTLPAGKRFNPAKLSTSDFK KCTEPWALSSIIAWLKHMTEGENDLKEGPIREGLIALFTHKVPTMNIADAETLASRVV AEMYKAGTLVHEEEWLKFSSETMTGVIYQLTNAGCYAPKLHQETMPGRCYSHHCQRTI KKLDLTSPSISKQSGDWATFYGLTKDSIATADKKEIERQNILHEIVQGEDAYMQRLDV LRTLYRDRLISAQPPVIPPKKLNKFIKDVFSKVDAVKKANEEHLLPQMKYRQQEQGPW VVGFSDIFREWIRKAKQAYIEYSSSFPYANFLVRQEADKNMLFRTFLDEARNNKAANK LDWNTFLKGPITRLQHYGLLIDSVLKKSKIENEEKRNLEMARDEIKAVTMECDTRVAE MTRKVDLSDLQAKLILRPGMQRVELNLDHLGRELIFKGDLLRMGGNRFTWLETHALLF DHYLVLAKTVTYREQDGVTKSEKYDVSRLPIPMDLLVLESEDDDPVIRSAVKGIAAVT PVAKSTSEVRMARGAGVNGPVPGMLVHTNTSNSLSSTNTGGSGKTIGQPVNLENGRDE KIMYPFRIKHLGKETYTLFAPTAQNRAEWCDKLIIAKTNHAAALFSQNAEPFRLRVMA DAAFAYESAMPSQKSITIKGTPLDRSIDEVEKLFSNSGRPVPICRARVNCATAFNQPY GKHMVAVGTDIGVYISEYDNPRGWTKAIQIPKVTQIAVLEQFSLMLLISDKSLVAYHL DAVCPVSGVTPSNDSTRRAPQKLSGARDIGFFATGVMKDRTLVFYKKREGLSSTFKVL EPVYQKSTEKKSRIWKSGRTEFFREYDEFYIPTDCFGIDLFHSSLAISTAKGFEVLTL DKKQPWSVPDLRQSHVATIASRLQDQKPLGMFRLSDQEFLLCYEECAVYVNKLGDISR SVIMEFVGKAKSAAMYGPYVLLFDPDFVEIRNAQNGRLRQVISGRDVKCLDDGLSGGS SHTRTIKLSLQHPQQERCQVVVELVLNEGQKE CC84DRAFT_963822 MAIINRHSNSSSDGANAEYGKTEYEAGVTAAPVTADGVVEYQDH QHLHRGLKSRHITMIAIGGAIGTGLIIGTGKALAQSGPASILISYTFVGFLVWIVMSG LGEMAAWLPHASGFSGYATRFVDPALGFALGWTYWCKYIITTPNQLTATALIIQYWCP PDKVNPGVFIAVFLVVIIAINYFGIRFFGELEFWLSSVKVVVIIGVIFLSFLLAVGAG PGPATGFKYWKNPGAFAPYIQKGDASAGKFYGFWSSFVNAVFAYLGTELIGVTVGEAQ NPRKTIPRAIKLTFYRILFFYVLSVFFLGMLIAYNDPALIKANSAKTSAKASPFVIAI ANANIPHLAGIVNGCILLFTFSASNSDLYIASRTIFGLAERGHAPKIFRWTDKRGVPV PALAISALFCCSAFMNAAKDSTEVFSYFVNLVSIFGLCAWISLLVTHIFFVKARKAQG ITDAQMPFVAPLGIWGSYFALFFCVLIALTKNFNVFTRSPKYGNFDYKNFVTGYLAIP VYLICIFGYKFTMKSRRVRPLEADFYTGKDEIDREEEAFLAAKAARPAGKQNWFYRTF VSWLF CC84DRAFT_1261846 MIIPSSRLLCGAALALTTLFSTVDAADCSTGPFAHVWKIGDSKA GENTDNVEFCSSLWDVGIPVTGLDVWYDEGEGINALQIQYANGDRHGIFGVPGSSPQH QSIDWEYSKTFIDSFSLWGNGGGKKLGNIRMVLTDGREFDVGNRHDTRSKKTEFPIDV GAGVMLGFGGWGANDIKQGYALFLRSQIDHITMTDPVFDESPENLNAQQKGIELQIVD SYDHANDLNATINWSFETTASVHTSTSTTTSVTKTFGTSVSVNWEASILAFSVGGGVE LSYGYSQTNEKVETKETEVSRTITYEAPIKPGKHVWCQATAKSGKADLGYTATINLWL KDGTSWSWKEHGVYSQQAWGDTSSQCQEKPFSNARRSVEWHA CC84DRAFT_1251452 MTSSQSRIAELAAAVAQNTQLIDQYLSEKGLPHPSFDATGPADF NLPPELERARVAVLQATQELNDLLQGPRNLVENHQHNLLVPLKLIAHFDIAQKVPLDG ETTFKALAEATNIEQGALTRILRLGIANRIFREPRHGVIAHSAASREIAEDEGLAGWV RASVEEMWPAAEKTVDALKKWPRADEPNQTGFALANQSDKPFYAVLAQDPQRAKRFGQ AMSFYTTGEGFSLRHLTDGYPWDTVPGTVIDLGGSHGDAAFALARKYPDLRLIVQELP GVVDNAKPVEGLNVNFIAHDFFQPQPVKGADVYFFRWILHNWPDQYCIQILRALIPAL KTGAKILVMDFVMPPPGSLPNHLDRKLRAFDLTMLEIGNAKERDLNEWKALFAEADAR FVFKGVKQPPGSRLTIIETSWEE CC84DRAFT_963832 MGKYMGYEINSSTQVPRSHGGVSDSESNVLQQPLLQHSSRAMYP MASKSIPTDVFKPGFCDSETPIAAELTQSFLDFASKSGGINLKQMGVRPGQRWCVEAS RWQQTLQHEKEAGVEVPPVKLACTHESALKTVDLDLLKKYAAPTDA CC84DRAFT_1166978 MTQLMTPWFNKGALIPIPEMTRVGINPNTVAHCIILVRENETNL PTRQPHNTLTDASADLAICWTLEAYMALLAASVPTFKPVVRRKGNQTNTQNIYRLCLR FAT CC84DRAFT_1166979 MSTPSTADEITSPDNSISHAPDTARIMHWSIYGRPGAPSTTRAT ASSWKHKPFSKPVTRPWSHVIPSSELPKLLNGFIPNQMEDKWFVYTDGPDAQGNAAVR FFRSWTGYAMVSAKLVMSMDGEGRAKEEDARFTELTWETDKEMYNGDMDAPGTVLGVA QWCMGCQLGPKEREGSAAEENEEEAPAGSS CC84DRAFT_1198169 MRLLRASTIRLESFFDEAKTPPYAILSHTWGDEEVSFQDLEHVN KHPDYPRARKILSSQGYYKIRKCCESALADGWEYVWVDTCCIDKTSSAELSESINSMF KWYKNAAICYAHLDDIDSSIVFPNESDSPREENLNKFYFAMARWFTRGWTLQELIAPR DIVFFSHDWKDLGWKSGMLNLLEEITGIDSFSLSGESLEAVSIAQRMSWAKNRHTTRT EDIAYCLLGIFDVNMPLVYGEGEKAFVRLQEEIMKDSDDQTLFAWVPDSAKDQEEGYQ RDICKTSARVSRPVGLARMDTTFLWAIRTRPRRC CC84DRAFT_1207965 MISRTLNLALAAAGAASAILIPPSVSSAELDLGDDMAMEIVATS YPRSVSLDCATCEFASKEADDLVWTQRNGNSFLLDFEVGPREDSLTIDGTQLYPPTFG YFTQPFRVAQINPDGENLTLRVTGYTFHYNSAETVSEAGIELIPMTFQINSIEGKAVA PPALTINLLKDPNGKLMIASFQTAKPNEKSPIDEEKECKEWPLYCKWKAIMADKVNGI KSHMGRPGCHKNKGNPMAGELYEGKPPHRFRPGHPHHRPHHMAGSHGHRHHRGHRMHM MLRRVFLTVFIPILIGIFAGTLTYLVGMALGCLIAVVVAKARGQPMYESVAQEEEDSE NVEDRGEKEVYTESELPQYDAPPVYEEAVEKEVVEDKQ CC84DRAFT_1151709 MPVVAPEKLVSLQQAAEGIRNICILAHVDHGKTSLTDALIATNG IISPKLAGKIRYLDSRPDEQLRGITMESSAISLYFSLLRRSAPDAQPEQKEFLINLID SPGHIDFSYEVSTASRLCDGAVVLVDAVEGVCSQTVTVLRQAWIEKLKPLLVINKMDR LITELKMSPGEAYTHLSKLLEQVNAVMGSFYQGERMEDDLRWREKMEERLSAAAAEKE TSSSSVLDNGDSIDTTNTPAEYEEKDDEDIYFAPENNNVIFSSAIDGWAFTVRQFASL YERKLGIKRSVLEKVLWGDYYLDPRTKRVLGYKHLKGRHLKPMFVQLVLDTIWAVYEA TTGGNNGKGDPAMIEKITKSLNLTIPAHVLRSRDPRALLTTLFAAWLPLSTALLVSVT EHLPSPPKAQAERIPEIVDNSPGADYVAPEVRDAMVDFKTSKDAPVVAYVSKMVSIPE SELPENKRRGGALSAEEARELGRKKRAEIARQQALASEGANDVESVTEALSSAAIGES ETPEESEETQEEVEHLIGFARIYSGTLSVGDEVYVLGPKFTPARPNTAPEPQKVKVTG LYLMMGRGLESLTTVPAGVVFGIGGLAGHVLKSGTLCSQLPGSVNLAGINMGTHPIVR VALEPENPYDLEKMISGLKLLVQSDPCAEYEVLPSGEHVILTAGELHFERCLKDLKER FAKCEIQAGAPIVPYRESIIAAAEMNPPKDPNLPRGTVVGVTVSKQVSVRLRVRPLPA NVTEFLSKNAGAIKRLFSDEREEQERQQAADEATAEGDGMEEAEQLETGHTLTLEEFK KQLKDAFNDKDNKSQREIWTNVIDTIAAFGPRRIGPNILIDATKEGICAKPLRESSTP EPKHSSHSTSAITAHTLTDKITYAFQLATAQGPLCAEPVQGIAVFLEEVILSLPEESE NSSERMGRLTGEVIKTVRSSIHAGFLDWSPRMLLAMYTCEIQASTDVLGRVYAVLTRR RGQILSETMSTSSASTTGNQTFTITALLPVAESFGFSDEIRKRSSGSASPQLRFAGFE MLDEDPFWVPFTEDELEDLGELADKENVAKKYMEQVRRRKGMVVREVLVKDAEKQKNM KR CC84DRAFT_1166984 MAEPSLQTPTKPINIRPPPMKLETRAHQPRCEALRADNAGVMSP VNQNGSFEFDRVVKSGTIMKRTRKTKHWKPVYIVLRPNLLSIYRDKDETKLRHQITLS EITAVARQKDSKKKIQHVFGVFSPARNYHFGATTEREAQEWVDLIRAEARIDEDEEEM IVMSPTGEKTFSGFDRSKKEIIGSSSSEVERPTSSVAPENMHSARRPSHSLQYSGNEY GSMSDFSDTGGPVHVKFHESTNSLPPPKEQQLHNTSQQSNIGTLPDNERVIYHGWLYV LKSKGGVRQWKKVWVVLRPKALGLYKNEEEYSANLIIPFSSIIDAVDIDPPSRSKQHC FQVISEEKNFRFSAPNENALSKWLGAFKSLLIKRKEAEQQRALQATGNTQPPRTSTSA QQPTLKNKPPAANPQTQQH CC84DRAFT_1207968 MALSASPSSLVTFGDWMEGTGLTKTGYHKLAFCKKQAAPDNLQY FWVDSCCIDKPHSPELSEAIS CC84DRAFT_1261852 MAEDATTLNPSIAPGASPIVDQPRGSAATSGLNSRERVRDPPQF DTPPLLFVRDGTGNKIPLPWEQAKEFSRFKSYVEELHARMEDKSWKEKIRKDEFLVAI ETTDEVRVVLPSLWNDFVRPGMTVLIMFSFDEAKASLKKTGFSQKEEIQLYGRPEVEM LDVDVDLGGKSDKTSEGEFSAGTKSSDNESSVHSDGEESEEDDSETDSDDQNSKAPSV SEDEDASFEAPSAKPIRAIVEPVDKGGNPLSFALDTRWAAKFLSSFKVEDSSDETLTK TLRNTREMAAETLKITKAVTTTADNRTTLEVHTLPGPENSALSGSVGIWWYHLHGEAL DWSQFKTTCMTIPNLSERTRFLIFKTLHKVEKESVKAFLGGLFVDPGTVVRGDECNQP DPQSIIFSCTPYFEIQKSHPSSSDSDRLHPARTLIQTYYPYEPVKDRDDEQAYRSIGN AREGGLIHVPTLWMLNVGNRAVVTCGYGPLSSDFTKSIKVLREDLKQLGAGTGNSITS VRLTVPDGRVLLYSPQECGTFFQLEQRTKELQRSTRDLWSTNDSLLLLQQWGTQTEVT PENWPAIIRQRQSLFIDVAVAEKNSKVSSKLPRPLVAGMTRDSVPPFFHWPTALSKYE TSLGVAATDEKYATKCLEKVEHAMLNVTLYGYPDGPVQQTFTSTKYYESLHEDTFENV EKSISAQIKKADSVSSSSECTHHQNLVRSQSSKLPSKAHDFAEIVHDTLGLFLGDING PVLVQKVWGAIANIAAVVENLSNHRGYDPDSKEYNDPGWRTPKTKTRAWRIRMPDSTY TFANEHATKFHLPLPGDDKYFGATLKRCRRCAREQPFDDPDAALEHLKKHAAAEASKP GGSTSSSFVKDNEPWKDWIRNDDQALLESTMAGACAILDRANEEARKIYEELKELADG VRNEQGDLSNLYSFPRKLLEALHRLIVFYLAVERSLHYIEECFNETRKGGYREDYPYT DAGLGVLNAFRESAKVSVLQAREELCDMVRSTTPNDVTERLSWGAESISSWLIRRLIV KPLEKSMTVGDMYREYLSTLQFQVNHRPGKRLLRSINLLQEELTILAQVNDWQTQLVT NYMTVLDDATYPADLPYRRALYPYERLLLTSCLDNLALNREEYDDQIRRCGPLSNSTK QSAEINEEDHGKAILVFTVVTVIFLPLSFVTSYLGMNTSDIRDMDNKQSLFWEIALPL TVGIMAIMLAIAYNGDEIRDFADSLYRVVTGKQDRRLSAGGISVLQRKRAAKGAVDSA TTLSMADDAEYVAPRARPDYEAWHGNAWDRSKKTVQAPNVTVYREEIDVLPQTRFARS SRIPALGTSAFPKPVPYSYTEPAGMPVEESAPTRQTFTRTRMSKKTALQQSYSSRYDR TPPPPPQPLPLRERPTYTKVHKKYVVPSVLDDAYLPWSYDDNDPDYIIIRQDMQKWET DALFERSREVREGRGGSGARTSGRYGGARAGHEYVWTSKKEGGRRSRRRRGGKDQEL CC84DRAFT_1178758 MATPTMATPTPSSTASSPNAGNTSGKPTLPLTANVLPAPLTTSN HPSNSHSPSTTSLFSPNWDYSGAAAMCGPNGTLPLFPVKTLKLAAGSVIGFGAAGQSR EFGDESKDMSDFDPNFWMYHSGPATAWLSKAPDGVDLGEYMGDGDWFKIDVKPASDGL HWDYNSGAHVSIMNFTIPSATPPGKYLLRAEHLNMENGGSYKTTEMYQACAHVEITGT GTGIWLPQALWRPYQPIEELKNWQGAGPKAWRG CC84DRAFT_1207969 MATLKLLIVTAGILRAAHSAVLPGFKTVLVNNVIVPKTKSDFTK NNVPAKWASGHPKEWGTEDFHYRLNAQDPDDNFGAVVTGDERYLVMYNGSYVEFVDLE KNITASGFAFQIPDKQYATDLTVRPATKGGYDVFTGVAPYKYDTTRTIIRQRVGSDVK PIDQPILYQGSIGDISNQGKLASTSGYIYDLETTSNSPVATLEGQPATSDLSFSPDGV HLSSVDWQAQTADLWNATSGEKIFSFPATNAQNWVTRFSPDGKYVAITLGSGNRTLQI YAMSDLTAAPIEIKDFNDWPRQLAWSPNSKEIAAGDDGRLRIFNVPSKEVIQTWQIDT NEFYPPAGIKWHNDGNKISWQWNNGKYLYDFETNTEYLWTVSITDHVWGPEGFYLAGK QGIATVDGDSTHALSIYYQIVTITLLRDPHQHNRKSDTPAALGLTSFFVSISHMESRF L CC84DRAFT_1151716 MDDFGDRIADKVQALSDIELAALLCLITDQHCIIEGEKQSLDEL EHEIRLVARNLFGLTWAVLECSESTTVDEFGAGILVDEIAGDYFSLANRSAREEDLRY AAQPGSPKKFTGRSSRPFTPLDSRKIANVVIAKNFNIASNQVQVQALELIRGKRNFTR TAVHAAPKPFLFIALNAIGTASLSLHLNDQLFISHKHQIEDGFPNLEELHEKEPIYDD DASTSSVVRSPPKQEDSVMFTEADLNELTNLTAKVSISTEVRAYLHNIVIFMRQHRAV GGGISAMATRHFDMLSRALAPLHGLSYITPALVALAARKVYPHRIKITTPENERSLQW GSSLDAVRAVLEGVTPDDVVEEVLQSVEVPL CC84DRAFT_1166986 MAEEGDAGDQDSNSVHSDQDGQLEVVFAPNDIRRRKSSLVPATA QRPAHRRQKTQCFVHTLLEKHDGISVKDRDASYEGLAQERMLDKDPHGHADESQHSRL LTKKQISDMAFGIRELSKKLAQIRLKLHVRNIFILAKAHDETLIKHTRETVDWLLTKD PGYKVYVEETLEHNKLFDAKSLLQKDSFEGRLKFWTNELCHDKPQTFDIALALGGDGT VLYASWLFQRIVPPVLAFSLGSLGFLTKFDYEQYPLTLSRAFDEGITVSLRLRFEATI MRSQTRDNPHRDLVEELIGEESEDHHTHRPDGTHNILNEVVVDRGPNPTMSSIELFGD DEHFTTVQADGICVSTPTGSTAYNLAAGGSLCHPDNPVILVTAICAHTLSFRPIILPD TIVLRAGVPYDARMSSWASFDGRERVELKPGDYVTISASRFPFPSVLPLDRKSKDWID SISRTLQWNSRQRQKSFKEWS CC84DRAFT_1151722 MASATDTNLPAPSQPAPETSTKSASTQFPTIKIGTRRSALAQVQ ARWVEEKLKKVQPDRTYEICPVLAQGDKDKVTPLQILSQGENAKSLWTGELEAMLATG ELDLIQHCLKDMPTQLPDDLKLGVILEREDPRDVLIVSPHLPQDTSLATLPAGSTIGT SSVRRAAQLRRIYPGLKFADLRGNIGTRLAKLDAEDSPYAAIILAAAGIKRMGWEHRI TQYLTSGNGGMLHAVGQGALALEIRKDDAKMQELVSKIRCERTTRACSAERALLRALE GGCSVPIGVETSWRGGKGLAVGIQPPKDFDKHGVPVEEPEPALDEQELVLKTLVVSVN GKECVEYETARKVRSAEEAEDLGRDVARILLEKGADKILEGINKEKLWAAKKQEAERA AAS CC84DRAFT_1166988 MTDRVLRLPRSTSRYYAAPAIDSDHAPWSQNQGGFHQSEIVCIL NALCANADTYAFTEYDYYFGGVMSDYWANFVKTLDPIIVGSGYGGNLTR CC84DRAFT_1166989 MGNTISTIGNGMVQTVKEIPGYVKEQFTPGPPSPNVVFALENNS KEYDIRFANVKLPSGYFHDPPHNNFDSVVSVDHIQDFANRTRAFSTTAYWVAASYWTL HGGLLTGIEGSMDLMACRDGTEKKIGEMSWNWNNIRKITTAKSTDDGWEVKEMQPLIN QDWRVIVRQK CC84DRAFT_964072 MASYRYEPLRSALQEIRLVHLLPGKFEDDIKVRIVHSPFLLPAT PPPKAKTFQADSVRTLLSWPWEVEETESGDFVIFNVVTGEAHPLLTAQPSLEENSEYQ ARYEALSYTWGDAAVSEFCQVEGNQGSGEPSATLGLRPNLASALRYLRYSDETRVLWI DAICINQEDIEERNEQVKRMTNIYTLAQRVIAWLGEESNNSKHALATLQHIGRQLEAT KSGRVIAAPDATEPRLWRNDHAPSFDQQTWQGLIDFVERAWFYRLWCWQEIKLGNWDA LLQCGRDMIPWNKFWLAVLCLHNKDRLPSMRFRERCRHIVFLKYDDAGHSMSNILDVS RSKGCANPRDKIYGLLGITPAYFSSGIVVDYLRPVEDVYKEAFLTHLNTTKRLDLLKH CALADRQIGGPSWVPDWSKTDFAAPILSEQLSSGISRAWFTYAEPDVLEVVGKQYTTI KAVSGMASKVEEETLLAVGDWFQHLPNKGDYITGETMETAFALTLCMNRTRERHPYSH FLSIPEWVGMLRKILCLNATTQDDTLYSARETANTIQKIRGRRFFTTETGHIGTAPAG AQIGKL CC84DRAFT_1166990 MYWKPILGAAVLSAGMANADFMVYTEPPIPTSEILATAGGEWTT SVFLNANLAWRAYTNARGSTYESSVSSALSEVRSFASAHSNYSIPADVTNNDAATTFY SKPDWYDALPTGVKQFKEEQVSDQFSIIRSVIGDDTTSAAGGGTGTGSAGGALPTPRA GLGAAGMGAMAAVAAGVFL CC84DRAFT_964261 MSYLGCLLIVSVKSRTYQPARHEAVYPLHLSPSRRGRHALRWLP PGPPYVNPRARVDHDLKRSFTATDTETGLLEHTSSCNNSGYNTILELSCDSLPQDLKA TKVEFHCQPLWSRSPNRLRPTRYICDLRVSPS CC84DRAFT_964197 MFASMPRVWCMPGLCEALHLDDDTRGDNSLAFDPECFYANPKLQ DSKAFRPFGGAARRTVGYFVSVLITRFDLAVDQESSSSFPLSDLAKPAAGIPLSQAGD DVELLFGERREEGR CC84DRAFT_963993 MVQTSFITLLLPALALAAPWAAPNAPASSAAYSTAVAQPTSTSL PSTTGGKVTFKNNCDYDVTYEQLCPCGSSDGSGTISAGATWSDSISDCSGGNTALKLF KDGGSKPMQFEYGIQSGNIWYDMSFIDCVSGSDDFSQCAGSAWSMGAADSCPTYSCSG GSECCIQGYCDPTASVASEQPVGACGANQGFSASSVGVSIEICGA CC84DRAFT_1097163 MLTKLAHLIPQDVPEPTRSVNSGTRLLDWYQNCLQNHPDCQSIF DATHRPTRLLDIGKSYLEPVRLVTFSTEDPQTSSPIYATLSHCWGVSQPLRLLSSNLS QFQAGIARESLPRVFTEAIEVCWHLGIQYLWIDSLCILQDLVEDWSRESQHMGSIYSR AMINIGATGSNGCNDKLFSSADEAPSVGLISWGGSNAKYVVVENPLEWAKSFMNQPLL QRAWVMQERFLATRMVHFTKSQVVWECRTTAATETYPIRVPDSLWSFHDRRNRFWRED FIEDDSVWSALVAAYSRCELTFGRDKLIALSGLVSALETTGLSRGRYWAGLWDADLPY CLTWRRAAIDPSKWSSARPDTYRAPSWSWASLD CC84DRAFT_965454 MKFLSGLLFAASVAFALPTSVVDASAESAVIAERACYVQCGSKC YTSSQVSAARSAAYQYLQSGDTAGSSTYPHKYNNYEGFDFLVSGTYYEFPLLTSGTYS GGSPGADRVVINSSGQRAGEITHTGASGNNFVACSGW CC84DRAFT_1198178 MAQSMKGPGILYVKACINPSPSNPLEEPQYMHWYDDDHIAEIVE TSGMPNAFRYFHVNKTPGQGKPTPDCPRPYLAFYPMPDIAFTQGAEFKKIRVHSDMLP GTGLCYDMADNDVGYYALVGQKGNGQKGSGKYLATSEIEPASQVTDEEMNAFLDQQVE TVSKLDHYQRSLRFKLLLARTNAQSRILKGLAAATDEPAPEPPTWLQIHEFSAEPQSD KARILAAIASETKTTRPSEHGRGAREVTQLSLSMLHIVVHK CC84DRAFT_1151733 MFCAFDGRRRAWYRYPDSEKLLLVFTLNATGTLPPVQTRSNESS YDLIPLANRNGYVNPEDLIPMPQCIAQQDQAGWLSTMARCTSKQCTRHFGVICTHHQW LTQLSCLSTEFSPEMVGLYLPVCSRSVLAKAQLFHWIHNITGRMWLVNVGDANGLQSL SPLSLTKGYAAFEVTGKAPTCLTESVVDASIEPFEHVIASCAFTSDTRHTGNADRPWE YRESLRSIVALDTETVGYELTGRTITRGDYFDKRCFCDTFSTHKEAQLCPGPSLASTK ERLWLNATCGPDSLPADWTKELQTTAFAYIAPPKWRWPQHKGARSKKMTRLVDHCTTD ACDIDSDGYCKVERAVDRACICRNISYDNCEGPCRDFEARIDFVNWLHDLCGNVEGWH GLPKHWRQLTVPTSVEMIPWRWELKPFRKPDSGNPLWKDFSPCVSTEWKLGSVILVNL VTLLAGLCTRPGQSRAYPLASAKQQAWILSGLATAAIYLLANLGISVHATVGCETLSV GQLMLLLCSMPRLTWSMIFLRISNPSQETTLHMVASFLVAEIILQTLSAFPMIQTINY GIEHKFYDNWMARLQTEPAAQYMYAGAVMWLIIIVASLALLLQVASDAIAQPEVRKRG HASAPIVTKAMDQVNKQWTWFEEMVARKWVDRSWDPEREPLVHSGTQDYGTLFTNDPH IRRTRRTTARLTIIIAMSGFLLWVAQWFFWSGFITLSAEGFCPPKLYLLTAIWVAASI IVTKIWA CC84DRAFT_965544 MKLSAPFTALALLTSSAFGFEVITFKDDNCGGESKTINVYDNTC RNSNILSRTRSFQVQSYGGKSQRAAFYTDTSCYPTNWWIDFWADGGSDQFLKGRCINI GHDIHAFGSRAA CC84DRAFT_965475 MRRGIQVILNLRPSDGVSCRRGNSDINWWEFTFIHSLNFYLEWW ACGRRIISEHISPAPNEILFVLANFDVFLFSAVCSFAFLHSPVLALVSSFVPSPWTGP RICGIQTTWS CC84DRAFT_965437 MMLSSLVLLLFAGQGIADQGATTSAISSSSASACSASSGSVSDS ALANSATSSSIVVTTSAASSTTVSSTTSPSPTSLSAVTVATDGSGQFTAVGSAIAYAQ SSGIPTVTIKAGTYTESVVIGATAAVTIVGETNNADAYSSNLVTISNSAAPVTYNTIT NGLAWKSINFFNTNSSSKAGFMYLRGSKSAFYSCQFVAAGPAGFSTNYASALIANSYI EAQDKIVSSYPTLYIYGSTITATNPNALLTYNQGAISGNKQYNSTVVFDSCSIIQKAG TSNNYVYLAAANAVGSVVVYRNTAMAGLIAPSGVYVDAKTQASGNAYFEYLTTGAGSY LNNKAARTPYAANYFYVTDASQLAPYSIANLFANAYPTVSATSLSWIDSSVLSTIQAG VVRQESQSGGSSAASSSVSSASSSTVSSSSLTLGSTSSPSASSDAISTASSGSASATT SMTSASSSSSMASSSPSSSDVSGSSGSSASATSSSSSSGSSSTTLPTSSSSTSAVTSS GSASATGCTLPSSVPASARTVGPAGSCANYTSISAAVADLSISSTETIYILAGVYNEQ VVFPNARTGATTFRGEAADPLSRSGNTVTIRTNGAVLSSAGGAAGTGAFQSTQYYTNQ ITFYNINFENTYTPTTNYQAVAVSIKTKKAAFYSCNIKSSQGTLLLNNGAFYFSNCHI EGTTDFVWGQGGAYIYNSEIVETSTITGQTIAAQNYQTTNGPSIIVFDHCAVVPGSSS VPTGATYLGRDYSAAAHVAYTNSYLDNHIIPAGWKISSSASSPVFVESNNTGPGSSTA SRISGAQILSDASAYSAASVLGDVSWLDTNAIPPFSGFPDSVYSSTVTSASSSSMIAT STSASSSASTATSSLSAGYTVAPTPTGDQYGSVMSAVAALPNDGKAYTISILAGTYTE QLWVNRTGKVTLRGETSNPNDYSQNVVKIQFNYGVSTSANQNELTPVINAKKTDTSGL ALYNINFVNTFPQTSNSAALAADFYGSNMAAYGCSFIGFQDTLLANQGVQLFSNCYIE GSVDFIWGYSKAYFHQCYIASNTAGAYITAHNRPTATWAGGYIFDSCYVTYTGSYGTS TGTTYLGRPWSQYAVVVYMNSYLDRHIAAEGWHVWATNDARTSNVMFGEYNNSGPGNW TTSRVSFATNLSASAAAAYELSSFLGTTDWIDMTAYNYKPSFTLGVSPTQTSSPTTSP SASSEAATWAHPTSGTVPPAGAVLVSSDGSKAGSYRNLTSALASLPSDSSTQVIFIYP GTYQEQVPSVSRAGPVMIIGYTEGNPGQTYSSNTVTITNARGLSVSPPPAGHSDAETA TFATASTKISMYNVNLVNSDNLDGAIPSYVTLAGSIYGNHIGFYGCSFIGWQDTLLTG ATNGYQYYESSYIEGAIDFIWGYSKAYFKGCTIGAKRAKSAITAQNRASSSAIGGYIF DQCLFKAADSATVDLTGSVYLGRPYNSYALVVVKNSYLTDIINPSGWKIWGTTSPNTD HITFAEYNNVGPGNWENNAAARVAWQNSYVVSKTPIEGKTTYNTIQSALDVLPASSKI TATVFIYPGVYEEQLVLNKSGTIIFMGYTSATEDYTQNQVTITYARGIDTQADASNSD SATVYATGNYFQAININFANTYGTAKK CC84DRAFT_1207983 MSDPLSIAASILALMAAAGKTAQGLQKAWELRHIEDDFLGLNNE SVNATCSDARNILKDIDKLLEHMVRKKDQASQKQPVNSIRPSKTRWLRRRSAILRLTS QLRPIVASITATANVLRDIASDRRLYSIETTLNIEKVELISGGRVTIKQIPFAPEVQL DRWLHHASELEDTSVKRTASTPKSPPRLASHSVLANVTSMTPGSGRRPGYDI CC84DRAFT_1251522 MSPRRSSRARTTQPPPGVTAAHSTSSASTSSSRADRATRTNHKQ SSPQKSLTPHSLSSEEPDEPEPPRDLQAEPPLTRRRTREHDNDGDEFSKLDDELDDEI TEEDEVTRCVCGQQEYPGPPSDAGKFRDGQLSSVTDSDLQGDDAGGLFIQCDICKVWQ HGGCVGIMEEAASPDEYFCEECRKDLHKVLTSPKGQKYSRYLPVWDAQHGKNARKGSL SKESDKPAKDKDRLSRASVESFSKRRSTMNSRAAYDEDEVLRKVLEESKHEGAVPPSE NGNRKKRSRDDSEEVKSEVKRQRTGSRSPSGSPVLESDDESSKNAAPKQKPRGAAARS QREKEQRDKERERERVEAANRRKGRAERRKGDGRDTNLESEPPEVPPIEESAMPTPAE EPAPAEAPAVEPKSAPAPRKGGRPPQKRRLGRNQYTRDVPTPATNGTSPAADDAPNSP QVNGTGNGHDSSDGVASGKTGKPKNWRLQKLSWHDIRRPAGAMQNYISQRQVEMAGEK PVPPVQEPAAVANGVQHHDETNGNGVEEDLDKFTTLSTLQMMDHLSRDLTHWQQMITE SNDK CC84DRAFT_965639 MRRGTPPTQKPRSICAFCSRRPHNVVEKLLARRAVTAAMIRGAL NPSRRAAPTAYVVRQGTHHRCCCCETSLAHLGLCLPTSRRPTSKRPKTRNNWLQSCNS VLAAGLRHLWNSPHLFRSVFKMPALAALGLIGVSRPLEGECWVGVAPSRVGAPSR CC84DRAFT_1261868 MTPDEFAALLDTLHVGLDEGLKMRNEARNRPQVNHSYGFPQNRA QAVHRVRTAVKNIGAYNVAIVYDNGQSVEMMNREFLCSTSRYFANALNGPFKEASTHI VRLRHDFPWAIYAMLDFLKNGCYYMYPLLCKQYPRITILDLHVHGYIVADKYELSALA EYSAKHYLRIAADTLALNWLFDDPNNYDNSLNSVVLLWRNTVSRQDALRKEVLEMIKT CFIKLMRLKSFQFLLHNLSDFIHDLYESFGEDGLQIRMLARKHKGYRVSFAA CC84DRAFT_1151744 MAVPSTQSALVQEIYASPLTLKRIPVPPVTPGSALIRVLCTPVL SYAREVYNGTRRYPYTVPIVPGSSCIGRVAALGPDSTSLQPGQLVWVDALVHSRDDPD DMVLRGLVAAGTSGSKKLMDGVWRDGTCAEYTLAPLESVFKLDEERLTELGYADSDLA TLTRYLCLGGLRDINLQAGETIVVAPATGAFGGAAVACSVAIGASVVAMGRNEDALAA LEATFPRVRTVKITESVEDDVVALKAAAGGRLIDAVFEISPPQAAKSTHIKSCILALK RGGRVSLMGGIQDDYPIPVREVMRKNLTLRGTWMYNREQVLALIKMVETGILKLGKQG GKKDISVFGFAEWEKAFNYASDNAGWATTVLLRP CC84DRAFT_1261870 MVSLPQVLASNARIATSLPPDLVAVFAGATTGIGRATLKAFVKY AVAPRIYLFARNTDAAAQVVGECTEINPKCEIEVVKVDLSSMRETEAACEVVLAKEQR VNLLVLSMGEVRADRALSNEGLHLVLATVYYARILIPALLLPLLTHASTHTPLARVLD IAGGTKEGPVDLADLAALRLPMSKIRGQLSSMHTLSLESLAERAPMVSFVHDFPGAVV TPLFEGVPGWAGWMMWVLGMYLRLFQRWVCVPLEECAERQVFLGTSAKYAAGEGDAGG VELADGVERGQATEQVRSCVYSVDWDGEGPGERVLKLLKGLRREGVREVVWEHTNGEF ERIRRGKGF CC84DRAFT_1167001 MTSQIPIMFTLPPSNRHELILLDVEKPSLKALNKQLTATIASSP NCEEFMAKHKAADTPKEQILELKVHWSSAGRDRKVWPEYTIVTEANFPAILEVLSKGD AKDVLEVKVGKEE CC84DRAFT_1125611 MNTSSCIVGCRVAVRPRASVTLLSPAIAFRPTPIVRQEFTTRRV QPQQSVSLAHPRPLCLLKHVPEFPTTTAVARYASVASDPHDEEIPTSPPFTAGPYETL TIGIPKESYPGERRVAITPANVKLLLKKGFSRILIERGAGLEAQFTDEAYEQAGAKTV DKRSVFSDSDILLKVRALSIDGPDSEVDAIREGATVISFLYPNQTQNRPIVDRIASRK ATAFAMDMVPRISRAQVFDALSSMANIAGYKAVLEASNQFGRFLTGQVTAAGKIPPCK VLVIGAGVAGLSAIATARRMGAIVRGFDTRSAAREQVQSLGAEFIEVELEEDGSGAGG YAKEMSKEFIEAEMKLFYEQCREVDIVITTALIPGKPAPKLITKAMLGAMKPGSVVVD LAAEAGGNCEATVPGQLAKYQGVTVIGYTDLPSRLPTQSSTLYSNNITKFLLSLVPES KKEKHYDVDLKDEVTRGAIVTYDGKILPPAPRPAPPPPSAAKAPSPADQAANIVELTP WQSQTREVAAVSAAMTTAVALGKYTGPLFMSNAFTFALASLIGYRVVWGVAPALHSPL MSVTNAISGMVGIGGLFVMGGGLLPETIPQTLGALSVLLAFVNISGGFVITKRMLDMF RRPTDPAEFPWLYAIPALLFGGGYVAAASTGMSGLVQAGYLVSSILCVSSLSGLASQV TARRGNLFGILGVFSGLLASLLAVGFAPDVLTQFAGLATVGTIAGMVIGRRITPTSLP QTVAALHSVVGLAAVLTSIGSVLGHSGPEISTLHLVSAYLGVLIGGVTFTGSIVAFLK LAAKMSSKPLVLPGRHLINTSLLGANVATLGAFLTYAPGAPLIGAACLVGNAVLSFIK GYTTTAAIGGADMPVVITVLNAYSGFALVAEGFMLDNSLLTTVGALIGVSGSILSYIM CVAMNRSITNVLFGGIAATPQAQAKIEGEVTKTTAEATAEALAEAENVIIVVGYGMAV AKAQYAISDFVKYLRAKGVNVRFAIHPVAGRMPGQCNVLLAEASVPYDIVLEMDEIND DFGETDVTLVIGANDTVNPIALEPGSSIAGMPVLHAWKSKQVVIMKRGMASGYADVPN PMFYMENTKMLFGDANDSCNAIKRALEERK CC84DRAFT_1151751 MLYPRGLLAKSTVLRVWQRQGARGRFLRSLCVLIFIAVAGSLYH LWAHKTTPKKIVVRLGSPQTHPIDDLITNATATLHSLLSRQTTTLTEAASAYRERRGR HPPPGFDVWHAFANAHDALLVEDFFDRIYDDLNPFWSISATQIRQQARQMPNKIVVRN KKVQTKKGDRKRLDQWIDMVKKIQDKLPDLDMPLNMLDEPRVAVPWENISNYIESASA VRRQQPAHEVLKSFTSLDIDGETIEHDESKFSEERPYWDLVRAGCAPDAPSWNVSVLE NLSTPLGACPENECNNSSVPFTYDGFVSNWTRAKDPCLQPGLVSSHGALIAPLSQSTS QTLIPMFSSSKLSMNNDILIPAFAYWQSKSDYTTGDAHGPTWGKKITGVIWRGISSGG GNTPENWTRFHRHRFVQLMNATVVRSFSPPRTFHPPSYRSHVHTPQNDFELARWVETI TEVAFTKLQCTIGSQNTQGEECAHVTPYYKTEGKIPMKKQFFAKYLPDIDGNGFSGRY LAFLRSTSVPIKATLFSEWHDSRLVPWYHFVPMQNSFVDLYGILEYFVGWMSKDDPRE DGEVLFMEGGHDEQARKIAMQGKEWAEKVLRREDMLVYLLRLLLEYRRVCEDDREVMG WVDDLLGKG CC84DRAFT_1220165 MTSPPALLTLPTELLLHITSHITGPRRTSTLAALSLTCRRLHAI ADGYLYTQIQIQIGKEDLLTRTLRQRKNVKDQVLDVGLGCAMTIWFGEEMGREVGGSA WAGVEVKRGRKRGERRIKDTCARGDGVVGDEEDAGGV CC84DRAFT_965887 MWKSQLLPILPRAATSLPLLLGLCSIMGVHLPPTIARAGRHART LAGAVIWLCVLYIAITNIFASWFGAVFWTPYLVLLVWLFRRECKEIRRKRAFDAHLSV EQLAVVLDLRQIVEDVDAHTACKRSWTVYFTWQYWRPRELPPKPSLEEVESALQESEE AVGPYDINIGAALRKLLLASRVQQDMDLERKILERFVQFLEHTKGRDQQVLIQVLARA GQLHFAGEEYTRAAQELSRALDLHRQLELKDAKCRGLMTPYMFMFCKKMLAMAHTMAK DSEAAEALCAELLAELEQKEGMLNTEPLGTQMVRGMLISVYIRRGWKALNSTEGGNPT GTVSRYLRDALSLVAVHPAFYAHNLKQVGRLFIWAGRAGDGDTAFRLSNAIFESGIRS GTPQRNCLCSSTSEVEEHGLRICNACSRQIALGDRWFFCRICVDTDLCAECYAGFGRR QDSEWEDVDKFPRECLAHEFYEVREDEREEEVSVQMWIAETVRRLDAEMSNGDRGSEV SG CC84DRAFT_1151755 MSTIPQRKIGEDNVSAQGLGCMGMTFAYTSFGGYNDGESLEVLT RAADLGITFWDTSDIYGPHTNEKLLGRWFSQTGRRKEIFLATKFGNLIGPDGKHAVRG DAEYVKEACTASLERLGVETIDLYYQHRVDTTVPIEETVAAMAELKKEGKIRYLGLSE CSASTLRRAHAVHPIAAAQMEYSPFALEIESEQTAFLATARELGVKIIAYSPLGRGFL TNTITSRADLDPSDNRSNHPRFSESHFDDNLKLVRALADIAKDKGCTAGQLALAWVAA QGDDVIPIPGTKRVKYLEENAAAAGIVLSDAEEKRIRKAIESVGGSKGERYPPAMMAR CFGDSPELKRS CC84DRAFT_1047668 IAAIGRATFTASFAHSMPEQDLQAYLSSSYTTSAILSELSNPND NTFYVAHLAPASSAVAGFLQIKHGSTDPSLPADLRLVEIHRVYVGMEYHRRGIGGAMM EHVLGVLRREGAYEGAWLGVWEENVRAKKFYGGLGFTENVGRHSFTMGECVQWDEILL LRL CC84DRAFT_1047063 RDSRVRDSPIAEEDESTASEPTEPLTPNSHTSDSAPFTHPFSDP FRKNEHADFLTPAVAMTDGDVKPLPAATSQAPPATSAASRTPSVLKVSAPAEPHSSLA PEKPGLGKRVGSFVRGKLHRAASHKDGPNQNENPKAPPKHAHKTEKMIVKTGVDGPAE VSTPAVDIPRSGRRFSSFSLSGRNTPKSSNSISGPPSPGSPHSTISNDHGQGRLRPSH KHSASSTAISNMTKEKASGVKWAGTSGRGGKRHTWTRRRSASTEQVPKMEFDDEEATA ALMATYSKPANRGVGSKARRLSLSLPDEFVVDCCDLDKEFKSSSLMPGKRGKILGKGA TSEVRIMARRGFGGEDLVAVKEFRPRDKDETESDYVDKIKSEYSIAKSLHHPNIVETV RLCTNRDRWNHVMEYCAYGELFSLVERKLFAGGTEGYYSPEDRLCFFKQLLRGVDYLH SHGIAHRDIKLENLLLNKEGHLKISDFGVAEVFCGVHPGLRHAGGECGKNMGEVRLSD PGICGSLPYIAPEVLEKKGKYDPRPLDIWSCAIVYLTMTFGGCPWQAAKPDFPYYARY KKGWDEWLPNHPHEDITPDNHPKCGKLFSLINPPPLKRMMLKMLHPNPDKRITIREIL DTPVIKNIACCCPESYEDPKYVIDAS CC84DRAFT_965979 MAGRCTMYMCIHMYFSTSSYQNHFHNRLSKVLTMVKKVPARSAR DLCDWRLAMGRVAQSRARSLGELAPSAATFTGFVAQSSSRSLARAPHDGLIWPTICDR DCGCDSHPRARAATVRRDPRRALRIDLSPTVDLLHPSAAHSAQLHSSERPARAYSLHS TPPQSHFSPPRAANATNALDSSSAADVSAEERLLRAEKVGMCGGAVEGLVLPWTSWAG ACRAFGLGAGGKGGVDR CC84DRAFT_1125623 MVAAAAVLLPLYMWPTGPSGESTWQPVLDSAAAYPSLQFTVIVN PNSGPGDWPNPDYAAAIAQLNALSNVQTVGYVDTAQVNQPDGPYTIERIGNDISTYAS RSANEPNVALQGVFFDDVSNVYSDSVELLLEEAVNQTKASEGFGNTRTTIINPGTRPN FMTPNVDISLTFESTWEQYRSLDSRAWLAGNPYDRDHTAYVVFGAPPGDVASITTQLR ANASYIYVTDTLDDPYKSFGSTWETFIAAMAAE CC84DRAFT_1207996 MPFSNGESHLFQFNENAFDFNVQFEQIFFSVLPSLFFIILSLWR IFHQSRKPAVVHAPVFQMLKAIAIAIYVGLELGLLVLVATGSFRTTGVFIAASILKLV SASIMVVLSIIDHSRSPRPSLLLSVYLSVTMLLDAAEARTLFLSADGKPEVIYSSLFC ATVALKVLILLLEAKQKTSWVHWDEKEHSPEETSGLFSIGVFFWLNEMFMLGYRKVLS VDDLYPLDKALDSKALHDKFSGEMNYSKLKGDKFGLVKVLTRALAGPLLIPIFPRLAL LGFTLCQPLFMERLLDYLSQPTLDPSIGYGFIGATFFIYSGMAISTAIYWYFCDRLRT MTRSVLVTEIYLQATKARIGVSDDSAALTLMSTDMERIKYGMRSLHEIWASLLQASFA AWMLYIRLGVVFVAPIGIIVAGFISLAILTKYTGDSQREWMAAVQERVGLTATVISSM KNLKISGLSAAISSTVQKLRVDELAASVKFRKIFICAALLGFFAQLIGPPVTFALLRN TLDVSTMFTSLAFLTLLTYPLAHIFEGVPNLITTLACLGRIQSFLECETREDFRRILG DTRQEPEKFSSGITDPSKSAMKITDGSFGWRAGEFVLRNVHVDIPKAALTIVVGPVGS GKSTFCKALLGEIPFASGNVVSHRRASHIGFCEQAAFLSNGSVKDNIVGFSTFDEKRY SEVIDATSLGIDIATFPNGDQTNIGSDGITLSGGQKQRLSLARALYLQSDMLVLDDVF SGLDADTEARVFRQVFAPGGLIRRRGTTAILCTHSVKHLLDADHVIVLGNGTIAEQGS YADLMTECGYVRGLDLGSSSSSDVATEECGLTSDEIIWTPTLLNSTVVPSVQGPDNDM SRQVGDKTVYKHYVKSMGLHIAGFALLFGALWGFFTNFPTIWLTYWTNDVHSRHPKHP HSYYAGIYGLLQVSALLSLLLLAITLWVFGIKRAGANLHREALQTLIRAPLRFFTKTD TGIITNLFSQDLNLIDTELPEAALNILFSIFQSLGQMAVMLTSSLYLAIAYPFLGAIL YIVGKFYLRTSRQLRLLDLETKSPLYTHFLDTVKGITTLRAFGFVSNDIAKNIRLINT SQRPAYLLQMIQEWLNLVLGMVVMVIAVILVVLSIQFHTQSAFAGASLYSLITLGENL AGIVLYYTRLETSIGAIARLKKFNESVAPEDKEREDLIPSEQWPHTGAVQIVDASAAY DADEETPSLALRDINLKIESGEKVAICGRTGSGKSSLVALLLKLLDPLASETQQILID GVPSSRINRAALRQRLIAVPQEAVFLPAGTTFQANLDPYDASTAEECKSVLIAIGLWT FVEERGGLNAGMTAGTFSAGQRQLFSVGRALLRRRIRASRLNGASDQGILLLDEVSSS VDRETEIIIQEIIESEFAKYTVIAVSHRLDMIMDFDRVIVMDKGEIVEMGNPVELTAK EASRFGGLVQAGNRKTNERP CC84DRAFT_1097565 MPQNNFQPISGTAPSRPIDLHVIYDNQAFGLTPNETNFDNSGDS YPAEFIPPSTFTYTGLNYNFPKYNSSGYDNAIAAGQTVYIPRGRYLSVHMLAASENGL AQGTLNATFSDNSTSSSAVLVPAWWSWPYPTGGDLVFPYRLTNESVDWNRSNIFQTRN WLDSSKELTSLTLPNVTGGSATDPGGAAISSRLHIFALTLVPVVSDAKGPVLSVQYAR STQKWVEGSDKIQIFEVTVNNVGAEDILKDHAVEVKIDSAGVETVTPGYIKRLHAGDQ VRVNIGVKNKDGVNSGTSGPATVTVSGKGLNCSDYTFNATFGFKKYEPTYESVYTHDS PDWYNDLKYGIFIHWGPYAVPAWGNVGKNESYAEWYWWSLNSGPGDKSQTYEYHLSHY GPNIVYDDFIQNFTASAFNPKDWVDLFADAGANYFVQVSKHHDGYAIFDLPANVSKRT SVAQFPHRNLLQELFDAAETHQPHLHKATYYSLPEWFHPDYRRYGFASWPGGNATNPF TNATLPYAGYVPVADYVSDLVLPEMETLAAMGVEIMWCDIGGPNRTAEFAASWFNALA VQNKQALMNARCGLPGDFDTPEYARYAAVQPRKWETNQGMDPYSYGYNAATPLSAYMN ASAVVTSLVDIVSKNGNFLLDVGPTADGTIIDVEQKTLREAGAWIKSHGEAIFNTIYW SVTPQEGSDVRFTTSLDAFYILVLSKPNATLVLDSPVPWVDGDEVTVVGGAKAGTVVP SQKSGNGSVVLEVSDEVAAADEYAWVFKIAY CC84DRAFT_1167013 MSTRQKTVKKPKAAKEVEKQSRQLPTSTILKNVGRAAALLLIAG MASPVSQLNLSPVYGSIPSSLHHQRSMTFTAIAALIARNSLKKYLPDSLSEYIAVIAY WTPVIQFLLFPYSSQLGIEYGPLIVECATYFPLLFLSVYAAFDLLDCIDLSTLNLPNT AKQILLPMASYFTVSTTAKVAGATLPSWIGTHLYFTRIGFQMLLASASAFLTPSRIIL AAFPAILHTLYANPHFPSDTALSRANTTLATSHNFTLLARHESNTGYVSVLESHTDTA FRLLRCDHSLLGGEWLVTPDAYAKGQRQRETIFSVFVLLEAVRLVETPSSAVADSEKS ALVIGLGIGTAPNALIAHGINTTIVELDPVVHAYATKYFSLSPKHTAVIDDAVSYVSR TSLSHPSTFSYIIHDVFTGGAEPVALFTKEFLTGLYNLLTDNGVVAINYAGDLALGST QLVLNTIHAVFPACRLFRDQPPSPSHQPGDPDFINMVVFCVKSDPSHLGKAAITFRTA GEKDLLGSLARRNYLQPRDELEIQYTYVPEGQGGRTMGRADVGELEKYHEEGAVSHWR IMRTVLPDGVWEMW CC84DRAFT_1097285 MAAVEDVRKADGHDTSNEDGRAARARRLKAKNRRKRYLDVHPEY FADASLELADPLLYDRLIRRFQTAAEREAEGRRKGFSGQMATDLWRVEAKKDALSHPS PASLFTYTRGPQGEIHEADKDEVPMSKDEGRAWWKDEMTQRFLRGDDDDFDYDKVDAS HAYDDPEEERDIQDAYFDSMESDFDTDGEGKEKVLTGETGIQDY CC84DRAFT_1189213 MEKPEIEVARIDALATAPGVTRESFAHLDEKKILRKMDLRLIPM LALLYLLSFLDRGNIGNARIEGLVEDLGMTGPQYNWCLTAFFFTYAAFEVPSNLLLKK LRPSIWLPTIMVAWGIVMTLMGIVQNYHGLLIARIFLGVTEAGLFPGVAYYITMWYCR HEAQFRQALFFSAASVAGAFSGLLAFGIAKMDGIGGLEGWRWIFILEGILTVVVAVIA FFCLYDFPETASFLTEEERAFVVYRLKYQGQQKHDSIRVAQDDSFQWKYVKAAFLDWQ IYFNILVYWGIVAPLYGISLFLPTIIRGLGYSSATAQLLTVPIYITASILAVVTAWVS DRYGKRSPFILGCLGIMAVGFIMCLSTGTPGVVYAGVFIAAAALYPAFPGNITWLSNN LAGSTKRATGQAIQIAMGNLAGAMASNFYRSKDSPRYVLGHALELGFICMGILAGLFL VWNYTRINKKRAKQMAEGAHNGYTPEELSALGDRAINFRYFL CC84DRAFT_1189214 MPPDLHVAIVGGGLGGIALAIALKARNISFTIYEAKSAFTEIGA GINLAPNGLHALREIDASLGDRIYALATRNLPPSEDTWMWVRYGAGHRDGETVVELRA PPTGCMAMHRQELLGVLAEKMGYEHAQFNKKLVSFEQDDDAVRLRFEDDTVESASVLV GCDGIHSRVRACMFGSDSAIARPHFNSDGAYRAVIPIADAKKVFGETARQSQVLLGPN GYVIYYPVNNGTAVNVGIWVRRAGHVWDRKDWVVPRQGAQFRRDLEGWGPRVRALMPF FGAEPAFWAAHQHRVQPASFVQGRVVLMGDGAHAMPPHIGGGASQAAEDAYVLAELLA SIPPKDASLAAVRAALRAAESVRMPRFLAVQDISVRAGPDWYSFFERGLEGEQLEGWS VGIKTSVEWVWNVDLRDEVSKAKQTLADSCDARSR CC84DRAFT_1261886 MAPSSSVPPNPTAANVTQLLPKLQDDDPDFRFMALSDLHDMLLL GHAAFLQSDNLACAKTVEGLLSTLVDSNGEVQNQAVKCLGPFVNKVPDNILCPMIEKL SNLQTDNTVDQSIPSLALREVVISLPRPVAGGQRTKQVQDAYNAVSRVLIPRLVGYHV VLPSQKGLPSVPKGMLQLDLEKGTDSNAIDVLTEVARCFGSMLQDAEIHALQKITLEI LENDRASSMMKKKSVTAISTLASFFSDQLLSSFISRIIEHLRDVHLTRSKRKLYITVL GSIARSVPRKFGPYLKTLAPFVLSALSAQEVDEEMDTSDDEGERDPEVDEVLEAALIA LEGFLASCSSDMRAYTAETIEATTRLLKYDPNLAADDDDDDDDAMASDDEDGLDDDDY EEETGFDDDEDASWKVRRCAAKAIYTLISTRSNGDLLEDGTLYGRVAPALVARFKERE ENVRLEILSALSNLVRKSGDGPAPVKFADEHPQGGTMLPPPTRKRRRGGSDVSMLDNS HLNLGYASPARSSTPTVGPRADLTKLSPEIVKGVAQLLKQSASPPSTKQACIVLIKDI IITQRGGLDSYLTQIVDPVVEAAKTSGGTTSSASATANSLRIQALQLLGAIADTHPSA SFQPYLPTVVPALIFGARDKYSKLSIEALAASEQVIKALTPPRGHKTGSQNQKHLEEL HDVLVTRIGAKDVDLEARRSAIHVLGVFLGRSSGTDLLSLQNRVSALELLAGSLKNEL TRLASVRAIDSIAEHTNAEGELSTKWVRGVALELGAQLRKASRALRGASLSALRTLAK NQISRAQLDDQTKSQIVELLLPLLDSSDLHLLGPALVILKTFVEDNAQATVTPELIKA LCTVVQGSISGSSLEALLALVRTIGEKGAGKPLMQALLNDVGISGNAEVVGKVIGNLL VFGGGSLGIKLEDFVNELQTAKDDRRKCLALVVMGEAALRMGTQSSIDPQLFIEYFSA KSESVPLSAAVALGRAGAGNVGKYLPVILSAMGKPASPQYLLLHSIKEILQQDTESEI LPFASHLWNNLVAASQAEDNKAIGSECIGRLTIIDPKTFLPQLRAFLGDQNVSVRGMV ISALRYTLADTDEAYDEYLRPIVVPMLIQMLSETDLDNRRLALTTFMSALRNKPDIIL PALEQLLPLTMNETVIKPELIREVQMGPFKHKVDDGLEIRKSAYETLYALLENAFSRL SPTDLDDCYDRIVAGITDEHDVRIMCNLMLTKLMHLSPDRTHNRLEVISNSFRAVLSI KPKDNAVKQELEKLQEGCKGVLKVSVLLNKQMGSEGGVQGQDDPQLRAWAGYWDWISK EHSAALKAATDELKDRDH CC84DRAFT_966185 MSFIRSTTLRASSIARSARYIRSSEVVQPLQRAVQRRTYASAHG HGQAKKSDLPWIVSSLAGTGAALYVVLNQDLSHGSHEEEEHALPDKLTTQPEEALNDK HDSDLSSVDPKRDNAAAGGATVDKEAKNASKGATEEELEGEKNSGRAADIKEDLAKQK GDESPHATADDKSSNSPDDNDTPHSRKPSGDSTDTSGKQEGLSNADTKHTSAIHDDPE KSKKGEGVAETAKLKGTVSTERPGAESDQRGKAKQDKDEKDEKDE CC84DRAFT_1125647 MDPRQNPHQHQSSGRQHQRFSWQIPPSTPPEEPIQAHQSAQQPQ HTTPLNTNIDARHNRVFSYAQTPAEHLAFQYIPSPSEPVPPLPHHSPTHQPQSPTTPI DPRPQSVFQPVGAANYSASHPQQPVPQTSYLSTSPAYEEKPPVSPLSPHNYHPSALPL SPVSPAFPVTPTDPPRIYTSQPQPQQQHHTQHARNLSNLSPLNTNIHSNINNMPPMPP MPAQADPTHAAPLTPSSIKKDPADFHPQTPRSYAHEAYSPHNGNVSATQKTPGGIFSP DSAHGPNGLDFALHQPGQVAHPNMDLSPSGAKHAWTSSLCTPSSDCLTGLFCPCILYG RTAHRLSQKSAKQDPTDLLGYSATNGHCLLMAVSCGLWWVYPMVQRARVRHVYKLEGD VGGDCVRSVCCCCCVAVQNEREVRGREEAKRQHAGPAAGYKSVGQMAYVPQH CC84DRAFT_966332 MGRTEKSQGLAPPAIRKDMRAKQARHIVNKVIPGILASNARARK GAEGSELVVDPGPGYVQAQREQEKAGKQQNNDAAEDARYIKRKGQGRRKAKVDAASVS EDSTPRAKPPAKNAPPPQHAPHAPKIRVVATDTLTASSTLLPHPRTRARTHPNPCILN MASPLRPGGGVLAGATSQEEFLCTRTTLLSSLHERFYRLPERGAVFTRDVLVFRNALP LSSSTGDIPAAERWYVDVVSAGMLRFPDLEGEAGRLGARDRKAVEAKMRAVLRVAVGK GARKVVLGAWGCGAYGNPVVDVAEAWRAVLLPPSAASAQVGGGKAGRKAEVWEGLEEV VFAISSGKLAREFAGAFGGVEVEEGPGADEEEEEGMDEVAEELRAKIAEMEGQVEKVW NAELKQRMSAILEGLRAQLSEREGKREDSEEEAGGSSEDGETLDAGEAGGVSLSDDEV DVVHYSSDEWQVSPFD CC84DRAFT_1125654 MCDSTTELMVPSPFVYLGQKGSRGFDPHLSPVSPIHEGITPLSS VPELSLSRPAASPQNSDVKVVDVAVKKSSPPKPMISKWILFELWFNTYRKFFTLVTLL NLTGIVLAALGRFPYAENHLGALVLGNLLTAILFRNELFLRFLYFVFIHGLRSWAPLP IKYAATSVLQHVGGIHSGCALSGAAWLIFKIVDIIRYRAVQHTAVLVSGIITNIFIII SVLSAFPWVRNTYHNVFEKHHRFIGWLGLATTWMFVVMGNIYDIKTGEWRSDANILIS TQELWFAVFMTVFILIPWVTLRQVPVEVEIPSPKVAVIRFQRGMQQGLLGRISRTSIM EYHAFGIISEGRDSPHHYMICGVQGDFTRALVANPPKTVWTRELKFAGVGHASAMFRR GIRVCTGTGIGAALSTCIQSPNWFLIWIGSDQERTFGPTIAGLIHNNIPPDRMILWDS KKRGGRPNTMELLKDTWEAFGAEVIFITSNMQGNDEMMQGCRAAGLHAFGTLWDF CC84DRAFT_1167020 MAPSYLITAANGHIGMRLIPLLLAHPSQPTLVLPTSNSARLTSS MPANVDRSRVHILEGSVQDPMFVEAAIKDHNVTAVFLCLTGPDELFTTFNFLDCIRRS GTVKHLVYVSAASDLSLEAQQSGLLKDIYCAHVAVKFIVEAKIMHGLLPREQNGGLSW TILGPTLFFSNDLSVQREMLEEGLFDEPLGSKGVSRVSEKDVALAAVKALEDDGKQWG GKKIMIGSLQTYTNQDTARLWSQALGRDISPTLSDKASLDEWEPRFAKKVGHAWGRDV RLMYEIFEEMPFGMTEEQYKEQVVLLGKEADSYEEFVETTSREWKQ CC84DRAFT_1198197 MLSAFIYNRLRASAIVHILCKALVAALATTCYAHWTYDRIIVNG QVIGEPWQYVRRHSNGNNFLSDVTSNDMRCNINGGVSGSNTSTYAVQVGDLLGFTIRD TFGHPGPQQVYISKAPAAVKDYDGSGEWAKIYTLSNCVTKGCGTGDGIVKWATYRAQT FNFKLPAETPAGEYLLRAEGLAIHAAQKSKGAQFYVACAQIKVMGNGTGLPAPTIRIP GEYTENSTGILIPMIWSKITDYTSPGPRLWPEGTEEAHMLDGKVKESDSRLAIEDKVK Q CC84DRAFT_1167021 MASLLTISTLLSVALAQTKTVTLPFIGYGDTTFYASVISAEPSQ TIFALACAPTSDCGFFPQQLLTYGPSTYVMDMSEPGDEDFTATADCSIGTVTAVCKES ASGSEANFPGSSTETYSDVTHLPVIVTAGVDKLGASADATPTSNGGSKGSTSVAATKG GSADATLATSTHTSASESSSAVAPAENTGAAARYTASNAGFIGAAVGLLAELIA CC84DRAFT_968539 MHFLTLVKDYTRVDYSLDRTQVHRQMWRYIRHVRLYKPHRPSAD TMESSSREALQTALPKTKLTNCTAMLLRTRKLDATDPRDKIFAIHGLLLVLGACLPNP DYSKSTEKVYREAAAAAIAHDARLHILGSITGESLIKDLPSWVPDWSCNQPISEIASW DDYVVLSEPETMLRISVIDGSRLTLGGVEVDTIQEHSVAFPDRYHDNRISEIKTLLGS LNMLEKHCQHKGPVEFFSGLIKKPWPRTRSPLKMFAKDFSVQVLSAYWIKGLKRFEEN SDTLPNARYSASDIGYWAGKCAQEDGLTLGGEYIGGDVRFFHKLMRSLLDRKVMFRTE RGYLGIASRALQISDRIVYFQGASVPMLIRKVESNWRLVAPAYIQDGMLEDKTNKLLA SAGPDLNWKEYVLV CC84DRAFT_1151796 MSVSSDKARTGSCLSKKVTFKTTGPDINCAVCHCTNCRRNNGST YTVKAWFPDKRFKFTSGEDLLKQHDDSDTNTGGIVNRWFCSHCGSPILTRSFRVPGIS IVHVGLFDEAWDWRIDFEQWRKSRMSFVEDIPGVSDDCRYYDFPDKREFERVMAKL CC84DRAFT_966533 MPIKTWLTETLGIRIPLVQGGMMWVGKAELISAVANSGCLGFLT ALTQPSPEALRQEIRRCKSMLKPSAVQFGVNITLLPAIVKPDYMAYARVAVEEGIRVI ETAGDPSPILKFLKENGVVVIHKCVALKHALRAEKLGVDAISIDGIECAGHGGEYDTT SLMLLSLCAEQLKIPYLASGGFANGRQVAAALALGAAGINMGTRWMCTAESPIHQNVK ETIVKATEHDTVLCLRKFRNTSRLHKNKVSLEVHEIENTKQGVEFKDVAHLMSGKRGV GVYETGDVDAGVWSLGMCAGLIHDIPTCEDLAKRLEREAMDTLSKTHGLVVAEAKL CC84DRAFT_1189221 MGSLWRTLIYIYLLGFVWAAVAQSALPECAQTCLLMAFGTNTCT VTDLDCICTNDVFQSNVTLCVASSCAIPDQLATKNSSLTTCGAPVQDRGPNYVALSNT MFSISAGFVVVRIAFKVLVSRVDIGMDDWTVVATTIISIPSAIVTVYGTVANGLGQHI WTLKPNEITSMLKYFYVMAILYFTQTALLKLCLVFFYIRVFPTQGVQRLLWATVIFVV VWGMAFVLAGIFQCTPVHYFWNKWDGLHQGHCVDINAITVTHAAISISLDVWILAIPM WQLRGLRMHWKKKVGVGLMFCVGTFVTIVSILRLRALMDFVVSSDASWEFYNVSVWST IEICTGIICSCLPTVRQLLAKLFPIIQGSSARSRNKYYNYNRSEELQKIGRSNTSHDV RIATIASSGDNGSAEFKDDRGIVVETSYTIKRTQGDMDEISLVSHDDKKAKGGRAV CC84DRAFT_1096953 MSIFLITGVARGIGLELVNQRSSDASTVVVATVRTISPELQRLQ DERNNVRIAICDISSVDSITSLSTTLGHILSSDEKITHLINNAGVVAHPEAKATSMTA KALSENMATNVLGPAKVVEVLLPFLAPHAVIVNITSGMASLQMVTSGRIPAAATAYSL SKAALNMLTVHQAQELKGRYRVACLDPGHVKTRLGGDRASVEIRESAAGILKMVQGLD EDKEGDRDNGRARFLEFSGREMPW CC84DRAFT_968040 MRHTRATLPTPISTNSFWHSEPNEFLIGHRTTEDLPAEADIVIV GSGITGTSAARFLAEDERANGKKIVMLEAREACWGATGRNGGHCQPLLWDRGADVAYF ELKNVHTVRSYIEKHNVPCEWRTVSGCRQFWTQEVMAEAEKEIAHLRTIAPEIASQLK IIKDKDELARHRVSPDCIGATLTPGAGSLWPYKLVTFILENLVKEGRLNLQTTTPVTE LSSGANSHTLHTPRGTITAPTVILATNGYTSALLPTFADLIVPVRGEMSALFPPEGSS ILPDSYGMVAALGQPANADDYLIQRPYSGVPNPAGHLMFGGGRGAGKLPTIGVSDDTV IDPDSAAYLRSALLKVMQLDGATAGVQELKAAAEWTGIMGYSRDDHPWVGKVPGQEGL WLSGGYTGHGMPNGTLCGKAIVDMVLGEGAGGDLAEVQARLVEQGDIPKSYLITPERF VKARLWPTVEQQDSGGVHMNGVV CC84DRAFT_1097575 MAAKTPKHVAVILFPGFQLLDITGPLDTLNILSRSTPLRLSILA ATLDPVSTALPISTPYLSTLTPSSPPDSPFAQSIVPTHTFDTAPAGIEVLIIPGGFGS RAEENVTPVVEFVQAAYPTLTYLLTVCTGSAIVAKSGVLDGRRATSNKKAFAWVKAQN AEVKWVAKARWVVDGNVWTSSGVAAGIDMIYAWVEDIWGTDVAEELADSSEYERNRDS KKDRFAERWGATD CC84DRAFT_1098076 MTRPERELDITYWLLDTRSLWPGTKIAEAAAAELQLISPEERDA CTRKYHIADARMSLASALLKRLFVSKTLGIPWTQVRYGRKRDPTHGKPCALLPDGSQA PVEFNVSHQNGLVALVGSSSPDAELGVDIVHTNERRAYTYKLIDREGLDGWVDVYEDI FSDEECWDIKYNVDPFPLLDGTEVTAEMLGRHDRVCQRGQPVVATLPSGEKRAFSSDL VIDAKLRKFYVYWCFKEAYIKLDGEALLAKWIKELEFKNVRAPRPGSPARCASYGTWG ERVSDSEAWLKRKRLTDVRLEIQSFEEDFMIGVAAKPAERLPEYLTDFKSLDLEADVV GFATPF CC84DRAFT_968043 MTVVLADVTVGVLALQGAFSEHVQLLRAAAESLALKGAPAQSPP KWSFVEVRTPDELARCDGLIIPGGESTAISLVAARSGLLEPLRDFVKVLRKPTWGTCA GLILLAESANRTKKGGQDLIGGLDVRVNRNHFGRQVESFQANLQLSFLGDTVDKSANE PYRCVFIRAPVVEKILPHRDGVQTEEQSREATVVAPSKAPGDKLAEKETTAQVEVMAT LPTQTPALQANPEHDHAGSEDIIAVRQGNVLGISFHPELTADPRIHVWWLGEVLKAVE RRRQFEVVDRSAS CC84DRAFT_1097996 MPALRKKSTVERLDKASSYASSKNRRRNQNRRDGQREREPTPED DKLKDATTLYVGNLSFYTTEEQIHELFSKCGEIKRLVMGLDRFQKTPCGFCFVEYYTH QDALDCMKYIGGTKLDERIIRTDLDEGFAEGRQYGRGKSGGQVRDEYRDEYDPGRGGY GRAIQEEESYAKEYE CC84DRAFT_1098157 MPKVVAHTPRWLQRPSRGHELFAPRASPSRALAQKEKVPGIRKT IAARNSEVFVAVGNEIRWADLEGLKEHEHPVYRVLKISIPLAIERLDLSPQGDYLAVS TSHTVHVVHLPEPSLLAAAADDAPIKPKTFQVGPTAHVLEESPIASVLWHPLGYHGRC LVTITQAGVVRLWEINRADRSSFCETTLSIDLQKLANAKSDQENLSASQYGATKGFSP DAADLEVASACFGDSPDQQGVHGWAPMTLWIATVSGHVYALCPLLPSKWQLVNSAGAD TFKQTLITTININHANVTEDDSSPIQDMSLADKQIKWLSDIIYQEPFTEQLSNDDVIT VFNRPASVPAVPLLQGPFSILPEVEDFELSDMIVFSLKTFSDSQDEDIAEGLPSAVVC LLTDTCEVHVCLDLEGIVGRWLPSAEDKYVPEPSEHVLAVVETVALANDGVSSPNQSI TPDVRTDFSFFVSHASGVFYISLEPWIRNLESELYEPQIEGADFRLQRLLESATTVAE RCMRRNARDTSKDVTSCVVIEETGVGYLVLTVFDHEPQAVFLDAEDGAIQEDFEDVLR WDDPASNNREPWQPPKEFWQPFSLHSSLQAVHRSRAAWNEEIKLSPANLEVLMAAHRV LAEHTETLQLRVSDLFNRCQRLQDEYRDQVIRVAEVMQKVDTVTGKDEAQSGASLYGN KKIEDRMEKVQAKQEAQKQRYLALRRKMASVNTSQLSDKEVHFVEELHTMEGSLDKEA QRLTDNADGSEVPVWERMDKVKDLQQTLSKEVEGGIKAAGEQRLGSSMKVPSHSRRAE HDQIQAMLQHQTELLQATAERLRSNGVSVAEVVDGGS CC84DRAFT_1220195 MDSTQLTKWRATGKLEEMAAVAHELDLYTTAGFSVHYTPAQGLS LPFTEPLIYRALAQTLRAQPTLFAVPIVKEGEETYFARLPSIDLRTVTTFATRAKHVP GPDDGGRDPELDALLQEQVNLNFKSEAGVLPVWRFIALFDGPEKQGFTANLMAHHAIA DGASFQILHREFHKALHVLSSPSSAQAEREIEYVVSSKDTDAIGPPIEAIHSLQLPEP APQPDADAQPKYNDWLGNPPSLPNSTGYTTLTLTPAAVASWTQECRRNKVAPPAGLNA LLTRSIYAALPAGTESMDVNIPVDVRGSLPPAAVDGVMGNFFDAFRIRVFRSDFPSGG SDDGTEGLGAIWPAAKKVAKETRAYFSRTTADGEAILNIAGLKNVPDLHALLKSLIGG ARSESLELAYIGPHAPYTSGETLRWNAGKATVSRCAFALGACLQMTVVLHAEGMTIGF AWPRAAIEAGLVEEVVASVRGYFHSITA CC84DRAFT_1167030 MTQYPHPFSQAAKLDSRIIPRPAYDPELAKLLDATTIPEEFDLD LMRGVSKEEHQCAHGETGETEFSAGTILKDKPFLSHEEYAIPGPDDTTIILSVFTPKE PSSAPRPALYHMHGGGLVSGDRFTALTPIIDLLEGIDCVVVSVEYRLSPETPLPGPSE DCYAGLVWLSENASKLGVDPAQIVVFGVSGGGIVATATCLMARDRKNPAIPIKGLMLY APQLDDRCETLSDQQYEYGNPTSTPWVRSCWDLALPKIRGTDKVTPYHAPARAEDYSS LPPTYIDAGECEVLRDQAVQFATNMWRCGSTCELHIWPGAYHIFEMINDGNHLVIQSA KAAKANWFKRMMTTRSV CC84DRAFT_1220197 MAPALLTSPVTSGQVLVNKSLPALSITVEPVSSDTSSTDNSVES SPTSKTMSKPPGSAINENDAVSLMSTKILEIVFDYALNKFDDSRERLEVGRPRFIEVL NEFVKNGSRIDMALPAFPFKSANKVYKALGFLPDKAEELSLSRLHNMCRRIEEIYTPG ANVVIISDGLVYNDLLSISDRHTWIYGQALRAMAVEKGFTNIRFSRLRDFVPADSTLK LPDKMDEISYVANATNFRRFILNKFGKDGLDVDQLIATDTDTRLTYQGYRRFLMSDLR YIFTLGADRNSTAYKRETKYLAKQMIVRGVAFAGAIKHNFPNYLRLSIHQSTGEHKVS MSLLNTKTGYTTPWHCSVALQTDGEWTSAPKGDFEEMPRMKVVEENGRPSYFREMTEE EFLEDQRRAEEAAKLAAKPVVDEIQEPERNEDFVQWEGLTYEAKNGGKTKRLLDQVDG WLRGGTLTALMGVSGAGKSVLLDVLANRSNSGIVHGDILVNGQTSNSKSVSATVYQQD LQLSAATVKEALIFSALLRQPKTTPVVEKIAYAEGVLNLIGLDALADTVIGVSALNSE QRKRLSIGIELAAKPTNLLLLDQPLAGLDSQAALSICQLLRKVAQKGLAILCVVNQPS ARLLQTFDRLLLLGEGGKQLYFGKIGQSCKTMISYFEKNGARPCKANENPAEWTLDVT SSTEHSDETQDWSEVWNKSQECKATKSKLAQLKKKFSATAEQVDGPVTSDAADPSAPG FDALALQRAFYKYIQLEKMQLPSVYPTDASASSFTNGATVPPPAYLS CC84DRAFT_969846 MVMSGRAQGPQHGRLDACTKPQMSSFLLTRLTTTTIRAIFLKLI PEGLSSHSFYPSFHQTLSVLVFHCVRLVGLLSEGPRSRPSHTRHPQASSAMQRWGQCV VWLGHCRWPAHMEEVLCRLGQLAHITSFSQRHYCIRQWAGLDRTLASCLVANLVNCAV IVSPHVSCMAIEAV CC84DRAFT_1220198 MAIEGTQNYGKRLIPQILDALARSEPDRIIYSLASFADQTAQFQ TITAAEFAKAVNKTAWFLHDRLKEHHDGAGQKIVPVGYIGPHDLRHILLIYGAIKANC GALFLSPKNNVEGALAVLNAAKCDIWIRPHGQAALPLVEAFTKQRPMTILELPSLEEL LDAETTKPFPFTKTFEEAAHEPFCITHTSGTTGVPKPISWTHGLIGSLDAVRLLPPTD GDQGFKPWTDNWNEGDTIYSSFPMSHNADFWNLKGAGMLMDIVVPAFFKLHCVLGPPR VLPNMSLIENILDHAKIDIWHLVPSLADELGETPAVLAKFTKAKFICVSGGPVSPSIV TKVNDVVRVLNLTGTTEGLFMGVLWPTREDWHWFAFHPLAGFEFKEIEPGVYEHWVHR KPQWDLFQGVFYTFPDQQSVNLKDLYKRHPTKPYLYAYTGRSDDAVVLSNGYKIAPLE AEALITTHPAVEGCLVIGQAKPQAGLLIELKDPSTRNNELFDSIWEKVERANSSGFQK IRFHRDYVAFAEPDKPFIRTDKSTLKRRATLDLYRDFIDHFYATRDDVQLEEFDEFTI DTSSDEATLKSVQKIMESVFPDIENADPDEDVFDLGLDSLVVFQAIRIIRGSAGLQEQ IAPRHLYANPTLAKFSSQLRTLLQQQAASKTKGQLNGKPNGQANGHLNGHANGHADGS DQSQGSEKPNLEPVNRFPNDHGDLRHAIHEYQRRMGFKMNPFDAVNPNHYMGFTFFFA LPPESSFQKAFEGLQAGLCRAFQIMPELDGKMMHASELEFGYKKGEYAITMPPPSLAT TSNPRQLVFKDMTKALPSFQTMRDNKFAPSLYEDKTVLDCYPFPSMPADVMVAHANFV EGGCILATNFMHTCFDGQGALVALRVWADCCRYVQGDQSAKCDWYDPQSCNHSLPEIL YQHEGHAKSVQEVDNTVWDFLPFFPPDYNAEEREINNKAVIKGASLNDLRPVYRRQPQ WPRAPSDRSLSSTFFLISRDNLQKLKQDVNGHADPKGHIPSISDIVQAFMWQTAVRAR YLVAKNHRGQTFSPDDMSILEIPIDGRLYFSGHLPSTYTGSMLIMSRTMMPVEELCSP KTDLAKVASLIRKTIAKVNTALVHDAYTLLRSMTDYTKPATANMGLEHMNEMISNMIL WEQEDNISSFGEGIFAGGRPTVVRPQIERGHRRFRFSLIHPLRADGGVELELGTLPEE LKTLQQDEHFTRYARLMDVRHGEGW CC84DRAFT_1189230 MIVLSLQLTNFCIAVDNTIIATAIPRITDHFGSLGDVGWYGSAY LLFVSGFQLFFGRLYSFLNMKWLFIACVVVFEVGSLISAVAPTSAGLIAGRAISGFGA SGIFTGCLTTISTVTPLEKRGAFIGLITAVYGVASIVGPLLGGALTDHATWRWCFYIN LPIGGVTVLILIFCLQFPPSPPKEKKTLKEYILRFDPIGTILFAPSIICLLIALQWGG TTYAWSDGRIIALLVVFAVLLLGFAVVQPFMKDNATLNKKAVTSRHVMCAALYSFCVS ASFFVMAYFIPLWFQAIRGASAVRSGIDLLPFMISLILSIMSGGFLVSKIGWYHPFMF APITLGSIGAGLIYTWDIDTSTSRLIGYQILFGVGVGLGIQQGIVAVQSGKNIDIASG IALLCFAENFGGAVFVSVAQNLWANKLAEKLQRIANLDPALVVKTGATEINSLTKDPE TLRLIRVAYNDALSQPFLVALILLCIATIGTLGVDWNNLKAKNEKKADRRTLRSFSTI PKYKDRREDTYHLDHPQARQL CC84DRAFT_970228 MASNNSPASSAIETKEIGTVHISTEDTPSDLEHSADKVQGNAAN DHSVDTNFQAGVQKAEAITIAWTLKALIVAYIAIWFVYFVQGIVTGVSNALLPYVTSD FASHSLMPTTSVVSSVIGGVTNLCIAKVLDIFGRHHGFLLCMGLATIGLIIAASCNSV ELYAASQIFYTVGINGLGYCLSVFIADTSSLRHRGLMQALLTSPYIITSWLAGPISTS FLNRGVTGWRWAFGMESILLPSVALPLFGLFMYQYRKAKREGIVPIKATSGRTFLQST MHYMQEFDVVGLLLLSAGFAFLLLPFNLYTMEAKGWGSAMIICFLVFGVLSIVAFVVW ERSFAKVKLTPWAILADRTVLGTCMVAFTLFVSASCWNSYFSSYLQVVHGLDVTNASY LNQSGTVVQIFANITAGAVISLTGRYKPISLFLGIPLIVLGTGLLIHFREPNHYVGYI AMCSIFTNFGFGILMLTVEISILAAAAAQQYFAITIALLNLFTYIGSAVGYSISSAIW QGTLPKRLALYLPPEAQGNLTTIFGVIEEQLSYEWGSPTRLAIQHAYGDTWRFLLIAG VSVWALGLACMLMWKNTNVKGVHQNKGYVV CC84DRAFT_1189232 MIVFKGFQALDVFGPLSTLNVLSRTHKMELAIIAESTKPVSTHP EPNPGFLSASFGQEIVPTHTFENAPEIEVLLVPGGFGTQNKDVMAPVEAYIGTVFPKV KYVITICTGSAVLAGLGLLDHRRATTNKCTWDANVKLGPSVEWVRKARWVVDETAGVT PVWSSSGVSAGIDVTFAFVEKLYGGDTAERVANVMEYDRHLDDRWDPFADIWETKV CC84DRAFT_1220202 MPSYDHFQPPPPIRTATSGSQKSHSSDTASPDMHSPTSMYSRSP TSPGEDSFFSAISAKIRSRSRSRSRAASPEHSTFQRTPTAHPTSPTYATQHPKQPRHV SSASQGSIGSSAAKAQRPTMPMPSRRSTSNSDLWRGRHSNSWLFNDFSFTETASKAFK RSS CC84DRAFT_1167039 MFRFAQLVSLAACASLAAAHTVIVYPGWRGNNIHTNGTVSQTDA SIKPGSLGINYEGNGTYGFPYGMQWMYPCGGMPMSTNRTKWPISGGAVSLQPGWFPGH SVAQFYINMGYGNEPLNYSHVAVPVFSITGPSNVQYNGTFCLPQIPLPANYTPKVGDN ATIQVIELAQHGASLYNCADITFAEDGDPEIPNVNKTNCFNSTQPDEHIGFQMVYTTT PGAAPLSIQFNPYVSLLAPLVLVVATWVTWL CC84DRAFT_970299 MPQAAHSPARNPLPPLPLPDGVTEHYLQCPSNGLTFHLLEAGYS PEKQKPLVILVHGYPELAFSWRKVLPALANAGYYVVAFDQRGYGRTTGWDNSPFPKVN MAEFTVTNLVRDVVVLVHALGYRAVKCIVGHDFGAVTSSMCAWMRPDLFHSVVMMSHP FKEHPGLPFDLLHGEPSTPPPQVDIQKELAKLPEPRKHYKWYNATSTAALHWYSPNQG LAAFLRGYWHVKSADWDGNDPQPLEAWSAPELAKMPFYYIMPFAKSMPETIELMMRGE DAEKSKRWLSDEALEVYVQEWRRTGFQGGLNWYRTMADPENKRDMDLFAGRKIECPSI FISGAKDWGNYQEPGALQGFPKTCSQFKGVRIIEGAGHWPQQEQPEAVVKEILGFLEN L CC84DRAFT_1208025 MLGSSRRRRASSASNASSRTPSASASLAATKAFLRDRESNGALS SAAAAAALRTHTPTPTPVGDTVTKRMVRKASVSSHGSGSQQHPGLRRHSSSGSMTERS FRDHSPTRTSPVDPNAPPVPAVPKNVPQTSVVHRRNSSLEPPARGGSPAGRGGGRGVS LDRGTSSASNRGRGQSRASALTKVVEDEQDGAQRSSINFSRPISPPATSYPTPRPVAS ARGAQGGWFSGPVVDTEQTFRGENPRPKTADGSSAYATRQAAQSVQNAADRPVSTRAA HMTNGVEGSRLATGSMRAKPSGAAVAPRVVDPKSPFAVYDPSSRKFIHKQDAMNLHRA MSDAADPAPPYEPQHAPQQIHHEPPQYSQPTKQAPQIRNIVQRSPSASPVRDPVRDAP RPAKYTRQEPVAEPAPMPSASPHVAASSQYGPVAFITKDFTEAGDPLPADANTSRKLE SPAPASIEEPEETVSPKLPSNQDSPYPRLAPPGTRTPSGPPSIEGSERSARTHSMSPP RNAHFAAVAADLSNGIKHQPPGRSVSPAKSALKASPSVSGRSRSPLVNNGRLLNHGAQ SEASDALSDDGGKKKKKVRISFEEEPIVVGTSAYSDSQPLMADDDSEEDLDDVLKPTP VLPSFGSIRDTNRRSRDDPEKVTETVSSSLSNSATLMGDSTELSRDHKIGAVLAQDFA AKSAERSSGNDPLPPEVTSVEGSGYYSESSESEADVPVVDYQETHKAESTPAPEPKTL TNPLDTRSTPLEVPIIAVQPASPPLPRDPETEPTFAPQPVPFQDVTKVPRPFVPGGWD DDDNSEPEPEAKGPEPTVSAPSQPSITIQIPQRPVDDDSSDDNSSVYSDAYEDLDEGE GFGSIDAIMESPVVAPTSRFASTNSSPTARKTGLESSKWAPTNSEDIQEGVIEEQRRA QDADWEKSQQHWSDLHNSRKQQPKSGLLIDNLGTKEESRGVTQAAVPIKQKSVEPKRS DQQAAEPIPAKPKERRVKVQPAVVPVQAAPTQPAKAPAQPRKSALKKSTPTSPPAEIP LKKTMRGTAPSSNGAEPHMRTTMRGTGGGFAGRGSSVPAPQRQSMPPMDTRPPRGALQ KKHLPTAAAGAAARPRPQSASGLPTKPKYVAPPAPTYDSDSDASVSSFQRERQRNRAS RNNNNNSGQYTMRASMRSGPTPTMRAAPPVRSISPPRTAASPPSSTLRKSMRPSSPTP DSPSPVKSSRFSIRSLSPAGRFRRSSTYEAAPPMPPAPVQPPPTKAKKPMFGKPAPSK APAKKAAKPFKSRINDSSDEEEDLPRRFQSRFADSSEDEDFELPPVRGIPKRAGKDDG DSTDLEEELSDNEPSPAPVTNGNSKIDSVASNGSTNTQGATLAAGSLRKPGALPSIDA GQKKAKRGFFGFGKKKNSHSAEADMHAQNAADLDIPMPPTQQNRDRNRPLTPIGEDRD TDAGNATTLPPKAGRRTPLERSTSDSWPLPSSIPAFAEDQRPQSADGPLTRRLSSVRP MLVKRNPSQMSQISQARTEIDPKTGKDVSFGRTGKKKKFQGLRRVLGLND CC84DRAFT_1167042 MSLLAVESHNNITRSTSNVFAFVSSPSNWAGLHPGSKKIIGEGV TGSAAVGTRFVEVIDDDNGNVFDASWMIIRSINDELFQFQFPSDFARGPFQEIVITYN VTPEADGSMAFTRRMASWIRPGIDAEKLISFSKNDMHNQYMANVKAKVERR CC84DRAFT_1097622 MRAWMLDGPQDSSSLYIKEMPIPDVQRGWVLIQMKAFGLNRSEH HTLILQNLMIIGLAEGVTFPRVLGIEVTGVVVSAPGAEFEPGQQVCATMGGMGGNFDG GYAEYTCVRANHVSSLDWSILGAVPEMLHTTWGALDVGMDAKKGQSILIRGGTSSSRM ATVVLAKQLQMTGFSTTRNKKKSDTLRNFGVDHVLIDNGNFSAQVRAIAPEGVDCAIE LFGTDALPNTLKACKVKGVTCFVGMLSNQWTVKELYPIDYLPHGVKLFGYASGVEKLP EHVLRNFLDAVETGNAFMPIDKVFQFEQLREAHERTNAGLAVGKMVVLTGT CC84DRAFT_1167043 MQRHEFPREGVIHDIWVPAPPHLSATDVMPLALRAFSLYPANEH KLAHARTSFSLRAFIFIILVHFQTFQ CC84DRAFT_1261916 MSEVEAQFVKRGLWVNWSQGPIMGQTLTVDSSVANVVVALLAIC TSIGTAQLFNLAIFLYHQLRAHGQPSDGLYWQQQALLRTLPTPTAFVADYMKLWWSWR TKSKKALMRSWIAAVIVLCYAIASIAAGISTSYAVDTTNLEVLVDSSLCRLVDVPKLA ADANASLAFATGMIPYIHTYTQNCYQNTTTAPATCRNMYIQPKVPLTVEQASCPWQPS LCLDGERPAISMDSGLLDVSATFGWNLKARDNLWVRRRTTCNVLPLEGREKKVNLSSL SDTLGFEPLPNEQGYAYLFGNYTDIPPEMHPEYLYKASLLFANRTKTYGSISTITRAH SNSFMWGLNWRTLDEMNRTDADVALAFITLNNVLYHNPVNDPLFSAHQEVPYGNGPLS QTEYKSDHLAGALGCAVQHQFCASKSSSDKSCTSLGALPADDSSLAAQFSDLTGLQQS LMKLLWAASFLNDVTNGASSDELLAYGLTMNGLVTELPDNQWAKEVVNWENYAWAGFQ IMMSDAAIGPITRTELADSYTNAPATAADSALCQSMRMRKAGGFANVNVFGLAFVLTF SAIISFINFFILRFFIFLKRFRKTLAPRLDRWVNDGIFQLQRRAFEANESTTWIDSEK EIPTTTYATTMSELPTVRRTIRRSATAATLVDKSAILKWELEERQPTITESERSLTAR SDESFTDRGHVSQGEISLVQHSSPSAHSR CC84DRAFT_1189262 MLNGVEEAWFRIPGFDIMARNITVTGFSPKFPDAHCTADGSICQ IVTAMGGINAAITIKSLCLSPLFDLKSTYFIAGVGGINPALPANFSTGHVSQESYEPN VYPGDIYGTEAFDALRKVAAGFARTAALNDSAEAVAYRALYDTPKRIYKAETQPPSVF SRRLLGETFGNYTEVSTNGTGIYCKTAQEDNVTRKLCCVVTSPVCDMDRPYPGEPATT NLWWSNQDGYPPALRNLYLAGIKIIEGIVDDWNDAFAEGIMRQIT CC84DRAFT_1151854 MNESLSCGAQKKVTSDSIYRINSISKNFASFSALAVENLAKARI DVPFELTLDSPVRQLLPQFRLPDKDWIDGGRDITLRMLASHSSGLSREGYSTDFNMVL ATGKADAETIGAKWAAATPEGNIEYAAKTNLMFAPGQRAGYSNLGMSILASSVVNYYN KITGSNLNWSQLTMQQILSPLNMTHSFFGSIPDSLLPDVGVPGGPNWADLVVGLGYDP AAGMWSSANDLTKYLYNIWLRPDPLPLISLSQRRRALQPNVALPDGKQLVGPGWEIDL FEVPISADASALNKTYSAYGKAGDGGGWHSWIDVIPELGYGLVVLTQVSGSADYVSIS PSALKSVAHQHLMPAFAEALTSQMEKRFAGWYGDGEDGGLITEEVAKNETNATSYAKL ELEGQVLYLRELVVNGTSALEGLDRLGWTDDYQVRYFSTPAGVALTPAEGAAENDEFG PGTQVWRMMIPGLEFCDWFDFDGYLDNNAWPLVKIAFVESGNGIDLHYPPFDIILSRV KQ CC84DRAFT_1198217 MQATHRRTRIPLSCELCRTRKLKCNRETPCQNCTARGEQDACNY RGHKDPAIPVGRRKGDEVAIRQRVDQLERLVRQLLSNRGLVSASDGGNGAIENVEHSH GEPFPGVLTEGAIPAAAGKTVLGRSHSIYHGSNDWHTVLQEIGDLKGRLHGEQRRDSD YMFENLHISAPDGSGLLFTQAAPVERMEMLSSLPPRSEVDRLVAWYFDVQSFPIPVPP IIHEPTLRRELDRHWQDPFQADLIWLGLVFSILGITMLAYHQHGEPPEYEGRSETLFQ LYRTRTGQCLQRGDISKCLPYTVEALRLNATAELHRKDDNRRALWMTTGVIVRAAVNM GYHHDPASDAMCSLSAEYRRRVWLSVISMDNMASFQLDFPRTMPKSFSNTKEPLNVHD WELSERTEALPPARPLSELTPVTYLIAKGRLYNTLGRITDLNSGLQLGSYDTVLDIDH ALQKGLEDAPPYVKAVLCGDHEPASITANFSAFSLLHAYYLGMCVLHRRFMAKSKEDA RFSLSRERCVSCSISLLSFQRNLDPKYYKISRTRQTFALAAMLLFLEVELRKDDTKHN TLPESHIILETLEISVNSWAAAMHLCQETWSIYDLLVRMIAACRTWASMLSFLGHAWT PVERHYTMLASQT CC84DRAFT_1125723 MPSLRNFVYTQFLAKISRPTASFEEKTVIVTGGNRGLGKETVKH IVSLGASKVIIGCRNETHGLETKQEIESITNCSPSTLEVWDLDIESPASIRRFAERAN QLSRLDVLINNAGISAFNFKVVYDTERTLAVNTIGTFLLALQLIPKLKETARKYEVIP VMTTVASALYDNAKYPEQHGDDIFTWYKDPSHVKKFNQYNLSKLLQIYTIQKLSAIVD PLAIDSPRPIVINAMDPMFCKSDLGSEVTGAVKVLGGIFRNITARTTEEGSR CC84DRAFT_1251639 MKLYTCLVALCLSLNGTFSWDKSTFQVNGKAYQIAGGQIDPQRV PRAYWAQRLQMAKAMGLNTILSYVYWQDIEKYPDQFDFTDRNDLAAWFQEVDKAGMKA ILRPGPYVCAERDWGGLPGWLATRSGMKIRSNNQPFLDASNKYLAKVAAQLQPLLITN GGPILMVQIENEYGWFGSDHAYTSNLANILKTNFPNMKLYTNDANNAGALKSGQVPGA LTVVDGTDSKGGFNTLKQAITDQSSLGPLMNGEYWVRWFDTWNPRSGHSTYDGDTNGM NGRANEIDWILSNGHHFSIFMFHGGTSFEFGSGSGDSTPRSPFTTSYDYGAALDETGR PSQIYTYFRNAIAKRFPNIPTVPSTPPLASVADFALTPVLGMFDSLPTNPRTSSTPLL METTGQVFGYILYETTASTAASGKLQPGNGAARDRVIVYVNGVKKGVIDSIYKTPATV NVDVKKGDKLWLLVENLGRADNGASDQMKGISGDVIVGSTKLTGWNHYNFPLDSAPAN ATSGGSAKPVSANGPPMWYQGTFRTTNSGIAADTFLQLPGGVKGVVFVNGENLGRYWT IGPQQELFVPGAYLKQNADNVVAVLELEPGTSSRVARGVAKRTWKNNPDPDCNNCT CC84DRAFT_1178823 MAPTQQQSDKAPPKDFNKHLFNTGMFSDITLKYGHGHTFKAHSV ILKTKSAWFAEKCRGPKEIREDGSPQKALNLLECDMASPCKEYHQDEKGDCSVWKLHL QMLKFCYTGDYTGDYRSSPPGRRLDQKATIRASRRHTYLYLLGRRYGIMDLLPLAIEG YANTVTELLQHGLDAALPRVNNAYELFGADKDDALVREARKFAERIADSMDVEFSSEL LLEAKKTQINAKMPEAGPDLLLRGYKPKVPSFSHLAAEGSINSRLPTDDKTMCFRCRR AAPVKDTRLCTECTYTPILRQNPGHSSQRTDEFWGRAACTNTQLQTGITCTFWACQFC DKIWQGQGLRLSENELKGCPACVPKSTSVKFDARGHGVVQYGNRLQPYRMEPPTPRRV EWQCRGCLTSWEAWVVQQQKLEDMEKCVCCPEKEA CC84DRAFT_1151861 MSKRKLNAHDVPEASEPSSPSAPAEHEEPKRQSAKPAENSTFSS FGLEPRLLQGIQKQKWSTPTTVQEKVIPLALEGKDILARSGTGTGKTAAYLLPILDKI LRRTEKRVTSSLILVPTKELALQVSRLAGRLAAFCGQDVRIQNLAGKESDVVQKAKLA ELPDIVVATPARAAANIGALSLKTLATLVIDEGDLILGYGFKDDLETVSQNMPKGVQI FLMSATLSTDVEAVQALFCRDPVILKLDDLEKDAKLVKQYVIPCSEPDKFLLAYSLFK LQLIRGKTIVFVGDVDRSYRLKLFLEQFAIKSCVLNSELPLASRLDIVEQFNKNVYNI LIASDETELLGSMTKDTEESRPRKKAKKDDGKSDSGVSRGIDFRDVSCVVNFDLPAGQ KAYFHRIGRTARAGKSGTAISFMIPKDQYRKHKPTTFAGSEHDEEVLKKIEKHQQPGQ KIEDWSFDMKRLEPFRYRFADALRAVTRIAVREARIKEIRMELTKSQKLSRYFEENPE ELEHLRHDQTLNHPARIQPHLKHVPDYLLPGGRKPQDIGFVALDKPKRRFVKGKAGKK VVKGRNGKIDPLKTFNARGKGKK CC84DRAFT_1098186 MSASSGMIDPAIFEDLQTKVDEDTAVRDELKDIIQALEKQNRNI SFVLSRAHSTPYADLPSVLKASEEHIRNAIETVSKLAQAASKQPYYKFNGMWSRQVQD ALQGILFWAWLGGKDYSGSEAKCGRLLTLEELGEVLKVPTNLKDKDEFHITIEEYLIA LTSLVEELTRLARNAVTLGDYERPLLINQFVKDVFAGFQILNLKNDILRRKSDGLKYR VKDVEDVVYDLSLRNLVPKKGVKEKQ CC84DRAFT_1097293 MATTVLNSHPKPRAPATPTDVLPTDAAQLYTHIHPILVLSLYAL QFPSIVADPVPALATALVPLGILQIAYVAICLPPTGGTATPVVDKKKPGSGAGEKGKK GGMRGTIIPGFLSLIVVSIVIAPLLAAILVLFGAPLTTHHAHTLLAAAHISFLATLPL VYVHGVDGAAWRDIIALLRPVDEVYGGMIGGVVGAWLGAVPIPLDWDREWQKWPVTIV SGAYVGWAVGKMVGGFLKGRKIGFE CC84DRAFT_1220216 MEDRDEVLSSKKWYLFGRWSNELPTENQRQELDHKVIHVVNQTP VELDTSEHPQELEPEASQHPQEIGPNSTQELGPNSPQQPQKIHPASSNQIPAVVVDSS RRPQALDPEAVEAPQESGSSQVDIHLGKVSR CC84DRAFT_970467 MRGIKEGSDADDPTSRTTLYIHYCKLTTRSVQRCGTLTSQKVSM VFLLDMTCVFGVNACGVAMPPALRSSGPGKL CC84DRAFT_1096819 MYIDEPHLRYVDWKRDPGLRKLYFWAFILCIASATTGYDASMLN NLRMLHRFNDYFGNPTGSTLGLLTALYSIGSIISLPVVPFVADHMGRKAAIALGCTVM ITGAIVQGTATTLTNFMAGRSLMGFGNSLAQLSSPLLLTELCHPQHRARVTATYNCLW YSGAIVCTWLTFGTKRLDSNWSWRVPALGQALPSIVQLLFIFFIPESPRWLVARGRNQ EALNILGKYHANGDINDPAVQYEFHEIRETLQIEFQYQTSSSYLDFFRTPGNRYRLLL LASLGLFSQWSGNGLVSYYATDVYNSIGIRDADMQLGMNGGITVMSLIVSVSCSLLVD RVGRRPLFIAATASMCTCFIVWTVASSIQEEKHSIPAGRAVIAFIWIFQFCYSVAWTG LLVAYTVEILPFKIRAKGLMIMNVFIQVALTINQYVNPLGFLHLKPAWKLYTIYAVWV FLELIFVCFMYIETRGPTLEEIAKIIDGDEAKIVPADIVHLHQRVSFPGLDKDHTVRY EDIKLQQLQSSTSG CC84DRAFT_1189246 MSPPRRALIAVTSANASLFAPNGHTTGVFIGEALHPYNVLKAAG FEVDIASEKGKWTEDWLSLQPGFLSEEERKQYDDKKSDFRAEIDKNRKAEDLDASQYG VFFASAGHAALIDYPHATHLQAIASKVWANGGVVSAVCHGPAIFPGIVDTDGQPIIKG RKITGFTTQAEYDMKIMDALKSWGEPLVDEHARALGAEYVRADGVWDDFHVVDGRVVT GMNPQSAKSTTEAVVKVFNGL CC84DRAFT_1075677 GRGAPLRAAIYACCLSAFLFFGYDQGVFGGLLQNKDWLNQFHHP RDTITGIIVASYCLGALFGCILTVFIGDILGRRRMIWLAMCFIIVGATLQTSAFHIGH LIVGRVITGLGTGIDSSTVPMYQSELCKREWRGRVVSWEIWFIGIGICLAYWIDYGFS YLPGSVAWRCPIAIQLVFAIMVVFLVWGLPESPRWLCKRGRSQEAREVLCAVFDLDEN DPYVCSEMDAIGAAIAIETGEGAQKVTGLFKKDILQTRRRVLLAWFGLFMNQWSGINL VVYYMPTVLVENVGMTSQRAILIAGFVELMFPVGNTLPALALDRMGRRPTMMVGCGLL SFCMMMISILLSIGTEACSAASIAFFFLYMLIFGATVNVVPWVWGPEILPLEARARGT AISVSSHWMWNFVIVMITPVLINRIGWKTYLIFMCLLAAFVPIVYFCYPETSNLSLEE VDNLF CC84DRAFT_1198224 MFYSQQTITAHSVATAQSASLSIEPAAIYVPPTVVAGAPLQLEE TLQLSDAVITRIANNEATKEFVSYFSFDNSTIANATFSNQRKVVSCKTFPGDPSWPTK PVWDTFDALLGGGLIPTVPIASACYDSEWGEKNSVQCANVLANFTNPLFHESDPTSIM WPIFQGRTCMPSNSTVGKQCIKGGYPQYAVKVRNVAQIQLAINFARNANLRLVIKNTG HCYLGKSSGAGALSLWMHNIKDIDFLPQYEGPGYKGPALKLAAGVTVREVYEAADRHD VTVTGAVSWSVGYAGGMITGGGQNPLAGIYGMAADHVVAFQVVTADGRFVTASEDSNP DLFWALRGGGGGTFAVVVSVIIRAHPKLNVVTANWVLDASKNSVDAFWAGTKKFYDVF LEWADAGIYSFFIMGNTPAPFLNMRYLFAPNHTMESYTKLISPFFAYLEAHNISLTTP HTHTAHDSFYSAYQATWGANSFPIGLDNSLPANRIVPRANFEKRYNETFDLIKQHVSS GKHFLGYHKAPIAHGNTDNAVNPAWRTCALFFVTSSNKSLDHSTPEALAIANKDLQEN ILQPWRDVAPVEEGGGTYLNEASVMEVDWQESFYGGYYGRLSEIKRKWDPYDVFYATT AVGSERWEVRDGEQGVQTQNGRLCRV CC84DRAFT_1220222 MPMPVDVGPVSIGLLGGNFSPHLWEANADDQRTLIGYASQNGGT TGSKGGATTTVSSPPEFSAAVGKKTDTTATLISIKGAINGSAKVNVGSNKSIVGLDSS ALLTSILLYIDKSKNFIVHNLKISKVLAENGDAIRIQASTNV CC84DRAFT_1151881 MTRSALLIGALTHTRKEWEQLGGVADKLYEYPSGTREEFLSKSK AGAFEGIYALYRSNDSNKYTGNFDEELLDALPESLKFICHNGAGYDNIDVPACTKRGI AVSSTPIAVDDATADVAMFLMLGALRNITPSFQAVRQGKWRGNFALGHDPKNKVLGIL GMGGIGSAVAQRAKAFGMKIQYHNRKQLSSEKEEGAKYVTFDELLATSDVLSLNLSLN PSTRHIIGKQEFEKMKDGIIIVNTARGPLIDEAALVDALKNGKVWSAGLDVFEEEPKI HSGLLEAENVVLLPHMGTATYETQRDMELLVLDNLKSAIQSDKLLTQVPEQKKDAAKI CC84DRAFT_1208038 MDGPTLLPAIRTLAVGLLVPLGLICTFTYCTTLYRYHCDVRREP DGEGEYPTARSPPLIPYAIPKLGSTIGFSNQTVGKFWTWLRVQAERYGQGAFSIVLAG QRTHLIYSEDGLAAVFRSRHLSRAVLDRQLAVNVLGMSKEDSFKAFPDRTNEKEKITT ERLHSDHLLSSAAVNTLTEKFIETFQAQLDADASLTDGLDINLYDWLWQLVFRASTTA LCGSTLLEMYPDFDVDYRIWEDNLLGLLFGTPRLFARQAYRARDACVEKMERWLQAGY QHPVREGEDPSWTPDTGARIMHRRHDLYKQRELSIHSQAGWDLIFLAGILSNATPAAG WLLLHILSPTSAPDFRQRIMQELESCKRSDGYIDIPALTRLPLLNSAFHEVLRLYVDL LVVRQVDSSVALGTHHVRKGEQIMAPTWMTHRNPVFFARPEVFNPERFTTTNSVTGEL SYSATGLGTNYFPFGGGHYMCPGRVFAKQEVLGIIAVLLLNFDITFTRFMKHTKGGLT EGGTDDFPTIKPGFAGNQVVGIQGDMRVRIIRRPLVTG CC84DRAFT_1125747 MAKFDMKTVWSDIVQYRRAYILTAVASFGGMLFGWDTGLIGGVL TMDAFQHSFNLDRESPDFANLQGNIVSVLQAGCFFGAMSSFYISDTFGRKKALIIADI IFIIGSLVQTLCAINTTSLAQLYVGRVIGGFGVGLVSAVVPTYIGENANKEIRGRCIG CMQLFNVTGICLSFFVNYGISLQIKTLSSAKWRIPFALQMLPGALLLIGIVFQNESPR WLVEKNRLADAAKALATVRAKPIDDADVLQELDEIVKDFQGHEKLPLRAQLRSACSSK RMFYQSSFAVILMFWQQWTGTNSINYYAPQIFQTIGLKGPSAGLFATGVYGIVKIVVT AIGLMAFTEQMGRKWSLLIGSLGQAFAMFYIGVNSAVNPVKEGDALSGNSIFAIVCVY LFVVFYSFGWGPIPFVLSSECSPNHVRSLIMAAALMTQWLFNFVIAKITPLMLSGITY GTFLLFGSCCIIMGIYTIFCVPETKNVPLESIHHLFEGRIIAGCIKDTIPRHSRAKQL QHHHVTNDDSSETGKPASKGIRADVQHVEEA CC84DRAFT_1178835 MTGSVVVPAQTVLGAPRVRRLSYTCEQPPPPLPPRRNTLAVAPG QQPQLGKKLQLIAKKQLLSVGRSAPTTPTQPQAQSQKMDSRYHRPSSPRGRMSNPARS STGTFDPYYDSNYYARPSSPRTSADRLGGSLYPRDSYITSSSSSGSRSSKPKYDSYTG RPRRNTLNESDDRLVRPHSMLTPSAALPLRTHVAHVSDRPSSPLARSWDNRADPYVSQ ASPRTTAHKRIYSVDDGSHSAKLIAEKDIIKSSHRDSRDYSVTSGRQSYHQHKPLVKA TDFGADGFSYTDPEAMYRETEPAWRRPRANSIERGARPSSVVLDRGPRTSTRELGPPP STRGFDKINGVTSRHHGRSSSIERSRDIPKYDSYPDAAPSRTSSTRHHAPAIHQEPRE HRREPYRDEYDDHPRDRDLENRRYADRFEDRDVPTRGFGIAPAAHVREPSLDRQPIYN TNPPEVSRGRAEGYGSQYYAPDRADARMPDPRIPDARIPDARIADARPRERDIAPTYE ERPRERAPRDSNQPVPSAAPIVAGAAAGAAATFGAAHVLKSRDKEREREPERDRDRER DRDRERERDRERDREREREREREREREQRKEYDERDRRGRGSDERERRTDRREAVPEE RQDRPSQERVPPPPPPPGAYPSNPPEPDRIPRDRVYEDEERDRRERRARKAPSSEGSG DERPRHYVDRQRKPEAPVKEAALDPDEEYRRRIQAEAERSGRDRDSGDSDRERERRRR REEREKSRERGEDYRPRETRSNADEPLHPRYDERSASVLDKDIVQEPSSMADHDPPSK GVQIVTPPKEAAPAPKGILRKPTEKFPEDPEPIREGVAPHKSQLKGKDIPPNARWTKI DRRLVNPQALEEAKERFEERLDCVIVLRVLTKEDIQKLADRTKKIREAREDEYERDEP RDRDHDRHSHHGHREDDRERRREYDDYDREYDGNERDSDRERERERRPRAIEAGR CC84DRAFT_1151888 MPTENTYRDVESIIQFADVSVKEAAFDHYWRRVEGSASGLIDLE ALGICVRLLPLKASSPRNNESFNRLHDVVFEYISSKAHNEDHLYLLAEISLSNAELGF AVFDTVARYITAAADDDVPITSEGAIDYCGIFLPKEEHLQRVRVCLAFLKCSYWLPED RYHYITPALYSTLIGNVGKVEIDEAIHDTLSSLLALLSKQSVNVLNTVELPDSWIQRD YTSNRTLLLPGALSDSFFGGLRHLPLDYFSENASKAFRTWFQWINYVNANALGTDEVY TPKYWSVLCTGLVTGMTDQRKYCLGIIQQSLLICQRSFEAPGMAFDVSKKGSIVQQYK KYCALFEIIVLDRYPNQVQACLPELTTLLGPASLISSTWTTALLAAALNPKIQEGVRK LVGNWYLDYATAQPESCTSHTAFITEGFLPWATQGSLFTASLVSTRTSTTCSHGTALA EAFARLVIAAPGTQPEIGAGRSDSQQHPFIPDKHAIIKGVLQYISDTGGRIFSPSVLY LLEGLLKGIRKGGVFLDAAEIANILAISRMPALPEIAANLSRTYCAELCGYRSPKISD AEFVPAQAFLDKEIGVEQCTAKSSIEPSPMQETPNNSTAALTTLKGFLEEVNRSRHKI IQNDRFAPQCLHIINLLEKGPPELLVPEELLQILEAFWEEADRQDYCRPVALWLAPLY FHPVCILTCLQWRRDVSMTVDDLTAVLTKVLTTLHRFAEGRTYLVSGLATSLRKASFL HPEMLNLLPFDDLFVRFIENPPVPKKEFLFEVIAADKLQEHLPLPNNGNHVSNRNFEA YYGRREWAGYAALIDLLNCMPEDQLDVAKRVMKRLLEPWRTQKAPIPIISKSKNVFQL QVMLLLTESCVSEEDADWYLESFMHALVVEPWPRYRYLLEWTITRIYYRFPSKAHRIL DNLARLDENSPVHIASLMKLALLAAPFLDSDAFALKLMVQLIPFSASPKVHIRHEAHW CFPTLFDLARERDWTSITDNPAFVALDRHVRGLDKFSAPPSTIRTLKLDAVKDFTLTN IFQGRYLKIETPDMEYVAHEDFLALAESDSLLPSEHHAAPPRVPLGQPLSTADLAPTI PVWKKPGATPEPSSTPAETSSTSTFHQTKSGFDMASLLPTPGPPSAQNTRPASVILVA SLIDNPTNLGGLSRISESFGLHSLYISSLRATTHKDFLATSVTSEKHLPIHELPVPRI PDFLRQKKREGYQVVGIEQTDRSGILGDAGVGELPRKCVLVLGAERSGITAEVLAVLD RCVEIRTVGVTRSLNVQTAGGIAVYEWWREWGVK CC84DRAFT_1098234 MLAPPPMLDDAGNVKVVVRCRAFVRREKEKGTQCLIRMDPATNK TTLLAPVEDTESSTRRAYEDKEFVFDKSFWSHDEADPYYAHQEDVYRSFGEEFLDHNF NGYHTCIFAYGQTGSGKSYTMMGSPDNPGLIPRTCEELFERIRDEPTPNTSYHVQVSY FEVYNEHVRDLLAARTSPSMYLKIRESPKDGVYVQGLTETEVKSYADVERLMRIGDSS RTTASTKMNDTSSRSHAVFTIRLKQITHSLLSDETIERTARMRLVDLAGSERAKSTEA TGQRLKEGGQINKSLTTLGRVIAALADPKRQNSKGRRPKEVVPFRDSVLTWLLKDSLG GNSKTAMVACIAPSDYDETLSTLRYADQAKRIRTRASVNQDCMSAAQRDAQISEMAEQ IRSLQVSVNAATIRKREEATELEEYQRQVAMMQRLEEENRMVADSRIKALTAEVEELR PMNIALRSEIDALRRHLALALGELKNPIVLPPPIPGSPEIEQDGFIRVDKRGVDLGED GKENSIPIHSDDEMSGDDSDSGYDEGDHDELAQELQIEAQDFLKDLGLFRRKVGGDVE RFGVRQALHEIIGN CC84DRAFT_970635 MSKPTASWEKLGDKFYRKIQLYTAVFDQDLELENYNVVGAPYSG AVAIYRDENKITTYRGGQVSKASIDIYSCAGKLIRRINWDKGTIKGLGWSEDEKLLVV TADGTVRCYYDLQGDFIPFTLGHGADEHGVQSCKFYGSGFVALLGNNNLISVSSYTEP RPKLLAIPPTEPVISWSIIPPAYSLSRSVEVILAIGETLYVVDATEAENRNFDAGPFR HISVSPRGEFLAFYTDDGKVWVVSGDWSDKLSEYDSKVKTMPKDMEWCGSNAVALAWE DEVHLIGPAGAVTKFYYDAWIHLLPDVDGLRLLTNDVCEYLQKVPDASVEVFRIGSDS PAANLLEASSLLDQKSPKADDLIQLIRPSLAEAVDTCIRAAANEYNIHWQKQLLRAAS FGKSVLDLYSSDDFVDTCDTLRVLNAVRFYEVGLPISYEQYRRLTPEKLVDRLTNRNE YLLALRVAAYLHLPTNQIHGHWAQQKVRVSQDSEEQICALIVKKLHGKPGISFEEIAR AAYDEGRVRLATELLNYEPRAGKQVPLLLDMKEDNIALDKAIESGDTDLVYHVLLYLR KKLPLASFFRVINSRPVASALVESSASDQDHELLKDLYYQDDRRLDGSNLLLAQALAA PSVPSAIDKLKSASTYLRDSRDAPATFQRQALEDAQKLLRLQEQFEKDLGPRDGAATS PGASAASAFVGLSAHATISALLRQGHTKRAQKVVSEFKIPEKTFAWLRLRALVAERHW NELEEISKQKKSPIGWEPYFNEILGAGSTRVASVFIPKCTGLSVAERVEMWMKCGLVV RAGEEASKAKDRGLLEEVSARASGSAKVEVERMLGMMGKR CC84DRAFT_1220230 MSLRTSIRRTATSLNWASRTTTCTMPLRAKITNPAMLSASRQYS SPSEDAAPAAQPHDLLARQKGDDPDDVIFTNNYGVRSIELNRPKKLNSLDGSMARKII PRLQEWAKSELAGVVVIKGSGRAFCAGGDVALLAKWNKTGEEGQQRSADYFALEYKLD HMIATYTKPYVAFMDGITMGGGVGLSIHAPFRIATENTLFAMPETTIGFFPDVGASFF LPRMEGGLGTYLALTSEQLKGADAFYHGVATHYVHSSTLQALEARLAELQFPDYMDLQ ARFKIINATIEEYATGLPSSRPHISGALRQTIDKVFHRDQPSIASILESLADVRDNGA TEDLKTWAKKTIDTLHTRSPIAVAVALQQMRVGRRWSIAETFQREHDIATHFMAHPDF VEGVTARLIERKKERPNWEPNKLEDVSEADVHKFFTRPEGKQGLTLLSNANYDQYPHA WIGLPKDDEIWSEASGKSHEDVVATLLEKYEGKQGVREKVAEALERLR CC84DRAFT_1167057 MSSFSRAFMRTSRCALRYQRGVNPVQQAWSGNQVRNYAAAFSRD KPHVNIGTIGHVDHGKTTLTAAITKRQAEKGYAKFLEYGSIDKAPEERKRGITISTAH IEYSTDNRHYAHVDCPGHADYIKNMITGAANMDGAIIVVAASDGQMPQTREHLLLARQ VGVQKIVVFVNKVDAIEDKEMLELVEMEMRELLTSYGFEGDETPIVMGSALCALEGRE PEIGEKKIDELLEQVDTWIPTPQRETEKPFLMAVEDVFSIAGRGTVCSGRVERGILKK DSEVELVGKGVAPIKTKVTDIETFKKSCDESRAGDNSGLLLRGVKREDVRRGMVVAIP GTVKAHKKFLVSMYVLSKEEGGRHTGFGENYRPQMFIRTADESCALTWPEGVEKDKQI MPGDNVEMVCELHQPHVLEAGQRFNMREGGRTVATGLVTRVLE CC84DRAFT_1261934 MPPLPSPALSILTDDLRTLLAPTPYACTSLTQLPGGTTSFVFLG VLATPLVLTPPSGAQRTEERVIVKHAAPFASCHTEFLVDAGRVAYEAAMLGALSGFHG VKLPEDKNRGEGADVQVPEVYFYDDRARMLVIQYIPSAAPLHTALESLSSAEADCMGR ALGTWLKRFHTWCEEQAGLKEVLGGNEEEVKLKWKLTWVQGTGVLDRLGDVVGEEERG AWYAARDRVWQEKQSPGVLQRGVVHGDFWVGNILMPTPLPNPDETRSLQLHVIDFEFS HLAPLSTDLSSFLGSLLEIYYISPSPLTSIEPLLTAFLAGYGSFSEDMKWRTLIQTGV FVVNWWSRGPPGRGDVDAETRRRGRELVRMGVRWVKGGWERDHTVFKGTQLERIATQG AMDEVAIKVIDVIDE CC84DRAFT_1167058 MPVPELDVLRPTNPASDSEDYFVLSNACVVHESNGKPASLLSAY ADVPLRVEGRLEPPHRSMARCLVKRPYKPVDIVIRKVSRFSYGLQGDTVVVWAMGEAG WFELRPARHYKAIFDSMTQAVELLYFLADIYSEPRKRGGGPNARLIFQEYAEDERFAC NDVASAEEIFQKHHQFLMMRFLHRAEDIGWSNTPIYQYFKRQYPKVFESVKARKEGRY DKVPKESHSTKPTATPTAQPSRSTRSRSHAEKPAAAPKKDDNWWESAAIYEFIRSAVN KRVLRPGRDQITVDRVAELMTKRYEIEEADIARNVLFVHARNLCYKMDHPRSKSASFL ADEPIYRELDMGHDLPAADIRKAQAVELRPRKDHAPLERADSDTSSDSEPIENVVVTP QRRPDGRKKRGRLSVLRPKSGKFSGKGKTVQSRKAPGLEDNSSEVADSSAASEDEEAE SDSEGVADIEIDTPTHASSSSREKRKLPSNENHEEGEDGPRKRAASSSISPESIPTTS DSDTEAAAEADNEAPLPLRKRPNQTLTNGKHPETQLKSNLIAPIVSTPLPTYEANGPR DSWICTFDGCHQRVYGASKPIGRQLITEHLEDHAKGRQQVVGVVWRENQKLALPVNNL LKKIREMSESHAPLFPSLGPSNSAISPEPIRRIL CC84DRAFT_1261936 MDFALSRRRRPSPIQIPKLERRLDDDDDGRNRSTSSPKPPSLLT TTDAARPTSQPGLEQSSLSRAGGTSLLVPSSISVLSSSSPRRTTIQQPTTTLQPISAT TKRVSPTRTTFITVTKSFQEKEETPKPTRTVFLSATPEIVTVTAPQVVPTSTALPAPA AETLGPPKPQSQRAGILPPGAKIPVIAFSVVGECSPLARSTVC CC84DRAFT_1097853 MEKRVSVVPEPPYHVFSKKEKWTLVIMIGVAGLFSGLSSNIYFP ALDAIAQVRKSSSRSLFDIRISPLFWGSLSDTLGRRPIYIYSFLTYIIANIGLSFSPN FALLLIFRGLQSAGSASTVSIGNGVIQDITPPAERGGFISFYQAIRNFSIAVGPVLGG LLATFFGFRSIFIFLLILSSLVTIAIIIFLPETLRSIAGNGSLRLAGIHQPLIRRFTK DPPYIRDRDDTYSSPKVTLKTFIEPLLLLKEKDILLSLIFGGTIYAIWSMVTSSTTGL FKQVFHLNELQLGLAFLPNGLGTIVGSTIIGNLMNQAYRAAEEDYRTSHGLPASYSLP KKALPADFPIEHARLKHTKWITALFVISTSLYGFSLSVPAVTSLPGWIVVPLLLQFFI AATSNAVFATNQTLVSDLCPGKGASSTAINNLVRCSMAAVAVAIVEQLIAGMGAGGAF LVLGLVTLAVVPLLVVQWYWGPTWRRERMESKAKGVGA CC84DRAFT_1198234 MANADVVAYNIAAFIATLFLLEFGADKFIDHTAVVAYRINIPET VIGLVTAGGEWEELAVVIASLASNRASLAIGNIVGSAISNILGAFSLGLLFHDKHKPI HFDRSSRIYSLVLLVLTTFVAPITYFSTRTIWLVCGSILIVFFAIYIGSVGWAISKGT LTAPEDSESDGSSDDESSDGESTTDIAERIPAGADRYEDETSGPARVEPNEQTNPDAS SITLRPPGTSHRKRRTLRYHLFYLFLGFLAICLAGYVLSHAAINIIDEFGISDVLFGV VILAIATTLPEKFVAVMSGHRGHAGILVANTAGSNIFLLALCSGIVMLDTSGKFERGN VSIPELGVLWCSTLAFTVTVWFGGRFCRWIGFGMLIAYIAFIVLEFTVIHGVANGN CC84DRAFT_970789 MDVLHDYHDPPCYEIDYVIAINAIRRNRILLVSTPSTAGLLELI NTTTVFEVGDPRDKAFTLWSMVYQDGLKISYEQSIRDMFISVSRWCLHYDLQLLSLAG LHLGRVSIGALRLPSWCLPPNSGYFRRFESASHFKAMFVDVLWSMSPQNRNHSMNRAA SMW CC84DRAFT_1178845 MIFEALACHSRGGPYPEKRTPEYTQPMSVSIRISSFTDERKLCT TKSGQYAWVPGCATEGDMIFFVRGAKVPFMLWPVHDLLVGSTHMKQYQLVGEAWVENI MEGNTSRESTGNDATRDEANTMTNGKRITIVGPMLSTIWPRDTVT CC84DRAFT_1220237 MKPTTFLFFAVNYLGLASAASITNPDDSMHAMEKRACFSSGANF GNDQNAALNAARTACNGYLKGKYNKRETRVKCYNLSSNKHVKLTVGLTGPNAGSTRTI GRDECYNGLTKEIIKCSKGGDTTYGNWRYRQVLLPCSRVIQLFIKRN CC84DRAFT_1167063 MRTLLFSILAVVAILSGSTLAVKGVISDTLTSDEEGCLSLCGLN DACLLALYQTECRECWLMDCSLQFMPVGFTGGGKDTIKIKPTCDSALVPKPREDGCKD APAATTSAAAATTTSAATATTTSGAARSSETGVKGSATWRTSIDWLPLGAVVMANIVV AT CC84DRAFT_1220238 MGGFSQDSPKSGMELSILGSLLYHAKRHPYHILAVKTFLELVGA RDSLFNNVMRQGPNNYTMNALQFTILYTMDAATISTGTELLAVLLQTFNHPRNHLACQ DGPRRLSLLHLAVKHGNYAALDILLAEPGMNADMRNVKNLTALDLCLTRWQDSIRDGS DDYRLALKAGISEAAARAGWEATTEGLIECMERRGASRYGNIKTVFKRLSHFEMMWIR ISGEGRIQRDGFDPTGIQSDVFSSAEKNDTARAIANLEVGDCLFFSR CC84DRAFT_1220240 MFHAQESRMTRSQGWRADGYDMGGANARGAGSHCDEPTPINRNN LWYVGAAQGSMPMGFYTRFQVWAWTLFNTGYMSTPGISPILSGATFRRNGDIDLTNQP AFLQWGDESSEFSGANPYSISFRIRRDTNDRNGYAYEFGIPDPGNPN CC84DRAFT_1208050 MTETYYTPDRDFLIREFRDNPEYTLHTAVVHGNLPQIRELLTAD YDIDKLHDYEGTSKGRGTPLHVAIWSNQPAAFELLIIRGADIDLVDAGDEMRFPDTPI RVAVRLGRRAMFKRLWDLGAERNKYPSNMRTRHSLVEVAACDGQAHVLRDLLHWDESW TEDQRIRALMMACNQGHVLVITTLLGECAYSTTVLENAISAAMVAEFPLDTWCKASEH EKKRKQQERSMRRAATVMHLLDEHNRVGSVDGTQTYDCSALLNQLVYRGAASQGYVDV LRLVLAKGADPNVVGREGNTPLHKAMTRGGHGMGDYNEKGVVVLLEHGAKVDVRNEKG ETVGDIANRERKYKERWVRG CC84DRAFT_970842 MELVGLTSYFVRKPTIRVHLEITLFTSSSQRQRLAHYELVADYL RQVLGIIPGQANLVLHPKRVRNDHSQ CC84DRAFT_1251722 MDPYHLLSWKTAAAVLVVYFASLAFYRLYLHPLAKFPGPKLAAI TRWYELYYDVIREGQYTFKIAELHKVYGRPIIRISPHELHILDHTFYEKLYCHEGRWN KYQWAYNGFIAEGATISTSEHELHHARRKPLNHYLSKARVASRQDVILRNVDKLVARL EGRVGTLTNLGAAVGALVQDLQCDFLLGKAYGSLDRDDFNVAIADMCQSVGFLWRFTK HFPRVGKSLKQMPLDYVSKFANDDAQTFFAFLQEVDKETEKLLTTAKTSTPNKDTSHT VIGEIVDSNLPPSDKELPRVLNEVQTLVGAGLETVSGVLRLMLYHVFNDAEILQNLRA ELKTIDIYPGGGVDLKTLEQLPYLTACIMESMRLSPAIASRMARIVPDSDLYYANWCI PSGTPVGMTTIWMHLDENIYPEPHSFIPARWMNVEYRRASGKTYAPFSRGTRMCAGMY LAWADLYFTLAALAPRFNFKFECIQESDLRMSSDEFVIGTKSKAVLNCYVTRP CC84DRAFT_1198235 MIVSTAIPRITDEFHSLADVGWYASAYQFGSSAPQPLTGRIYKY FNTKWTFLVFFLIFEVGSVICGAATSSAMFIAGRFVAGFGGAGVATGSITIISLCAPL EKRPLNLLGLVLGPLIGGAFTSYTTWRWCFYVNLPVGALAVLGMLPLRIPEEMIKPKA LCLLPRIHHYLDLVGFALLAPAVLQLLLALQFGGQDHPWNSAVVIGLLCGSVANFVVW GFWNRYRGEDAMMPRSMITRRDVLCSGLYVAFLTSAVYGGVYYLPLYFQAVNGASAIK SAVYLLPLIISQLITAGFSGVAVTKIGYIIPVAVFSTVFLSIGTGLYSLLQPGSSSGK WIGFQIIGGVGFGAGLQLAIIAVQAAMNSEELTSGIAFVVFSQAFGPTIAMSLYNVVF LESMKEQITKLSPNFKPTIIINAGATGFRAIVAPEDLPGVLKAYANSIDRTFYLAAAL ATVCGVFLWGMGWHDLRKKKEGGAGGSKDGTKSTGEDADSDHAKGDVEKVA CC84DRAFT_1208051 MASNDINYEVLAHQIESILKDSETATAKLGNEATRRRLVEGARK LSVALETNRETLRRIGYAHLQLPFALVGVESKLFATLAAEPRPFNITEISEKTGIHLN LLKRLLRYYQATEVITQSDDDSYQANNITRALSNDDHANSLRWTRRITAHGALNLPDW LEKIEYKDPVGILPTAWSSTLNIEDKHPYAWLAVNPWALELAQAHMRVQRKGRPLFFD GLNFEERFAQNTDSETVLFVDVGGSTGPQSRELRKRFPNLKGRVILQDRPEVVAQAKE ELKTMDIEAEVHDIFTPQTVRGARTYYLRNIFHAWGDPTCKQILVNAKEGLTEDSVLL IDEIVLPERDATAQGAQHDVEVMICVGGIERTKAHWENLLNSAGLQLREVINYDTEFE DSVIVVGL CC84DRAFT_1151905 MIVPLVSMLCAWPIFALLFFPYLAMASSNDTTPRIIELAAQISS SVTKLQDKLSAQGAATPSFAENGPEVLPDDVSSLKDAVLDATAELHEILLDPLFVLFK FASIENLVTLDGVCRYKIPDMISPGDKITFDEISEKTGLEKYAVRRLVRHAITMRIFD EPEHEVITHSKISKFLTNPSINGWVEFEARDTWPATTRIIDALQKWPNSQLPNQTGFV LANNGKTVPEVVASNPEVAGRFRAGMEAMNLVPGYSIENVSTVYDWASLGNATVVHGM GSRGQAAFELAKKFPNLKFIVQDSKGTLAGVNSTIPSELASRVKFQEHELFAPQDAEA DVYFFRMVFRGFGDAFAAQALKAQIPALRPGVKILIQDVVMPEPEAIPLWRDRVARSV DLAIEAFSNGRERYLEEWKALLAAADERFVLHKVFVPEESLLGIIEVHWNESFLCRTI AAAAAALQPQPYARHKLVAMPSVILNTVCISCLTVAFHYGFGKHDHSLTFYQAVHIAK WIWMSFTPGITSSIASRISIAFLLVRIFGIHTWLKWSLIVITVIQVVGSVVLALTSWL QVRPVQGLWDPTIPAKRISPEVVAREGNVAGGKSAAALGCISSTSKKSTWSETETGSI DPGTVRRTDQFDVRSDQAMV CC84DRAFT_1251729 MSSAEHTVFISSATGYQGLALSKKLREMDWNVHATTRDLSSPAA VTLKEIGVQLKEADWDNLDVLRESIKGCDKLWLCLLPDWDDPTRERRQCANMLDIAKE AGVKQVIASTTLGVSQLDANVRVYPGSFMEKHMLNKKAVETSVEERGFEHFTFLRPTF FMANFLEPKVKRYDEIRDHRSWTTSMTAETQLPILDHHDIARFAVVAFQDPAKFHGRK IGLASDQMGIQEMLSMLAEAADQPGSIKAHFLTDEEIEAQAQGSGFANTHKVLRTASD YIDFDELRAIVPSLTSFKEFLEREKETVKRTFPHRNE CC84DRAFT_1099530 MAPSDMRRLAPVTAEETDLAFRDIWGTSTGWKTIKGWDECGRII SRASQRFLIGLPSSRNEAMLETSRLYANALLVGGAIINCFPPWMRCVVAPLIAIRARY FQARYVKMLVPVVEERIRQYEAGLYKERDEVRKDDFLHRMIPMCAKDAEQLNAERIAL RIASLLTPLIFAICYVFAHCVLDIHSSHDRVEILAGLEEECRRVSAEFGGLNSSASLD ALKRIDSTIRESMRVSDVMVTNIFRDVTSGVVDVGNGLRVGPGVRMLFPTQDIHMDPD NYDDPTRFDAFRFSRPFQDKENLGDGSEEQEFITTPTPTFMPWGYGRHACPGRYFAAQ SMKQALSYLVLNYDVELVGPRPKRKALLNMMIPPVDAKIRIRRKA CC84DRAFT_1098462 MEAALEQVRKLATLSEDGRREAMVALHNLAYSLETHHDTIHRYG HAHLQAAIVQVGIDLKLFRYLVESDGPLTIQQLSEKTGAELDLLTRVLRFLASIGAIS ENDKVQYSANHITRNLAERLVEAGLSHYFTTAASQYQVLPDYLKDTGYKNPVDENKTA FQVAFNTPLNSYAWFATHPVQLDHFNTYMALRRKPVNTWLSVYPVEKEASNWPAEKGL YVNIGGSIGHQCAQFKEKYPHLQGRVILQDLSHSVANALPTHGVENIAHDMFEPQPIV GAKFYYLRAVLHNQSPPNVRRVLDNIKAAMTPESILLIDELVLPEYGVSYIASSIDMT MLSAFASTERTEAQWSKTFEEAGLELKRTYTYYPTGYESVMDVRLPSAKSTNGEIK CC84DRAFT_1251739 MTSRESFSRIVQLARTISESVDKIEEVLVAEGIESPSFDEDATF NIPLKLSPQHDAVLDATAELHDLLLEPLNLIHRHGGDIARDTPLTTDMTARLLRHAMT MRIFRETSPGIVAHTAASRTLHKSAANDWLQAGTTEMWPAAVKTIDALKKWPASGEPN ETGYSLANDTSETIYQIFSKDMERASRWARGMQIFAERPQFSLAYTTDYYDWESLGKA HVVDVGGSSGHVSLALARKFSNLSLTVQDMEQLVANATVPGDVQGRVKFMAHDIFSPQ PVKNADVYYLRWILHNWSDKYCNLILNALLPALKPGARVIIHESLIPEPGTTAMWKEK NLRATDLNMAGAFNAKERTKVEYESLFKKVDPAFTLRNVVEPKGSALQMVEFLWEGTT CC84DRAFT_1251756 MPPWKNIQQVLKDRADSENPGRLFCYSFGNTRIPKEITYTSLFN VAKITSCTIQRLKQFRIGHPILLHFDDHWDVILWFWSVLLAGGLPVLSSSFSNIEEER HRHIQNLSTLLESPICFTRSKFLPLFGNSHDIHLHSIESVIDNLEHGSSHADIPRTNG EDHEAENHRDGQNNGATNLLMLMLTSGSTGNAKAVCFTHEQVLAAVAGKASLRVFPRD RPFLNWIGLDHVAGLLEIHLQALWLGVDQVHVSAADVVTSPITFLELISRHRVALTFA PNFFLAKLVATFESIQQSLELDLSSLVSVNSGGEANDIKTCVAASKLFSKHGGPHDVI TAGFGMTETCAGAIFNTKCPEYDVSQVYAVASLGQCIPGIEMRIVTSKGQVAASGELG DLEVRGKVVFKGYYRDETATKQAFAPGHWFRTGDRGFIDSNGNLNLVGRAKEVINING IKIVAADVQTAVENALGDRVARLVVFSTQASHTEQMTVAYIPKEFPIGDQEIMDIARL ITQACVVRTASRPLVFALREQSIPLLPVSTLGKISRLKVSRLFKDGEFAADVDFHHEA ILRASRAAKQMYMNGSKPASLIEAALIASIAETLSEDPDVLDITPETSLFDIGFTSMH VIKLKYHIKQRLGIDVPVILIMKNPYFRALAADIDAYLRQSKADSDHPATIEDYDPVV IIRGEGSKTPLWMIHPGVGEVLVFIGLAQCLAQDDRPVFALRAAGFEPPYQRFESITQ TVDIYTAAICQRQPRGPYALAGYSYGTMLAFEISKRLNADGNEVGLLGSLNLPPHIKQ RISTLEWNVCLLHLSHFLGLITENVSDQYEADLVYRGVSPTEAIEIVYNMADKSRWDE LKLEADSLARWVNVAFGLQRMASDYYPSGQVKSLDIFYCTPLKAIADSRETWRDKYLR RWADFVHEPPRFHAVDGEHYTMIGADHVASFAQTLMQALKARGL CC84DRAFT_1151909 MSAPVSQSCVDFSLSQRGAHNSVQDSPWDAVERLMANLWATDNP DGLVFLGVAENSILHKQVAARANKNAAVNTNNHLNYGVGPRGSPRLKKALVPFFNSEF RPSKPILQKELLVLPGVAAVIDALTWAICNEGEGIITPAPFYTGLKPMSALRAGGVLI SAPFKSVEGYRGLNDVFDPDMNRKALEKTLLQATQDGVTVRAVLISNPHNPLGRCYPA ETIREIARFCGRNNLHLISDEIFALSVYHNVHANNATPFVSVLGAGLDECIDSHLVHV MYGMSKDFCATGLRLGVLHSTNEGLIAAVSSISVFGWVPYVVQDVWADVLEDKQFLGD FKLENRKVLGKHCTMLRSFLDQHKIPYYDHVHAGVFVWVDLRRYLRGNSAPRPAISAA GINHDQEVELFKRFLGAGVVVSQGSSFGTEELGWYRISFAMDEQALNLGLQRLGNCLK SIENNGWEN CC84DRAFT_970909 MRTSSVTKTMLSSCLSAILLSGLGSAQVSGPFEPTWSSTDKHNA SPEWFRDAKFGVYWHWGAFTTPQYNSEWYGRYVYEPTGDTRAEHTRRYGPPEVWGYDH FITGANDLKGNFVQFNPVLASKGGVWDPEEWISVVNASGARYAGPVAEHHDGYSMWDS KVNEWNSVTHGPGIDLVKLWEGLVRKSGMKFLIAMHQAFNTNGFYQYAPLQNDSSLQK LYGQLGKSRSDKLWLDKQLEILDHVQPDMIWNDFSLDSPGYCQGAPFACSIGEQQRLD FLAHYFNRGVEWNKDVLTTYKHFDSGFRNTSAVADFERGGPADIVRPYWLTDDAISAS SWSYTVGMRYYSSVQMIHSLLDRISKNGNMLLNISPTAAGLLPEEQKKVLSDIGAYLG RYGEAVYETRAWDIYGEGPNKAGGDSFTAPLQGNSSDIRFTRNKAKDVLYATVLGWPE SSAISIAALSSDAEVDLSCLTSIKLLGNSTGQYLDVPDWKQNNSSLTIQLPPKPADSP AYVLKLTFDNSIPVPQIPGGCSLFTGDSVNSPGISLPQGRFTSVFFEDAGIRVEDVRL LRVGDGVMATLHASPDLSGDSVELTAGEHKIEANAFGSISISSA CC84DRAFT_1167072 MKRKLGALEKVDADLTSLQYKVRRDPQSYYEDHQQQYMQYQTLR DLLLQNPATSDDTGIVRLNDLVDFVAHTADCYPKAAAPFADDLIQMLSLHHDELQADL RDKMVSSLVLLRNKDLIDSATLLNTLFPILVSTHSKSLRALLFQKIISDLRSSNVKAT NHRLNRTIQTVLFNLLTSDRESTKGLWAVKITRELWKRQIWADARAVDIMKEACLSEN EKVIVGGVRFFLGGDKEREEAADESSDDEIDMRTLRHQAGINKKTKKKARELKQAAAN VKRKERKKNAPHPLNFSALHLLHDPQGFAETLFSKHVQNSKSKLSLESKLVVLQLVSR LVGLHKLTVISLYSYLLKFLTPRQNSVTSFLAILAQATHNLVPPDVLEPLIQKIANEF VSEASASEVAAAGLNAIREVCARQPLAMSDTLLQDLVMYRKSKDKGVQMAARGLLSLY REVGAELLKKRDRGKDATIALISGGVKEQRFGEEAIGEIQGIDLLEQWKAEERRKRRE AAGLPADAATDEEEEDEAENWNNWDVESDDSDDSGGWINVESDGEDINISDSEDEKEN GPAAKKAKVANSENGSKAQSEEPIQKQISKLLTSTILTPADLKQLRALQESAAVKSQM PGAKRAALLAARHADDAITAETIEAAAAIGKKSTKEEKVAMAKGDREEKHQSTTAKRK EKKESEGKSTTNKEKARKKNFLMTLGKAKGKNKRSLVDIKKTMKGHLDRSKRGGKRGN KGH CC84DRAFT_1151919 MTSFSTMSLYALTVKQPSATQDAITGDFMGNQRQQILTASGSRL SLVEVSRRQKGFQEIYSQDVFGIIRRISKFRLAGGSKDLIVITTDSGRLVTFEYEHEP HQQFKTVHYETFGKSGIRRVVPGEYLAVDPKGRAIMIASVEKNKLVYILTRSGQTDIA ISSPLEAHKPQTLVYCLIGLDVGYDNPMFAALELDFSSAETDPTGEAVQELQKELVYY ELDLGLNHIVRKWSEPVDRTAHALFRVPGGQNAPSGVLCCGEDNITYHRIFNNKAQMH RLAIPRREGATEDPNRKRVIVTGTLYMLKGGDFFYLLQTDDGDVFKLNITHDNGAVEK IKIKYFDTLPIATSICILRAGFVYLACESGDRTIYELESLGDDTEDPIFESTQFPVDP TASYAPPFFKPRELTNLTPVEALPSLNPIMDMEVANLALEDAPQIYTINGTGGRGTFG TTRNALEVLDLIESPLPANAIGVWTTKMNVEDTEDQIIVLSMASSTLILKIGEDVEQA QNHGFFEESSTLGVQQFGEDCIIQIHPRGIRHIRNLQFEQGDAQGNHPKITNWDSPPH RTIVACAANNRQVAIALSSGQILYFECDSDGSLAMAEDDDIVLDSTINCIAIPEVPAG SIRANFMAVGCSDQTVRIYNLAPDVEGNILRSISVQGLSSPPSDLTINYMSDKSPRGY SQFLHIGLRSGVYIRSVLDEMTGEIGDTRRRFLGPEAITFARVTAAGEPAVVAMTSRP WLAYTHPRTSVLQLTPLNYIPFKSAWNFDGSAFKGIICVNANELRIFTFNDLLDNTTY EPISLRYTPRKFVSYPDQGLFYVIESEHNTIGAGIRQTLIDQAQSNGAVKMETDGEEA LTNGHTYGDELDPTKFGYPKAQGQWASCIQIIDPVTEKKVLQSLELKDNKSAVSVALT YFESRGDNDMYLCVGTAKDLRFTPYHYTSASIQLFKVSPDGRQLEFVHETEVSQPPLA LLAFKGKLVAGIGSDLALYDCGMRSLLRKAMQPNAVSTRITGLKTQGSRIVVSDQTQS VTYVVHKDQVHPNRLISFADDTVARHTTCAEMLDYETTVGGDKFGNIWIVRCPTKVSE AADESPDGLNLTQDKAYLAGAPNRLDLVAHYFTNDIPTSVQRTNLISGGERVIFWAGL QGTLGALIPFSSRRQQKMFQQLELQLRGDDKPLSGRDHLAYRSYFTPVKSMIDGDLVE RFLVLSRDKRESIVGQLQGNWTSEMVDEAIWNMRGLYAF CC84DRAFT_1098617 MFFNLCLFLFTAQVALVAALGKLTVANRCSRDMYVWSVDGQGSS RAIKINARSRYTEPIRTSCNGCGVTLKVSRTLQLIGGHHSQFEYAISNNVMYYDISFV DCAKGQDASNCPGHVAGLEIYSPNERKCDRVTCSGNSYCPTKAYYVDQPNQKLGIPEP VYGCGDAGTGADLVFVLCQNQRSV CC84DRAFT_973467 MEATAQEPYRDSPSSSRSSMLSCSRDQPAKPLPSLPLDTPTELL NDLEPYRDEPSAVSPTPVPVDTAPSPQRYHSPAERANARLRQAEIQVFYTPYTDAPRA PNDEDVPLAQLYPYPTEAPPPYQIAVRDAFRETLAHHMPRYSESTIRDEEAAMERAQS DDVRFTVEKAVATIIVVMLLLVIASILALIAVSGFNWKI CC84DRAFT_1167077 MPAAPKSFVGALATFIIGSFTYTAYVARYEGNQIDAARTRWQDQ MRRGNAAMSGGVSLLEPTK CC84DRAFT_972825 MQALAVIISSALLFLTAAQTNSPPANFDLEFHLVQCSNLANPSK DPNTVNNATRTWSHIGLYSPHTPQQWQYTMPQAATPYVRWEGHNTSAFFWADAPNPRG FVSVQIPAEAAAYKIGVEAGKVVFEGREFPCQKMFGNPSYGGACFDADYAYCAGYTMC HDRFVCKAYDPPLPKDSEKTCPVRPTGYCYPYWNADG CC84DRAFT_1198243 MEINPAEPSASRNIIVSGGAQGIGRALGRYFLEAGHRIFIFDIQ EEELEYTATKHLEKYHKDGKVGYAVCNLRNVDAIRSKVDEAAKFFGGQIDVLVNNGGI ASPHWKDNKTMEDKETYDQWLAYMETNLTAPFAMSQACIPFMKHRESESHNSLGAHKH VAGPCIIHIGSFRAHQSDLNQEGYAASKAGQLGLMQAMSVSLGPLGIRTNLVAPGRIK VGHESKEGDEHGHTWEGQNTEKDIDDHTTNRAGRPKDIADACLYLINAGFVNGVDITV DGGALRKKAA CC84DRAFT_1251774 MADHAFGTQSDAFAAENDVHRRNLGAKDVKREGHSEDGGVVAAD ELLEAMGYKSELVRSRSTFQVAFMSFVMASVPYGLTTTLYYPVVNGGPVDIIWGWVLV SLIILCVAASLGEITSVYPTAGGVYYQSFMLSPPQYRKIVSWICGWAFVVGNITITLA VNFGTASFLAACLNVFESEPGVGIFPGEPYQLWLIFFGITILCNLTSALGNKWLPLLD TFAIYWTFAGVIAIIVCILAIAKEGRRNASYVFGEFDTSNSGWPSGWSFFVGLLHAAY ATSSTGMIISMCEEVQKPATQVPKAMVGTIVLNTIMGIIFLVPILFVFPDQAMLAALA SGQPVPTIIKSAIGSAGGSFALFIPLLVLGLICGIGCTTAASRCTWAFARDGAIPGFQ WWNKVNTKLDVPLNAMMLSMAIQVLLAFIYFGSTAAFNAFSGVGVITLTLSYAVPIIA SVMNGRQQVKEGSFYLGALGTFCNWVSIIWSIFIIPLFCMPTFLPVTLETMNYASVVF VGFVFISTAWYFIWGKKNYQGPPMAAETVLEARRASVVSHTDNKL CC84DRAFT_1074571 PDEPPLIPHLYLPFLGHVIGMFWHGASYFARVNAATQYPIYTLL TLTGRTIVVTDPALAGTIQKASKNTSFYGMILEVTKRLVDLDEPTMEIIRWNINGEHG PHEGLMHEAGSMVAGELSPGPSLNEMSTAQLQQFATLLDVFVSSPAGVEISLMEFVKR IFTVANAFAIYGPQNPFALTPSLVHDFWTWESGMTAVMANIFPSLTARKPYLAREAIN AALQDFVAKEHYRAASAMIQKRVQINLKHGLSHKMAGHAELILLFGIVGNAVPTTFWV LANIFSRPELLARIREETSKAVICPRSNPGSPREKVISVAQLKATCPLLVSTYRETIR SVANLSSVRLVVHTHTVSAPGHRPYLLRKGAMVQIASGVIHALRAVWGPDAGEFNPSR FMSATTSTEQFSSAAYRGFGGGSIICPGRHFAQNEILGFVALCVHTLEVV CC84DRAFT_1220264 MSSTTTEERIELTELATTDRASLTAYGTDAAQNPDEVPEDAAYS TTAIPDGGYGWMVVFCCSITAFWSNGVINCWGVLQAALLDSSLRSVPTSTVSFVGSLG LCLSAGLGIFVVQFMRWAGSRRTSMAGVCLMSASLISSSFCTAEVGALFGTMGILAGV GMSMVYTVSNGLPVQYFSGHLGLANGIVKLGGGIGGCVLAVAIEAMYRRVGIAWTFRI QGLVTLATGLPAAYMQKDRVRLRSVPLVDTSMFRSVPFVTVFFAGAIGSFALFVPPYF LPLFAQSINLSSSTGAGLVAAFNACNAVGRFAAGPLCDKIGPVNMFLITMILNAVSML AIWPVSDTLAPLAVFAALNGAANGSFFTTLPTVVASMFGPGRAAVAMSMAVAGWSGGY LMGSPIAGYLLQAAGGKQQGGQGLGVEVYRPAIFYAGGVATASSLFVLFARFKMAKKV VEKV CC84DRAFT_1220265 MPKVRLTKKRRPSNAIETDGTAIAKAQFHISLSTNEMTQIQLDL TSAADNKAFLKALTEQMDNIIQYAQPLDKPALTDLYIVLELDGKKDGERCWRMKISGD GDYKKVDPAVEGAVGPLEALEMLVRNLEKKQQESV CC84DRAFT_1178869 MPCPDPLIWDVRYTVKSPSMQTPEWHPTAWHRTPLQRLKFLQAG KQKTDAKGAAAKALSREVDDWLYLIRETDNVGRKTLWYTHLHTKRSSSSTQFLNRMLI SLVGAAKVHFTDKGGFRGFRLQAVKRGGQWEATVWPGHEELGWPEGKVARAKKLETCL KELQVMIRDKWCARAGSRGTVVAMDKLMGTYG CC84DRAFT_1167080 MSTLIRPPPIDPAQSAIENTLELTQLSDIDPDLFTNRRPLWHPP GARGIYGGAAIAQTLSAAQKTVEPEFTVHSMHCYFVLAGNSEIPIIYHVERVRSGKSF ATRTVQARQRGKVIFTTTISFVRQHSAGDKVLEHAVEMPPVPAPVEGKDDLDYGGAAT GPFQSQRIEILNNDSPHPHTKKTRQWIKARGTISPAGGHEAHLAALAYMSDSYFIGTI ARVHKLWRYAAVRKTKSKSSIDEDVLKKLLAMEDEDLKRVKFLDSHDLERIRKWKNGE REEPKEPKQEIGMMVSLDHTIYFHDPRNFRADEWMFTEMETPWSGDGRGLVFQKLFTK DGRLIATCVQEGVVRLRQDTDTAKSKL CC84DRAFT_1208064 MAESNAELQAKLRALDHELEEGDITQKGYEKRRTLLLSQYIGAD QQEQLQGQLRLANPSEDSGSRSASLAALSGPPQPPTLELPQDETPYSAPPRNNGNGGS PQYMAYPPSQVGRFQQKQLGLRTSSLERRASYGSETFIPRPGTPETYPYSRDGTMVGS NFAFNPDTQGGFDAGYGSPGADISRQSTMLDAHQGYFSDFTGQQMDDNRDSYGGPNRY SSGDAFSPTAAIPPPMMTQGDLPGGVIESMLPLEPRDLPFDVYDPHNPNILMSKFDNI GAVLRHRGRVQPRQPAFWVLDSKGKETASITWEKVASRAEKVAKVIRDKSNLYRGDRV ALVYRDTEIIEFAVALLGCFIAGVVAVPINSVDDYQKLNLLLTTTQAHLALTTDNNLK AFHRDISQNRLKWPSGVEWWKTNEFGSYHPKKHDDTPPLQVPEVAYIEFSRAPTGDLR GVVLSHRTIMHQMACISAIITTIPTGVGSSDTFNTSLRDKNGNFIASPPRSSPTEVIL SYLDPRESAGMILGVLYAVYGGHTTVWMEAQTVETPGLYAHLITKYKTTIMVADYPGL KRAAYNYQTDPMATRNFKKNLDPNFSSVKLCLIDTLTVDTEFHEILGDRWLRPMRNPR ANELIAPMLCLPEHGGMIVSVRDWLGGEERMGCPLSMEEEEQIDEEEKAEDTPVNGYS SLIGGGITKKTNQKKSRTELTEILLDKEALKMNEIVVVAMGEEARKRANEPGTMKVGA FGYPIPDATLAVVDPETSLLCSPYTVGEIWIDSPSLSGGFWQLQKHTETIFHARPYRF VEGSPTPQLLELEFLRTGLLGCIVEGKIFVLGLYEDRIRQRVEWVEHGVFEAEHRYFF VQHLVTSIMKTVPKIYDCSAFDAHVNGEYLPIIVVETQAASTAPTNPGGPPRQLDIPF LDSLSERCMEVLYQEHHLRVYCVMITAPNTLPRVIKNGRREIGNMLCRKEFDNGSLPC VHVKFGVERAVQNIALGDDPAGGIWSPQASMARQNYLLLQDKQYSGVDHREVVIDDRT STPLNQFSNIHDLMQWRVSRQTEELAYCTIDGRGKEGKGVNWKKFDQKVAAVAVYMKN KVKITAGDHVLLMYTHSEEFVFAVHACFVLGAIAIPMAPIDQNRLNEDAPALLHIISD FKVKAILVNTDVDHLLKIKQVSQHLKQSAVILKVNLPNIYNTTKPTKQSSGCRDLGLT IKPAWVQSGYPVLIWTFWTPDQRRIAVQLGHSTIMALGKIQKETCQMTSTRPVLGCVR STIGLGFIHTCVMGIFLAAPTYLVSPVDFAQNPNILFQTLSRYKIKDAYATSQMLDHA MAHGAGKTMAMHELKNLMIATDTRPRVDVYQRVRMHFASASLDRTAINTVYSHVLNPM IASRSYMCIEPIELHLELNALRRGLIMPVDPDTEPHALFVQDSGMVPVSTQISIVNPE TNMLSLVGEYGEIWVQSDANAHSFYGSKERLDAERFNGRTVDGDPNVRYVRTGDLGFL HNVTRPIGPGGAPVDMQVLFVLGSIGDTFEVNGLNHFSMDIESSVERCHRNIVPGGCA VFQAGGLVVVVVEIFRRNFLASMVPVIVNAILNEHQLVIDIVAFVIKGDFHRSRLGEK QRGKILAGWVTRKMRTIAQYSIRDGGMDNIYEGAEPQGRPSTSTFRHSTSGGPGSMKG SMRASSTLGMTTAMNNLQLQTVGGSMIQELPSQPQPRLMSLGAPLPQGVSEMPGERYP ESIPELGTGSISELRADDTPTEQKQPQFLPHLQDGGGPAHYSPVDATGVFDSPSEQYG PGFDATKGQYGASGLSTVPLPSVLRPGPPAEQPPQPQYANKPYLNYQEQQPYQQPQNH SVDDGRSSNWPLGGDEHEETQQQRGGLRVANASRDSTDSEAETEWRQDAAMMMNFAGS GPGSIGRLN CC84DRAFT_1178872 MTYGPSVEDDDGAIFAGLRLAPEAGKGEHGQMHAQHCVPCMNAG LSFTLHKRDPSRSYYARNSDARFARYLGCRLVRREHLIGGILLGQPRWLHSGRRWRRL QQAARLGSSVLCLARRERGTVMIGAGDLCRLAGCFRTVVCRRSGLGDVICGMFQMRRV LASWWREVVQRSAGAARAPASRAPSSEEGAASRGKELLSSSAGGDGQAIAPVRCKAGP ECVETATQLATASAGTGTGIGCAVQLPPPGRATASAGGAARGRGGVGASIACGWLGWE SPVSCVSFHRARPPSHWLPATPLGPAIMRPHPVLDRDCVRTATRRFFPSHIRFLGPNG CSLVLTTCRRVLLVSLYWLLRLGNNPTLFEHHFVPRYLATRIFSPGKAHHLDRSDIHS CC84DRAFT_1220269 MSPHLFPRRAELPTPDTKLKRGHLLHGWDAQGYDALKFSWGLNG VEQDGNFLWVHTFVVILGVCVVGLLVLRFSNMFYKHARHLTVMSNPERQEYWKHNRSR WWPWLNRHVLMAPLHKKKHNATFQISAAIDNGTLPGRWHFAMIVVYAALNVAWCLCLD WSKERASVVAALRGRSGTLAALNLIPTVLFALRNNPLISILQVSYDDFNLFHRWAARI TIIEAIVHTACWLSNTLEGDGAAAVAAGLRDEQSYTYGMVATCAFIFLGIQAWSPFRH AFYETFLGIHRIMVLISFVGLYEHLVRHGLPQVPWMYIIFAFYIFEWVARLTWAIYYN FGQKGCRVTVEAMPGEACRVTIKLAREWTPKPGCNVHLYIPRIMGPHSHPFSVAWAYP PSPSSKEHKERSLSQLEGGLSPSSPHAIQRTRQISLICRARTGFTRTIYEKACEQPNK SFDAWGFIEGPYGGHHSLDSYGTCLLIAGGVGITHQVMYIKHLLAGAHAGTTATRRIL LVWSIPDSESLEWIRPWMDEILRMPSRKKHLRIKLFITKPKGRIESGSSESVKLFAGR PNWKTLIAEEMTHREGAMAVTCCGSGGLSDTVRAADCTYKAPSRKSGQKRQQLAEGNN RDRLRHLETLVEQLNERVRIAEQHNKTQAPTQVQQREETQIGITSLSITTASDGSSRY KENSGNLATEFTNGILILSGPTVSGDTNNSQKKPLSMPLPPREHVLPIVQRFLEKSNT ALPLFHADTLLRMVHRFYMFPSTQQDPVEWAAINVNLALAYRYGLVGSDNTHLSVEYL NRAESVLSNIVLGDTQLLNIQVLIGMVLLLQATPDLTQPLIMIATTMRLAHKIRLHDR AASAHLETAIARQRANVFWIAYVLDKDLSMRSKQPSIQLDDDIDLDLPSFRIADHRIR NTCIDDASAIPGAITTMDGTVEMNYFLTRIQLAVIEGGVYDYLYSTRSQKRSAEERAH ALESVSCALEAWKATIPFEFSACMAPDTVSPDVLPLLGVLYSTSLACTTLLNQANAWN GQWIDCMRKYAIPALPSRWEAVVEEARDVAVLLGSLPTPDRWYFW CC84DRAFT_1208067 MHILNLLNLAVFASLAAASPTAGAEALSSPEQAVGVPLEKRACT YTGCTCLKGIKAGVYCGNCYNPKDHNYWAVQTKGSSSRFATHAFQCASSGDCCDYGVA SDCGKTSARCYYNGTPCSPTVKIDDPLISSARPFDASTSQLTHANFPRISLAAGRSLS RRGPKKAIILVPAWAKARAVALPILRKKHQSAKRWLPQKNYLRIYKPSTSSCDEHDTI FM CC84DRAFT_1125805 MWLIQTLIVTCSLLAQSVYGSPVVERHTSHSDNLKVKTNLFSVQ GAIFPNEAQVRFFGNIPYAEPPVGNLRFRPPVSAKPRDEIINGTWFGPSCIQYSSGAK TVYSEYLTGFLLSPGQSTSEDCLTLNVWAPLRSKEGDKLPVMIWVHGGGFTSGGAASQ YKYGDRIVRDQNVIVVAINYRLNIFGFPKAAALDGHNMNPGLLDQRKAVEWVYENIHA FGGDAGKMTLFGQSAGSMSVDAYTYAYPFDPLVRGFIAQSGTVGTSSYTFDPTGSNFT YVASQLGCNTAASKDKVFSCVQSKPATDIISIYNKYNATLNNGRSLSFGPTADDEVIF SNYTDRQQRGLFAQLPTVYSSNNAEGSSLLAYTPDGPPGGQAAIDAFTKSFGTCSTAN GALARKNKGVHVWRIRYFGQWPNLNPFNWLGAYHSADIPMVFGTSDLRGPDTELEKAT SKYYQDAWIAFAKDPVNGLVKYGWPKYDPNAETLVKLGNGTAKAVFAKGNLFDAGC CC84DRAFT_1251804 MKLMLLLSLALGVSSISIARHIHRSDPVVTLRNGTYKGVHSSQY KQDFFLGIPYAQSPTGDLRFRNPKPLDASWKGERDATTFSPACVGYGASQMGYNVSED CLYLNIIRPSGSSKKDYGGLPVAVWIYGGGFAQGSGIDLRYNLSFIVQESVALGQPML GITLNYRLSAWGFLQGDEVRNSGDTNVGLRDQRLALQWIQENIANFGGDPHKVTIWGQ SAGAASVGMHVMAYNGRDDKLFRSAIMESGGPVAISDPDRKGYYQAAYQNLTQLAGCS KAPDSLGCLRSLPYEKLNAAINTTALSSIWFPQIDGDMIARHSSEQLKDGAFVHVPIL IGTNSDEGTSFSPKGVNNTEIFKRAIQGSAPLMNASFADRVLAAYPDDPAQNVLANLG PTYRPGPPYGAQYRRAATYYGDTQFIASQRLTCETWSAAGLTAYCFRFNAIPAWATPL DGATHFVEVAFAMLNLLGVGYPPVRTPPFEGKPPGYANLARLMSSDWIRFVNTGDMSA VSLDTLYKP CC84DRAFT_1151947 MASGVPKFTSFRPKPKEASSQEKESQIPEHQERSVTSSRDRSSP SKKPSRDDLRTEPTPPAAPSKLFFSDRRGDRDIVRYGSLNQYEVPDYRRFGYGHVLGL PLDWKIDRVHSTEKITVLTSSDKRRGERLLAKKQATREQTRAVRFVSTSNAHDSDDHN QDYIALSTTRKRKRGEDESEDEEDGSAFDYRGMQKGITSEPADPDAEYDSEPAVDVVT ADVTAQNAIFVRRTKERPDDLQAWTELIEYQEDMLKMERSSTELRKAEKLHLAEIRIS VYEQALKKCNIDEHGQIRLHCGMMTEATRAWGQEQLARKWTEVLTKYPFSTELWLKYL DWIESSFMTFRYEACKVSFQQCLETMQLSMIAIDPGFSLYIFCRLTTMIHQAGYQELA LAIWQALLEYHIFAPLDQPLPQEEVLRNFEEFWESEVPRIGEDNAKGWRNSDVDDGPI PETDPVQLMLQNPNDAVWDDFRKRETDHTSKLRHPGRTTEELGGDDPFHTILFADIEV FLKLLPHSCEKTDVVSAFLQFCTLPPLQQNDSDMSRYTLDPFLQTGWSEPSQANTSGF TEVIARYSNSPIKKYQPTAELLFDQSFPSTHKVGDVAFVRKVLKLLFKGVADGGSIGE YLLAFESQYFPTESRKTARQLLKAHPASLRLYNAYGLAESRRNNPEMADQVFSAALSM QKGETTFSTNGSLELFNSWVWEALRCGDGEEALWRLVSPTGKVERRALLATDLPRAAL ATLLIALLAYFADNEKSETALLAFSRLSTWLTSHSMSQSPAAELHAQYIAQFLTLHVR RAPIVKPALIRETLEPYISSFPDNTILLSLYAANEARFAIDDRVRSIMHQKSRGLNRT IVNWTFAIHHEILRGEIAGSTSQSIRALFEKAEDDVGAQCPALWKQHVQFEIAEARKE RKKRPRQMPRKDGKRSKEDTKMEAADKRVRDTFFRGLTHLPWCKDYMMMAFTHLGKEF LGLEDLSKVYTAMVDKELRLYIEVEGDGV CC84DRAFT_1261960 MTSLQKQLAAIAATSTHQLDLKAQKLAHGKSLLFEPRIAASQSF DNIYMICYEGFRDLCALDPRFVQFSRNIFSEQSKVEDRTQMTQKENEKLDEVLETFIT MVGPRLLLKPAEKALDWLVRRFRVHEYNTESLVMTYLPYHDTPQFLALLSILPPNPKP AWRFLHPYIQPPTNPPRRVIVYTATNTPTFFEALQNYIMRVLQAGHQGPSLLTFWSSV TTQAIDGILDHTTGRKEVQDQKMEELLLRVLRVLNVCMRKANGADTVTACYMIVIVLV TKSTLEDKVLNSIMEAVTLSRVEETSDACLMCLAVIAEERAHVQLPSPVAKSLLKIPD LAQTLISLSKRCRVDRLALGCALATLGGIAKAGQKQETFKSILEANLLDDPQLSVALS GLLQVAQQSERSSPQHSHLIDFASTLSENATTARIVNKVAQQNAIDLESLGLVVGSSL TVQDSADVEDEDEEMFDAEEEEPSDASLTLPSEFSETSLLSVQSAQSFRDTLPLFEAA VSVNRTKQFLAAQSLQRQAAFSKPLFFSFLARVWCSSASVKARAAALRAATSLIKEEQ KPTNLQHIVPYLLFALADPSAIIRRSAAACGSVLSTSTQGTKVWASSDLYGTAFPKSA QLSVEQLSEFLIAYLVPILEECAMDANFLITSAAEALEGSHAKNGKRGLKTTTRGPIV TFLATHTASSPLLALRLRLLPLFHSSAKTLAGPRKEILLPMLRAWSSSSEVDIAQYSQ DKIHESTDADRAHLAALLPKEPESVQLVQDIISGHTSKERVQLMEKAFDWLNANFAKM KADARLELGQRLLDLSLQENEAGFDALSRGRALETLRNVRLDTATLVTFIESIPSSMQ MAEGPPTKKRRRTSRNEMARAEFQTPDDVSRVLRRLTLVLELIESSSPAEHIALFRNL FTVLDDLQQLKQQSGSDLVYLQSLVFSSLIPMVNRLKEVEDSSQAQAAVRADILIDCI RHSASPQVQNAALLLIGSLASWVPEMILHNLMPIFTFIGSSLLRQHDDYSAHVVDQTI SRVVPQLASSLRSKHRNFLIGVADLLLSFTAAFEHIPSHRRLKLFSELARTLGPDDSL PAIIALLVDRYPNNKVQRRFSTDLIVSFEPLTALQTFKGYLNLVEEAVGAKHQRKISE TLFSLNDKSPADFEQTLNNLLVSLADLASDDRVKSHASRAFKRSRDPTVPRTIFAAVV EAVIRISKSVKSQPKLYQSCSRVLGKCLDLLPTPDLIKSAELLLAKQDREVRIAAIKS VEARAGTVLQNDKSSVSSLVEFLPQLDGLLQQAGDVDVKRIVISCIDSIVGRFGKRDT SAVAAVAETVAGSQALSNTDNQTRILSLLCLTSMIDVLEDEAISLLPSVLPVAFEYLG VAIKDENTSLHNAVYTLLGNTVQRLGFMFSRDYLVPVLKLSQQSAAGGLDDECDEERG QFFEKLSQHLETQEVFSAIKATWANSLQQGPEAAEEELKLMRATIESRTKIMLVKASS TLFSLLLDMFKLRDDVTDNEDYQDEEVEQLEDILVETVIAMTLKLNDQIFRPFFSQLV DQAASSSITFYKFAAAFFDKFKGIATQYSSYIIDLASKLLTSLVQDGESSELRTAVLS ALQKTFEHDQEGFWQAPDHFGAVMEPLLSQLTISDAEQITTDVIPTITELAASSSSST DNHREMNAVLLKYMRAEKASTRLATIKCELALTERLGEEWLGLLPEMLPFISEAREDD DEMVERETQRWISKIEEKLGEDLDAMLQ CC84DRAFT_1125819 MSAGASPASSTTNVERDHDVGADTDPPELSALFLIRFDKKVGYT VAWKRSNATVPLDGAVEYKSLPSGLHTLTSDLVYFVHEGYAGLSAYSKGEAGAEERNA QFVSVGILVSRAYGRLGRSWLLASKLQQLAETLAEDPERTAVLEEFWEQQSSGRAGTD KVGSSKGGEQGTNGKAGRKRALSTLTSVVPSEKGGLAADHPALSMLKYVDVLGPLVFR LQQAALLRKRILFVGAPPVRTCCEFVYNLSVLSSIPPHAADVLSPGTETLLRLPSLFS IGVHDIPELEQLQMQKANGATETGEDIPSPGWVACTTDEIITTKKRLFDIVVKMPETY DAPPQRKVWPIMKTSDGTQIKASQRDLWRWKMLQLELRKHRRSPGEDYNEDEGEDEQA ALMGPNERYDVEDDLSVDDSMVESTTWPQLAYSGFMWWASAGEQDSYTTTERERDRDL LGDLSDYADALPTAIIAYFHRSTTELIQTLNSIIERADEEEAEEDDGTLLLDKGDVSR MGLDTWSEADRAFLSEFSWMWCGRVVDVRGTSVDCCGVRIPVF CC84DRAFT_1099206 MPMLQEPWKKYHAFKPLNLPDRSWPSKTIDKPPRWLSTDLRDGN QSLVDPMDGEQKWAYFQMLVKLGYKEIEVSFPSASQTDFDFTQRLIRTPGIVPDDVWV QVLSPCRKELIRRTVDSLKGAKKAILHLYLATSPCFQNIVFNMNNEESKALAVECTKY ARSITKDDPEQAGTEWAYEFSPETFSDTSPEFAVEVCEAVKAAWEPSVENPIIFNLPA TVEMSTPNVYADQIEYFCRNISEREKIAVSLHPHNDRGCAVAAAELAQMAGADRVEGT LFGNGERTGNVDLVTLALNLYTQGIHPGIDFSDITSVIDMVESCNKIPVHPRQPYGGQ LVVCAFSGSHQDAIKKGFAKRKQEGATNESRWQVPYLPLDPQDIGRTYEAIIRVNSQS GKGGVAWIIQRQLELDLPRGLQIAFSKIVQRETDMLGRELLPTEITKLFEKAYHLKHN PRFALVDYDITADRSKSPAPPEAGKTASSRNLRRLFRGVIEIDGQEHRIQGTGNGAIS SLADALRNLGIDLDVAEYKEHTIGSNKDAKAATYIECTAAGSKQRVWGVGIHHDVVQA SLIALLSAASTFLSSRPSSPVPFRPKRSNTNDLPSPESSPGRNNVSSTDSNKDVEASP LVSRLEASVNGTQ CC84DRAFT_974476 MVDAFSKNFIDYEEYPVSADIQNRCVSMIARLFNVPGDDDANAM GTSTIGSSEGIMLAVLAMKKLWANKRKAEGKPFDQPNIIMNSAVQVCWEKAARYFDVE ERYVYCTADRYVIDPKEAVDLIDENTIGICSILGTTYTGEYEDTKAINDLLVERNIDV PIHVDAASGGFVAPFVNPGLEWDFRLEKVVSINVSGHKYGLVYPGVGWVVWRDPKFLP KELVFNINYLGADQASFTLNFSRGASQIIGQYYQMIRLGKRGYRRIMLNLTRTADYLS ANLEELGFIILSQKSGEGLPLVAARLDEDLGKQYDEFAIAHQLRERGWVVPAYTMAPH SDKMKLMRVVVREDFTKSRCDALIADFKLALETLDSMDSKRIQHEKEHAAEFHMRRRS TLIGTAGPQIKKQATYHFNDDHSLQAKHDKSHPVC CC84DRAFT_974475 MVHLNRVATDKEIKAAKGLIDGMKKFTLAVEDEPDDYTATVYGS KYAAEDLPRHEMPVRTSNTLHGHCLLTAPGPRDAAPGVGSFLLRRRSCPCSPHKRVPH DQGRPHARWHPDAQPGLVRHHLHGKCLSCGSVSFSTSGKRGPRQWNTNILTIAFCGLA VFQEWSQSSRRWLARCTHLRNLMLCLR CC84DRAFT_974525 MDAPASSTQQRHPDHSSTTVRRSPPGSLGGSPKGMMIHPPTPFT GMPQGARGPGLAPALPLLESRSPGGYNSGSYRHSTSPSTASGGIPESSATDHSSLVIS PTHVTSANLNAQKRAYRQRRKDPSCDACRERKVKCDATETTACSECSSRNHKCQFTKD TNRRMSSIKQVQDLQSQIAELQQEKQHLLNRMGGQDKMDVDPPSRNHREQRPQSSATA GRAPAPAMENFEHVRNNIYVHSAGIFQPPRPHLRYKPSESADALPSIPPRADFAHISR RYLDTIHDAYPVLHWPTFQREVDQVYTARSFNGISRDWIGMYFAVLACGCLNAEHDAP HGHNSGMNFYDIATQMLSPWPQDGSMDQVRQLFLLGLFATESNMKSAGSMWLACATRV AQSISLSREDTAHSAFEVEMRRRLWWAIYVQDRLTSLGVNLPFTIHEDDCDVSLPSPI DDRYITSLNVPYPQNMSASPLVALVRTTRLVSGIRQSLKSEVIANNDLQAHEEQFRSV LSQLPEAYNPHSDARLEPSSLMPIIALHFARFQLYRRNLSPVCAPADRGAALTHCAAV AHDTAKILQRALHTLDPDKNWARRMASNTVCLHFWRCILILCLQKEFQAAQIIIATSA AIGNIRKINTACGKNMVFFLEQLAERARRGDGRMYQFADDEELLAYASGDLQSSLEHS WAWAGATGRTTHARGTSDPLRSDPSAPDNGERNWPGWGAVEYMMSTLMGLQKQSERNA PAYYPTPHNPMKRVQLASDAPAATSPKSSTAPSNASRISIANII CC84DRAFT_1251807 MVDTTVQRVVAAGGDATGQVGMSVPQRMGCPGSDGRQRPQDPPA PSDSDPEASALAARQVLSASENGGHASSLRGWRLGWASSESGRDGAPATRQGDVAKER LGTHAEDARQGHARCTTTWRAHVGRRVSAHGWPKRPSYRSRSDLLAAQGRGNVGGKLS SLATRVPIRRARRARAGAAGAARQAATGSRPGARSCDLGGGELGVGRGLEQALGISPR RRLRTVSCALLTAPVLGRGPIIARLPASVPETTLTRTAHASGCDGPAQTAERPATGRT TLIYHTYRQRRLCGAVHVDPICQSRVLVSPRLGLRACATQKPTLQSLGTTVKLEICPA SLVETVGGPAASALPGSSRRFGRPSPNVPDDPQENPGGDCAETSHLAPPFRLHILGQL GKLGGDQTGKTSLCRSPCQDGWDFGMQATTRGATPRGTTPSAANAKAVNGAWSRRAWR LSRSHIVEQAR CC84DRAFT_974590 MAGSPVREFLRCLPKCEHHIHLEGALTPDVLFSLAAKNNITLPQ DDEAFKSPASLLERYQSFTSLDDFLHYYYIGMSALVTASDFEDLAWDYFQHASADGVV HAEVFFDPQAHTARGIKYNTVIEGFTHACKRAEKELGITTLLTCCFLRHLPPKDCLEL FEDEDVQKSYKSGVVQAIGLDSSEKPFPPENFTELYRKANALGLKLTAHAGEEAPASY ISSALRNLGVTRIDHGIQLVNDPELMHKVASEKTMLTVCPLSNVVLRCVDTIKDVPIR KLLDAGVQFSINSDDPAYFGGYILDNYVAVHEAFALSVKEWESVVRAGIEGSWCGAAR KEQLLRRLNRVIANWEGKI CC84DRAFT_974602 MRHRPRRRMPRQLPRASMVICMRRNTTIPISRLPTSSYSRTPQL THPRSSPLSRPPPWPQQTSTAPTSLPSSPCPTKSPSWDIAGAVVYLCSRVGSRVVRGV TVSDGEARWPGR CC84DRAFT_1098429 MSTLPLKALSRLWGRFNEIDIPYYFRVPGFKLYSFIFGVNLDEV SEPDLHVYPNLAAFFYRTLKPGVRPLDPNPNAVLSPADGKVIQFGIIEHGEVEQIKGV TYSLDALLGSERPTKPALQSPDARGQTSDKHTKVGSDDVMRADEEFANVNGISYSLPN LFSGPWPKGGKPAEMPTDQATHSQPSSEAEVSADLALSESARPWWAPGNSVKTPTALY YCVIYLAPGDYHRFHSPVSWVVESRRHFAGELFSVSPYLQRTLPGLFTLNERVVLLGR WRWGFFSYTPVGATNVGSIKINFDRELRTNSLTTDTAADRAAEEAQARGEPYSGFAEA TYGNASAVLGGHALKRGEEMGGFQLGSTIVLVFEAPKGVRPSLDEGYLGRKGGFKWNI GQGQKVKVGQSLGYVEEAH CC84DRAFT_1151972 MAEDEPLAVNLKILSPSAEVEGGVQLTDIPAATTIRELRQRIHD AAPSRPATDRMRLIYRGRVVANDSDSLLTVFGADNIRTSKDQSLHLVLRELPSAASAP SPAPHSASAPPNPQQPPPQSPLQTNPFRNLPEPRPNSQPQPQPHHHHHAHHHHHHPPP LVPLGPRAAPLPPHLQFAQQMRNPQPLQFGQAPLPPQVQQQFGQLRSAQTPPQAGTPI PEGGAQAQQGQPQPAMGLPNLPTGNHTRTVRQEGIGPNGERWTVTWNNTTNLAFNPNQ QQLVLPRPFPHPGPGFGLPPQRTPSPSLGNASDQMLHRIRRTMEYARQEMENVRVLIQ PPGGQAASVPDVPTELFILSSPQGPVGILFDQRGTYSTAPPTMSFQSFSQQFNANRHH LASLGRQLAVQNHLPRLPAHVLAPTGTQIAQGQQPAQNANQAPGQVQNQNANPAQPAA GAPAPGVQVNRVDNIAGHLWLIFKLAIFVYFFAGGGGWYRPLMICLIAGVVYIAQVGI FEEHFAAVRGYLETLLPPGALGFPERVAAQQGQNRQNGNALGQQDQRAQPNRNPTPEQ AARRLQQQHQDQRFGWVRDAARGTERGFAMFVASLWPGIGERMVQAQEERVRAEREAE EARVAEEAARREEEQKKEQEAEESKHGEASGSAKRGYDETEGIAEGTDSKGKGKKARV DEVDGDVD CC84DRAFT_1151976 MTFSTDKMRDEKIERDARMDSEMAGELGDVPRLTRQVLWKMDVR YKAVAYADRRRILPILALLFLCSFIDRTNVGNAKILGLQADTHMTDHEYAIGLCAFYA TYIASELPSNLVLKKMSPRIWLPLLTTVWGALTMCLGFVKSFGSFVAVRALLGIAEGG LLPGMVLYLSHFYRRTELALRIGIFYTAASLSGAFGGLLARGLNAIGHAGDLDGWRWI FIVEGLLTVAIGVVSYFGLPNSIEAARFLTAEQKAHARLRLEEENSAHEPFSWEEVKR GIFNLQVWLSALAYFCILCGLYSFGLFLPTIINNLKFARDPNEAQLWTVIPYAVAAVC TVFVAIFSDKLALRGPVMLCSLPIAIIGYGVISQTTNPHVQYGMTFLMATGMYASVPC ILSWNSNNSAGHYKRATTSALQLMIANAGGFVASFVYQKKEAPHFHKSHTIMLGLLCA AWLLIAANTAWVWKLNRDKANGKNAAWEGKGDDRDPTFRMVL CC84DRAFT_974846 MESSSRLLLTLPLRICANRPIPAQLRAARYVDSRWRFASQKRFK TIPASREPRIDRSRSKVWQSADEAVADIQSGSTVLSAGFGLCGVADTLIHAIKNRGAK SLHSLTAVSNNAGIEDVGGLALITKSGQVKKLIISFLGNNKALEKQYLSGGITIELCP QGTLAERIRAAGAGIPAFYTPTAVNTLLQDGKIPAQHDSEGNVIGYGTPREVRDFNGR TYLMETALSGDVAILRAWKADEQGNCVFRYTTKAFGPIMAKAARLTIVEAEEIVPVGS LSPNEIHLPGIFVNRIVPATAPKNIEIKKLRDPKDDGKPSKQNEDAMRRNRIARRAAK ELKHGYYVNLGVGIPTMAASFVPEGTKVWLQSENGILGMGPHPTEEEMDPDIINAGKQ TVTLLPGASTFDSAESFGMIRGGHVDVSILGALQVSTSGDLANYMIPGKVFKGMGGAM DLVGNPDATKVVVATEHVAKDGSSKIVQDCKLPLTGAKCVSTIITDMCVFQVDRENGG LTLTEVAEGVTVEDVKSKTDAKFTVAKDLKNMEEW CC84DRAFT_975187 MASKQAACMACRRSKIRCKRGPGADTCEKCVGSGIECVIPGGFH IGRQKGVRNKRTGLEKALHQIEEAIKKSKADPTSSENTLEQLQQLLLEARGSGASSNS SPSSSVPAPSEGVAHVSDDQQALDDAENPLQLLARASDLRLTSPQSSDHMFTPSTSHK GSDVDQQSDVHRFFLPIKASLDLGPSYDPIDLGLVTEEEAELLLSFYHEKLAHTRWGT DKATHTLDFCRRQSAFLLTSLLAASSLFLPSAAAMCKRLLVHRKFMAEQVIARRFRSV EIVIAFMVNVPWMHPGVNAGDDDTGLYMSTALSIALDLSLNKIIVPSSCFDQEILKRV PKGHCLDARKALTMDGFEDAEVMSEFGKQMLRRRERAWIALFVLDRGIGLARGRAFCA TVTPLITYCDVWHIDMTPDPQDGPLVSMAVLRRDLDDLFRSVRSKCDSYQASDIGSKA AEDIESTIEGFFNTWIAKWTHTIGEGEQKILPPYVEILLPHTRLSAYSHVINHPTAPL EVKRLLRGSTLSAALNVLRVAIQGEVRLKSMPNNTVTMICFAACVALTLSAPSPYGSY NLAPSVRNLIEETATVLERIGSTPSHRNGASVIYGKSLRELVKRAPELPPLVQPPASF NYVSQPQAPNNSLFAPAADLLQSSNQPQYQLPNSWPEPLQFSAMSGNEIIETVMNVGD FNSSLLDIPMQDPNSFMWMDWINPMDPGF CC84DRAFT_1151984 MDAIIFFLALLLQIVASTDVSSCSCGFLDSKTGAVWTDALITYA NETEELPGESLIAEDFQNPYEKNWNARYRAGAKSSNLALSESTRSGWNGTAWTLKIDP PTKDHIVIGASIRSIRRDIQYGTFEAALGAPKPGVGGSILSMRLDYNETQTVNLNVMN ADAPKDAWTSFMIYGDWRGTRSKGVNFSDFGNNTYSFQGSPWGFVPYRINWDNASNID FFIGDALARSVRSKGAAKPLPVTPATFRIQHSSFGDMYTSEGPPPNGSDARVGMIRAF FNTSSMSPEDHAAFDKRCHLAPAPTCLVSDTSLRGASNFSLPASRPWKPKAVDYKKRW PAIFVVSVSAAISTILLAHATFKRAPWKQKQAAGSLDPPSAPTANNSGRTSKDLSTTP SFIYSEHDALALTAQLPGVGTPGQVTPGTSTPRASLPPGSSHGSAQIFWASSRDSLEI CKVNGNKQAGVQAVVPSTRIGKYDEKKQVEVTVKEIPNTVPAANNASLADAKLPIAAP KQRVDYLAGLVALASVLVTLIHFALTFLPATEIPYTHAHYESEIWALKIVTPYLLTFS WIGPFFTSSTRFLIASYLKSGNLKGVAEKTVGRTPRLIIPCAAVAALEYFCMQVGAIN WLEYLPSVTWSTWPYTAGYVTFGHFISEILELMYIIPNAVPQITFNYCIGVLWTIPVQ LQNSWLSLLAVIVIREIKTPWKRMGYYAFCILMHYYAMSWGTFFWVGLLIADLDLTYK WKDWLYSRPFVYYPFLTFIALLAVGSPSIDLVGMWKANWNFNTLEFGIHPHQRTGHAV RDTSDYGYPAYYLPRLTALTFSIGFHLLVELSTVVQKFFSNRLLMWLFPHILTLYLIH GLVFWSLGAAICVHLAAHNVPYWANMLIVAICSYTVIFGCLPLLTPILEGLGKSITQK IWQDASSKPPSRRKTLYPFSPEMFEGNEVDDGAKDRDVESQAVKEKM CC84DRAFT_1220286 MFGHSNSARAVTSQTSGLSSLRSKLDYASARSSAWVWEWTPFTL VFSYFVGSTCLYMVCTEKLIEVFWYVYMLTNMYVSFTTVVEAILSMSFTRDARARVTK MAALDFKPGSGPWPTPDDQLPILDLIIVAYLPNERDIIMDRVNYLCTQIVYPVDRIRI NCVYNTPVPIEPLETELIDLQEAYPQLRVIKVPGSKSKADNLNYFFTLDTGADIIAIF DADHYPHPHNPRWAAERFMGDSEINIVQGRCIIYNSRDSWLTRLIAVEFDKIYAVSHP GRALMMDFGLFCGSNGYWRAPLLRAHKMHGEMLTEDIDSALRAVKKNVKAVHEINCVS YELAPSEFQGFWKQRLRWAQGWAQASLVHMPMVWSKPEQGTRSFTKRFGVFSLLAVRE LSYYLITQYTCLVLSMIILDFPKTPLALARMVFFPYPLSVWFFIISLVCLVGTLVITW RVRSEFVSAWMMIVFSAFYPFYLVLMGMIGIYGHARQVVAYNNWNPTARK CC84DRAFT_1167098 MESVKRFAAPPLSSHDSKNDFLIGLRGFFVIQSFLHTFLLVFAP GSVVHSANAQEGSHSSSLHKALKFVSILFWNDGIIYSAFILLSARTICIPFMKDKTKL SVAGSIFRRGIRLWFPVAVALAISTGVLQSTGYKYIDDFAKATGNISILVPYKIDNAL IYFNSVFNLFWVTTRFSDQAGSYAFPGQMMWVINVIYMQSFTVYMTMVIIPYTRRSWR VKGAFFFIITAWWVQSWAWYSITGLLLADMATNMDFKAKAQRGIKIWRSIRCPSYFAY AVILAAGLIMQYLWAAWRPEYRDDELIAHGGLYYTGGLNEDFDVKQPQARDDNYFVLL GFLLCVETSDIIQWALANPLFVYLGQRSLSWFLVQSILVYTMGIKLFQTLHMTSEVAA TAACFFAILGATAVGSEVFYRAVEVPSHVLSYTTFDWIRD CC84DRAFT_1261975 MEHDTSKTFREIHGTPSALVAELDRIQGLALRRSRRLHRVEIPV QLRFLAPLDPQPQGYGPDTVHLRLTSPQEYTETQEYVAVSYTWQQPQSFTDAFLNRIP TYKIWDTDSQPYNPECNPLVIHRAYRFAQKKLKQVLIWIDQECIRQDDPDDVETHVLA MHEIYRHSAFTVVLLSRMVDSVPMAAGLYPFMHGGADVFDKLLKSDDCRVRKLCLVAL QLLAQDRWFSRTWTYQERFFATVCYYAVSIEPTLGANTQDGGLEDWYIPERNIVAFSK KTADNATALNKDHFGFDFRPSAKGMCACTMVTFSLVRLIKFKFEASRSVNWKIHLPGF ESFGIKSAGISTPREVMSRTAKVSAAEDELDRIQGRFETINHVFLDMEACDNAIVADR VSIFANVCQLGWTLPTVKLREENLSYSTCILALLLLDVLKVPGEAAKMELAESAMRLS IGGFIRNEGYPFSKLL CC84DRAFT_1261976 MPLESRLLGILLEHYAIVAVVVSAVYAAVLVAHRLFFSPLAKFP GPKIAAVTTWYEFYHDYFRKGKYVFKIKEMHDRYGPIVRITPHELSIHDPEFYNSLNV SGSVRKTDNYEGFARGIDFDDTHFLSTSHDLHRRRRKPLEPFFSRAGVTKLEPMVGEV AKRFVRRLDSFQGTDQVIRLDHAYVALAADVVGKICFESKSNMIDEPSFGVEWYNLLH NFIHSLPLVMAFPQLIKILNVIPSEILRWLDPRLVTFEKFRDFARQHIIDAKRELEEK PDYETFETRNSVFRHLLTSGLPESDLSVERLTKEAQIFLGAGSISAARTLDFISYYII ANENYLTRLQLELAPLMGDYPKQLPSFVELERLPFLQALVKEGLRLSYGVMHRMPRVS PDQPIVYRDWVIPPGVAVGMSAYMNHTDPNIYPDPFTFNPERWLKDVTPAMTRCLVPF SKGSRNCLGMNLAYMELYKTLSTVFRPGGPKLELFETDESDIVQAHDYIIPLPNLDSK GLRVKVV CC84DRAFT_1167100 MSSVEASRAHLNTLYKKVCQAEGAVKKAGEEEYGVREREEERRR RLWDDSDDEDDEWAIAQVARDREQYPDEQEDGFDFEAKQKRLEDELQKAIWEATEAYR AFVAKFEACVKEDSLGSLAELHDMMHSKLPVELRDMIYWHLTVRVRQRACYPTRPGPI YVHQETYDPNFHSYRGAATDSEYKTALMIFEDGNTDSLKPGGWLLNPEFVGRGMAREI AERFYAVKDFSVHVYQLEEFLFSDRTGTGFKPCDYIRGHISVYVPTTFCNGKTERAWQ NTENEVAFLNGLYTQLSHLMRLAHKSRLTIEIQVTTSAPLRIKYEQGERRFYNIMESV RAPIYDLIHAGANVIVKHTKVSSSTTRNISEEPMNYFRMNKEAWEAERKTHGPDWMPS ISFIAREELEDEDEGRRSIAERRLREALEQRWGHLHSIDAYGSR CC84DRAFT_1099478 MLVLFFLSFATQLLAQSATSSATQPPTSSSSRAPITNGTSTTPP DVATTSTVPDVYLNVPELHVGRIELDVEKLQADINLNAKVAGLVTVNAGVQVSVEKIN ITIADVDVQLELVVRLGHLVDIVERVFESLDLNPLLLGLINNVTNLVGDVIGAVDGLL GSITNGGKTLNFLVDNLGNIVQEVVGGATGALSQIVGNYQQNMTQVGDIKQLGNGLIQ KTFSYDPLSALVDIVFNAAGQVVQATVQKGSGGGSSSTTASATATPMPVTLPVSTDAS TSS CC84DRAFT_1125864 MQSLLAFLFTFLLLPILGFAQISDNDGYTGYKLDIRQDGDPLAM VYETENTNNNVSALVPEPDVFLNASVHVGEIHIEVQNLTAKINLDAQVLQLLSFNAGV DLSIQRVNLLIQNVTAKVLLEARLANLVLMINDTLSSIDLNPIIAELGNGLGTIINST SGLIGDVTDGVTGSQKAKRSDFDIQQFQLANNILYSVDDYSGNTHTNRILAQNGDLVD QKLDNRGVVSGSTVVGNYAQNMRFNGFNESVTFKGQEVWEEEYVYEPYPGFMAISGIF KSKSSGAVVGTQVIAEARGGGSATIGSKEQTGA CC84DRAFT_1261980 MVADNERKRAKHTRSKRGCAVCRLRKVRCDETRPACTKCTSTGR KCEGYPDVTLWKPHASPQIIRPKIATAESSAEQRSFAYFCRNTSREFAAPFGNEFWKC LVLQVAERERCVKQAIVALGALHESFTDQYLPPHLQSPLAPHHLHRPSWNLTNLATKS YTTALRELQKHIMPDAYDDLHVSLLCCILLTSFEWLRGSYAAAITHLKSGLYILRQWS SSTSKNTPTAHFIRKKLAPIYIRLSIQARTFSQDIIPVPWLSDGLFAPPAAEDIHNEE EHLQAARNALDVLCGDVYLSPHSLKLFSSDFTISRAASFNFSSRLSKWWMEYHRHLLP PSYSQLSSPRPENVNLTLWYTILTLLQSSFSTADPMELDKYTPQFRQIVDLSRILMLS STSPAPFQRIGTRSDPPKTARFRIDMEVIPMLYHVGSKCRHPALRREAITLLRGGANR EGLWDGWASATLAEHIMTVEEEGVERVETCEPDSVPRAQRVMKLQEVTDLEARTTRVR YQKNGEDDYGAWKVLTW CC84DRAFT_1152002 MDRKALLVPCGLVLGSSYLATSIPTSFALDRPLHTIAAVLLLSG LATVAYETRAAKTRRPHHQHDRYVAIPLQDGNGRPSSEEEWSSEERSPQHRGLGQRAL AVMLAALLCLLGARIALFHAVLQDVECAGPQLTAFLPLVLALFHAYRTPKDRPLPAWS AESSSATHLDRFVHFFLYGTTRYVLPSLLLAISSFITTLRTSVLRSTYICPASTSAAS LVPKLQFLGFLVDCVLVLLLYRLVDEGLSQSETNCPEPKDGTTVHGLIGFTFIASAIV LGIAGIVVYTALPEHREWLFSAPREYLLGLLRLSLMIPLTMLCFLVSARMYGVMGAVL IAAFSCAFIGVLRALAMGVSYSFPPKSTAGVSLCLTLLTIALVLQLVADAIDSGQSRP KIPVRLGRNQTVSVVALLVIFSIGVGVYRYQAPVMGHPIDTLLAAADEQHQRWAFQAH QSKSLAQAVVRYQERYARDPPPHFDKWYEFATNRDTIVIDDFDNIEEDLIPFSSLKPA ELRRRTAEILAHNEDLGGIRIRDGKAEVFGSSRAEQKWVLDGMARMIGKFVEFVPDMD LAFNLNDEPRVAVPYEQFQAARMHHLHYPEHTAADGTIDFRLDRASTWTEIAGAPRVF DQAGRKPSFQTYGSVACSPESRARKERRWDTGAFCSACSAPHSMGAFVANWSLSASPC HQPDLANLHGFHLSPLGLSGTHDLVPIFSQSRAPGYADIRYPSPWNYLDRQKYEFGEN FPDPNFAKKENVLFWRGEATEGISIAGSWKGMLQQRFVHLVNNETSRQPIFLPTGSKN GRLEYAMEYPEEIKERLETKLDARFVGDLARCEGQDCVDQKLELWLGKDVDFKDHWRY RYLFDTDGAGSSGRFLPFLQSNSVVFKTALFREWYEGRVIAWKHFVPVDSRLHDLFSS LAYFGGYSLKDKGKRTMEPKDKQAEAIARNGKVWTEKVLRKEDMEIYMFRLLLEWGRL TDDRRKDVGFRMDTKGRKGKDGKGAT CC84DRAFT_1152005 MGLGVLEDHNLQHVPGTALLADLVGGLHEHQYHGASSPHPFWPG RDTSALKHAKGRNADIVLVPQPSESPRDPLNWPQWKKELLLLIISIDTAVVGAWGPMI SPGFGVMAKQFDMSYNSLNGGLGWAIFAIGISCFVTNGLAVKYGRRPVIILGNLMLFI SSVWAYHAHSYESLLASRIFGAIGMSPFEVLTTAIIGDIYYVHERGLRLAFWGLCLSV GVGGGSCISGYIIDSLGWNWTYGICACFYGVFILLIFFFVPETVYKRDPAYNIDLGTT DHTEEILDSTKAREAKTDIVGEEPKDVAMQTERAVTAEPIYTGADEKPYTFWEELLPV RGVESDENLLAIIFRPFGMLLFPQVFYGFITYGLSTSWLVVMGGVLAQIFTAPPYNFS VTAVGLVSISPLVASLLSFVAGPANDYTVKQLARWNGGIYEPEFRLALNVLTLVLGVA GFFGFGATLQHGSPWGAPVFLYGLIYFSMAFLNVGIYGYITECHRSKAPEAFAALNLR NVYSFGMNYFISSWISSMGPLQVFSTIGGLHIFIMLLTIPMWIFGKRCRSLTARVPFF KAMQGH CC84DRAFT_1152007 MAKFPSRPVSLTLHPAKLPQPNIPDDLDHVRITSEGLQRLDCLS VSDLTEDAIWRDTCALTGTLRTFYSAKLLLKVWQQLYSKHSPTDFSINPKTSRVIRLG PHGAWVESMFTFKTHGPYPATCSGIIGLVPANDEQTRWKIWLLSTILERIHGQPDVEY LQPLKPEHSQTEPRIGECECRQRSCTNGHTATPSQYECIVVGGSITGLCMAARLQAMG ISYLLVEKHDNVGDNWTQDRYHSLKLHTSKCFNQMPYEPRTFREEHPYHLGTSDLADG FQRFVDTFGINCMLGTELRAGTHDPATDRWTLKLKQGEETVTISANHCVLAVGNMGIK PQMPTYPGRELFQGEVMHGIQWRNADRWRGQKKRGVCIGSANTAHGVIADMANAGFAS VTMIQRSRTFLLPSSTFGALVDPVYNYETPLPLSDRMLLGYPLPVKRLMAKAGIKMCA DANPKYFDEMEARGWEIERDGDLWGMMYDREGGHFFDTSSGKHIANGSVKVICNALPV AYTPTGLEMSDGTHLDADVIIFATGYTGTLRDTATKIFGDEIGNKLEEFWQCDEEGES RGAWKFTGHPKLWYTGHGFAHARYYSRFVAIHIKAELEGRPLETYTDTPSV CC84DRAFT_1189310 MSSEPDLHRAISLKPSPFHAHPHAHPPHSSRGSSPYPAPPASSS TPAGKADGASTAVETLTSVDGIDDTHARHVPGLNDVERQSLPQYTPDNDPYRLAHALK PEEELAQIRANTSRKRDGFGPLTLNKKATRARKLEQFYEKQNENIERLLKPVDDHVRD AKEEEGANHLKYRIAVVGSFAANIILAVLQLYAAASSKSLSLFTTMADSLFDPLSNLT LIMSARAVKRVDGRKFPSGKARIENAGNIFFCFMMITVSVVIIVESIREIATHKTGDV NDFYLPSVIAVCIAFGTKFSLFLYCWALRNKYSQVRILWEDHRNDLFINGFGVLTSVG GSKLAWWLDPAGAMVLSALIIFLWSRTAYSEFQLLIGVTADTPTLQLITYISMTHSPF IKQIDTVRAYHSGPRLIVEVDIVMDPEETLQSTHDVAEALQIKLESLPDVERAYVHVD YETDHAPEHFLKKEL CC84DRAFT_1251865 MCPNLRNSFWSRMGLQDADRDVCVRAIQVLYPEHTVAEVADQGY CSFTLSVAPPPGSSASSVEYIVQLRPAQHALDGGVAEAARRTYGALAPRMRSLQYDEL PGGLRAVEMGRLEGTPLAKVDVGGVEWERQVGLVESFAECVARGWPAAVDDGSARCSR ADTPTEGLWLDEHCTGKVGRVIVPKLRKLSVELPCETLRARAMDTLLRLLAIEHYPVV LNHGDLIPSNILVDEETWAVSGLVDWAEAEMLPFGTCLYGLEYLLGGLESTSSVDGVG MKPVWRYRQGSDALRNIFWQTLKKESPKIGENAEEVFLMRDVGVLLWFGYAWDEGAID RVVNETDDTREVACLRAFFDSV CC84DRAFT_1125883 MDNYRAASPAGSPRYRASTNNADATLLAQSLKRMSLHSGSAHPP PAQSPSAATPKRPSPPSRPSSVMSQRRSESPALGRKSSTLSLRNGAASSPVLPGSRRG SFAPNMPSSPLAPQPEQKPPPRACDIAEECFRKELARHEGMAGAANAGTIVIIHDQCY GHRYARPKTTKATLATIMERPERMLASVRGIAMAYVRLGERHIEGSNPLRPDQNAPER IPFKIRKTSRMVGLDSQVVTNVHGTKWMEELNSLCVNAGQKLASTGKELARDPPTMPG QPPKDAFHPMDLYLSSESLHALQGALGGVLDAVDAVFQGSSLDNGVSRAFVCIRPPGH HCSAEWPSGFCWLNNVHIGIEHAMMNYGLTHAAIIDFDLHHGDGSQDITWDRNKKVMG MHKNVASWKKTSIGYFSLHDINSFPCEDGDDSKVQAASLCIDNAHGQSVWNIHLETWS TVEEFWQIYEEKYLVLLDKARKYLKHHTNRLRKSPNHPTPKAAIFLSAGFDASEHETG GMQRHAVNVPTEFYARFTRDVVRLADELDTGVDGRIISVLEGGYSDRALSSGVLSHLS GLCDGQVFTDAPISSLALNMHNRMNELGVHDEDMLTSYAEPGLTPVTYDPLWWHESCL LELENLVTPPPNIIPKKVRSGPAAHFSSPTQSFTAKVVDPSRLQRSLSGRYTPSRSAS PAPPLPLPEVDWATAAHTLSQMLIPADRQTRSHKFEELAEPKVKKEKPAPVLTSVHVD PSGRQLRGRKPAATLYADDDDESVRAASRASRRQTIADFPLASIEPEPKQRSVSRRMS IASSVGSTTESQITSRASSVLSNRRSAIPAPSASGVPMKKARAPSTAAAARIPKSRPP VPRVPSNYLSKTAASIDKEKENEMDQLTSRVQRVKLNMPSKEEHDAREKAKEAEKKAK ATRKAPAPKTTKPSAKKAPGRPPKTAQPPSSVNQIEVSVPVAAPQASEMEVAAITPLK QQQPIVPEAVPIDMEQTHESIALREGSTKSPRQSIGMLEPPTELMEMSITPEQLPVPP FLERESLPLTTSPPRPDTPPPPPPTNMNGFVNYNTQTFGTVRPPSETQAQTALQWLPP NTAAPVPTLANIPANLNSNLSPSAAGTRNTLPVFSSSGTIPFAPRPNGVAAVPSTEPK VEPKDEPKDIWEVPETPVR CC84DRAFT_1251870 MRLSLPIACFAAAAKAAYSGDIVQYWVDQSAILVNGTVINGLPS PPSGWFEAIIQGAVYLAATETKGKSLAFQQLAVSHAAHDSLLWTFHGTRLYATINSKL KAVLGSIGLDASSNEAQEATQIGREAAHEVIVARADDGINFFVDYVPKPARPGVYQAT PGGQPIPDTPQAQFIRLFGGLGDVKRFRAPAPPNATSPEYEPFIDFVKAQGARNSSVR KPYDTETAYFWRESSPIQWNRLANNVIGDKLATDVLASAKFYAQLNYALANAAIASWD SKYFHNSWRPVTAIRYPEIYLASGRDISDPNWTPLLTPTPNHQDYLSTHATFGGAAAA VIKAWNGGDSVNVTLSSNVTVDNVGVITRRITNLTAAAYENGDSRVFGGIHFQFASDV GNEIGIWVGKETLAKFDENWDKF CC84DRAFT_1251874 MTPPPLSTSLTTLLNIQHPIMLAGMDQVAGPELAAAVTNAGGFG TLGGARYTPKMLREMIAEMKGLLNDKNAPFGVDLLLPQVGGSARKTNYDYTKGNLAEL LDIVIESGAKLFVSAVGVPPHWAIEKLHKAGVLYGNMIGHPKHVHKACRAGADIIIAQ GGEAGGHTGDIATSVLIPACADICREYISPLTSKPVTLVAAGGINDGRSVAAALMLGA SGVWIGTRFIVSKESKAPQIFKDQVIAADYDSWVKLTVWSGRPLRALRNPWLTDWEVN RQQEIKELMDKGIVPVDFELDRLHKEGKLTDEIEDAAALRQVIARSPLCLL CC84DRAFT_1152021 MAPSAISSDAVSSIKAKQPIPKSKDDPAKPQTALQAISQGVSLP GIPTFPSFAPQRAWLLAHMAGAFRVFARKNFTEGMSGHISVRDPEFPHAFWTNPLGRH FGLLRASDMVLVDYDGKVVGGNRARPSNAAGFLIHSAVHKARPDVHAACHCHGIAGKA WSAFGKPLDMINQDVTYFYGDAQAMYRDFGGVVLSPEEGEKLAAALGPKGKGLILQNH GLLTVGGTVDEAAYLYTLMEKSCDVQLRVEAAAAAGLKKIIVDDEAAEYTFRMASDPE ALYWEFQPDLEYEYEMSGAVFEDYVAPETLLD CC84DRAFT_1251877 MKLVLAPTIAIWLLAACAAASGPTKIYIDSVPEYNLLQSCAEHQ VSLVYGCGDGSKTTSYACFCYQSSAYYSRMISTKVTSACSKTRGQDSSAVEVFSKYCQ LAELEEVTTTGGCCHSKGSTTISQQTPDSALSETTSSSSSIQSTSQPSPALTPARTTP SPTNTPSSQTSDPLPPQPEPEKNDNTAAIAAGVTVPVVLIALGLLGFLLYRHRQKHNP HAELAASAQVTEVSGAPTVYGKDTYGHAELEGGRPQELDPGSARGELAASPGASRDRK IERLLGNGSGP CC84DRAFT_1125888 MRPSYTCNRCLSLIKAARPQLLPSSALHIAPQGFLQRNHSTCNV PAQPKLSLPKRSISTTSNRNFQGAFEPYEYVDPEGHRRKMVLTEDNLFHSFTHSPIPA IRKRAAYMRQHAYCPHPDHKPTRAPNNPDDHEARKNSDGTPPAHVDFECPDCGIPVYC CEEHWADDYENHLDVCDVLRQSNEDDHDLHSGRFFKEFQFAEPQIEEILTNMSSWDTY LYTRDYDALNKDREMRQATKLLTYPMTIASVINELSPYNIRKGGRMTPEGLKSFGALR HTLHPPRTGGGDTWKNARLAPPSVRLFILGARAESSLPRDTWMQLAYLFHRVQFSLHF IGPESMANREKELPLPERTANNPFGAVIEDRISNAMKISTYVEYYHTMHKTGHFVPYD PYFDCFVCFHPGFGNPASLHEWEETIPLLLETKVPIIATAYSPSDLEQDVGFLQKRYG NEMDMLLEPGVNIFRSLRWDLNDLDPHDATCSNFGLYAFRGKRYEATKKEKEEEVHSE RTA CC84DRAFT_975592 MEFDRANHVADFLARYRWGIWFFCSILSTFAQVYAECIGRILES VHKETCELVQHKCSAANWSCSCNPSILDEDAVTKSDEEESRREPPRADQGNGLGACPH YFVACFGSAPVGIFPVLF CC84DRAFT_1208099 MSGLQEKADPAVEDVGKADEKTAHHSELLALDANVMSHAYDAEN AEHEMTAWQAVKSHPMACFWAFLMCFTIVMESFDMFLNGNFVAQTAFKERYGVEHANG KTIETKWQSALFQSGQCGAFVGVFIAGPITNRLGYRWTTILGLVLMNATIFISFFADS LAVLTIGQAFEGVPWGLFIANSPAYASEIVPIVLRGACTATLQMSWSIGGIIVAAVTW VYNKRDDQWAWRIPLALQWVFPTPLLILIWFMPESPWWLIRRGRKDEALRSIERLGAK SKTKANDTLAMMERTVEIEALKGGHPQLPDLFKGTDLRRTAITCLMYASQNFAGNLIA NQATFFFEQAGMSTDFSFQLNLINSCLGLVANIGSWFLSVWFGRRTIYLWGTAANFTF LILLGICASIKQNSSTNYAQACLGVIISFVYAGTLGPISYSIIAETSSVRLRALSTGV GRGAYYVAEIPMIYLASQMLNPTGWNLAGKCGYIWGGTAFVCYVMAFFFLPELKDRSY REVDIMFNRKIPARKFKTTVIDVRENE CC84DRAFT_1152030 MPQLALKESSLWANRKCLFICTIVSIANLQYGLDSAAVGGLQAM PGFLRVFGYASPKAPGGYAIDSTFQQLIASLLTLGSFISALTAGTFSHFFGRKVALWV ACVLNGVACIIQITSTTPAAIYIGRLILGFANGFLVTFSNIYTSEASPAHLRGVIVAL FAYWVNIGSIVGAAVTNATKARLDKASYQIPIGTLFIVPMILAVGLLFVPESPRYLLA KGRESEAKKSLESLRGTSVAPEIVELEWAEMVKGIEEEKRLATTVGALDMFKGTDLRR TLLCFGMIACQTGSGVWFVISYGTYFLTVSGLTVSDAFAYTIMATCLGFVGVNMGMYL MRNHLGRRSILMLGGVICGCSQLGLAVSATVAPGTQANLNCLIGFTALFKWGYNMCVG AASYPVATELVSTRLRAWTVGSATSFGYFLAWLNAFCTPYFINPQNLGWGAKYGYIWA GSNFLCVLFFFLCMPEMKGRTLEEIDELFANKVAAWKFKSYETTIGAEAAQEVEEKVF EKGTSTETRERANGK CC84DRAFT_1251890 MLKMNWKLHFLTASVAHAALRFGCSTVSVQRLDPLVEPGANPSS HVHQIVGGNAFAPTMKGDIGEQANCTTCVYTEDFSNYWTAVMYFRHENGSYKRVPQYP NAQLGHNDTNIKGGMTIYYTEKDFSSDGDQYITGFAPGFRMTVGSPTVNNKTNGTNPG LAYTCLQSILTRGSETPDFPKKPCPAGIMAIHHFPACWDGKNLDSPDHQSHMFSTTRG HFLEAGPCPASHPVRVPQVAYETMWNTTAFADMWPSDGSQPFVWSFMGNGYGTHADYL FGWKGDSLQRAINDTCMFHGCGSPGVQGVLKTQTVEEMNKCEARTAVEEDVDGWLDEL PGMNMGGVV CC84DRAFT_1189320 MPMRSHFGDGGHENQGGFSFPLPDGFPNPSPDQIKNIQDRAHGT LPNMPLPTGLSEDGITNFKLIAFNELFEVAFFYELVQNITNEVEGYKFGADKDQVLQN LNVILAVEELHALGANAILQANKQDPIQPCRYNFPVSDFESAIGLAATFTDVVLGTLQ DVNDIFAQNTENGPVRLISSVIGNEGEQEGLFRIIQKKTTPAQPFLTTGTRDFAFTAI QGFVVPGSCPNIEEIPLKTFKPLNVVSQNIQPKDQELEFSFDMSGVDVDVNSLSLVLI NAQNAPIVEKLENIEAKDGVATFTAEFPFEKFLLHGLTIAAVTHYAESFNSPDDVAKQ TIFAPGLIEVN CC84DRAFT_1251898 MKTLIVAVALSSLAFATPLAPWEASAPPPAGTTFYQLQAKTSSA PSANNQYVQYPSNPSQYRYQLSKPGGENAGTPAKFFLRKYEATGTYAIHNSDDTRQIA LAGDDSVLLYLTDATNPSAGNIPGGQLMEWATFTTEGNVLGVKDGSALTNRTFVAVRG TSDSWFVALYDGVSSSREMIYPITLNLVKVA CC84DRAFT_1152037 MVGVPGRSKGCITCRKRKKGCDLKQPSCGQCEARGITCGGYDLD RMFVHAAAPSYPALVATAPHRTGRMQLGPVNMHAYNPVQLGTSLPHGLANSAYREKTL EMFFSMYLPQGDHNHRDLLIRPNNVIDLLSSTYASDEALKLAALALGTSMLGRTRGEQ EWVRQGRRLYGQALRETRKALIDQQRANSDAMLLVPRVAAIFEMLFGADVNPTLQAQN WRRHAQGELAILTAQGPYRFQDGIAHHIFADARMPPLVAAIRRRKASILNAIEWKTIP WGKHPKAPRDCLIDILAGIPEVLEDIDNLRCSPNDTIARADITIKCRKLELQLQTWTT ANEELLAYPDTEDPTEITFRGNARANLTLLFWTVAMYTYGALAIVLRPKMPTSSQSSL ITSTDALFYARRIARSVRYFFNPLRGISGATTIAFPGGTAMLCLIHSGMERNKEYLEL ARGAWSSLTPSSGIQDFLNSMMLDTAAELKHGLPRLGGEFWNIEVALSRKE CC84DRAFT_1152039 MVRQTPPPQNGFNAFARKVYSPLGFSKAYNFILWFIFAGGLFGF TLARFMYLNFGGVFCPSGGSNSMIGAAPGECYWYRTFNWYKTGIILHLAGILPACILV ILQFTPAIRHKAIIIHRVSGWLAMLLWIVSTVGALMIARRAFNGGIDTQAWIGLVGFG STICFMLSIWNIKKLQIEQHRAWMLRGWFYAASIITNRIILIIATSIISAVGSYYTVW PCAKIAYTMRSDEATLEAYPECASYVNGSDPLQQAVVHARFGGRATEIGAALNMCFGM ALWLALAMHAIGVEVYLHLTPKEAERLRNVSYQRQLEAGMRNPGSAGLTADKLGDSVT WIPQARKDSIGSGNTLTADAHNNAPKSELPMSD CC84DRAFT_1261995 MPTILIVGATRGLGASLANAYKSDPNNHVLATARTANPPPSTKN LTYIPGIDIATPDAGKTLLNFVHTRSISHIDIVIITAGYFATESLKEPSFEAEEKMYR TCVIGPTILVTNLANDSKLLDSKSKVIFVSSESGSITLRHEKEGGGNYGHHASKTALN MSAKLLSLDLKERGVAIAAVHPGFMRTELTKGVGFDKFWDEGGAVTPDVAAKSLVEWI STFDIKKTGEYWAPRGPADIGTADVVLGPKDQLSTPLQLPW CC84DRAFT_1251904 MMRFACSQLTVERLDPLVNPGQIGTPHTHQIVGGNSFRPDMEPV KYDLPTESTCTSCTFSEDFSNYWTAALYFRARNGTYKRVKQVSNGGLHQDGGHTVYYI PTYDGVSNVTAFAPGFRMLAGNPMLRNTTGESRGICHRCLFKDQQPFGGAPCTGNDTT HLPDHQCEGGIRTQVTFPTCWDGKNLDSPDHQSHVAYATIPFEPYADPVVSRPYTPEL ERGKCPDTHPVHLPQLMYEVIFDTTEFNGEGMWPEDGSQPLVFSMGDATGHGNHGDYM FGWKGDSLQRAINARCDNDHCKELTRQTDEEAMKCKIAQKAVEDVGNDNCKLSLREGS KVR CC84DRAFT_1152045 MHLLPIRIIYHAPITLSDGTVLSAMIWLPENAESNPVPAILEYL PYRKRDMTAERDAMNMPYVAGRGYACVRVDMRGTGDSQGLILGEYLKQEQDDALEVLQ WIAAQKWCTGSCGMIGISWGGFNSLQVAARKPKELKAIVSMCSTDDRFNDDIHYMGGC QLTENFTWAASMFAINSCPPDPAIVGDQWRDLWLQRLKSGGFFAKEWHENQRRNDFWK HGSIGEDYSSIEAATYLVSGWQDPYTNTVFRMLENLKCPKKGLVGPWGHKYPNFAKPG PQIGFLQETVRWWDKWLKGIDDTGIMDEPQLRCYLQDPAPPAPHDKFRPGQWVAENKW SDEKALTRRMGLVPGRLTEKPSSCREDAKMEICSPQTLGFAGGRWLIFGVEGEGPSDQ RLEAGGSLVFDTQPLKEPLDLLGAAVLNVRIASDKPDALLAATLSEVLPGGAATRVSY GLLNLTHRDSHEDLKALEPGKFYDVKLKLNHFGQRLGVGSRLRLALSSTYFPIVWPSP EMTTLTIDTGSSSIDLPVRTDNSLDSQLKPFRPAINGSLAKTQIRPANHKNFVKQDWD TGRTELVVDWDDGKWEIEETGWRFGWTTPMVMGIHPTDPLSAEVYQGMEREFERGDIK VGFKGWTKMRATATEWIMTARIDAWEGDKAIFGRDYDFKVPRDHA CC84DRAFT_1098404 MLFSGLALGSDGYNAAVMSNLNLLLTVIYPDALSSDMKARLSNA FLIGMIVGMVGFGVVVDQLGRKTGAVLTTLILLLGIALSTGASGTSPLGLFWMLVVAR GIAGVGAGGEYPVAGAGALEASDEAGHFRKRRGFLFAMIGDLAAGLGYCFGALVPLLL LLCVNQRVDKYHIVWRTALAVGAVPPLSIFYFRMRMKVSTAYRKSALRKQRTPYVLIA KKYYRRLFGAALGWFLYNWISIPFGIFGSTIVSRMNPENSLLQNLGWGVVINAFYLPG PFLGGYLSDKIGRRKTQCVGYTAQAILGFVLGGAGTQIQTVFPLFIVLYGIFLTLGEV GPGSTVVITATEPFPTSVRGHTMGFISACSKAGAAIGTEVFTAIMASYTDPNKSNQVA FLVGSAFAVLGALNAWFVIQDGSKVLDNEDEIWKDYLVANGWDANWGDHETEDPKLTR NQALLPTS CC84DRAFT_1152051 MVEQSLTSFTFFNVAVADSSIPSFTTDDVWRIATFALPSFVTLS FILLTIWEYQSWRRLSHIPGPPAAHFTILWLLKHAWVGNLFPSMVDAGNKYGDLVRIG PNLLLCSDPDELRRISGIRTKYTKGPAYDAGRVTEGDPHVASQRDPARHKALRAKMGT AYSVDVQPVIDRQISKLIDLIDRKYATNRTSTNPTAEGRRNETSARIMNFGEKMHFYA LDCLGAFAFGEPFGFLQKDEDINRMTQINDLSLRMVTVAGLVPWLSGLRTMWPFRCLV PKEGDKVGFGILFGFAKNLVDRRTAPDAKPKNDMMQEFIRSGMTRDELMQQVYIHIIA GSDTTSNWSRMVMLCLLTCPPAYMALQQEIDAATASGALSYPTATDAESRSLSYLDAV LREAMRMHPPSVSPSKLSPEGVDTVCGYAVPGGTQIGANVPGVLRSQAVFGPDADCFR PERWLEAAEEEDGYRLSRMKSTLALVFGAGKFQCMGTHIAYMEVRKLFVELMRRFDFA IVDNKRPLHVESLAIMVVHDFNVRITRRTRFPMLDREDAEA CC84DRAFT_975655 MGAFLHPTPCVGVAGTSAQQPRPQGKIRRRTGGSAACSSTAQRW VQYLLPGINLPMLTTGHLVYHPGSEFAVSNGESHLCSNALARTLRGPDNVRPI CC84DRAFT_1178921 MSMDMAMPSSSSAEAAALYQTTKELISEHAFKIVVWVGVGICIA TCILRFWIRFMCFRRLFVEDYLMVGAVAVLIAISAVLQVYLGDLYALLHVQNMLAAPG PDFMNQMARGLKGDAIAIVLSIIGLWMIKLNFLLFFYRIGYQIKAYFITWWVALVVVM ACGVINLGMVPYDCMLGSTMHITVTCAMESRVQKIYTLYIVSVVIDVLSDLIIMAFPI LIVWKTGLNWRQKLVLSSVFLLVGFTIGVTIVRGSIFGGVYKSVTQTNRQVIDSSWML FWWYIEFVVSFIIACFISFRSLWSSKRDESNANYRQQLAEKQRIMDLNSPRPSGSHGS GNSSTKSKWRKFQDDLLTTFADLEGTTINSNDSFLHLQPHLATMDLDFSTFGRNPSVS STSEITRKDSANASTLGPKSPPMAYSPSMAPSSPPLH CC84DRAFT_1167118 MPEFREMAKVTLFGSGNKGGAKFTPAKDIPSLADKVVLITGAAG DLGRQTAIELAKYGRPARIYVADLPRGDVEKKAVIERITREAYEQEKDATTPRTEVRF LDLDLTSFDSVRACAAEFVAKEERLDILFLNAGIIRVAKGTTKEGYEVHFGLNYLGHA LLAKLLIPTLEKTAPAASKPRVVVVSSEGHAMAPKGGIQFDNLKGECAAMDYSQRYGQ SKLALIHLTRHLSTLHPSLTTAAVHPGRILTGMADALKKESLLVKLTAPIAPFFCVPV SVGIINHLWAATSPDVVSGSYYEPVGVPGKESALARDEALGKRLVEWTEEQVKDVKPL A CC84DRAFT_1208110 MTSVFSSLVRPPKLLSRASQTAPDTLTSLPVLAPNAEASDSNLR DLNVALQTLTDMFPDLQPEVFREMLSSLGPESRVQVVTEQLLRNGAKWARGRYRMPAE QEEQRAVAHKYKYRNVDPEKDTRGLPLAREDRFRSKGYKEAAKEALYAEFKGLSHATV KAVLAEYNWSYTQARPTLLILSSQSWRLSITNFLMRRKAPSADDHPLVMWLAPDAKTG RGRKPLLVKTKSLELDQELYETLIVPELEKQHREQMQQDLELALKWNEDEAEAEGEMY DCECCFIPSTLQQMSTCDVDGHYICFRCIRHSISAALYDQGWARNINTELCTLKCIAP VTDSVDDCTGCVPLAFVRRALLEEPDGEDALRKLNERFTNEAMLKSRLPLVRCPFCPY AEIDDLSLPSAHLLTSLRFKRIPLLFASVPLLQALCFQAVRYFAFSLTLILAFLIVLN SALPTTFPLFSPVQTALRRVHLKRRGLRFTCLSPACARSSCLACSAPWHDPHACYSSQ LTSLRLTLERATTDAVKRTCPQCSMSFVKSEGCNKLVCTCGYAMCYVCREGLAGVGYQ HFCQHFRAVPGSKCAECEKCDLYRVEDEGITVARARERAEAEWWDAQGGRAQEELKVR AGRERVGVDEDSKWRWWVRWEDVTGWVESVVESVVA CC84DRAFT_975719 MGDRNPEHAVPAPAVALEGESTTRCSPGKRKRADTAQSAGTSID ALNSNIVAAGSETQSNGSATPPPKNGSKWVESEVSDVSMGADGAQSSDSVTPRTSVNG GAQEAEVPKITPSTTDATPGVSVTPYSNAEDIGKRKEMNTADVPAKTSYLPTARMSLW AGPVYIHIGDHEAIPDHAPPAMRFLKSYLPVVEGPQAESRAAHHHPTAMRKVNNDPPQ VMNSSTPALPVQGTLPIQYESKLFRAWAIPNTGEPTMDAPRQTVLMD CC84DRAFT_975729 MYTLLVVAVVLALLFDTAAASSPVMDPTMSAPFGSLYPDPDGIL SNLCELCAMPMGACLHTIASYQVQQTPPFAVEPFYEHLEWPNNDPYAVSAPITDHMPV DMPFSYPYAEEARQPLVNEIVRRLENDATTVNPNTVLKHVEDTYTPGDRTAETTSRRP GHKRQQPRPCGFPCVYEGCGRTFDRSCDLKRHQKTHLIRSERPHKCSYCKEGFLYPKD RNRHERTHDESTASQNQLFRCPVPGCPNVGFSRRDNLLRHQRKQHPHMGMSSPQMSP CC84DRAFT_1251913 MQLFKALGRGLKSTLESIASPLKYLGTTRSVGREGITHDLDFTI DLVDLPDLWTFLATHDVEAPARDFFYALDFTELMHRWDIIPMLNRTMATSTRPILPYT MYPHAPGLPVRFLVGLAQMPFDIHTNILVDDSPRFTPAFLARLTAPLALPNMTPEAFG ALTKWLYTRDPPIFSCASELQHLCELWVAAAQLGIYLKANTLLRLGMELMTPGDRVAD FGTARWVFSNTPAGSPLRGFVIVILAQRSQPNFAAPFQAGDVEIWKARTAFMGKLQHA RKVLAFGTRNADGRVTLDFEKEKREGMGVGLGKLPMPAFLVWDEKVWNDRGQVVPDRF FVFPETEEFHEGLVGWLKAE CC84DRAFT_1178927 MKSFLDLPGEIRNMIYTQVILDILSKPHDFHSFDKCTVPKSAFA LAQVNKQLRKEYLDTYFRGLTFVIKWPNFNIPVAQTFLATGTTGAQPAALWLRVGSGT SRDEYSEIDILSLVWLARRVGSHNYERHVAISATENNLQDEVVALFVNRLGSLVHALT RYDKVSFCQSKAPREHTTSFGPFTHVYVYQGIKSSVDEAWNLEVLIVLEEPWKTLAKH EWNVLVMIYSALRRLPGATVHVKEKIDGVVRRYVCEHKNGSGLCVISVAECTESATTE CC84DRAFT_1099554 MLPFAIAASALLAIWSTPVAAELIRHDSSWSPDTTLYATAANIT IDCQSRHSVLLNGSSPGPTLYLEEGKTTWIRVYNQIPDQNVTVHWHGLSARVAPYSDG SPQASQWPIAPGHFFDYEIHPEVGEAGTYFYHSHVGFQTITAAGPLIVKSCEPELYEY DKDIIMFQQDYYNKTDATIEKGLLANPFVWSGETTAILLNGQSGKAQTDNATDSSCLP HIIEVEPDTTYRLRFIGQQVISLVTLGIEGHDNLTVIEADGSTTKKAYTDHVQVAPGQ RFSILFKTKSAEELKRLNRTDFWIQYENRDRPASVSGYGLLRYHTEQSGYYQDNVTAI LLPEKKPLTLPKDVTNWLEYTLTPYDTSSNPFPPASSVNRTIVITVQQKVNGTTQWAQ NGNIWKESNITYPYLTEIYKRGQSAIPNYEAALANYGWDPKTLAYPAKIGEIIDIVWE NNNGPSGGWDIHPFHAHGRHFWDLGSGNGTYDATANNAKLEKLYNESGWTPALRDTTM QYRYAEKGVPHTTAGWRAWRVNVSEPGVWMMHCHIIAHMIMGMQTAWVFGDEADITSR APQPYVAGYLDFGGSAYGNATYDPLVNHFFG CC84DRAFT_1167123 MAPSKDLVTLTANCLCKANTFTTQVPKSNLPLEGWACHCDSCRH ATGAMYTQDSPWPEERANVDVSSLKAYNFSPKYDILFCPTCSTPLFFANTQDLNKKLG VFTGTLKNVSSDLVKTTEHIFVGDTGDGGASMWLRKPNADGTEAKRYKGRAEDENGQL AEAIPYDWPSHDKLTGYEAKTKDPVHIWCRCGGVNLLWEPPSYDGVKDEDLPWFVDPK THKALAGFCACESCRLFGGVDVWNWAFAELKDIHFPNKVPGFPDSSDALRALVDAKDP SVGSLTYFASSSDAQRYFCSNCSACVFYAWDERNFMVDVALGVLEASDGARAEGTFSW AFGGAIGHLDENAGGWRFDQYQRVMKEGEEWRISRGYPKNWRRLAREKAEGKA CC84DRAFT_1220327 MPVTPTPTGIIEALRSCGDKGRAKQWLQFLSRIAEVVNLLFSTE REVMFNHRFIKGEYAKGVHNLTYERPSAPAAQNPFCDAGVDNTTKLQALYFGQCVHSV ILSTPLLVYLSSDCDIRVREYDIYVNPQVITRSTNTGTLNNFPVHSIFFVAPSFLPVA AIGFEPFKDPGMILDPSARQMGFKETISCAANYFSNKFNSRREGHRSRPLGTHLNRIK AGLLNSPPSFCNNNSEFRQEISLRVICNAVYEEIERWGGPRVVVSLEAAVWEHFFDTL RARLERDLRQLRVRVDDLEFAGLRIEDMEDRAKDLMSQYYQGGYRNMMALASDLYS CC84DRAFT_1178932 MNRHGKEKKIRKRMGWLTSVAVSITPIILGLKEHYPCPGLLMRV LPPKSQMGETASSIRQRVQHAAPNKQHENSVQSGSEGSIMNYIGTHMRLAPPKLCHHC KETERGYGKKLTSLDLKVAFGPMSPVAGSDSAGPHKNCDSRKNFGPMRDKTNISLPAE NACRQSALL CC84DRAFT_1220328 MQPIDAGKRAGILAGDRGLRGVLTLQSFVTSFRVRYSPQDSRQQ PSLQQLGAFLACFVAWPVTNRYGRHKTLALASAIFVVGADIQTIDTHAMGAFYPGRVI AGVGLGAATVVNLIYSSEMTAKHLRGQIAAFPCRTWSTMTFSKASLPKESMQWQIPIG LQIVPAALLGLSMLTLKESTRWLTQKDRHEEA CC84DRAFT_1251919 MTMAEKKRPNFLIILADDLGFSDIGCFGGEIETPHLDKLAQKGI RFTDFHAAAACSPSRAMLMTGTDHHIAGLGNLIEWTNISGQNDPSGKMSTAPQRGMPG YEGYLNERVVAVSEVLQDNGYATLISGKWHLGLTPDRSPKVRGFDKSFAHLPACSNHY AYEPQLEKAAGDKIPDFMTMSFIALHSENGEYVRKLTDGWYSSNGYGDKLISYLKEWR AENPNDEKPFFAYLPFTAPHWPLQAPKEFIDKYRGVYDEGPDVLREKRLKKLKELGMI KEDVTPHPVVADEVKAWYEMSEFERKMSSRAMECFAGMVSCIDYNVGRVLDHLEETGE LDNTFVCFMSDNGAEGAAYESYPIVQGQMMGHLQKYYDNSYENLGNGNSFIWYGPRWA QAATAPSRLYKAYTTEGGVRVPFLARFPTDTLDASKVNSITDNFATIMDIMPTILDMA GASHPAPQYRGREIVSMRGKSMMPFIRGTTPSIHEADFIQGWETCGRAAVRKGDFKIV FIPKPRGTETWQLYNLKSDPGEVFDLAGKEEYKAVFEELMQLWEQYVLETGVVPLAPE LGRWLAAMDEQMVEDAWIEYEYWKEGAREEPKRFMKTPWRYQGERKVEQI CC84DRAFT_1189333 MPEVQIPDGYSTRFVDPESNLVQSSVEFVCMTANKTVEGSRVPE KEKPWESAGAEVSARMVGWAAAVVTAAMLGL CC84DRAFT_1178935 MASLIKRIRLNQLPCKIPNSPSMVAASSPFGMSKAALERRMHNQ IPRKRHTPYTAAKSAARPTPKHVVRPFPKRNTGSDSKNPSASRGRKSKPEKRGTNRTR IVGTSGDRISQWSARRILASRQHPFNPSKTLYKVQWKTTWEDASEVNGLVAAEWREAL NEGSTFGFKARDGSEWIVLKDTTCLENDSEDSQWEMWRAIRRNAVKELEQDWAAGLKD GEFIFASEEDTTRIKYILGERWSEEMSATSILRATWAELHCDFGFTESDILLGDTKVR FIAQIDQYMISEKEKNYSDQENRMAFSVADIFKTLTPNPLQGLEDDAFSQGNVHSNYS QWCGALKALIRNAPFMFKGGTWLQFLAFLLLGSEAFRAELALAGIEVQEDWCQRAREY NIHMYYEQIVDDRSAHEIQETFLNLRDFFRDLQLNNELAVVSEQEGMVKN CC84DRAFT_1125932 MAAEMAHPGPGLHAPPSRTSGDAAPTMNPESPQKSVEDFSASNN SILDMERAQEKASDVPFVDAFLVVFNGPDDPDNPKNWTTRRRWAITVVVGMLVFTVTF ASSIFSVNIGIVEEQFQVTQVVATLGVALFVLGFVFGPIAFGPMSEVLGRRTPLLYGY ALFAIFQIPVAVAQNITTVCVGRFLGGFFAAAPLSVVGGILADLWDPIPRSYAICIFA AGGFAGPVAGPIAGGFIAESRLGWRWTSWVTLIMAAAVGTLAFFFAPETSAPRILQYR AAALRNESGNSEYHAKADAEKLTLDRVMTVYLIRPFVMLIQEPILALVTAYMSFLYGI VYLLFEAYPVAYHEQRGWSLGVSQLPFTAFIVGIVLGAAVIAYSTATNFTKAFNKHGK SIPEERLPPMIVGAVCLPVGLFWFGWTASPAQCTSWVPSVLATALIGIGCMVPFWQGM SYLIDCYGFYANSAIAVNTFIRSFFGAFFPLFTHAMYTKLGVAWASSLLAFVCVAFLP VPVLFYVYGAKIRAKSKWAPVM CC84DRAFT_1220333 MPLTLVHTYAADARQTRYLLRDTDDGSISWGYSYDPVSEIKSTS PQDLGTRGNLDPDAFCTKLTTSMTPYRAPLDSPLIIKHHNFIRYDRFEDPTMQTHIKA TQEREIWAAEKYRSAPHPNICEYKGVITDVKERVIATVYRRYDTDLFNLIED CC84DRAFT_976069 MPIFSKTRNTSILRFATSLALSTQNTEAQPYLTNQILSTTMPTN SAAWMLGPKQELQVKEAPYPKPGPGEIVVRNRAVAINPIDWILQSQGTAMAFGWIQYP FVFGNDVAGEVVQIGEQVTRLKVGDRVTGQAHSVDKLFNNSAYGGFQQYTILLERNTA TIPETMSFESASVLPLAYATAAAGLFEKDQLNLEYPQLDAKATGKTVLVWGGSTSVGL SAIQLALAAGYEVISTSSPRNFDLLKSLGASEVFDYNDPKVVANVVMAMKGRTSAGAL AIGEGSMFRCLDVLGRCKGDKHMAMATYPVPSHPKRFALLHTIYCFVTSMISITVKSK LRGITTSFVWGSVAHSPVGEAVYARFLPDALANGKFRAAPEPVVAGEGLEAIQGAIDL QKKGVSARKVVVSLK CC84DRAFT_975996 MATSSVSSGRLLTRAKGRDKPQLSCDFCRRRKLRCDRKQPCQSC IRLGQASACSFPFSQRSHSPTSDSGNSINQSRFQERVNHLEALVRMLAERQQEKPPVS TPDSTVTSNTSITTEEGSTTASDFGLMVLQKNTSSYVESDHWTAILEEINDLKDMAKE EAAHGHDSSEEEVQRLPGADLFFLNTYPATKMELIAALPARPTVDSMIAKYFKAADMP ITLIIHRRVFFTQYEKFWQDPIGTPTMWMTILFGMMFIVAYTALYINAGENPLDEDTL LEYQSIVLTYREKMIQCLRLANYMKGTPHTIEALLTLLLTEYAQGEEAQQGCWQLIGT IIRVALKMGYHRDGSHFPEMKPFEAEMRRRTWYVLIQFDIATASQVGLPRMIKESQCD TAEPRNLLDDDFDDTITVLPPARPFNEHTLSQFLIYKSRIVTVYGMICDFTTSSKQRD YAEATRLDALLRAAFTQKPPVLEIKPLHRSIMDGTELITRRLYIAMSFYHASMTLHRK FMILAKSNDKYTASHTTCLDAAYAALQLQAELFEHTQPGRMLYADRWKIFALIQAEFL LATIILCYNLDDDITQSRQGTSSLCTSEVLEKIIAALQSAREIWGKQQDVSKEARTAV KAINAVLAKTHTRADRNLVPASGTIPVWMYPKSGSPLDVVQTKEMPVTTPMPVFVGPT PKNLVSEESSMVSQQGQLGEGMWNEFFDLDQEWDTWMQF CC84DRAFT_1220336 MARWIAAQLQFFEQTLNRLQTLDSPQSPRTTTLEHVLRQTQTSA LRDNSQPVIILAATYFRNYDKDTKKHMDKPPKIKGDQSRCWRHLLDMEKHSSMLFLFV LLCTPKLDFLPVAVVNHSHATLRDGDHWYNLWVRGCLEMYCKASDARLEEVQMWFKEI TETPHQMNVKRDCPKN CC84DRAFT_1167126 MPPFKVKAVYAYESPHEGDLLFPNGQIITVVEEEDDDWYLGEFV DDSGTKHEGIFPKNFVEKLEPERPPRPSNRKSIVPEQPAAQAAPPPPAALQQEAAPAH EEQPEPAAPRAQLPPPVKIPDPAKSQPSPLSPTSAHSGKAQETPQELPPAPKPVPAEP ASAGTPKKAPPPIAKKSDAFRDRLAMFNQGTTAPQPFKPSAPPSSFIKKPFQAAAPRP DAYVPPPREIPQVKTYRREEDPEIAERQARDQENAERAGLSGQSPTAGNDADEEEQPK VLSLKERMALLQKQQQEQAERAAASHREKPKRPPVKKRTESHGQTDEQDGASLEKVAS GEPRERGSIDNARPPRPPAAMKSPDLHANRELLSDANDADQSGAGETEDAEGTSTSVE DDDERSRHIAPSRAPAAPTKELDVGDEQDVEEEEEEEEEEEDEVDAETRRKQELRERM ARLGGGFNPMMGGMNPFGAPMGGPLPPKKKKPSEKKSTDDSEQPAAPQQRVPMFPGML PVRSPEAENKQLSVEKDDSESHPISGSHEPEEVPDIEDLTSRQLQRTPTAEQPPPIPT ERRPVPPPVPSAEYAGAPPVPTSSRPVPPHPPIRSPSPGSESGDEISDAPPQSATSPK MSSAMHPSKRSSYPASDELSGDSPDRRAIPPIPMGSPPFYPPGAGRPPPPPPPTGAPP SRQQTMDSIVKKVDREGETDYEGDYDTDIASGATHKDALKSHAREVSIGDSTLAGDDT PVRSPVTPSAPPPLPPSAPRAVPPPPPQQPPTRASMDAPRAAPPLPPVPPPTRDVYDD DDYDPYKYTASPHRGAPPPAPRGAPPPPTARPPPPSMPPLPPSIPPVPPQQDVESEDE DDLYAPPPRKSHDRPPPPPPQVPPPQHAPPPPLGVDRTAPAPPHDRAAPPPPPPEPSQ PPRPSMGRKSLDVNRSMQGRASMDQSRPSASQEFIASDLDLGMASHWWTQPNMPPPSV QGRKDVLFDLDESRSGNSIEKAVFVLYMDYSQTIITARFQANDVSNVQLNQRHEPPPP RLRQDQLEAAHERFGQRIAKDVETKQNTVVGNGTPHGLIDELLRPYTDALKPVGTRAF GALVYANLANASTQQYDEIRPGDIVSLRNARFQGKHGAMHAKYSVDVGKPDHVGVVVE WDGKKRKVRVWEQGRESKKVKPESFRMEDLRSGEVRVWRVMGRSWVGWS CC84DRAFT_1167127 MPPKSILKKTPAATSTQGQAAVAANAPPPARAVNQRHLSTAVHH ARIYEQRKQAETAILDAVFELMDFPTSPDADPTRPSPSDAHRFRQAIVPFQPSDYDGL IEERNIADKCGYTLCPRPKGKAPSAAKKHFVETSKGVQIVDRKKLEMWCSDDCARRAL FVKVQLNEEPAWLRQGDFGTEIELMVDNTEDHHKALPLRLKKAAAPAPKDTEEEDAAA AWAARDDALADLAIERGETPGRLSKVNKDLITDKIKERVARFQPTPPSLPPGQSHMAI EGHVPKSAREAERKDSDDEDDEQDWDKALPG CC84DRAFT_1167128 MALRRQSLSPTANLLRNSRLFSLPNPLPKPPVTESYGAGTQKIS DTATLPFPTHQAIATTPKSLARGDWGLKRPLPSRSRIVQKANPVVKVTQLDTIEHITD FDSASDHVRTRQKFEELSVPMLKGMAAMREQALGVAASGAFDRRSDMTSYEEDEGLDE AGVILEAIKKSVAANNAKAKKTFVPFNLPQPDMARHNARRWKHEGPWLPGMSADEFTK YMTEQLSRRKAEFNKYLRHYVKNEIYTSRQVASRNKTPENPLDLDAHLAQQEDPAYIA SQEKVWAKISHEEIQAGIHKLRAEAAVNPLQSKLVQRLIVPFLRIPTIKLKDTTFSAD AKSDDAVRYRFDDDVAPLSTHPSAGLGYLRTNAVVSNHPILGPQKERAPVQARVIESR TASRSTTAKLGVAGFVTNDEFVSTGERKSYRSNEDPAYDIDIHTPGGAKINVLPRYAS VTNNGRVHIKLARSVGAEVQVARGELEDRPPVRSSLENPEDLLRGLASGSSGTELDEQ SPQAKQFMQSLGDVARPARQAGIKGAGVVQQASQRVERR CC84DRAFT_1262014 MQPAILFLFLSTALALPSLQLRVANSTSNRNNTCDPQKTALLTD GIQRNIDLQMKEIQSLKTLENLAKSANTTLRAANSTSGNDPIYKVQQSEVAKLQQEGI TIRTENQKIARELKSPSALQKGLASVAIEQNSARVMLRGLVAGRNDTAMIGALVKGVE EGLKINGENLGVAKGGKGCGK CC84DRAFT_1208122 MSPAPLAQDAGAATSPSPPQPPQPQPPQRSPPQMPSFDDMFHQK FMDIIQKWKDDPRSPQLTSRDRKDFIDKAYRETDEIVFGGRQLSDQEIKLRALTKVFY KVLSEEPWSEDWIRPQDVERKLSRFEMHKDSVKLASEHGIYSPKEAIIAARKALQLQK NVFQDKDGNPDKTNLRGPFDDIEIIYIPGTVSSTATNKIDRDNIYPVSVASVANILHN HICRDMTRPFAMAQKFVTVTDTDELIKMRQFACDVCDFAPHLLDNDNISLLVVLLILT RSEICRRFENNGIRIEGSTVSHRRAECMKQKLGWKNPEDRKPFDNVAIELQTRVKNAC FPAPRLQTSRVNRPRVNRKSSTPGTPGTPPIGYFAPPQPRYSLPSGLANSSIIVTDPS GASPYATTHQQWRNGAGASPSSTKAAPARTLLRTGPGSSATEPMDVD CC84DRAFT_976338 MFSLFVPKTRRSQRSPSRSPSRPRQSDPPRDKPKAAPVELFQSL DFWVIKDQSKVAKRIVPYMTAKIQEDQQLQSFIKAKPWMEELISSEDDDDEDEDNDDA EDLSDTEAAFWKDPEATMKNLRDVTRSWFHMLLRYTFRAKLRDGWKTPSLPFDLKEFD DEAFTSQLEEKQVRSLIRRPNLFSEYKETYKETKGRIGWTRFCARIKLFAELVGLETE SIKVEQILQELEKSLPDLKNARKLQAQSLLIERLKADAQSERALREKIAADAASVTTL RERFESKKAESERQLREQLEAKKAESERLLRKQLEAKGAEAERKLRKQLEAKTAEAEK KLREQLEAKNAESERALRERLAANAESERKLRENLQAKSDAERKHRIHDCLVSCRELM EKLVGGELPAAKQQGPFKGQQYKQRWKDMFHTQWNNCKASRKPGHPLVGLVTQQKYYI VGKDLYGTISERLHNHKEYRDKEVDADVLKMVHAILPAAYSSPTSTEKERDWNITTEK KRWGLP CC84DRAFT_1061728 SHISEPPVWLKYVQPLVDFERAKGWLRICTELHGDNCSGHKGYV IQNFRLIDCSTGDVVAANKDEPYVALSYVWGEEEASAQEIHAYPKTIRDAIIATKELG YRWLWVDKYCIDQNDTNDLHYQFQQMDIIYQQAAVTIIAAAGRNSHHGLPGVNRSFRA PTSSVVLGDERMCAIPKPELKPENCRWISRAWTYQEGLLSTRRLVFTDEQVYFECQGC YCAEMLDIPLESFKKMHAPDKPYLHKRYRAAGRLGLFPLNGCGIDPWDIYSRISEYSE RSLSHESDILNGILGIFRAFERMPNSMRHLHGVPFAKATGSPNGS CC84DRAFT_976422 MERLANSTVAQGEKLYTHINACIVCPPHRDLHWTAQLVQRLHVS PGETRAVALSCLEKKMRALVEATRGTPRLGACEPGENPERTVRRLARQLYRHFTANVI VWEVGRGAVGAALGLVLHGTSGVTQEAALRALNARMKALVEVNKRGR CC84DRAFT_1220344 MSERGAFRGRGGGGRGGDRGGRGGGRGGHGGGAGGHAERPKKEN ILDLGKYMDKELTVKFSGGREVIGTLKGYDQLMNLVLDEVKEAVIDEEGNTRYRKLGL IVARGTLLVVISPVDGSEEIANPFIQEEE CC84DRAFT_976432 MSAADAPTAAVHQHHHGSSLSGDLKRKRSPSHDHVHPATAQKAA KTSSNHLQINYLARHCENDLPLLTNDDTLPNILSLLSDYQGVLDRHESMACNLGARPL GPILVKRFERLFDGPPRVLKSHGKDGTTVTWLDVVEFARNKPEQFQLGQMSEGIRVCQ FYTKQCRVQISEEDFVLISSGIPQKMIPPQPIIEDEEKELGTLEILERNLGQICQLAD QVAARTRQLNHRLKGRKQAILDRRATASPAPPIRPSSPSNVALMNGTSQVAATPTHPN PPTQSSPGGFVAVNSRPHVENGASSSTRHELMVKFHTSTERRTPSQPSNGSVDARRPS MGSHTLSHSAPPPPQAPKPAKPADLPPSALAQQRPSHMSDSELHQVMNSPVPIPNTPS SLLPAASQRAQQPQDKDDGGPFKAEMVHRMESLAKGERILPPCDRCRRLHMDCLKNLT ACMGCTKKHAKCSWREVRDNELRSGTYSHPPSTGMMSGMHSESDEGEVGSGSGHGMHI ERASTGSPAGMLSPQRGGLTPSHIGPSPAQHLSSEHRIPSSRNSPPPHEREQSRGVEA QLQEAAKSGLAHASARLGAPPETSKPPEYQAMVA CC84DRAFT_1167136 MEKIKNILSHGQDKEGGSAQGRHDTPTTAEFDRSQTGGLAGEGS HLTGANTSTEASGEHHPIYDQFAKGPHENMSNASIKSGVIGFPQGADDSHAARPNNNP FEQKQHGSNQPGAGGDLSGTKAGSQGSGLQQGAAAGTAAVGAGVLAEHESRSQPQEPP RKVSPNERGLYPLDADLDAEDPNYKAGGQASGLQQGAAAGTAAVGAGALAEHGSRTHH QEPPLSGPNERGLYPLDADLNAEHSSSMAAGQPPQINLQRQESDVGPDSGRSFPLAGG VAHQNPQTSTGTSARELGTQVREAGLSDSAGREGLAGAAAAAAATSVIPQHDGRIEPN LQAKTVDQSAPEHHYGRDAAVGGGLGAAGVGAYEATKHGTEQTQSTTPAQSANIQGRG LEQPAPEHHYGRDVAVAGGSGAAGAGAYEAAKRHQTSQPTNPAQSANILDRTVEQPTP EHHYGRDAAVAGGLGAAGIGAYEASKRHQTQPTQTTGQSANPDALAAARAAAADEHGW THEHKGLGHDYRGDPCDTQQDKSPAERGLLFTSGPHATDTANRTDPKLHIPGEFPSPT PVEESNASSYVSSKPTAKTTPSAFAEPELRHTGSLDEPQARSAGQPTSEHQYGRDAAI AGGLGAAGVGAYAAGKHHDKDSADVGSEIFPNEPNPYTKNPVDPRLGTTSKVGFTEQR FDTAASSNRSPRNAVLTGGAIGSSQPSTQEAPKAPELSNKEYPSAGQDVKSDSQHHYG RDAATTAGLYASQRDAEPASGPASSTIGPHKSNVANVLDPRVQPDPELQKHNNAAPTV EDPAPSTVGPHKSDVANIVDPRVLPDPQKQKAVSKEETRDQQHHYGRDAAVAGGAGAA GYGAYEVAKSYGDHPSTQPSAAMNDQRYDPSARGAHAPGTTAPATSSQEQHHYGRDAA VAGGLGTAGAGAYASNRDHQLEHTQAIPGGHGLGEQRYDPSVSTQQASAPQSQHHYGR DAAVIGGAGSLGTGAYAATRDHSQPQQPSAAQGYQQPSAAQGYQQPPIAQSTAPTQQP GASQTQHHYGRDAAVVGGVGALGAGAYAATRDHPMSQQPSAAQGYQQPSTAPSSQDQT QPGTYPPQDPSQKEAHTKRNAALGAGGLAAAGAGAYGLSQHDAQKEQERQLKEQQKAQ QKALHEQQKASEKAAAADQKHHDKLVAATEKKHAKEAEHKEREHAKELDRREKERLEQ ERAAQAASTTFMVPQGEREYPDGSTEARQAQSNSPPKEKKHRILTFLNKKDKDAPRSG SDDTSPRGSRDSPRHSKEYAAGAAGVGAAGVGAAALAEDDHEGRYLAEKKGRHVLHKE PPKNHPAYETHTHDPVGKREHTGTAGPIGDPNLVSGDHQTRSGVGAHPAADAVGGHHT VTEPHTGLPVNVDKYGDGHGGTDASRTIPGVHEAPGQTTDWDAIKKKDTLF CC84DRAFT_1167138 MPLRSRLRRAFTRGAHDDPQVVKGKKSKNYDPNVYQPGEKMPPL KYRRPVAPEHKAHLESFDWAKAWRRKSTASIYSPMGSRMPSRKSSFSSFRTRRSVRSV HGRRSQSKPRRADDYRENDSGIGASLSGDHQLIEDSDDEGDITNVGLSRNPTEDPKRP RKSSVSSNGSRPPTADRTRRPSAATETPFDPEDLEAALQKTTLDTTQEESERSGTISP PNPSDALTEPVQTLPEPDHGPEFYDRLRPRERLIAFDDDHTFESISDPPRAGTY CC84DRAFT_976466 MGSAPSRTATSIAVPGPSLSRIFTRVKHLAAQRPTSFCRSGGGP ADFTPQYRCNAKCSAKMHIFSITSRMHFTHMSSCHAVGSHALTSIALLKLLHDLVVCP DYPVIWSPISCISVCGLTHLRTSFFLHKWNGGVFADNWRESTSKWYNSNVVQPH CC84DRAFT_1044707 HPCVFCPKSFARQEHLQRHIRTHDGKKDHGCPLPHCGKAFNRND NLVAHFATH CC84DRAFT_1220349 MQNHNDAPFPFMQLPPELRDMVYENLLEDPYYPPPQPCPETRGP SWLSSMAQIGSSTDAETTGHKSNLLFLANKQIYAEYMDLMCKKATFHMTVAPHNYTPP DTPAEEEKDIWQISPEVLKKIKRCDIKLITTSTMLGMSDPRTMKSEEWALARQMRRQL SEIENISELNLHVKAIGDPLWSPLWVWYHATEALREMGSSSSHENDCTKPTVVDDKVK SVALSNSAPSGPQFSRITLALDMWSPGENYLMRDPANNNQWAWWCLRGHCVGQVGTEH PVRQFCSWLYDCPTCSPEEIDGESNPSPGTV CC84DRAFT_1099049 MATLVSRILFLCAFLPSVAQCATKTYDFDVSWVSANPDGLQERS VIGINGQWPIPTINVTKGDQVIVNVKNSLGNESTSLHFHGLYQNGTTHMDGPAQVSQC AIMPGSTFTYNVTVDQPGTYWYHSHVRGQYPDGLRGAFIVNDPEDPHKDLYDEEIVLT FSDWYHEHMPDLLASFINIANPSGAEPVPDSALVNETQNLAVKIEPGKRYKFRIINMG AFAAQYIWFEGHTMQVVEVDGVYTEPADAEMIYVTVAQRWSVIVTAKNDTNANFAFVG SMDEDLFDTVPDGLNPNVTGWLVYDDSKEKPAPKEIDSFEPFDDFDLVPQDKEELYDH VDYQIQLDVKMDNLDDGANYAFFNDQTYVAPKVPTLYTVLSTGPNATNPEIYGSHTNQ FVLDQNQVVEIVLNNDDPGKHPFHLHGHAFQVVHRSGDDAGFYDASNHSSFPATPMRR DTILVHPNGNIVLRFRSDNPGVWLFHCHIEWHVASGLIATIIEAPLEVQKQLSGKIPQ DHLQACKAGNVLMEGNAAGNTVDVLDLKGETSFPGPIPAGFTARGIVALVFSCVAAFL GMGVIGWYGMAPIKAKTV CC84DRAFT_1208133 MVNVFAVPVFFICFRETLECSIIVSVLLAFLKQTLGPDHDAQIR KKLVRQIWWGIGLGVFVCLVIAAGIIGTFYTVGRNAFDSAEYIYEGVFSLVAAVIITL MGAALLRVSKLQDKWRVKIAKVLEQKDSIGFGKGQMKQWSEKYAMFLLPFVTVLREGI EAVLFISGVGLSLPATSFPLAVICGLGAGILIGYLIYLGGHRTSLQIFLVASTCFLYL VAAGLFSKGVWDLEMNAWVKLAGSGAAEAGAGPGSYDIRQSVWHVNCCTPISNGDGGW GIFNSLFGWQNSATYGSVISYNLYWLVVIIGFLVLGFKEKNDRYPFQKAKPVATRDDE SESSGGVVEKNGAVGAPRAAVREMET CC84DRAFT_1167145 MARVCLITGGTQGIGRETAELLVSQGWIVIVSGRNTAKGAEVVR SIKDKGGEATFIEADVSDQQSVKALHQNAFSIYGRLDGAVNNAGISTDSRMIGDASTS EFEKMWRVNVLGVFWCMQEQIQIMKKQQSGHIVNLASIAGLHGILYSGTYVATKHAVV GMTRTAALEYAQTGIQVAAVAPGAIKTDILNDAIAAGAYSEESIASMFPMKKMGKPLD IAQAISFLLHSDYATGSILEVDGGLGAS CC84DRAFT_1167146 MHKPNVPIHGFKPHVKHHVPNKTIPSRRGKDPRTLVRLTDGRYD RPSSTANVASSTVVYTSPYNTSSSNANVTHASDQRIRSATTPTFTPLSLRSLPTSLAC TASASRDTYPSQLSATPPARNPKG CC84DRAFT_1178959 MRVALLSAALAGAAFAAPHYSSLKARRLLGSSFGVPGDNRTFDY VVVGGGTAGLTIATRLVEAKAGTVAVIEAGSFYEIFNSNISQVPATDGAFAGKGEKDW QPLIDWGYMTTPQVGALNDSIHYTRGKALGGSSARNYMLYQRGTESSYDMWAEQVGDD TFKWESFKPYFEKSITYSPPREDLRFTNATPSIDDCTLGREGPLSVIHAHYAQAFATW ATKGFTEMGIDIIPGFLNGSLLGQSYATFTINANTSLRDSSETAFLQRSLNNPNYTVY TRAMAKRLLFNSDPTPKVTGVLVDTEGFEYILSARKEVILTAGVFGSPQLLQVSGIGP AEVLEPAGVPILVDLPGVGRGMQDHIYFGVSHRVNAPTLSALQFPDFAAKQAVEFNTR AAGMYSNPTSDAVAWEKVPAHLRSSMSNSTLSILSQYPEDWPEIEYISMGAFVGFQED SRRGDPGDGFNYATLVLALCTPRSRGTVTITSPDTYTAPEINPNFLVEQADVDVSVAA FKRAREFWATDALADFKLGDESFPGIQVESDAQILETLQRSYNTIYHGACTCAMGRSN DSMAVVDTEARVFGVHGLRVVDASSFPLLPPGHPQATVLCNG CC84DRAFT_1251977 MFNQRQDDMEKSPSREDMTTDSGQTPINTNGEQKESKRAIRGIR WFLVCVSIFSANLLYGLDTTIVADIQAPISDTFQNVAQLGWLGIGFTLGSTVFILPLG KAFGTFDNKWVFIACLMNFAAASALCGAAPNMDAMIVGRVWAGAGGAGMYLGTLNLST VLSQPKEQGLYVGVTGFVYGSGCILGPVVGGLLADSAATWRWAFYLNLVIFAVASPIY FFLLPSVPRKQEMTISEKVKSMDWLGILLCATMFSCFTVAFTFGGILWDWNHGRTIAL IVVFAVTAVAFAVTQYHSVLTNEDNRLFPCEFLRNPQLILLYVIMSCSGSALFISIYY IPLYYLFVDGDTGTEAAVRLLPYICFYVVSVLICGAFMAKVGYHMMWFIFSGLVLTAG GAAMYTVQAHTSNTNIAGYTVLLGLGMTCSQAPYAVGHLLVPAKREPELIQYINISQG SSQLIGLVVASAIFQGLAFNGMKNVLGPGYMDEEVRGAIAGARSAVLERVTPEVHAQA VDVIVDAISNIWLLVVAAGVLWTVCSFFLTRARFLTSKGEDSGGAGMESEG CC84DRAFT_977415 MSLPQTYKQAVFKAQGQPLVIEEAPLKLPGKGELLVKVEACGVC FSDMFAQQNIMGGGFPMVPGHEIVGKVAAIGEGVELWKEGDRVGGGWHGGHDGVCKAC KKGFFQMCDNQVINGETKAGGYAEYVLLRSEAAIRVPAHVDAAKYAPIMCAGLTCFNS IRNMNIGVGETVAVQGLGGLGHLAIQYAARFGYRVVAISRGGDKEAFARELGAHEYID SSKGDAGEALQNLGGAKLVVTTSPSADVISPLLKGLGILGKLLILSVPGEVPVNTGVM LRYALTVQVWPCGSAVDAEDTIQFTELQDINCMIEKFPLDKANDAFNHMMSGKVRFRA VITM CC84DRAFT_1098971 MFSTFVGTPQSLTGSNKDVTDGGAFAAQQPSPRQRRHQVARACE WCRIQRVKCDNNVPCGNCQSKDRHCSDRASGELRSLPHAYREIERLRQRVRDLEAQLE EGRQASEATLPSPPVSTGNASLSSRDTFRTQPKRHWDGVHISTAYSQQRTWYGPFSLF YFISHMNRYLTAALQQPHLDKHIQPNSVAKTFWSPDCNNLKGNEGSQPQSDGHLVNPD QCLTAVQEEYFLNLFWESHHTSLPILSEVEFKEHCKGLWATPGKPRLPSALVDIVIAM SMQYGMATRPRTFSGTEVGADDPTIAGRWYYRRCQALLSKDLESPTISTVQCQILSVI YLCCASFQNMAHSTLAIAIRTANMLGLHMEPPADMPSAERELRKRIWWSLCTVESKTC MKLDRPFSTCLSPRYCELPADDHETARMAGSNFATLDENVTWLTYNSQHTKLVLVTRS IYTALFDHCLEVFSGENGGLIYDDPPALKRCAEFFTTSIQPLRAWAQDVPDALKTERK GNGVSFSTDLSTLAVEQFAPLWVQRQRLLLELMYHNLSMNLHRPFIVFPIAPHLAEAS SLAHALSAAEHGMALTNIMHQIMTQTDILAGWHEAFQWQWNAAITLVGYLLASPTSNS TALVRQAIDRALVVFGVFGRSFAAAASAAAVVRDLAEKTDLLKERARLEGAQGSEAAL TVTNLAGNYPNHVPQGNGGFLETGGQDYMTNGMLNLMDEVNMAAMQDVLPARSMDMEF MGDGSDGGFDMQFLPFMSI CC84DRAFT_1262027 MAHLTQDHVQYLYDTFGELKVLDDIIQHRAADKVPAPILGYPKG HTADDYERFTGRQLDAFVDAAAKHFLANGLKASSNQTVAIYTPSNLDFVVTLFALSRI GYTVLCLSLRLAPIAIVNLLRQAGCSTMVHGYSQQIESMIQEVAQEVSLQTLHTPGRK VYEIPIKEPRFQRVYNRDEETNRVALVMHSSGSTGLPKPVFLSHKNVLCHPVQGAGVD NFGALPLYHMYGISTMLQAMYMRKIAYMFNQSLPMTADNLIAAIQAIRPQAIHTVPYA LGLLAEQTRGVECLKACKFVTAASARTPDELGDRLVEAGVNLGVVYGTTECGLAGDTM RRGPGDNSWNYIRLYPNVRKFVEMRPVGGGQYECIYLRGHPGLSSTSLESETDGSWRS KDIFVPHSTVLDAWKYITRTDDRITLINGEKVLPLPIEGCIRENHLVREAVVIGIDRP IPGLLVFKSHLADQIPDGEYVDSIWPTIQDANAAAESFSQITKDMIWVFLSTEEYPRT DKNSIIRAQVYRTFAQQIDMLYARIEAQEGCLKLSLPAIEEFLRETFEDIVGASMPSA ETDYFSAGVDSLRAIQMRRIIQKTLDLGGHELSPNVVYEYQSPKKLAQYLHSLRTGTS MAVDGEAELMGQLITDHSTFGEVAILTGATGSLGAHLLDQMISSKRFRKVYCFVRGGK RSPLDRVLGSLEARCIEVSKFAKTKIVALEVDLSLPDFGIGEAMMEKLRTEVTLIQHL AWPVNFNIPLATFRPHIAGLQNLLKLSLEVYRTKSALLFFCSSISTAANTPLTGPVPD EAIEDFNFAADTGYAKSKLVGEHIVRNATRAGARGYILRIGQIVGDTKNGVWNDEEFI PAMIRSALTMKMLPMLHEQCSWLPVDTLAEAMMELTVMLEQERSPLALHSTNPPIFFN MANPDVFSWKDLLAELKKAGLQFKVVRFNEWLKGLQASAERGNEIHNPAVKLIDYYRQ HYSSSLVETNGFTEGTTMFRTEAAERYTTVLASQPGLIESGHIQRFVAGWRQKWDRTV CC84DRAFT_1152113 MASKLPTRRLGRDGPEIPVLGLGLMGLSSFYGTPPPDEERLKFL DRAYELGCTHWDSAALYGDSEELLGKWFQRTGKRNEIFLATKFGNHVTPEGGREIRNE PEYIRQSVLTSLERVKTDYIDLLYCHRFSGKTPVEDIVATMKEFIDSGKVRYLGLSEC GVDTLQRASKIHQISAYQIEYSPFSMEIEKEEVGLLKTCRELGIATIAYSPLGRGMLT GQYKSIDDFDENDFRRSIPRFSAENFDKNIQLVNTLKSMADKKGCTPGQLTLAWMMDQ GDDIFPIPGTKKIPYLEENVGACQVSFTPAENAQIRQAIENAEVHGTRVAESLMGALV MDTPQPQ CC84DRAFT_1220361 MVSGRGYKDWQCLVQCKRSPSTEATNSSSITRTGFRLSTHTAVK MLTSVLSFTPEMAATVTEYCTNSSNDVSGDMKELWDWTVGEFEDSDKMSSPLQGATMQ FLAQLLGAKRILEIGCYSGYSALAWYEATKQTNAEIITLELDPKMIAASRRTIAKYKM ADRVHLIEGKAQDSIETLTGTFDIIFVDANKDGYETYVKQILDKKLLSPNGLIMCDNV FARGMTISTEANPHLPGKVRPYWTECGKALKRFNNFCKNDPRIDTVLMPVYDGVTFIK WKQ CC84DRAFT_1251993 MSEASSPLHEIPEVFRLRSAVPDRLIHLLPKHLKQEVPNHVLFS YPKTSSPRDGFIDVSAAMFADAVDRTGWYLRTTLGEPTNFEAVAYMGPNDLRYFMFMF GAIKVGYKMLFLSPRNNLEAHINVLKGVNCEVFLQAQDMDIDAILDARPMKTGVVPEL GELLHSESPVLEYPYTKPFQEARNDPALVLHTTGSTGLPKPITWKVGTLSTYEAWRTI PHVGDYVPTTEIYQESRRVYTSMPLYHTSGLNAGITWALLLGVTLVYGAPHVVPNPAY VDEMHQYAGVDSSMGAPSLYEELSRDATALETINKFHYVVASGAPLSQTAGNLISKHT RVISNLGSTETSCLQRLSPSIADWDYFYWHPTHSGIEMREVYDGLFELFLVRDPKLSL FQGIFTNFPDLQEWSMSDLYERHPDPSKSYLYRYKCRKDDVIVLSNGEKVAPALMEAT LMSSPLVKGAMIVGRGKFQPAALIDLGKRPPQIVRQRHDLVQSLLPVINDANEHAPAH AKLDQYHILFADPERPVHYLGQGKIQRHRTYELYKDSIEQLYESAENAEENVGLLQSE VSDMPHLDFYKRENIIQWLQQLLSQLTEKKEFGEHDELFANGVDSLQIIRIARELRFQ AKAAGLTGFGPEVLTPKMIYAHPTLGQLSSFLMQQSMSRKRRNSLQGHGRRTSRDLGL DHHESVGAAGQGNNALATMQALLHRYSHDLPRQSASRPRPTTRNMTVLITGSTGSLGS YILETLYRDSNVQHIVCLNRASNAAQRHSQLGPKRGLSHLDPCRVEFFKADLSRKRLD LEDSVYERLLATVTHVVHNQWPVNFNWPLSSFEPYVRGTRHLVDLCIASAHNAHMTFV SSVSAVGAWSGSGPVPENSFPELNVASNLGYGQSKLTTEVLLQKAAQVSGVRSACCRV GIVAGPVQQRLGLWNKHEYIPSIIISSAHLGVFPATFPSRDHVDWLPVDKVSRILFEV MVSTSEMLNSPESGTQVYHIVNPRTVRWSSDFANDALALYPQGTHVRPVMFDEWVRAL NDSTSEIDRDGTLQLDRNPAVRLLDFYSAASRPDQEPRSFITRRAEKASKTLQETGPI NREWLSNWMVQWGFRNNVPGKRVAKASESRTPPAFPASTAIYLNNTIR CC84DRAFT_1208138 MATLNNNPRGNQAVGVSSAFTALATLTVLLRLYTRFSLVKCAGL EDYFITLAMLCSIGLTVCIGVQAANGMGQHIKTLGDNEMRQSLKAFWASLIVYYLSLG LTKTSILLQYRRVFATTSRTFHIAFWAVMAVVVCYTIWTEMVSIFACVPVRAFWTKET GAKCINQFATWFTNAAINILTDFALIILPIPVIRNLNLARRQKQALIGIFAVGGLVCI VSIMRLHSLVRISNSADPTYDNPSPATWSSVETNIGIICSCLPCLRPLIVRLVPGVFS SKQYMQSASRTNAFSRSTRRRSAFPVDTAIPLESKISHRSSNEEGHGADEEEKDTRIR VQTEVHISFDDDNDLNDKESTVKRADSCESLIIQDPAKAV CC84DRAFT_1178965 MKSHLAISVLATLLLSVQATPAAIPELHERQFKAPVSCTATGGS TSSCNNKGLTRYGCAHTDKNRCSTVGTTPVGSTVQISCYQFGEVYDGNSMWYTLNPRT NGMMPAAFFSSCYGMSPC CC84DRAFT_1125986 MPNQLRSLLGTIRKKPTRAADGRPPEAANGHPNDTKTSISHDLA NLGLKNARTIVEAIPLLASGDPIDDKELLLENGVSMLQGMPLNSSLSEKISDGFITML WHDLPHPPPTIAGPTARYRRHDGGGNSPWDPELGKAGSPYARNVPPLKPKGPNLPNVE DVYEALLKREGPFRKHPSGLNRLFFSFATVVIHECFQTSRSDPWINETSSYVDLSTLY GNTEEEQKYVRTYENGLIYPDSIASVRIMMMPPGVVAVMLLFSRNHNRIAENLFTVNE SGRYKPWNKLDDAGKKWQDEDIFQLTRNINVGFFASVVLRDYVAAILNTPRANSEWSL ALGKDIRERGKRVERGTGNLVSVEFAVLYHWHAALSAADDQWMEDIIRDAFPDLNSVQ ELTPGMYKEMMMNYGSKFKDQEPREWTFGGLQRGPDGRFDDRKLADLIKDCIEEPAHA FGARGTPQSLKIVDIMGQLQARDVFNVCTLNEFRRYLNLKPYDSFEDWNPDITVARAA ELLYGDIENMELYPGLMAECTKPFMPGSGVCPGQTTGRGILDDAVSLVRGDRFLSYDL NSNTLTHWGAAKLQEPAAGSYGGVLSRLIFNALPGGFLGTSSYALLPFYTPEAAREIL KGNGVLHLYESSRPPSDREIFSIQTNEGCKKALEDYGTFRTMYQAALRNVTGGNEFLI GWDDPKCHDPRTKSLRKLLFEDGFEQNVAAFFSTTIQKLIQKNSLATVKGRRSLDVIR DVTNIVPVLWLAERFAIPLKTTEQPKGVLSIYEMLTAYLTLFIYQNFNIRPAEEWRLR DAAVKAAEPLRKIFQTHLKTQSGAAEHFVDWLAKGSAFEVGTHADRLYHGLIETKLPI EELVADCIGISTPIIGNLTQQASLLVDLYLRPEYDESRRRIIQLAQRNDVASGKELLG FVFEGIRHANAIPGLPRVTTRDVTIIDGARGSIFIPADHTVLIATSMAAMDPAAFPEP EKINPHRPTKDYSMLFGHGLHYCLGQHLASASLTATLREVFKLKNVRRAPGKQGVLTT VEYNIGGLRMKKYLDASSREAEIPTSLTLHYDE CC84DRAFT_1044463 AYTIFSTNVVAPALAYLYWPEKRDGGRSLGINIATLVGTCTGMI LFGHLADKFGRKRLYGVELVIVICATLGLTQASAGYDNKSMYVFPWIIFWRTLLGIGV GAEYPLSALIASEWSSTEHRGRMLAAVFLMQPVAQLAAQGVGLGALRGISAGHRPPLN PSETDRDKAAPVVDAVWRLVIGVGSAPAILAIIGRLTIPETPRYLLLERDGQAVLEGT AEVYHESMRNIFNRDSPTVLHRIDALNRNILEYRCYGTEILQATSTCWFLLDIAYYGL GLDQPQLISKIWRAKVASDGDLDDAKHPLDWNSNSLPHDETQPIFEVLEGNFVRALWT TGPASVLGCIVILCLVNRVPRVRFMVIMFVVLAIVFAILGGSLFSVYETSHHDVTVVF YAISLFLMNLGPNTITFMLPAELFETKNRGTCYGFAAACGKLGAIIAQIAMKYIRAGG TDKEPLAGLLLFLVPTMLLGALVAAVWIPEVQ CC84DRAFT_1252031 MSLVWSSFWQSVTIRTWLPSLASTKHIGKSRAREWFTLLRNLRK RYFPYGLNEAVRVRIAVLDTGIDNTNPDINEMWGKRAQPPRKYYRNFLEDFAVASQLG TEPWTKEKVDEHIKQLRQRREDLPRDDTGHGTHVAGIIMQLYPEANLFIGRVLAENVI TEDGATRAAARRLALAILFASEEWKVKIISLSIGFRKTFLRSEEKAIVRNAIKYVMDK DPSVLIFASASNEGNRDEILFPASEDRVFCINSSDGDGNRSEFNPPHQERHENFSILG EGVNSTCLQQKNAGTSGRLVASWSIRRGTSVATPIAVSVAAIILHFGREELEGHHRDL ETRRGIKRILESMAPQENRDKFYDIVPWRKGVFVENNGFRDPNESIALAKERFRDILE DAF CC84DRAFT_1053504 MAQRTYFALPTLDHPPNHLITLGQIVTSPAQPWKRLASPLPIAA NSIATTVKTDWGTQLHRNREHRIGIWAQFAAMILGVGADAELASMKHESDIFHFKELE TSFFEPDDAYVEASVVGKEAVAEWVKRNPRKSVYMVTGIKVARGAAHLCARAKGVNVD LRPGVDATPFSGVPVSGGVLAGVGKEKGEKTWFSGSSDFVFAYRLRRILVKRQTVSQS KDYVKGATV CC84DRAFT_1262031 MSVFHRCVLLFGVLSGFVSPAPAASETDRLILPPSPTEAPDVAS TACGDLIISKEQGQTLFWASDVFDCLSSVPFIPDVASRFINYYNQTLQFQSTLAFLRD PPEGYQQPPVDVVAELELLQENVAEGKYNTQYAFEADLQLLINRIHDSHVYLSAGLLA PFTFISPFALISASRDGKELPVIYFQQEVIDSQAEGWEPSPIDKINNIDAVEFLTSFA EINSEGYIEPHADWNALFEHPALDIQNYQSVLHSAIFYPGDAINYTQANGTVTASYWL ASYSEAGHTGPLTTAGDFYNYFVLGILPDSWDASNPQWWPTWEGDNGDSGEDSSEGGP SQAVRNCNNGNPKNASWCEESNGAYPNNPSYAQKDLSRLKGGVVTGYFLEDGTGVLSI PSFLQQGNDLLNFFDTIDQFLADAAKKNTTRLIIDLQQNYGGLKLLAVSVFKRFFYDQ EPWTGSRIRISDIANTLGESYSSWWSGLETGGDGALDANYQYFASSEWVVGNRINPET GANYSSWDEYKGPVSHQGDTFSHLQLYNLSDQVFDAAGFQGWIPYGYGDDQANPPPPS WAPDNIVLLTDGLCTSACAAFVELMAEQAGVKTVAVGGRPATGPMQTASGSRGARLYS SEALDYDFSNVNDTLEYFDAYARLPQRNNNDLFVNFAGFNIRDQIRKGDKDAVPVQFK YDAADCRVYYTLKNIYDLEQLWKDVAAAAWDDPSRCVEASTGYSVHHNTTEYRTPPKR TAQAPNLRLDHITFSDLASNISGSPSLYDVIRKDSVSTTNLKQCFDTNASTDCKGTTL QCRSFPVDCNGDGRIFKKMSACLQDTTNFAGACGDNMFFQPTTDADSKKNVPKKNGIN VPYQPVIVTDGYCVPYYVDSARYGLGCPRQ CC84DRAFT_1208141 MSTAINPPRSVTNAVVCINLEVQRQAFGGCLGSHARSIRLLRLG RHDLTDVLVLSPPVLAAENSNFRRPSNLCEFYAKADACGNVTPLDYTKWNARSQAFVS GHVTHPIALHASYRCEGSWSKDMADPFASATAATRLLHPSLSTSNTLPIDFFEPLVCG FLEDERYAKWAAGYMPWQLHCHGGPGCGKSTFALRVVEDLKKRHQNQGISVAYVHVKE RVLNNQAEFVEDFLFCLYKQVCNRPANAYESALRTGQPSTERLKRIRGALHQQLKENE HSFLVFDGYDTLDIATCMLIDYEFKDPGLSNISLLVTRRSPPYKRPENLNIGCDSCER AHLSLYWQCQICGENGPQYCCECKENGACTNGEHKFALSEPYSRIDLDLTKTPRSAMI GFIAHELETHSRARTQDVKQRAADILARVGGNINLAKLRIDDLLTVDDLSDDQLLRDR LPRSVVAFFDAEIDNMLQDKPLDRNLGVLAVASVAQYGDPQGFGMKAADLERSLRQAR NSSPHLVLHPTKSLEDVITAANGLLVLQPYEDDLYVACFNKMLKLYAREDYNEVLYKA KARLSLETDPSQHEGTRSWIIAPSTTSPPTISDVFDNKDYMDARSSSHDSAYYSRSSS MNTKLHSRKTVSHTDEPRGLFECTGSLPIQSNVVEAQGQSVPPVSLCEFCQEHIFNSN DSAGSHHMSCEAILNSLTSGCVICMDLYMHGVKAENRMLELVPSAFKDWHYRWALRST GRSQNRNSSFGINFTPLASGGEKFTPLPHSKKYHIVSEADVNVAAEGSLAFSTNPSEP GGGLQIRQWMKICAETHEHCNKHQKSEFVPTRLVDLETEDSSMVRIVQTAKENITGPY LTLSHSWGPPTFLQLKRDNESELMGKGVMITELTPNFQQAISVAKFIGMRYIWIDSLC IIQGPGGDFKSEGQLMHKVYRHSHCNIAIADSSDSEGGLFRQRNPVDILPTSIEADGT GKLPRGTWRILEDDLWDKELLATKIYTRGWVFQERMLSPRILHFAASQIFWDCSTLSA CEALPRGLPHSLDAKASTDRHWRGRMQRMPADTPQKYDEPIVGASDDSIEIFWRSALL RYTSCNLTNQGDKSVAIWSIAKLVRDILGERYGGGLWEANLEEQLAWHSHDVVFEGDA RLPELQSRYPSWSWASMKGPIVAHSRLSTARQYVVENHVGDAITFESHFKDKDNEPIL ERIPMALSGIGRVFRRLS CC84DRAFT_1262033 MAKAVLITGCSDGGIGHALAKSFAQRGLTVFATARLVSNITGFE QFPSIHLLQLDVIDPNSIKAAVEAVEARSGRLDYLVNNAGRGYFMPAMGADIEESKKV YEVNFWGPLRTTQAFMPLLIASKGSIVNIGSIVGYFSQPYIGIYSASKAALHSLSDIM RVELAPFSVKVLTVVTGSIKTALHGKLPPCQLPEGSIYAPIQQQLDIMAHSDSIVRSE AEPYAEAVVSDVLAGKTGRVWHGSNSWKTKAGKILPKRVQDRILCKGAGLERLA CC84DRAFT_1098639 MVQIRTRCWPGTTRLESTRIARPYIELFPLSADHLITLMQHNVY RGFLTNMLLLNLPNIFGCDVNVGHCGLICPLPLPTTLPPTLAPTPLQQRVPHEPWMDL FPLPALRDKLIKAEEPFDSCELCFDILGALVEKNIPWKGILIWGDPWLLSSWELSEGF WKRWGWLMRGCGDDVMLSTNRWRATRGEQALTLEQTI CC84DRAFT_1208143 MFRAARLLQALVYFATCTTLVIATCYTPDGNAVQEDDVAPCSND PSDPLSHICCITNRPKASGTSTNASEIRDTCLPNGLCQNESLLEDGSIYLQWGRNWCT NPDWSTGQCLDDVCTRTAGEKAGLVRVIPCSGKNSSLTWCCGDSDSCCTSTDQTDLVS LAPTFTTAAATSTSSASSSTLTIPEFTPDTSIIVTTRTSSSDGASQTGIENPQVTPAE TSGLPRGAKAGIAIGAVVGAVVFVMLGVWISKAMAWRRDVYAARAQNDPNHYTGSDME GLYGQHPQKLPPSLTQ CC84DRAFT_1044589 LVVTAAQIAQSIKSSLPNPSLVLVPQPYYWWQSGIVNNALFTYG FVTGDKQFEDLAKNTLYNQATAANDFMMPDATGNDDQAWWALSALTAAENNVAVPAGS PTFLSMAQNVFNEQKGRWDESSCSGGMRFKINAGDVGYEYKSSIANGLFFQLAARLAK LTGDADAKAWAEKSYDWIASTGLIDTDFNVYDGTDAASGCVDLNHNQWSYNAGVFLYG AAVMASQTGDAKWADRTNGLLAAAQRNFVRDSALYEPICEDQGTCNNDQVSFKGILAR WLGATAVERPDLK CC84DRAFT_1167155 MDGAVIGNIHAGAHLVLSQLPVVWKVIVITTTTMSSVVPVDLLA AATNPLYFQNLITTNASDPSTIALLSYNDTFRNNVLGENATARRLYNLDWQAFHEMGT YNRKTNSIYVTSNYQSLDNPINVTVIDLGNDYAFSSTRYTNLAEANGGTNWYPPGSTQ DNSTTPPNLLFCDEGDFDRYSGLVSVDPSTNESTVILNSFLGRNFSSVNDVRQHPETG DIWFTDAPYGFWQNFRPKTQIPSQVYRFEPATGNIQVVADGFIASNGLEFSPDLKTLY VTDTGAQQSLVGGLNGTRPASIYAFDVVDGRRLDNRRTFAWVENGFPDGIHTDTQGNV WAGCGDGLHVWNEEGRLLGKVWNGVESNNFAFIPGGVLVFSNAQLWIVEGIKAVGREI CRDFGVCE CC84DRAFT_977883 MFGFLANRFQNPRAGEQTRPGWFDRHCTPVLLSIAKKACTHPVH TIVTIAFLASYSYLGVLDKGFLEQNDATPGQVDFSTMLTGSKRLRVGQETAWKWETEE HGPDSVEKTQEIALVTLVFPDSSAINSAPSQIALPANVSATLLPSSFNSFSTISHDTS LAYAMPYAEAADFLLAMQEISAPKDASESHGSQAEGTLEEKKWIMKAAKSGTASGGIR NWAHDSWTSFVDLLKNADTGDIVIMAMGYLAMHLTFVSLFLAMRRLGSNFWLATAVLL QSAFAFLFGLAVTTYFGIPINMVLLSEGLPFLVVIIGFEKPIVLTKAVLSASLDARRN AEEHRGEPLTIQSAVQKAIKKTGFDIVRDYFFEILVLVAGALSGIQGGLRQFCFLAAW ILFFDAIMLLTFFTAILTIKLEINRIKRHVALRRALEDDGIDRRVAENVALNNDWPSA SDVQSRSSTTTILGKKITVPKFKIVMVAGFFLVNILNVATLRFGLSHRSAHMSGVGAT APLDPFKVAGSGLDTILEQAKKAATSTIVTVLTPIKYELEYPSIHYAEPNLTDDEMTF GNNISTHFVDGVLKSMEDPFLSKWIIVALFLSVVMNGYLFNAARWTIKEPHKPLEPPT AAEIQDGTPPTPAPGIPHLHMPTPPITPGPEQQANRGVLQPLTPQPGPVQPQLLQAET AKVPTAEEQRQPNRPFEFLEQALKEKRVTSLTDEELIELAVKGKLPGYALEKTLGDKT RAVKVRRGLVSRTHATRETSTLLERSLLPYKDYNYDLVHGACCENVIGYLPLPLGVAG PIMVDGQNYFLPMATTEGVLVASTSRGSKAINAGGGATTVVTQDGMTRGPCIGFENLT RAGAAKLWLDSEEGQRTMKNAFNSTSRFARLQSMKTAIAGTNLYVRFKTTTGDAMGMN MISKGVEHALTVMANDCGFDDMRVITVSGNYCTDKKAAAINWIDGRGKGVVAEAVIPG HIVKSVLKCEVEDLVQMNISKNYIGSAMAGALGGFNAHAANIVAAIYLATGQDPAQVV ESSNCITIMNNVNGNLQISVSMPSIEVGTIGGGTILEPQSAMLDLLGVRGAHPTSPGD NARQLARVIAAGVLAGELSLNAALCAGHLVKAHMAHNRSNVASRAATPAPSTPANGNM TPVTSALTASSSGPLPKR CC84DRAFT_1189361 MPHEEPLPFWLVNVPRDQWPAECPDFLKELGDKDRRIIGTPDSE YKKLTWDEVKHLIGIDRVDLFHRVPSELRRYRQFTHRLVKEYGSIMNFIVNERLQWKS MSPRGRAFECDEDIKILYNDWPYGIDDKIVHLVIWTKFELEDDPETGLSTPESHREID AYVQKTFGSRLKPANFAWFKNWKSLKSVHAVEHFHVMLYDPDPVFMKEITNNDVPMTA KFP CC84DRAFT_1262037 MAVQDPTFWKRFSIAVHQDDAAKQEMAQHAELKHSYVISLADMP SPTIIRPTSEAHLLRSHPRPLSPAVLSPHSPTTTHEPSSPSSTSHIKPLKKAYTPQST KSTPFARHGNGSQLTLAFSGQIRGSKASAGSGGIARGFAGPSGYAYFCWSEAPSRQSW C CC84DRAFT_1189362 MANYLASIFGTEQDKVNCSFYYKIGACRHGDRCSRKHVKPSYSQ TILLPNLYQNPQYDPKNKMNPQQMQMHFDAFYEDIWCELCQYGLVEEVVVCDNNNDHL IGNVYVRFKYEEDAQKACDALNSRWYAGRPIYCELSPVTDFREACCRLNSGEGCVRGG FCNFIHRKEPSPELDRDLDMSTRKWLKERGRDERSMSRSPTPPIKR CC84DRAFT_1048706 AAAAVLACAPHIAAHSWVQQLVKVKNNGSYVGDWGYPRGFCAKG DTCDPGIVNNFLIPANDANRLFITENDNLCKDSQKNPVQLDPAKYPRLKAAPGMHIAL RYAENGHVSLNGTPKEDTNKFKPDAGGTIFIYGTTDPKEDEKLVNVLRWTKEGNGGDG RGVLLATNNFDDGRCYEANGSPIAMQRAAANPSYAKGQTGQGTGEFGLPCESNVLLPK NLTAGKPYTLYWVWQWNTPPGKDQFRLEGKDEYYTTCMDIDIADIFATEAIGSAKYFS PQQDDASAAVKSFADRTAQYTDPIKGEIGTVFKQDPTATGAPSASGPAPTAPTPVPTD VPSMTTRPGNSQPSPGPSGGLNGNGGNTSPVQSTGKAPAPTGIPAMTQRPGTA CC84DRAFT_1046309 ALLIVILRCYVRITMLRVFGKDDYVMVVSTMFAAATFVCFIIET HNGLGNHLMVLLMDANMYKSFAKTLYVHSLMVMVGISCVKISIAFSLLRLSATKRQTR FLQCAIIFIISITLVCAGTLIFQCFPVEAAWDSSLRPAPLGTGSAHCFNNTTFRNLGL MNSLFNIITDVLFATLPIPLIWKLQINMRTKISLVVVLSLGWFACAAAIVKAAQQYTV LDDPDWTVHDSFNVWNYIELTVGIIAASLPALKPLFHWALNTVSAL CC84DRAFT_1189364 MKISTFITVAVLSAVGGASPPPPPPGSHSPGGPLVVGSSSSAKT DTLAAQGARNLAKYLRANGNASATCTEHNVVVRREWSTFSPKERKEYIAAVQCLTTKP SKTPSSVAPGAKTRYDDFVATHINQTLSIHGTGNFLTWHRYFTWAYEQALRNECGYQG YQPYYNWPKWSDDPTKSPVLDGSDTSMSGDGAPLPGRNATCIPSNNLCQISLAPGNGG GCVQSGPFKNFTVNLGPVAPALGDVTPNPSPSGLDHNPRCLRRDISRLAASTWSTDDQ VSTLITNFTDIASFSTRMQGDFPSGFLGVHTAGHFTVGGDPGGDLFASPGDPFFYFHH AMIDRVYWVWQNQDIEKRLYAVGNTITLNNMPPSRNATLEDQIDLGSVGVPVVTIGEA SSTLAGPFCYVYA CC84DRAFT_1208151 MFNILSITNIALLALVPAVTASPLQSTTLQPRQATLPPTCSDFY SPDSGHVCIIRPTNCVANYTVTPGENCGTVVSRFANFTATDLYAWNPEIRQDCTGLRA YVPVCIGVPGYTFNGPYVGGNRLTAGRTPVPIQPGIVDNCGAFQYTDSKGQKPFAELL STNHITQQQWNAWNWPKSNVDDNLAVFAGYWSCIALKQQ CC84DRAFT_1167167 MASDTSDASAGAHTAGNADAAQKEAQDYWGYLIKPDKCGTALFD RLLKGIAEVISKTFEPSESPDLTPSQIAAFYRAVGGNYDVLFVETPASSLAFIYRSLG AFHSLQPAPNDDGYSSPTVPALKRQGFVTWQTIQLLLGPEIHVPVLQNAVKHFDVVDP ETGNIFPKVLPAGCLPTKPDEAMEAWYDTVAQRLQREAEEDSADTEKVPHVRVNVNEH APRTSTDYSGDSADEKHAAASYFSDPLYRQSRHGRPPIVRHFSKQPAHPYEDPNRGRL ISSVRHMLNPFGKSRRMPGRYEDDSLSDDDDDDDRTPVAPVPPSAPRYVSHNSHTSQG SHKRPHPARRESTLSSTSSDSDSDLPPSRRRSPALRHRRSHEPATSPRDYFPAPYEDR RYSHADAHAHAHAPSPPHPHVQRKEDGPPPLYGPTKSPLFATHVAQMQAHTYYDRDRR PSMPPRTSYRPHAAHNVRYAGVPPPPPEAVDPPYSRERDPHHSNSHSRDRDRDRDRDR DDGYDRFRRRSEEFPRERDRDRDGLRDRDRTRSHDRVKDEWDEREERTSRDHSRDLRG GRAHRYVSGVQDGVGGRRYPVEQAWR CC84DRAFT_1167169 MASRAIPSHLKPSAAAGNGDSEGGQRHHGKTASHFAFENTSTNV AASQMRNALNKLADTVTNPEEKKRFETEMDNFFALFRRYLNDKAKGTAIDWNRIAPPK AEQVVDYDDLANSEAVEYLNKLAVVKLNGGLGTSMGCVGPKSVIEVRDGMSFLDLSVR QIEYLNRQYDVNVPFVLMNSFNTDSDTANIIKKYEGHNIDILTFNQSKYPRVLKDSLL PAPKSADSDIANWYPPGHGDVFESLYNSGILDKLIDRGIEYIFLSNADNLGAVVDLRI LQHMVDSKAEYIMELTDKTKADVKGGTIIDYEGSVRLLEIAQVPKEHVNEFKSIKKFK YFNTNNIWMNLKAIKRVVENNELAMEIIPNGKSIPADKKGEADVSVLQLETAVGAAIR HFKNAHGVNVPRKRFLPVKTCSDLMLVKSDLYTLAHGQLMIDPNRFGPAPLIKLGGDF KKVSSFQSRIPSIPKIVELDHLTITGPVNLGRGVTLKGTVIIVATEGQTIDIPPGSIL ENVVVQGSLRLLEH CC84DRAFT_977706 MSSDTRFVVPKIVWQAQPAQVAVTDKIIVRNLQASVDAGVDVWG RPKKQRALLTVTLSLTKSFDSAAEADALDNSTVHYGILSKAIQAYADGQAGRLPTGQL AHDISNVVQDTAGDTPLASVEVAIFYPKGSMFGDGAEFSLGRSISEERMYTQLHLRNV QIPCLIGVNANERQQKQPVIVNIWVDCLAAHRHDDYQRLETAVVDVISSSSFETLESL TTTVINHLRSNFFTDASDDRSLVRLQIEKPHAVPAADAPVIEIVRPVCV CC84DRAFT_979700 MADALKAEGNKLFAEKKFEESIEKFTQAIELDPSNHVLYSNRSG AYASLKDYTKAAKEAKAKADELKKQGTEFYKKRQFDEAIEKYNEAWETHKDITYKTNL GAAKFEKGDYEGCIQACNEAVEYGREIYAEYRSSWPRASSAWVARRAREGCVTM CC84DRAFT_1099461 MTSISSPTPSSYASCSPTSTTSSKRARVSAEHTLSRVRENQRRH RARRKDYIATLEQKLAETEAQLAAARTEIEKLREEKEARQAHHGDGGAGKEYPYPRVD TSMSMSIEMLALERLVDTLPAPPLGDVFAFSLPSPSPLPSPSPDADSAQLSPDVTATL DDALIPLTGPPPCCTDIAPEPTPPSPECTTCHTRPAPLPTESTTLCAQAYVLIAQQNF RGIDAGTIRLWLWQGYRRARREGEGCRVENGALFRLLDYISGGV CC84DRAFT_978255 MNTATITKAVDERYTASASAPPHPAYCNAVAQAFGYSASDLLSI PSEANLGLSCGNPLALANLHEGETVIDLGCGAGLDCFLAARKVGGAGSVVGVDMNETM LTKARENASKAGISNTTFLLSRITAINLPDATADVVISNCVINLVPRDEKHLVFKEIF RLLKPGGRVAVSDILTKKGLSEEMKRDVALYVGCVAGASMKADYEAWMEQAGFREVII VDAGVDLNVYARTENKGVGAGCCEPKNVEDINVCSKERDRGSDGSCGSVNTIAGVSEG MKTNFQDVDLNQWAGSFKIFAVKGA CC84DRAFT_1049203 MYRILEHYEAALAAFKESEKQLGHSWIVLLQIGETHAGLKQFPP ALEYLHKVKAMHTDLIDTDNDFKDVYWDRVLLPEGNYHRELKDHSAAIRCYQDILAQD V CC84DRAFT_1167172 MSFDSSLLSSTWSSFSTQSPATSFSSTTCEVRRSRSRRRRSSAS EHDRTIAKFARTSISPYRQLPESKVLCGPCRNLNLSSIITSGIEITSASGQFIAYLDW DTDSRCMLCAFFRSMRIPHPHRSKYEAEENAYHLRAFSGILSFREYFNRPPNNRKVSG SSDTILAVVPNGLFSDIKNPIQHYADTFGAVGYILPVAYSSLSPWLTGRSIDPLRINI PLIQEWLEHCKKKHSCTMRYSRPSNLRIIDCKTSKIVMAPPNCNYFALSYVWGKPPAA NPTSSSVQDPNASTRELLHAAAPVVRDAIKVVLSLGWRYLWVDKYCIPQADPKLKGEQ IGKMDLIYEGAYCTIVAAVGSSDQVGLHGISIARHAQPSFSLDDRDVHFVSSLPDPQR SVKASTWMTRAWTFQEAFCSARRLIFTPHQVYFECKDMHSCEAVELPLSLLSGPHARP VLFRDEWLAGTHRFGPLSAFWAAVKEYSLRCMTFDTDALAALEGILRRFQASPAFVYN IFGIPLVLEEGARGENDALLNARLFVAGLSWYHNGAARRRIQFPSWTWAGWEGGVQPQ RTFGGAYYGSDVRLWVEDVDGVKFPWDLFWEGFWRPEGKAKCYERYGCLIIEADVFKV QLVPRIYQQRSSTSAMTKSAVFDMVSPYDSTVKYSSVMLPLDMLDETKLTTELWDCMV LGAKVRGGNPDIMLLRWRVDVAERLWAGTLWPQKSFGGAPRVYYPPCMKRKFMLA CC84DRAFT_1189371 MLFSALALIAASATAVVGCPQHSNHKRSHLQGRQTDPNANTTQN IWAYEASFNWGKLSPDYRLCQTGTQQSPIALSLGNGLSLNHVPTFNYTGSVPGNFYNW GYGPAFTVAHNGDWTSHPSFTYDNETLYLKGWHIHAPADHSVGGDRSKAEMHFVHVDA EGHEGAVLAFRLDPGNFNNTFFDQLPAMIGFDEMQTEESIEMDLSLAMESVQYFNEFW SYQGSLTSPPCHEGIRWFIARQIMFTGVEQMQAILGASTYSARAEQEVWQHRINE CC84DRAFT_1167174 MRSDQRVSPIVRSAALAKDIRCLFSGSWHPEASVRLARRLRKTS TCALQSARPFSALCGWVFDVVFVQARWSYNRFCIGDMTRK CC84DRAFT_1152169 METTSNPSNVSRALENRTLSHEKVSGAPQHGDDAQYPTPGRTWI IMGCLYLTMFLVALDKTILATAVPRITDDFNSLSDIGWYGSSYMLTLCACQMFWGRIY TFYPAKATFIAAVGVFEIGSALCGAAPSSPVLIVGRAIAGAGSAGISNGAIVVVIQTT PLEKRPMFTGLIGAVFGIAGVVGPLLGGAFTEHVSWRWCFYINLPLGGLSIGVLILVL HLPSKSREQMTLFEQFKRLDPIGTTLFLPSIVCLLLALQWGGTTYAWSSWRPVLLLVF ACVLFVGFLAVQIWRPDTATLPMRILKQRTVAASALFAFTSQAGMIVVTYYIPIFFQA LKGFSPTKSGVATIPFILSLVVGTILAGGLVQRIGYPAPFMILSAVIFSIGVGLVTTW PVEVNHSAWISYQFLIGFGVGIGMQQPSINAQIVLTKEDNPTGISLMMFMQNFGGAIF LSVAQSVFTDDLARQLTKIPGLHLSKSQVVEMGATSIRNMVPQQLVSVFLERYRVAIC NAIYVALGLACFSLVGALLVEWKSVKKDKSEQAEKGIAVESKNEHV CC84DRAFT_1152171 MSTSLNFRIAATMFSFMITGLFNASIGVMLAPMSRHYSLTDLHV SFIFLCGPIGYVLASPSNASIHTLLGQRGIALIGPLLHTLAALGIAAHPPFTIVLVAF VVNAMGIGLVDGSWCAWAGGLEKANVVSGLLHGSYSAGAAIGPFVAGVSLGKLAIPWW DWYYVLAGASVLELIILATAFRFENASRYHASKSHITREPGNTSWKDIFRHPGTWFSA TYFLTYVGIETAISGWVVSFMVRSRHASTYFASLSSSGFWAGMAVGRLTLGHITDRIG VRRAAAMYLLCAIGLLLLFALISSPVVSIIVMASAGFVMGPLFPSGVVVLSELLPWEL HVAAVSFVASVGQIGGAALPFGIGAVVQGLGIGVFRWIILIFSCIALSMWILFAQLKP RDVARQRMD CC84DRAFT_1220392 MRVYFKYVGAVFIPSEKNALELFEEHVISQKRNVPWSIADATAK FTIELCSPENEDEAAIFHESHKDMISWVKSGDRVPELDEGAERLKAALETGTLARAVQ NMPRLESLVINLNQEWRDDEGDWDDSEDDESIVSSGLQLRLELLQTVRESVAAIFTLY GVPNIKTGISHLSLLTYLRLTLPCAYDFAQLASSMPDTIALRLRHLYLEIVDGTGPGG DRSYTHSWQGDGDPFDGDEGHRFSNLQRQYPNTAYMQDMCGLVNQCNNLESLGLVGTQ CINLKGLHWQPANDAGLKSIYFSRVVATEEQLLSLLTSGREDGACHTEAFDIEDVELM DGTWKSVFSRLLTSSSLVFFQVYNLKYNTRGHSAHLARYNHRPWENVSEIWSESRQDC KNLADLIRTVERRGIKAVDRMKQYDKSN CC84DRAFT_1167176 MACAWTLLSYEKNSYGLDSILLLSAMSLTTSHSGSPKILGLMEA PLLSSAEDLLHEEHEQSSRDLWTALSIGGLLSSSYFWRTYGLGSSSAPLKAPATAQPI SNAEFLNSQNIQPGVPSSSDVVVVGGGIHSLIYAIHLRLRSQSLFASDPTLHVPSITV LERLQSPGYKIGESTLTTFGVWLKSVGISTSVLWRLFGAKDGLAFYYLPQDGSWDGVT SFCANGPSGDFVATLQIERKISELMLTLYAQRLGVKVLHGTSVDVPGTPISSDPILPR LAKVREASSSSDPATVSISNPEASPSRTEPRIGHVKLTNGLNIKTKLLVDATGRFRRF ASKKSHSKRFEGFNTDSVWAYFEMTGDESGLPFDNYESCHTNHICLTEGWAWLIRLPT WEGSSIPNLTAMINHLLDLNQVNTPSDSYPSMEELARMFNCKVRWVTSIGYALRSDVV YPPTEALAKFGACEAERKFNWITAKYPRMQAYMDRHRLVRDLYGENSTWFIRKQLTYS TPIVSGIANNTEDDTDMAWAAIGDAAGFTNPLYSPGINCNMATSVFLAEKTPYILSRG TLEKERTLDQYNTFCSDRVANLHRMNKYNYVLMQSPRTGPLGPLWQYLSGTGNALWRR SSEFVSVDRVAEFVTTWEWGSQHPEYTMFANEAIRLLGNRLSDGPPEEARVEEVLRLS EEMMAQAKKSGKYVNRWAGLFSWYDDELRFDASKKGRDKLAVRCEGCGNWRILTGVSK RCCTCGIVCKEVEIVRYQDAPGCK CC84DRAFT_1178991 MAPSNLPVAVASAIASSDVKHSQELYQSNMSLDQITKHAAKHGY SRIMQRDFISCAVCSTSPALWQNLIDNGLDLNAHESQVSGDLLSSAIIFKSRGNKYDF AKWLLEQGHRPTPIDSGHEPSAIIWTICVETADMEMLSLLMDYGHDLEECEVGVAAAD EGNLEALRLLLDRGVNIEDRDLSWYPFTWKDEPYESLGAALYRACRQGNVDCVELLLE RGADALAADLEGKSCLDIAKRRGHEDIVWLLESRGNVAWSFGGFVKRLLYVFF CC84DRAFT_1262053 MHSSRRPARRRAPEPGGASWNLPWTLLLCLCAWAMPAHGMADET VASLRQETIDIFYHGFDGYMKYAFPEDELRPLSCTPLTRDRHDPSHIEVNDVLGNYSL TLIDSLSTLAILASSPPPAKRGRNRPLDDFQDGIKALVENYGDGSEGPSGQGKRARGF DLDSKVQVFETVIRGVGGLLSAHQFAVGDLPIRGYDPVVQTKKGREGIFWSNKFVYDG QLLRLATDLAARLMPAFHTPTGLPYPRVNLRHGVPFYANSPYNNDAEHGQCDKDRKEQ GTEVTETCSAGAGSLVLEFTTLSRLTGDSRFENAAKDAFWAVWSRRSTIGLIGAGIDA ETGQWISPYTGVGAGIDSFFEYALKSHILLSGLPYDEKHAHLDSPDAFLRTWQDAHGA IKRQIYRGPQHQHPHYFQVDLYTGAMRAFWIDSLSMFYQGLLAMAGELDEAIETHLLH TALWTRYSAMPERWSTATGNIESGLRWWPGRPEFIESNWYLYRATQDPWYLYVAEMIQ RDIKRRCWTKCGWAGLHDVRSGELSDRMESFFLGETAKYLFLTFDPSHPLNTWDAPFV FTTEGHPLIIPKRLRPTSSAKHTKPDPGQQPTLGVCPLPPRHLPFSVSPTAARPDVFH AASLARLHQMPTRETLDSPLIEFSADHPSISASDIQSPSNYTYYPWTLPPELIPHNAT SSKMTVRATFDLSFPSLPSSSQSGIMQRVNEGILVNSMSGVRLGMVREPEAFPLEQHI AMDEQFRIYAISNVALGRDEKVYMSRSTIDSFNPTDPFFTRTRDAAAVDLVIDVPPAP AITPSSTILSDLLSDSIDGMDNISNMEVIGLDVDQEQLENGESYLSSLLHSLQSLLAA TSSTSPPSASGTQKPQTTERLAIQGTLPLGPGSVPLPDVPDPDLSYSKTNVLSWSTIY IHPNTLCDERLPIEIPKRHQIIVIPRGGCSFSAKLRNIPAFPPSSSSLQMVVIVSFPE HEEPQYTFETSNKPQDEEVAPERNTAEPSHGIKKRTHENKASPPAKVDKVAKDSKPVP EHGSQPRSKMSSSKKKTQSSSSSDSQVLYNLVQPLLDETQYTPSGIPRPHPIPLVMVG GGDETMAALQRATAVGVRRRYYFLSQGLRISNLIVL CC84DRAFT_1059271 MLSRASTRAFHGLRTAPALPVRRIAPVASPSQRLRFYANKPGKS DTFKPSKANNDPVHAAEQPEFDAASAPERNTTSSGPQGEPIEETPQQPQKPLPDLRYG IPSTFGKEFGGEAARPQKGEHDPNNIEMDPEKEPGPGREGGELPKSAYETSTDRRRNK VANWSYIAFALAATTGGAYLCRNWESDEEEKKHADAPSGWTPTLMYQRAKARLNGEMS YYTEPTFAKLLPDVEMMPPLTLVLSLEDLLVHSEWTTKHGWRTAKRPGVDYFLRYLSQ YYELVIFTSAKSMDADPIIRKLDPYRIVMWPLFREATRYEKGEYVKDLSHLNRDLSRT IIIDTDPSHVKAQPENAIVLPKWKGQPGDKGLVALIPFLEYLAMLQQGGGNFDVRHAL KSMEGKEIPEEFARREAKLRAEHLKNLEAEKARKGKNLGSFVGALGLNQKQGQMVLAD GTNMSEGLAQGKMMIDMFRDIGRKQYETMDKEIRENGEKWLKEMAEEEKKFQEEQMKN MKTGAMSWFGGSAAAPSQQAP CC84DRAFT_1099398 MDHVSIDIDEESEDEALTSEVLAAFDKLHEQLRRHRALVVQPAV PRQPFPFMRLPLELREQVYDEYFRPENRLELYEAGEGIGGMYNFEFTLLRTSKQVYRE ALRSWRKNVFVRIETPWPHAVHHIRSEGQVPIVAAGPRALKCFQHRALVQITAPLHGV TVPDHAIVLLLEDVSKFTRVWYYSALNYVSLNPQLCLIFALREPYTPRDPKPVPLELQ RKLLLPFGDVKDLYDTQMTGYDESVIAELRKAMKVPYPTVRESCELATTLMDEGDLFL ATNQPQLAHISYIKSFDAIHIKISGRNRRILADGHFADDIHEGRYAGQAAMTVRVVLR IRLVTRMIRTYIHLGQPNEAAFWGIRSIKLVRQGLSPNADMDDFYSDFIGSSDIAMIY AWTAGAFQLMEAEEQWKEEIEGYAWEDGGVADTEVMWRRAGLYLASERYAGERKGMVE EMRRVGIKPPAGVFTDEASEIDSMRHMDMGDVES CC84DRAFT_1051733 ITVAGVVFGLSMCFAICAAYIALQQTKNSRRPSKSVYVWMIWIE LAACVTIAIECLLYLLYVIPPSFYFFMSILLLWSVQIHLLLQIIINRIRIILYDRTKG RAMVIGVASIILCINISVFCIWIPARLQISNRYIRINNIWDRLEKVIYLLIDACLNWY FIHIVKQSLVANGLQKYNRLVRFNQRIIVVSLLMDVMIIGAMSIPNGFLYAMFHPLAF LVKLNIEMIMANLIRRIAL CC84DRAFT_1098655 MGRFSFTSTLRMPSGYEIPVLGYGVYQTPANVAAEVVEHAMKVG YRHVDSAVAYRNEAPSAEGITNSGIPREQIFFTTKIPPKDMSYENAKKHVDNSLRETG FDYVDLYLLHSPYGGKTNRLGAWKALVEGVEAGKIRSIGVSNYGVHHLDELEEYIKST DEKDGKGKGGILSVNQVELHPWLARKDIVDWCDKRGVILEAYCPIVRATKAEDPLLLP LAKKYGKTTSQILLRWSLQMGFVPLPKSVTKSRIEENAQLYDFELSAEDMKSLDTGVY EPCAWDPTVSRD CC84DRAFT_981491 MAPCLSVFITEQPPPNRPPQDSNGAIACKQPVDHVDTISILSTE YQEPRAKSFAHTQPHLRPSFALQPPTPKPKPMSTLPFSSSPSPREPPSAECTPARRFP SHNPIQPSHHLGTSSLPQPSRFRPHPPPPARVIRAPQTPRASRLDHPFHPVPSPSAQK PKAKDTTPRTGTRTRTTPSLRISQCPPSTRASNPLLSVAAPGVPRRPIMRRSAREDER EGRLY CC84DRAFT_1220400 MPAILESAVPPPPHLQLSTTSPWPSYSSHCYGSSASSPTPMTPE SLTTFVPRLHDSPLPTQEAPPSSSWFGASVSAPDAQNETFGAFPYSQSFLPSHTPSAG PYSPHHQFSSTSDVAVSAPSSIEHPAGNFSPVSQCSRGSEGPQPEYVHQEPSPDASTH LSGGLSPSRPRSTPLDYPSWTMNPLGISGPTMHQHYYSSPTYPRSPRLSEPGMDFSGD LPNGRRLYAPIAPHPAGSRRSVPKRSHEDDDEQSDQSKRRKRSESSTSATIELGEEDR LLIRLKDEESMPWKDIAARFQSDLGKTYQIPALQMRLKRLRERMRVWTEPDVKALRMA HEYWVQSKFDIIAQKMLEYGAAEKWTARQCARKWAEIDPGPTPYTTYEHHMPTYATYA MSPVEAHHFMPYVHIQ CC84DRAFT_981519 MWVIGVGLCGRMVALALYVDQRFACRCNSICGWLQVDAKSCLQF IRGAPRQMQQVGPSLLAAASEPLTFRPQLMYTLFTGVGAVNAEPRRGRSPVSVVAVR CC84DRAFT_1178998 MGFNVFHVLGDVSHTVSKLILIWAIHANSSAEGVSLITQVLYAL VFCSRYLDIFTTSPARDFGHTWNFSLKIFYTLSSLYIIFLMTSVYARTREREKAWKFG MYCLLAPIAITPVWYWLFRETVIGRNAFLRVMWVFSEILEAGAVVPQLLLLRQTTVPT VLDSFYLVALGAYRFLYILNWITRATKEDDYSDPTSWVWGTIQTALILDFAWVYWSRQ RVKLRRGGVVDSEDLGRGWLVGRFVGRKSVDFDYDEEEARPADQEARPQNAWGRRGIS VSADEDVHGAPRRKSPGPGNADPEAQPLADPAAFEDDESDDGLDSPPPAARTAHESGV MGGDEWNEDVDADAQEHTGVPERTS CC84DRAFT_1167186 MSGDVPSASPPGRASQPPSHTRTYQACIPCRRRKVRCDLGPVDN PHDPPCVRCRRESKECFFSATRRKRKTDGGDLDDDDDYELRNGRKRARTVDDEGPVPP APLSANGSAYVSQPLTPGGSVGRYEPLRRPTTSHGPPHDDEDQKLNNKSVAVMQTGEI YSGHDALNLLFEAAGRNGDIEHHRTGSASSLQRPTGLAPSSTPGSQPTFASPQAQPHA RTHSRAAAAEPAVDPAIAQQYTALPEPPNEAAFADAIRAWTKFRFVRAGWMTAKEAVR YIDYFYTYLSPLTPIVVPDYRDHALHAKLLKEEPMLVITLLTIATRFRTTDFPQGPGA ISRAYLIHEKLWKYLQGMIDRMIFGQEQFGGGFCGAGQQPGSDVNPLSRKGLRTLGTV ESLMLLTEWHPRALHFPPGDDDDELVLPDDSFDADVPANAAAAEHLKGESGQRIDSWL EPCWRSDRMCWMLLGNAVSLAFEIGVFDETSETEFEEANQHLSHATVKAYFRRKNHLK DLLIIYVTQTSGRLGLTSMLPQVKRESDSVMQKTPLELYQERVARIKAPPPHHGMRPD GQRLPLESVATQERHAVQDLVLDFWDRIAKIMEIGNLKLFPNRRRTRDLLKSGKYEEL LQNFQTPLVDWKRGFEAAPQVPPQLRHVLAIEYEYTRVYVNSLALQAVVERCTHNTPQ QTHAQPNGMGPSATANDEGGAIPFSTLTRWYGNDRHHIDEVVSASRNVLSIVVNGLYP EGYLRHAPVRTFFRIVSVAIILLKTFALGATEKDVAKTLGLLDQAVYALRTSIVDDVH VGNRFADLVDTLTHRIRGRFVRLAANGSTGNSRGVTPPPRSRGETPMGPPPATYLPQT LNGNNGANPNFTFGNFTGLSRPGSPGLGRAGITASGRATPIPEYNSLAGISLESYDPT SNQISIMPPPGFSSNASFNNSTNSNGKVDSNGGWQAQGWGNGAGGGGFQNEDWLALPL DPLLDQWGADISQTAMGPDVGGMDMLDILLNMGGPPGSA CC84DRAFT_1098407 MSDLTESSQQVNNQRFNAEAAQWDANKKHAEGVTKAFDAIQRYI PAFADGTSKALDVLEIGCGTGLLSFLLAPHVRSLVGVDTADAMVDAFNAKTAALPDPC AANLAAVNVQVHSADDVHLQGAAAALALDRGESGEDLPYRFDLVVSHLTLHHIPELGD LLRTLRDCLRPGGLLALTDYEDFGEDAVAFHPASKRAGVERHGIRKREMEDVIDGVGF NEVRVERAFSLRKEVETEGREGTREMDFPFLICLAKK CC84DRAFT_1220404 MEISNPRRILAVGAPGSGVLSLLKDLTGSAPELITDTTAGLSHE WNLTTKYYTAKLPIWIDEIPDIAEWRTEFTKPEAKEVVTAIGAWIFCFKKPVTEKDVE AIKDTMAAIAKVVEAACGYSDDHVRLAVAMPQSITPYLEKGAEDWDDVCMEHGFEFVD SEAKGKNQYGEEMGVKRVEDALKAQEWDGIGADDDFGFDDDAFGEGLDAEEREMGMEL FGLKNAVHGMGEDEEAQVEELEGLMRKMVAIKGIYNLHASAHTRS CC84DRAFT_1167188 MTSTIGIPIKLLNEAAGHIVTLEITSGEVYRGKLIEAEDNMNVQ LKDITVTARDGRVSHLEQVYIRGSHVRYFIVPDMLRNAPMFRSRGTRGRGVGLARGRA TVNRARGARGGR CC84DRAFT_1167190 MNISGTLNVFRLIREPALCLPQHTVSTFNHLPVPLSKAFPRKDG EKEVDIKAVVLDKDNCFAIPHTNEVHKPYHDKFQELRRAYPGSKLLIVSNTAGTDSDK NQAEAALLEKNTGVKVLRHSTKKPGCKEEVLSYFQQHPDAGVTSPSQIAVVGDRLFTD VMMANLMGSHGFWVRDGVIERKSLFARVEDRLAVFLLKRGYCAPNPRSQFE CC84DRAFT_1167192 MMVPGLYSVQLDIAAVLLPALHGRLHLENHTQSTVKAFHVVGPS FEAPRFSSRAHVPGHPCPSPFARYPSTTSLHPPHVHALLITFPPFENPLTYTRAVSTH SVASHSSSKSATNRQSSTTDEFGAPGSPSQTFRLRGRPRCSRD CC84DRAFT_981693 MVSATGTMLVPKFKIQHFVAGAIAEFTPIPGILLRFAILIPLGL CQSVAKTHWKAIGSYPKLRDAYSLQIRAGEHMAFKWSQVNFCYHLAALIPSTFLLSPV NIIFAIPDVVLLTYLSLAITSQESYSPKNMDCQDPTSLSNPVFLQAAASLGLLMDVER ACEEFKQQRNFAVVTCALVASMVFFNICNCFFACVRLGKEVNSSKISHSQLPIWKQLL PTLMLPFREFMVLCFWILLYVPCLTFRRFPASVQSRVLFAMRCRVKSFQGRFQQRKPA QCAQTDHNGAWMTALQGKNTDAPLAEFLCVYDVLVMVTTHLHYVDITNLSLVSRRIHK TLLPAKDAPSNFLRTYSCNPGFKARCYVCPNQICNGCLFRREVKKAQLFYDHLFHCLP HCSKCYLRTLREPRVDVHGPAQIDTRQCACRHPTASTVPQFLQRLFHSSGNDAHGILE EGRTRGGPLLVCRTCNQLSDAELAAIGTRRLKGEMRSRVLTRSDLKGVADSKCCSGCR KVLRKGARWWICESCNKECRSRLHRL CC84DRAFT_981706 MEALLSLAFDNIASKDTQKIRKGLRQIEGMLAQICLSSGKSTPS KPGHRRNQSAINLGEQPQSTPKKLGQLSEDPAFREFFRLQEGFEWNVALRVADCLERL LGMPSSKDGQNDLLILSSLSNVQGLLLLHPPSRTIFGRENKMNLLLDLLDPYNCPAIQ SAALLVLVTALLATPQNTRIFEQMDGLLTVTTLFKDEDTTQQVKVKLLEFLYFYLMPE APVVASARNPSKLVGVFERRGSTVNGDEGGGSGGKSIRSQQEKQYELSRYLNNVEGLV QDLQESAPFTSVAAR CC84DRAFT_1189388 MGVGAFMEPLVVVTLLFGGTWVNRNTRYRIFDRSRNHTSSRSTS PDGSVESGRSSPRSSASLLDGYRASSPSLLAEQVPHWRKREVRVFGFRKEVVSPNTRR FEDYFLSRLLQKFPFLVEAWYWALIYWVYQLGRAFTALTLVDETVDVARQHALTLIHI EQRLHIFHELDIQHWFLQHPFLLHWTNRIYSFIHIPGTILFLVWLFYYTTTRNHLDLP LPNKPLGEANGSPAGPRLYAARRRTMAVCNLLAFIVFTLWPCMPPRLLSDPNVPGAVG KEARAFGFVDTVHGAEGESSVWTQNKFCNQYAAMPSLHFGYSLLIGLTIASIPLAPQH RRSRSFALPLLRLRVRMPAPRRLACLAIGVAYPTIILIAIIATANHFILDAVAGACVC GLAWTGNRVLLNLLPVEDYFLWCVRIHKPERRALFLEGEDEEGSSWETETARGKGALF Q CC84DRAFT_1262067 MKAALALGRAIALSAVSGTVKAKTVGNGSKNAATQLLRESMSWM DMYYDTEAGYLYNLDSKALLHDTRSSAWYAAGLLARNEGDDAEQAAKIVENIIVGQHK NVSSQWYGDYQIYPEEPTVGTSEYPPVIYNSWDPNWRGFVGTTFVLMLEEFSHLIPHD TQTRILESLHNATVGDTYRVGGVDDDNLYPSYSNPAIMRAFMSGWVGRRLNDSNMTIS GEAYAKDIITLFDRADTLSEFNSGTYAGVSLYALTLWAKYLPQSSLMGQHGATMIGKT WEKLGQLYNANLKNVAGPWDRSYGYDMNRYLSILALQIWTLVGKDNAPINAKPWTMGH KDDFAISPLVAILAPFHNTLVSNDTLLALHTFPGTHTVSTSAFSPPWDTYPRNITAWL SQNLTIGAETFSEKVIGGPAKNPSSFNPAVVQWSRKDGSVGWLTLYAQVEELRAVASD STLDLEYPKGNQTSTFTFLVGTNSRSGKRDVASWSDVEGIKVSVNGTVDMDYSVAFAG YVGGSGKTINDFEFWNFTYGMPEGSGKLPQISLSFELE CC84DRAFT_1152216 MGLFQLAGVAALALCASAAPAEPRAPKPKSFLKDLGNSTWVIGN GIWNMTQGRQYGVKLWYKGKDRVGPTATGHYVSYNGAASDLNWTSAAIADSGKDWINI KFTAKEGDFHWVIHDDLAGAYQYFVNHALPTLGEFRTLWRLDNVSFPNGHTNVKDGQL PPLSEYAAATNVQDETWQKADGTFLTKYDWSAFVRDQTYYGVYGDEVGSWYINPGKDY YNGDHLKQELMVHRESKTGDAVQLNMIHGTHYLARSSDNFTDGKTWGPWLWYLNDGNK ADADNRWKKEDKSWPYEWFKDAAYQSRGSVSGKLVLSDGRPASGAAVFLGDSNSALSA ADQGKDYYYTAYADKQGRFTIENVRTGTYGLYAWGNGGNIADVKTSFVQNDVVVSKRK KTDLKSLKWTVTDKSKSIFQIGDFDRTSRGFALSGPTPFEHGRIAKTPGNLTYTVGKS HSSDWYFGQSNLGVWSINFNLKSIPESATGAKLYTSLAGFSSGTKSNILVNNEKIGNI SSNAVLLVSSQDTYRGATQAGEWRNLQWDVKKELLKVGENKLDIAVTESTKWRGWLWD SVALEWV CC84DRAFT_1179008 MKLFAWIVASLTAESLAFKAELVAPLKDLQNLAPRAEEATDLIS GWRDLGCWSDDGGNLTLGDLTTGGYVLQKGAFVQFYDTSSTPNSPDVCMTYCNNNGYT FAGVEYGFDCLCSSRAPDTARSGQTGCNVACPNPNGAQTCGGGNRIQIYTNDKPYPYS QPKTSGLQTNWSYQGCYTTSGSSRVLVADSTNLQSSGNGATQCIDYCNGKGYSLAGTG NGYECWCDNAIQGGNSLDSTPNQCVQPCAQNTGEGCGSPQRQAVYARQTERYCGGGEI QLLAPGVPPIVQ CC84DRAFT_1262069 MKYTFTIAALAALTAAQSIADLPSCSLSCVVSGVSSIGCSATDF ECSCTKADQLTPAITPCVQKACPSAADQAKVITVLEGICAAAGFPISVPAPGASSSAA PAPTSAAPEPSKEASSSVAPTIQTSAPATPSKTDIVISSTGYAFPSATEESCVVVTVT VTKTSSSVVAPYPTSPVGTGVPPVVPSGTGSYSAPPSYFTGAAAGMKVPAVAGILGLA ALVL CC84DRAFT_1220414 MLRYFALMLVALAWCTLAIPLTDVTDPNLAPWNALGIGADDAAN FAASGVSPMEGAQIIATEQALFNGTVAVALRKRPPLIEAAGTQLSNVPGAYSLAEAMG LGMSNAATADGTNLKMVIIVGWRRQHVGITWQKLSFLSFAPAYIDLSTRFRDVLWELC PKDAVGDGCSYLEEKKLENIYYNTGTSGAIRIKVASVEMPSNLRDFFVNTLWGVFKVI TECDKNRYQLRGFGGGFEACREFANSADQIEVRLLNTRGPSDRVGIYKDPHLRVNIKW DAATTEGPFDCVGSQSSIWDQVRGKMVPELQKIDAYKNAPVDFDVLCVTQRVDGGCFT RDICKGDSRWDDKDGSCTWHDKCSTPGHCNFGF CC84DRAFT_1126085 MNGGYPFWLKCEADDPKELARQPRRRRDANSISACATCRARKVK CDERPKICLNCEKLSLTCVQHGSDQPLESPITPRAITGIKRKRTFRVCIPCRQSKIKC TGERPICSRCRQKSLACAYDADAAEPAWVHAVTPVSHQHPDTPSVSPPRHASSAPSLG PQGEYPASLSWLFARELPPRSQLHQLLDAYFNNVHPIRVFAFVHKPSFMRMLDESLVI DSANRALLHIMCAHAAKFYVLEYSESTSHLPREVIQAAGSQWAKIAEEMYFSEYSTIS VVKLKVLVLLHDHEARLGNYAGSFLLTGLIVRMAHALQIHVESEEQPGVTNDVSLRES RRRLMWACYMVDVWAGSGVDQLTILNERDIKLQLPCNERQFLLQIPHVTETLRPGEVL DPTSTEVIPGQPWENMGMSAYFVRIAYIWKRVLRYIKHLDAVHPPWVPNGEFEALNRE IEEWKYSHPQWMSFSSDNIYIRRESSQLGALFIIHCMYHHVLCDLHRIALPNLFKMRE PFEFPPEQFGFMAKLQITCFENAQRVSVLTSTILQHGVKYLADPILPSFVYNSSRIML YYIARILDRSKHDAETTTTRTLELVELNNRALRAMSQMYPLAEPLYVTTERWLDKVRE SIARGAPLDPYIPPQDPVDPHAEARPTPSICSPPTQDPMRTAETSHLPVTRMVQRPIN DHLNPMLNASTPPPPTTNEFATTNTYPYSIATSSGPIALDPEVMQDIAPPQTDQIGSV YYPGMENIEQPMFDLNDLQNFFEWENSENGPPPTGVDGLGPLGWNNLSSMQ CC84DRAFT_981934 MRSVEIYRRATAFQSGYTIITIAIRQDTITRNQKAASVVILAFL PALLSTTLANTEPLSFADAVPAYPCSAQELWLSTSRLRRSCCSH CC84DRAFT_1051258 WSNFAYIQYVTNPSYLCNSLMIFEALRRYNTKAELLMMYPEQWQ LPPAVDSDAEYETKLLAQARDVYKARMAPIQVKTFENEKDPTWQDSYTKLLAFNQTQY KRVISIDSDGTVLDHMDELFSLPSAPVAMPRAYWMSENFFLSSQLIVIEPSEAEWRRV EYAMDHHEGHDYDMDILNKLYGKSSTVIPHRRYDLLSGEFHNPKHDNYLGTPNEKWDP KRALEEAKFVHFSDWPMPKPWLEPLPGMVEKNQPECRMVNSNTEELDCADREVWLGIR EDFTARR CC84DRAFT_1152223 MAGPVRQPIDIPSLERYIERNVPAIQTPISLKQFGFGQSNPTYQ LTSANSSKFVLRKKPPGKLVSKTAHKVEREYRILAALQNTDVPVPRVYGLCEDDSVIG SPFYIMEFLDGRIITEPHFPGVSKEQREEMWHDAVRTLAKLHRLDPKAIGLETYGKPS GFYDRQIKTFGTLGDAQSVVKDVETGDTVGEVPRMKEIVQFFSSKRSQPRDRGTPIHG DYKIDNLVYHKTEPRVIGILDWEMSTIGHPLSDLSNLIAPWTYSAFSTERRNASPSFA PTATTPGLPSREQVVRWYAEVAGWDPAPDLAWGTAFAMFRDSIIFQGIASRYAVRQAS SLEAKKVGEEMRPCAEICWELVRRAGGRGQKSKL CC84DRAFT_1198340 MAANIQIEGNPAFARIPPWVKAKLRPIAIEKIQQVHDWIEHEVV PAERIYKAQLKEARWTTPAIVTELRKKAKAQGLFNLFLPNHFEESPGLTNLEYSCCAE IMGRVYWGAQTLNCHAPETGNIELLAKYCTPEQKKKWLHPLMNGDFSSAYSMTEPDRA SSDATQVGIKMEITDKEVIINGRKLYGNCKYNEEMQIFILMGCSDPDNKNPWNRHTTL VVPANTPGLKQVRNLSIMGYDWAPEGHGEYLYEDVRVPRENIILGPGRAFEIAQGRLG PGRIHHCMRLIGQAERAYELALVRCYEPRKKPRGKFIGEFDSNIERIAEMRMTIDAMR LVVLNAADTMDLQGNKAGRYAIAQSKIMVPNALLKVIDEAMQIYGGQGLTQHTPLPEI WTYARFVRVADGPDSAHRHQVGRDQMKTAQGFRERAEKYTARYKELCKKWNLEPEEFI GIV CC84DRAFT_1208178 MASEGFAKPWVEASRAMQPFEEEFGERPLLPYDSIEECLEGFGK IGAKMASRYTFPAPDPAVQTEDKTIDGGLKVRIYTPEGYSGRRPVGVYYHGGGWAMGD MDGDDAFCRSISKGGNVVLVSVEYGLAPQNKHPGLINDCFAGLQWTLKNAKVLGGVEG KIFTAGVSAGGQLAIALALKALDEGLGDSLVGVVAQVPATIHPDGIPEELKARYTSYQ EHANDTIDTDRAMRAFWNAFGTPPADPYGSPLLHPKIKDLKKVYLTVAGHDTLRDDGL LFKEKLEENKVPYKLDFYEGYPHYHWTWPSSKLDKPREEHNANLAKGVQWVVS CC84DRAFT_1098531 MNHSHVYTSDQHAGQLREAEVGLLPHRSFHHDDFRRYLSTHTFT GLERRFLRTVLSNKRLLQRPCLFPVGSGPASDRSHYSHFQVYDVASDGLAILADDHSE VYNGKALEIWGYIKVKAEATIDNIAGRIVIAREPSTILLAALHFTHSCFMDMDSVFRA LGSHEPAVACTSKSIASNHATQFVFSLSYYTVIGDDCEPKEWQPHDEDLHAVTEVRLS RCNTVVALTLFNEKAQEPGIGQSHSTGGKTKLKIPPWHILNLQCFPDWRATFDVFEND HKIIHGGDAFLTAILTEFQDAVKRFEGIQEAIARLVIPSQDFIFKESLRDERLFDDAS FVWTKQYFWALHVLPRIIKSSEEMEGEIYNIRNSKNDWSTYISEAWPDLPVLEKSPAR LRSPTDVRFRRLMSGFKDVEQKLGSVRVRSQELCPQIQSLQSTLFNGTSVLESRKTVQ QGENVKLLTIVNVFFLPLTFITSVFGMTNMPDDANFVRFGTVLVAVCLPLFGFIGLAS SQHGYNYMKTTSRRVWEWTKTKVTVQKKEERSLDPLQRRRRYGFSRRDTPSDDLEAPI TSFSEAEKGSSNNGGNEGVRFRTHQIDR CC84DRAFT_1126097 MKAGQWDPKSKKVVINDIPKPTPNDNQFLVKIQSASLCHSDLHM DMRPDYPATLGHEGVGHIESIGKNAEGKGFKVGDAIGTNYFIDCCFECEGCLVHNLRC ETGKSKLQGFVVDGFFAEYAVVDWQSAVLLPKELDISKAAPLFCAGITSFHSVDSCEL KEGQWFAVIGCGGLGQYAIQYAKAMGYKVIGLDINDSQLEMAKKVGADAVFNSMTNKN YVEEVKKLADGKGCHAAAVYSASNAAYAGAPEVLRVGGLIMVIGIAPKPLDFITTFDL TTGRYRIKADSTSIPQRMKKAVEFTAKHNIQPDVEFNKIEDLPRMVEDMEKGKADKRQ VVIF CC84DRAFT_1098455 MVHEKVNHTFSNDRFNWDAGENYQPCIKLSFFFKKLPNVDDDHF QMHYNHVHADLTVAAKLFNVVKIQRYVQTYQTPEIKARIRKAGMELLDYDACSQIWVR EWEDWERFSTSPEYAAALLPDADHFMDYKNSGIKVFAG CC84DRAFT_1099031 MAAEELENSHVRASSLEKQDVERIDVVASPPPTVLQNGDGESVT ITWKTWFVIFILSSTFGLSFWPVPTTAALQSKLAIQFGEPLSSAWYVPAYTTANAIGF LIAGANSDLFGRRLFLLFGNACCCVGFIVTAAAGGASQFTAGLAITGFGGGFCQMAMC SIPELLPNKFRHIGICLSDGFVFLIVIIGPIVGRYAIDSGGWKYIYWGGLVAQFISLT ALFFYYKPPKHPKGIPWHEAVKGLDYVGSALIIPGVILALVGIISTTYKPSSDPTVIA PMVVGFVMIALFGWWETVSSVPHKLCPPHLFASHKGREFTAPFIVAFIVTMFYYSVNI IWPTMVNVFYLTPETSRTTELLLTLPPNVGLVFGACLLIAFGGVIKHWKKTLIVTWTG MTLFGALMGLCTPFNKGLMIAFCCINATFFGWAQYESVAFTQLGVPQEDLGFSGGLAG MARYAGGSLAVAIYTTILTNTQSTRAAATLPAAAISAGMTAENAQKLLAAFPLGATAI AAVPGTNPDALAAAGLAFQWSYAHGLKIVALSSLSFGILGLLCCFYCEDLGPKMTNKT EVFLENDVNAEKNVYH CC84DRAFT_1098430 MSKSALVFGASGVTGWSFVNEILNDYPEKNVWKRVHALTNRPLS QEQSQWPNDPRLKIVSGIDLLDGSQEDLESNLKAKITDISEVTHVYYLAYKAGTDMQK ELEEAIAMFKRSTLAMDHLSPSLEFLVLQTGAKMYGCHLLENHPTDYLHVPHKESQPR LKQPYHDMLFYHPQLDWLAEYAKDKSWKWIDTRPDIIIGFVPNQNFYSLGGTGTVMAI YLSLWREVHGDKAEAPFPGTEASWKALSNDSSSDMIARQTLYLSLNPGKIENGTGYNV ADERTPSSWSKKWPALCSYFGLKGTGPSSNPPEMRKFIKDNIGAWKKLEQEKGLQSGH ADSEKTYPGFEYFLMTQFDFDRQYDMEKMYGTGFSEERDTLHAWGLVFDRMRKAKIIP CC84DRAFT_1198343 MTETMTTEVNTSMTEQQSERKDSKTEDESVKSQGQNGSQQASKP QKSRHRASVACASCRDRRIRCVVPPGEKECTQCKRSGVECVIKNDDERRRPISRAYMC SLTDRVALLETMLKEQGVEAPPAHHPPKTRHAAPEGEASNDRKLEGQQNQQDQSSPGS QQSPQEYAELEHIEPDHPSPYGEADSAGSPSMLPPPKKDGMVSRLLSTRGHLSFDQLS GRLRYFGPTTNCHVHSEHANPFDSEQQMSEQARRAEKIIRSLPMETYDYLMEMFWTCY NTVIHVLHQEAFEQDREAGRTQFYSGFLHVCVLAIGFRHADKERPDIKKISLPQMEST LHREAKYMLDHELERPGGIPTVVAMLLLGDLECGVGRDNLGWLYSGLAVRLAFDIGLH LDSRLSGLPQREVEIRQMTLWACVMYDKYWALFLGRPTGMKSSDLEIYSLSKQFERLG TCKPVGPEKSLETQIYEALIDVMELAGKITENMDPLKQNSEPVVDRNQYLRMAALDRE FSTWYARLPEQLRWTPANIATAPFSFFLLHQQYHSSLILLHRPFALYDDQSNQGYEGN GPDDHFSALSRTVCTKHAIRVARIFWQHRQRFDTKQIFVTGLQHAGTAATALVAALAF IKDPNDRANNMQYLECLSAALNDMAVTYQPAERMAVVLRAVMIELRGGPVSNDFHLYK TKSALVPARRGSTNEAADVPMYKKRQTSRPRAGTGSSKKRSMSMASNVTNIDLTIKAP GPHRWDAESERSDGYIMVTPRSEISSWPPISENASDLHSLGPGPSGGLSTSGPRNAWM GAELDTSDAINNLANVHFPEMNTLTDGGDMSHLDFLSLGDGSSDFGRDWNSGNGVSVG SDLDGFPPQQGAYGMGFGSLLN CC84DRAFT_1098486 MAPSELLTPINGVNGIANMYDYPPANPEGEYRILQQYHSKPTKL RVACVGAGASGLCLAYKMEKMMIPGSWELTLYEKNPMFGGTWYENTYPGVACDIPSHD YNFTWDPKPDWSQFFASGKEIQGYFEGFAERHGSKKYMQLNSKIIEARWDPSEGIYNL TIENPQTKEQRKDWSHVLVNGSGILNTWKWPDIEGLHDFKGPILHSANWDHSVDFKDK STAIIGVGSTSVQITPALQKICKDVKVFMRSPTWISPPFGAGALTNDLQKGQDVDPGQ RQYNFTEADKKKFREDPEYHLDFRKRIEAEINSLFGMYIQGSELSTTMRDVITKEMHR RMGDGHEELKKFITPKFAPGCRRISPGDGFLEALVQDNVTPVFEGIKRVVPEGIETAD GTVHKVERIVCATGFQVAFQPAFKVVNGEGKSIKEDWTTGPNLYFGVSAPRFPNYYTI VGPGATWSNGTLLPSIETTIEYSIKCMRKMQHEGIKAMAVKQDALDAIYAHFDEFHSN TVWQEECRSWFKDGEIKKRIYLWPGATIHFLKTIKDPRWEDYDYTYRYKNRFAFLGNG DVKATAEKDIQGLSVYIRNSDHEWSVA CC84DRAFT_1152238 MPFSSPFPSLDIPKCNLLEYLFPRGQPVAETPIWIDAQDTNHYL TPRSLLQWSKRLALGLDRLGVKPREVVMILTPNHIFVPVAYLGIVGSKRIFSGANPAY TVSELKHQISNTQASIILVHPSLSKNAVAAARKAGLPDGRVFLFSDVPNTRASGCRDW REFLPSTAEADAYNFPQMSAQESTTITATVNYSSGTTGLPKGVEVSHHNLVANLEQTI YMRYLKKPWDHTNRPREVWLGFLPLYHAYGQLYTIAMAQKLQIPCYIMKQFQYEEFLR TIQDQKVTHLQIAPPIMVMLSKRPETAKYDLSSVTDILCGAAPLSKELQTEISRKLDC EIVQGWGMTEVTCGAIHVPGGTIDDSGSVGQLDPNCECMLLDDDGKEVADGQPGELYV RGPNICLGYWRNPHATKETLSPDGWLRSGDVAVCRKGWFWIVDRKKELIKVNALQVAP AELEAVLLEFDPVADAAAVGITLDGQEWPRAYITLKDEHKGKVTENDIHAFMKEKVAK HKQLVGGIQFVDEVPKLQSGKIKRALVKEWAKKDAQRMGGLKTKAKL CC84DRAFT_1152242 MSDIKNFDRMFRLDGKVALVTGGSRGLGLHTATAFLLAGAKKVF ISARKNEGEQGIDQAVEKLNKLPVSGKAVGIAANVADTDDISRLVKEVQKTDDKLDIL VCNAGATWGGPFDPTPDWGSKKVLDLNVRGVFNLARLFAPLLEKAGTRTDPSRIIIVS STAGTTVPHVGEHGTIMYSVSKAAAHHLSRQLAVELGPRNITTNTVAPGFFPSKLANG LIENLGGQKSLEDHNPRKRLGEPEDIAGVMVYLASPAASYVNGEDIAVDGGVRYAAGR QSKL CC84DRAFT_1252158 MGKRAAFITGGVSGMGLAVAESLASRPDEEWDLHLVDLNETAGK TVVSSLKNAHFHKTNVTDYKSLISAFESAFSTSGRIDFVFANAGIVERDNFYEKHDLS KPPPEPNQLSIDINLKAVVNTCYAALHYFRKSPKQDGPSPVLVMTASCGGLYPSEFCP MYSAAKAAVIQFNKAISFSYHLDGIRTYATAPGTIKTNLMTGEEWKSFPEQYFTPMST LVKAVTHVIDGGDLEDSTGKKIKAEEAFGLTVEVNRDNFYFREKNKFCDAEMEAMMKF TSMENQLARIEKSKAQTNGS CC84DRAFT_1167202 MSLAYSIKNIPKQIFINNEYVESKNSKKLSVYNPVDGSLIADDI ALAGEEDVDAAVAAAEKAFDGWKKTSPTERRNIMLKFAELVEQNAEDIARLTRVSLGA PYKAFGAFEIGLCAETFRYNAGFIDKFGGESWPQDDGFLKIVRNEPLGVTAGIVPWNG PIGTIGLKAAPALATGNCFILKPSEKTPLSSLALGTLIKEAGFPPGVFQILSGDGSTG AIIASHMRIRKVSFTGSIPTGKKIQEMAAKSNLKRVTLELGGKSPAVVFDDANLDNAV TWCANAITANTGQVCFAASRVYVQEGIYDKFIEGYKKAMQAKKNEVGDPETDGTNIGP LVDKAQFERVTGFIERGQQGQGKLLVGGQRIGDKGFYIEPTVFTDVDPNSEIHCQEIF GPVSVVKSFKTEEEIMKLANGTDFGLMAGVFTQDINKALRVASDFESGMVGVNCVSLM FGTTPFGGSKQSGLGRECGIYALRAFTEPKTIMINLTY CC84DRAFT_1220430 MDARTIHIPDHIKQCYQQPNRHYHNLGHVAHMLRLVPDDHPHML ELVYATWLHDCVYDPKAAHSKNEGESIRTWRSHVEENEGLSKIKDIVSLMIECTMTHK PPYEPPADEAQIELINRFLDMDMDILAFSREGYLGYAKKVRREYAHLTDEQFRKGRAA FLRSAIDKGNVFPLDENPGKNEIALGNMKAEP CC84DRAFT_1262081 MAAVHQASVSSMPGHKSPSEDNTLINHMTHLSGMTLADFVTEPK IHLVEASPSSSKQPVQSPSTMNLSSKRSSRASASTTKSQLRHTNAILVDMLQNIQNEL AAHRTILLNIQDRVSTLEDESNATVNNDAPQLTLRALEGQDAPSKRNSRLLAPEVSSW WQACQTFASNAEPPMSAREFLKTPQRLSGFDFKWEVPNTPPITPPDVDDVPPLTPTSD EGEHSDLGSPLGQNVFLGEEITASTPMIAGPSNGADIDIMERTVEFDAKKLPAPPALQ PAPSAKPTVVEQEDVVAAIEPEVVGNPQRYFKGVRSLATYKALLKHKPSEKEHHVLIH FHRRKDVDHLRAA CC84DRAFT_982009 MPIGVLNTRLYINTYDICFAKRNNGSTPALQHYPNGLDDPSKEA PKPLLRDRPPGSHGSGSKFPRIIHTAVENRIFLRRMVCWLAPNGVTQFVDLGSAPSTT AGTQETVLKVAPDVRVLYVDADVSAVNEGQKYIRETGCEEQVGMIQASALDPDAVIED P CC84DRAFT_1167204 MNSSKLLAKWPLSFIAFATTLSFCLLLFRPTSLPQRYHEYIPHL TSARTADIPNIVHYTYLKKDANSTLHFSFQDYLSVYGANLLFKPDAIYLHTDHNDTYI ATAAREGNTWTRAILTQFSSVLRINHVDVPTTANNKTIKNIEAKSDFVRWEQMLAFGG IYMDWDVLPLRDVAPLRHLNFRNVVGRQPGGAVNSGLALCAKGSKLAYLMARDGPRVF DGGWGTHAVDLITHLSDRLVGVENEVLILDEKAWAPTSWVADSFDRLFKPHEDATPFG DEVVGQDREQDAVERWNAKPNFGKVDKTAWEMDFSPTYLLHAFKSREHKKEGWYSEWK GVTVEYVMRRNSNFARAAYPLVKRMVDEGLVQETNDEV CC84DRAFT_1208187 MAATQKAEDMREENIAAWQDPGIAEKYTRTEAATIPFANIILDK GSIVSKITQHNCAINAFDFGCGTGAVTAALYEKVPKEHWPNVKVLGGDISQPMLTYLQ ERGEKNGWTGLTTQIVDGANIQLAPNQFTHIFANAIIFFLPLGALSNLFELLQPGGYI GMTTWAALNWYDHVQRAVNNMANPPFFPPFEEVRAMLQHNNAWHEPSFVKQQLENAGF QNVDIVVEKRTVAVGTPEHFCESMKLPLKMFAGQWEESKRDQISKEVMEELKKVMLEV AGGEEGECYMTMEGIVGSGWKPVGK CC84DRAFT_1099452 MGSRADMLYEECAKRPEGTTFFQRDLTGMHIARDLNELLNLVGD LSNRHLLKPLQFEGEPCWKLRPKDIAEKLRRLVPDERLLYQHIDNAHTEGVWSKALRA KTNLAQPTVTKYLKSLESKDLIQAVMSVKTPNRKMYLLKHLKPSEDVAGGPWQNEGDF DSALIEVAAKVVANKVEHDTCIRVSAGWNNYTSSDRQAAIAHKKAQVKGVPDIEDALP VQPYHPPTDSGASRLVHRSNPGYPTTITLAKYLNETGLLRGKTVRESDMEQLLEMLVL EGTLENVSASTYRTVIPPTNQKFNGFVDAPCGNCPVFDLCSDEGPITARTCAYFAEWL ETTSEEP CC84DRAFT_1262086 MVEEKDIHGEIAPSNEIELNRYPTAKSEGLHHDHIAAEALGGHT SDLPTGYYRSPRFIGTVIATCLAQISGYLGWVLPANTLSLINQAIGPSPNLIWVSISW TAGFAIGFTLVGRLSDIFGRRWFFITASILGLIGNIVGATAQSINTLIATNAINGVAA AGQLSFHIILGELVPNTMRGPVNAFVLSTSVPFAVFGPPVARAFYENTALQWRWCYIL GVIVNTLAIVLYFFFYHPPTYEMLHVGGKSKLKQLKTLDWLGIFLFSTGLVVFLIGLN WGGGSYPWKSGHVLGALFAGFFTLVGFCFWEAYSGLQYPLIPMKLFKNIQYDANVACA SLGAVVYYANTVIWPTMIGALFTTDVKKTGWLSCAVGGGLLLGQILGGAGVRYVPRMK IQMTVASVITVAFVAAIAASNANTESRTTALLLIGTIGAGYIENLTLSSTAYLWDPAD MGLVTGVLGAIRTAISAIATSMYSSILTTESSKYIPQYVTPAALAAGLPESSLAALFT AISAGDYSTVPGITPEIISVTGAAVKHAYSLAFRTVYLCTLPFGVLLLIAAVLSPNVE KYLTDEVARKLGGGEKSGPAVRKEVVDEE CC84DRAFT_1189407 MSSTITTAIPSTIDAATLIATLHNHETMIAALCPGLISYEFISG DKSTEATYSVTDKKPIGQTTYKLTLTNVPDGINSLVNAKPPVGSLTIAAKWRVVGTQL VEEVEIEGNFMVKKMAKGNVEKTHPEQHTKLIELARA CC84DRAFT_1220438 MGDYGEIVELGLEGVDRFATHFHDPVVDKLSKHKPFSRSRNKRD SGPSGGPQRQERRRELPEDERPSQRPDSPQRRESFPEQDLPPHPQLQVPPPPPGAPPA GLPYPPYQPYPGGLPSAIPVVPTVPYSGVQFAAQPPRRQLPRQRSYSDPRGERYDSRS VPDNIGEIDSDSDVERGPRSMYSSYAPGRGRDDGWRDDGRYERVVEDREYYGPRGTVQ QMAVRTRDANHYQGAVTPRAPSPPDYGYAQRGYADGMAVRGRPEPRRQRSSSWSPPRR AERRHDSPARRKRSKSPNHHRALAIIVGALAGGVAGSRVKKDDLVPNTMATVGGAILG GLAGREVEQEFDRHKERRTHRAYERGEAREKRRLEREHDYD CC84DRAFT_1220439 MRRYRTGGSAGGRANGGVMVPDPFAMVRAYESDTNPTRPIRPSP LTASTIQGLPLDLLDRLRSFPLFLSAPDTFLAAVGMHLKPQLYQAHDTILTEGEQGKA MYWLVRGSVRVTSRDGESTYAELKPGAFFGEIGILMNIPRTATIIASTRSLVVRLHKE ALEKELPKFPEVEHAIMDEAKERLAILERKKKEVGRKPAMGLVSRPIKRTIDRVDGDV VMTERGSVQDGEVISQYKKRKSPSPGLADVAAMSVFGNGSLPVRLLLKELPLFSSLPS EILHFIGLNAQPCHFPPFTDIIKQGTQGRDVFFIVKGEVEVVNAQPPLENGHATMHNR RNSIAAGEQSLQSVKARLRPGQYFGEVTSLSLAPLRTATVRSVSSVECLMISGDILNQ LWEMCGADLRRQVEQVANERLKAAKDTDTPMADSTEAPKIDSLIIADLKAPRTPRKGS VPTVTFEDMPTTIDSPLTPSRREEKTMEPFDPDPFLNVDLDNVRSRSRRGSLAPPPPD SPTGSSVIVPKSPSPSSTPLSPSPLFKHTTTPPETTFEIKRPRVMTRRPSRFNKGLLP DSIIIGIFKHLDLCELMRLRLVTTHWKQLILTSPDILHTLDLKKHNRRVTDRTLQDII CPFVGNRPTFVDISNCFHVTDEGFAALGATCAPNVKIWRMKSVWDITGPAVLDMVQKA KGLEEVDLSNCRKVGDNLLARVIGWVVPELPVGMAQAQQAQMNHRRSFGQKGANGQQM PQPLPPGTVVGCPKLRRLTLSYCKHITDRSMAHIAVHASPRIEQIDLTRCTTITDIGF QHWSVYSFPRLTKLCLADCTYLTDNAIVYLTNAAKGLRELDLSFCCALSDTATEVLAL GLPMLTHLNLAFCGSAVSDTSLRCISLHLLELRHLSVRGCVRVTGTGVEAVVEGCRDL ELFDVSQCKNLQRWIDAGGPESVRSRGRKVVFETVADGKWRTAKR CC84DRAFT_1208192 MADFLVPSTASPETDAQHRRRGPIHAQRHVRVVCIGAGASGLLM AYKLQRHFSNYSLTVYEKNAEVSGTWFENRYPGCACDVPSHNYTWSFEPKLDWSAVYA SSKEIFAYFNDFARKYGLHKYVKTRHQVAGAIWNKQKGGYDVQIKDLESGQIIDDHCD ILINASGILNNWAWPAIPGIDKYKGVLLHTANWDEKIDLRGRHVGLIGNGSSGIQVLP TIQPHVKKVTTFIREPTWVSPVQGLEQHVFSAQERTDFATKPGVLTSYRKDVERGLNG QFGIFLKDTTTNTDTEAYFRQQMTEKLSNPYLEERLIPNWHVGCRRLTPGVGYLEALG KPNVDVVYGEINEITERGCRCDNGNEYPVDVLICATGFNTSFKPRFPVVNSRGTNLQD VWAQEAQSYFGVAAADFPNYLIFLGPNCPIGNGPVLSAIECQADYMCKLIDRFQTHNI ATFAPKKEAVDDFIAFKDDFMQKTVWQDPCRSWYKGRPDGPITALWPGSTLHYIEAMM EVRHDDWEVAYSGNRFAWMGNGYSQTELDPTADWAFYIRDQDDDEPLSRGRKLQMINK SGTVQQTKVVNFTGRDASEAAPKL CC84DRAFT_1152265 MAFSLKGVAYITGAGSGIGQYAAYAMARQGVRSFALLDRNPVTT TVQQLKKDYPDISIKEFEIDVADEAAVNDSVEQTVKEFGRLDYALNNAGIGGALNTTD QIEKSDFERVMSINTTGVWLCQRAQIRQMLKQDKRSDSYRSYRGSIVNTASMYGLVGP TLNVPATAYATSKHAVVGLTKADAIAMAPRGIKINAICPGYVLTPLVESTMNKGSVMD DERVKVPVQRFASMEEIGDVIAFLHSDASSYVVGSALVADGGFTIQ CC84DRAFT_982154 MKLLRQQIEAKTGAGSATLLPEEPEDMWHAYNLIQPTDQIRAKA IRRISKDMGAGAVTSQRIALDLTIAVTSTDFDLASNELHVAGRVVSENEHVKLGSHHT LDLELNRKFTLEKVDGWDSVAVGMLSEACNTSSRAEMWAVVMGEGIANICLITEHQTV LRNRVEVAVPRKGKGHMEQHNKGLEKFHNTLLGTLQRDMEGAKRDAQTEKTVPLLLAS AGFYAQAFLQFIKEYAVRSGNKFLQALVPSIVIAHSAGEHVHNLAEVLASPACTAKLS DAKFTRETALMDTFMKHMRLEDGRAAYGPREIERAVESGAVGRGGGVLLISNTLFRSH NLAERKRWVALVDRVKEVEGGEVRVLSSLHESGKRLEALGSIAAILTYPLEEMDEEEE DVANGVRANDEEMII CC84DRAFT_1179037 MHIQFLVIGLAALFSIAQAGCFCYQDTAMTIPMVRYSENSCPDF DIGTRNGDAAGCFNFNGSTAGNFNTCCVYSGYRVPDPSTIIDDFEALFPNIILSISLA IMTLSE CC84DRAFT_1220445 MTSYRIHGAVAPETSSSCASFNTCTLPPNGGLTSHQIGQGAVDL GNLTIESLFDKISPIRHTSGQCEQNEISADGWRIEGGLEGDMETIKVTLKPSGKYPTW MHNELLDILNAPVLEVGDCRDVTSVQADGPGATKTTQRQCLTPGFWDINY CC84DRAFT_1252182 MNEIPQELVDRISSYLDRADLKNTLLISRKFQSAAERYSTAFQS YVLTESNARVFSERYSSRRFRYLRYLHYYTHFPKLDSNDKEENCRESLEELELLDKEF TRQIEFLFSTVQAIESQLCTEISPGKIHLSIYTPTRAINQDKFCLHHTFISWRVQLLS SSSLPSLFSIRCLTVVAPDQVFYSNGPTPALRRIDHRILLDLANLLPNLDILQCMVGG DEWHGSFENPGLRHITRDCAGPRRDSRHNFRKALDTLSVPSLRHVDLDFLYPLDHVRQ IDQRRILPNLVKPAAFDPLSTSLRLISYQLRSMKICVVADETLFWPTEGYGSTPAWPN LERINVMFHMSTPSGSWYFEGLPGVGATEGFEITSDMYPPLTTTAEDLDDDGYAVDEN WDDEHRTVQFRVSPNEKLLIPFLTAFARAAGQMPRLESAELWSPLDLDLSRLEYEYEG FDTTQVPSFSDGTLGWGVAYRKPGVEAFDILPGEDFCPSRQIWWRMARWRPPPELQSL FRRIGKEEYGEGLLEYWGADQCDRGLPYYREFDTFAAISLPPSVDLFQHGGDQCDAIR CC84DRAFT_1252184 MRVLITFPALWSIRVLFANAIDCYTLHHPNEYPVRNTSQLNNSL DGPVIELCGTGGTHTIAHQTEGVSFSITRTTPQSFKECKESFVDIISQCVNGLGLRGG ELEGANGTLYRISFEDPADRQLVARRARGGRSKSTRPKATRPKKIIPKKTTPKKTKKK SPNKRPKKSYQKSHKSTSDRRETTSTSPTRAKPTKSCKQMYALAAKAAKVEALTNEKS TTALAKRGEYSIKVPIVEKRTSKPGGNGCAFPIMDALDYPEAKKMPSDAKYYGFSSPL VCDAFGFKQSERPAPGFVQGDYQIEHVLEWQVVTKFFDWLKLRKFSKSAFDNPDRNAL DKNGKRRQIGFCEYLKVTWDNPTPPTFKLAFSKDDQERTALGHIQWAYPGKNHFEKEF VYLQTTVNAPAKQQMWDFKDTNRIYGDKTSTRFYKDKTTKKNEQKLRVGMPDLIRGHK AFGTKFPQKAANIDDSRAAYLQLKWIYGARRYLKHPDIKKIFKIQKERIGGVLDALDT EMENHPKETKKGTQDAWKRQGLKKLWDEYMDMRFEMAKERSVNDMDTYIRLLENVWLP KSGEGKDTKKDPKGKGKGKGKGKATSGKAHDDVNLEKDRTVFFAQIKELEKKWLIEKA EPWNAPWL CC84DRAFT_1220449 MVKCPECGAGADLTKDGLYAHCASCNTIFEPNKEGSHYKGSGSG SGDKDEPPKDSQPSGKKDDGEKSGSS CC84DRAFT_1167212 MAARRHRSSTAASRAPPMNNQIAELRSLSDELIRACEASPPTAE VLQTQSNALRRMRQLLIDSHEHTQTKDAFRHVRGFDVLLLTLRSVSGFYKPDQLSAPD RIDFFEVIKSTLDVLSEALNEHSGNRRFFATRVEGNGWSALEQALGSTGIFGGQSKSK RDDAGQEQLFGSLFAFALGEEAVTRIFRDIDRKVEEIQAGAKDAGPQNGRQQAETAAE IVVTSPRSTYSDIDIDIDALRAQVRAIFSGTEVLQNSDIIPTILNFWKGLSGEDAPGT RSKPLSVAVLLAILEITTISSYNKAGVHITGVLSIILPLLFDNKCAPVEAGLLRELAD QLIEFGINNLDDAYYLFRKAATSEHAAEFLHQGMRLSRGAPFIQFDLSLHGFSAIELP DMGRPFPPVSPGGGYTFATWVRVDKFDTNCHTTIFGAYDDTQTCFLMAYLEKDTRCFI LQTYMGHSVGITPSVRFKKAPRFQEGRWYHIAVVHKRPKALSLGAHQAYLFVDGEFTE TMKAHYPSHPPVLESSQESFASLSSSRGQHRVLAFLGTPRNLAPRLGRNVLSSRLSMA SFHLIAEPLSDELIAVYHKLGPRYCGNFQDKLGSFQTYRTSAELHVLNEILHPGKEER SEIVSAIRSSAGQLVPESKILLSFSPSSVMDDDDRNAIDESQLIRSLSRESARALHRY TRMHGTPIIINAAVPSVNDALSQVRGFGRLSGDAVVVVPQALDDAIWRIGGCSAVGLK LVQSAQSLESVLRAVQILLEAVEGNWRNCEAMEQNNGFAVLAEVLRQKVGPNLTGYNT RSSPAIDVSADDREAFLLQLLRVVLKFVGYDEEHPTESLIINPLAYRVLLVDLEIWRQ SMSLDMQKLYYKQFIDFARGSKHHHYNAKRFQRIRVVKRLTDALKGEPFTAQIFPLFL EAFKVLLQANFNGESSRSLSLFVTYALHDSRASYIKRPLRPKPSTRRLRKGTPPALTP GDTPRSASPGQDPSSPTGLPLAELGISILRMLADLLCDPSNSNEIVRFAKNVTGKWLL YLLAEPDHRVVVLAAKILARLLVANGHHYVKKFTEKTGGFVILKNRFRQWWNTPGIWT ICFALLFGVDVAKIDFERDFDVHNLVEIFITKSQNSKVEIVYPAVFAVITAMLDTGLR AIVRDPGKAENEPPKSDDGAAIITRGRRRTMSLNAKQPTIDTKGPQSERLNNYAGVLN SAIQFLSELHSRSEVFRDFTCSSTYVQELLFVLYPVIVSSDCVSAETELLSRGSALTF EGQDVVIQPLSKANGSQAPVVRTTTVKASPSPSAQRVVPLRRASSFVLVSADKGKKDQ TATRLETILSPRQNGPVAMRIGSSVVEAVLEVVLLVYHDQLFSRKEFPGLALFMRTPP GFQEHQAYFESYVMRQTISSVTSALQMDQKLLHEPRVLSNLSRLVTHLSEAVFEGWFL GGAEPLLDFIGFLLEYLERPDIAKIKTVQLCVKPIKTIREKFLRVILLRLAEPDSSED DSPMSRPILKVVYWQPIILSTENTELFHIRPMCYLLYTKLSSPHEDVRLAAVNFWRLF FVQKPAEAAAIINQTIPPEKRELHEGFQKLTELDNETFLAWFEEHQIDLDRFFYGAMS KAWEDYANDQNKKTESTSQERIAKRREKLKQWHQEEITSEHVWSQHESTLNHWRSNIH ASERTKHQRDLQDQQENATFLATVMSKLDRQLRGPCALFEDSPAPKWRLDETEGRDRK RLRTIIDSAGRIQSYQPKRKDTDPNYRDKLRLDTAVPSISAKDALGVTPIASARKPSI SMSAPDGSKDDADSGSEDDFEMVEALLEDEDGFEDKNRKVMRSLNRGDQVQYVCNISR VVGLEAIEGLLIVGKDCLYLLDDFFQRADGEIVRVWQAPTDERDPYVQVIAGKEAVTN RRPPPRTHDETTRHWKWSEVISISKRRFLHRDVAIEVFFDDGRSYLLTAMSNVTRNDI HSRLMQRAPHVAKPDLLVNPELSWRLDSLRNPEEAPQTLSSRWASAFSTVSSHPVTRR WMKGELSNYHYLMFVNTLAGRTFNDLTQYPVFPWIISNYTDAELDLTDPKNFRDLSKP IGIQNPMQEEFVRQKYEAMLEMDDTGDAAHYLTHYSSAMMVASYLIRLQPFMAAFSLI QGGLDHADRMFYSIKSAWDSATGSNMTDVRELTPEFFFLPDFLTNVNDYDFGLRASGH SIDNVALPPWAKGDPAIFIEKQREALESPYVSKNLHRWIDLIFGHKQRGEAAVEAANV YERTTYQGAIDFDSITDDKLRELHISRLNNFGQTPVQVFQRPHPQKEDLNPKLQKLDT AAESLHRLPGTLLESNDRVSSLAYITKHDKLLCSAPFRQNIPPLYDRYMEWGFTDGSV RFYDSNSKKLVGIFEHLHSGQLTASCFVDGRTLITAGTDCTIAIWKVHKTERGTVELQ WTITLFGHKSPVVTLAASRALSAFLSASSDGRVFLWDLNRFEFVRELDLGPAQKRNPV STQAAKINNVTGHITLACGPRLIVTTLNGELLLDQDIGDGDDDFEGITALALYEGVGN EWCERELIFTGHRRGVVKIFHLQPAPPSSTATWVISLVKQLNHGDPSREDGANHQAPI TCILPMPHNVYTGDEEGRVVRSSFFDLREWCSREQGYAGQVEWKGGKETRVEWGGTQE VVRRLKLF CC84DRAFT_1167213 MRYSALALLPLAAASPFRVGTIHNDAAPVLSSTNAGEVVPNSYM VVFKKHVKHADAQSHHEWVQSVHTKAQDERSELRKRSQFPITAEIFDGLKHTYNIVGG MMGYSGHFDDETLEEIRRHPDVDYIEKDSVVHTMKGDAYETEKNAPWGLARISHRDSL SFGTWNKYLYSADGGEGVDVYVIDTGTNHKHVDFEGRAHWGKTIPNGDADEDGNGHGT HCSGTVAGKKYGVAKKAEVYAVKVLRSNGSGTMSDVVKGVEYAVQAHNEQVKKAKDGK RKGFKGSAANMSLGGGKSTTLDLAVNAAVKAGIHFAVAAGNDNADSCNYSPAAAENAV TVGASTLLDERAYFSNYGKCNDIFAPGLNILSTWIGSEHATNTISGTSMASPHIAGLL AYLLSLQPAKDSAYAVADITPKKLKDNLISIGTVGALSDVPSNTKNILAWNGGGSSNY SDIIEKGGYTVKKAEKEEKKKEGLTITIPSVSEIEEDFEAEFKNAKNAAGRAGSHLHE KLDKLTGEIEDFIAEEMEGFFKEFKERVARE CC84DRAFT_1099115 MPAANSSPDASTPDSAITLQERPIQKAFSCVLCAQRKVKCDKAP GGCSNCTKARVDCIYKAPPPPRRRKKGQQKGEVDVQARLKLYEKALRERGVDPEELVR EEEGTGRPEEPVGVNKYVDDIRVSRDGIAKGNDKKGTGVLVAEGGKSRYLENTLWTSL QSEFRDPKRILEDSSDDEEVELTPVWILTGVAARIGQRIGLHRDPETLGLTPFECEMR RRLWYQIMMQDGFAEKLAGTGGTMFFGEVRRPSNLNDSDLFPDMKELPAEHQGATEMM FFLIRCHLGEFLRHSKNPNSSFDGVWNTLSGDRASLQAKDKAIDELAALYQRRFLDHC DASIPWHYMCTYLSKGVVAMLRFISHCPDGSDAKLPQSEKDLLFEWAVSVVRWQNMAY TTKEMQGCLWHINSHFQWKAFVYFVSALKYRTEGEEVDRAWKDVQTVFDFHPNFSTQA SRQALPIAVATLTLTSWDAYIAARGMPKGGEPPFIQVLRARKAKADSKPTKSHRAAVA PPQAPLSNPDPTTQTHFIPHQDISAPGGYAEPIDTFQWDASLATTFDNPQLGDLDVPM LEDQSNWSNWDNLMLNFELQKANSFAADGAGFEMPYDSLLTSGDYSDLTITCQSDSYK VHKAIVCPRAKFFGNAIKFPGKVNKQFVLKESESNTISLPKDDPAMVKLLIQYLYEGD YFPPCLPSTSSSPSTNSYFQNDRSSEWKKASYHVVQQPEMPHTCTEYEVYCDHPAVCE HHQCGGECDYHCIDFCCAECNPPPSLGEGANQLSTHASMYAIGDQYGVIGLKQLSQEK FRCACLHFWNQSEFVVAAHLVFSTTLDEDKGLRDIVSETISGHMELVNKPEIEVLMTE FSSLAFGLLKRKVSMGWI CC84DRAFT_982252 MSVTYPGPLHPFPFPDDLPCGEPYINWPAHKDLVLSGNIQTEVA IPDSWVETGVGEDGRTNRTKEAYSLVMPRVRTIQCEQTKFVPMYRNKVFETSDDKFIT PDKYYLEYMGSPALHTRSKNKCDIPYHLLSYQWSGSVMENYKLANYEGNDWLFHLYSG DTEEHYRMPTREPLAESEVIKVCDGRGRHRKYNKGKWPPYTCDFFDFEGPWPAPCAVP VVDAPKESSSVNLGLAIGLPVGIVGAIVLAILSWHLAPKLKRRFGGEGEIQL CC84DRAFT_1220455 MVSTRSKTAQTHLEDFATTKSTTSQKTPKSNTEKHSDAETSTQH SPSKKRKAPITKAEDVKSKRAKTSGVNNSTHSAETEDTSTILINRAPVLQLWSACVAH HLYPSLPWSTCISAGSAISAICAVAKGRSIGTVPGADESEEKNRKRAEAKKKQGDLDE IHVMHFNLKLKDGLAIVGTEEKGKPANEDALTKKFGDQEYKRTRKCFEEALATWNEND EERLDKEAFHFYEMFRPTVSSGQKGWGMKGALNLETIKNVVQNK CC84DRAFT_982553 MLSLNILFCALGTTVSSLSLPPTTGPFGVGSKAWVLDKVTKNDP VASDGVGKSLLLNVYYPTQDTASPRRYIWDGLATYFEDYYNVSSGAFGNTTARILDGA PVHPDCDDYALPTLLWGPPFTGPPSQMFSSLFSDLVSQGYMIVTVDHPGEQPYLQYPN GTGVKGLGKDFIPDGDFINRVHEYRLEDNAAVLDALPMLQKELGVPLNLTHVALFGHS LGGSAALNQLLYDKNRKNPQILGSIDIDGQVFPPAFTNDSSADAHTPTLLLMSEEHLA VGDFSLAQFVTWQTDWSKYLIIHGKTNHSDFTDLGVLLQGNGITGGDGAIKAERMVEI TRRFVRTFFDMIGKVGGEGILSGSDRVKKEWPEVEFASSAPSAVP CC84DRAFT_982552 MADPANFSIISNILYFAYGTNLSPTQLSLRCTHTRSSSNPVAIA RLSSYKWFIQRRGCANIKPSPSTSPCASEDEVWGVLYAMHPADVAILDTYEGVDLDAS NSKDTRGMTERPTEQGRGRHNKVYLEVDVVRWLVREKEGEDRVRALVYVDEKDTEEGA VRDNYVGRMNRGVREALELGLSKEWVERVVRRWVLEGVEAEEGYVGGENRGL CC84DRAFT_1252200 MADTRAIPPDARASTVVGDAETERKYDSSDAGTRDEVVRSPQNE TVSDKDREFYEKYGTYDRYEITEEDCYDELGFCFPSWKKWMILSIVFTVQVSMNFNTS LYSNAVPGISEEFGVSAQAARLGAAIFLITYAFGCELWAPWSEELGRWPILQLSLFFV NIWQIPVGIAPNFGTILAFRALGGLSTAGGSVTLGMVADMWEADNQQYAVAFIVFSSV GGSILGPVVGGFVEQFLAWRWNIWIQLIFGVATQIVHFFFVPETRTTIMMDRIAKKRR KESEKNGKPLNLWGPNELTPYKERFSFREILITWIRPFRMFLTEPIVLVLSLLSGFSD ALIFMFIQSFALVYAQWDFATFAVGLAFVSIGIGYTLAWISFIPAIKRNEKERKSKPH DERAQYESRLWWLLFTAPCLPIGLIGFAWTSTGPPLPWIASMIFAAIVGIANYAIYMA TIDYMICAYGPYSASATGGNGWARDFLAGILTLPATPFFQNIPSSSNPNHLAYASTIL FCISFVLVLAVYVIYWKGPVLRARSPFAQQLAGAREQTGGRRVSALPGLYGSRHNSIA GGSPAPGSRRGSVIRGASYASQPSRVNSRTPY CC84DRAFT_1220459 MAAQKSFLDAVKDRRTIYQLNKEAPKSDKEIVDIVNQIVLHVPS SFNSQSTRVVVLLNQEHEKFWELTKEVLKPQVPEEQYKSGTEPKLNGFKGAYGTILFF EDPQPVEDLRKAFPIYAHHFGDWSEHTSAMHQFAAWTALEAEGFGANLQHYNPIVDQK IQSEWNVPQQWKLRAQLVFGGKAGEAGEKQFKPLEERVFVHGAKN CC84DRAFT_1179049 MSRLTPALQTYSILAGSLAAGANLSTSIIVFPALLHATGATLSK QWLILYESGILPVSGCAMTSSLGFATLAYRAFFSPTLTASGAVSYAQRNLYVAAAVGL FGLVPYTRLLMWGTITELSKRGQSGKGEEKDTRELVERWGTMNLWRGVLLLGSTGVGI WASLL CC84DRAFT_986419 MAFHREGIYACSRMFAPDKGVQVDRRFRIPFDVVAVTSVIACLL TFVNIGNPTAFNGVVSLTIASLSGLYLLACGCLPWRRLQGRIGESKGTSTHGSIANTI GRKLVCGPWKLPECLELPITP CC84DRAFT_1189419 MASQTENTDTTYVLDLLCDDDSCSLTTLINDVRFHIIVEPEELR KNSDKTFYYDYLDKISGLREAEQREEEELEIRESQGKTKRARDKDSAVALDDNEEGDD GDQCFGDAVVELRNWILGAFKDIAAVHAPADRTPEESTLHDWYHGPTYFYKLKVSQGC IEPQLLEENKAMTKKIEKLVPRLALPRYIQKMTLPWLNAKDLVVKSETASPEPAHPGE VVTKDGKRLFFKPVDSIQPDSFKREIKHLKQLEELDLDIKVPQLYGLVAFQNSKTEVM GLLLSVIKGATPLTHLFDQSVDPSLRKCWSDKVESYVAQLHEHDIIWGDAKADNFMVD KNDELWIIDFGGSYTEGWVDPELKETIEGDDMGVEKIQNALEDPESNTFDPTNSSTIR PSHARSSSSLFVTEKPRTDSKRKHDGEQNGHDNDTYKKRSLKAS CC84DRAFT_1189420 MGFLSLPPEIIDVILDLSLPSGIQGLSLVCKAIHGRARSQIERH NALKRQWGSANNYRTGKLDDTLGILNAIALNPLVAEYIEYLDLWDERPLDEEETEVLD FRGDVDAMERVKDFVVSLPFLTEAGIDTEAWWNRMMQEEGTRTDEDNTEVSCTTITLL GVLPNLKSIRLDPGWQNFNPGAELYSLPLAGLTSIIERSSHVGASRQRPLSQVKTILP FMHGGYEEKAALQSIQPFLELGAVSEVYLVSAVAVDDGYTGYPFEWRTPTLAPQLTRL ELASCCMDAEGISELLRHTPALKTFKYSHETKWHGCEHDWNAGTFVEAVARHCGNTIT NLAITIDEIYGEIINGVSSLHSFPNLEFLEVDVYIFRGPPVESGQTQGGDAMVPEGET AWCEDDIPCIGSMMPSNIVEVHINTDFPIPDENALNSLLKNLRSQRQERLFKLERCII RQYTAESARICAERAGATLETFDLAVENPRARKMMPSWKREFDERVSGLRSRM CC84DRAFT_1189421 MGSTGMLVAATVLLAIVHRAYLAVYRLYLSPLSTIPGPKLWAIS SIPYHVTTIRGNMHLRLVDFTKQYGPTIRVSPNHIAFTSPQAFKDIYAGTTKTAFPIN PIYYNAPINGVHSLLTAPGDDHARQRRHLAAGFSKESLHAQEPVIRRYVDDLMHRLSS EAQTGPVAISDWFNSFTFDLTSELLFSESFECLSSGRLHPWIALLFGSIKGMVYISAV KCFPALDWALMKMMPQHLIDMQRDHFNLSAAKVDRRIELGSGRADLLSPVLRNGVGET HGLPVREKRLKTKADGRSFIVAGSETTGTALAGITYHLCKNSTTLSTLLSEIRSSFPS ADDITIDSATKLPYLDAVIREGLRIYPPFAAGNHRVAPKGGDYVDGHFVPEGTHLYGY HYALYHSPAYFALPSEFCPERWLGTDARFSKDQLDGVRPFGLGPRMCIGQNLAWAELR LVLCNMLYRFDVKLRPECEGWIEQDLFYVWQKSRLMVELHRRK CC84DRAFT_1099518 MAFILRRPFAVAATLRQALPKQPQPVFARAFHNTPLKQHPNFFT PKTPTVASANNVLRFRTTFRRSYQQSAYNPVAQGDLRQRLMYGAGIFGATLLGINFIF NRETREDGGMPDFERRYLNDTFMHTGLGIGIIGVAARALHMNGWSFRLMAANPWLVLG VGLVGSIGTMYGTRATHPDNYVQKYGLWTAFNLCQAALLSPLFFYHPAILARAGLYTA GMMGSIAFVGATAKQEKYLYLGGPLLAGVAIVALSGLAPMVIPATAARTLMWSENIWL YGGLAVFGGFTLYDVQKVLHHARLAERGLMPKDAVNESISLELDFINIFVRMVQILAM QQSRRK CC84DRAFT_1167225 MAPQVENPCLPPGALILVTAGNGYLGSHIVDQCLAYGYSVRTTV RSLERSAWMKRDFSKRHPNGQLEVVEVPDLSQPGCYDAALKGVSAMIHTPGFTNMSDP DMVGNTVKLNMVALKGVHEANKNGEKVQRFVLTGSSWAVKYPVPNVPGDITEDQYDES IAKALSNPDTPKDFWALMGYVQSKIAGEQACWKYVRQHSDCGFVVNTVIPATCMGPVI APAEQQYPSTAGFIRSLHECKGQELFDVIEPQWYVDVRDQARLHVAGAVLDGVENRRI FAWAGPYTWIGVADVLEKEMGQRTTIRLADKGEDITKVLAKGKAESYLKRLDRPDWVP FDESVSECIRSYYPKA CC84DRAFT_983054 MQSVCGVFARCHSPRVWSAEFTPAFINRYPANGFRGHLGLRLCR ISNAKRRSRIRCWRFDISVPGCIGAAWRVLVVVYRVPRPHVSESWSHRASFNHRNNRP GWDDNWAPHLLINKCL CC84DRAFT_1179054 MQASEPGSLDVRSSHGATRYIEYHMHYAELKHDGSFIHIVGASG VKVGVWVKAAQMVNPVHPGHAPATETLHGYSLEQQLRGGTSRSHPPLVRNRGLHHHPL RVHPPILRPLYLRIVRGADHACGSSGRMYLYPPTERKSKRGVSDGESMAYACAGLEGV AGGRDRDGEYYWVGE CC84DRAFT_983080 MGRHANPDIRRAFAEFQDQDTPSKCNKVRCLHCGFVRAKNTTRQ IEHLHACEAYLASPEAQAWHAQNGDDNSMSSGMAPNSVLSGQQPNPNLQINRRGPNNK RARDGQQLAPNMTMPPPLAPSLTAHLLAICAQPFTQATQQPFLSHAGCGSLAPGPLSQ WLVQDGHYARGFIRFVGQLLAKLRLPQTANSQFHPMYRTMDLLISALNNMRREMSFFE ITATKYGLTMNQDPPTPITRALLDLFVSASSSSASLLEGMVVLWGTEHCYRTAWQYAS SFSSSLSTPSTENHIVALHQALIPNWTSPAFSKFIDASRALVDELANITTARDGKEEM TRCEEIFRQICWLEERFWPDVDGMGEGDDSARLGPNIGPMGAGMDSGLNNRMTNGMNG PMQMNGPQMNEAQMNGLPNGPMQMNGQMNGQMGAQIGPQMGPQMSNGERSAGGDGRNN FPGLDSVGQSS CC84DRAFT_1220467 MHGSWSSVVAVGLLTLSSNASPLTPYKRAPSFDYSGSKVRGVNT GGWFVLEPWITPSMFEGNGAVDEYTLTQELGKDAAKSKLSQHWNSWITQADFNQMAAA GLNHVRIPIGYWSVIPRDGEPYVQGAYQVLGQALDWAQAAGLKVMIDLHGAAGSQNGF DNSGRYGSVQWTQGDTVAHTIKVLNKIRDDHASHPAVSAIELLNEPMGPNLDMNTVKQ FYMDGWGNLRDSKVAIAFHEAFIGVTSWNDWGAGMANLLLDTHHYEVFNVDQLGMSPD DHIKSACDFGGQMASNNKPTISGEWTGGITDCAKWLNGKNKGARYDGTFENANAIGSC AGKSSGSVANLSSDDKSNIGRFIEAQLEGYEKGAGWIFWTWKTEGAPEWDMQDLMANG LFPKPVNDPSARKYSGICG CC84DRAFT_1167233 MKQALSAARYSPGHTRVQFRMCRGPACCQQPTLELRPTAFTRPG GPADCAAVRRNGCHQAQRQGPCVPCTMLDSEHRRSSCASKHNDWGTEMDRTRLGLKNA QRELQSKLRVSGQANRRIAPLRIRRRRGTKQMVPGAEKRSARRASSRCVARSTSCLRL APAARP CC84DRAFT_1179057 MKYSVVAFFAGLAAAYHAPVGAPTGNAITAPLVQTIPAGKPFTI TWTADSPNKVSLLLLKGPSTNAVFNQVIVESIDNSGSYTWTPPTSLEGTDGPGGYGIQ LIDDVDGHYQYSTQFGISNEKPVSSAAPVSSSAYESSAPAPKPTISASGYAVSSSSVE VPSSTEASTSCTTTTTIYAPAPPVGTGASSGAPPSSVIYPTGPVTVPESLKTSATGGY IVPTSTPAVEFPGAASGLQAGLGLAGAVAALVAML CC84DRAFT_1189426 MNTFQSNESVCPVEILFALSGSHNMTLSTIGFTTGPVDARSPAP FYQDVGDNNTTPFDPKFVINPQIIATHDFSNAPPLDILIVPGGTGDFLLDNQHNFTME HFIASRFDAAQYVLSVCTGATTLARAGVLAGRRATTNKSAWKWATASRHGSNITWVPS ARWVEDGKVWTSSGVAAGMDMMYAFAGHYWGWDVANATVNKIEYTPHADRHWDAFAVV HDVPGADRNESLTDCLRPAGW CC84DRAFT_986908 MALLSIANLQVIALSCIGALIAYRVYWEATTGAARRSLARQHGC LPPRLFRSKYWLFGLDVFLANIKAYREHRLLERWTNTLTSHNAHTLIAKVFGQTVLWT DDPENVKTMLATNFDQWSLGQERIQQMKAYLGHGIFTSEGASWKHSRDMLRPCFERSQ VADISILEKHTARLLQNVPKDGATVDLQPLLHELTLDVATEFLFGRSTDAQDHGREDN ACKEFIDAFEYCENPMTERNERLGFLAFFLPDRKFKKCAKAIRDFTDRIIDEEITILS QNTKDADRASTGRYVFLDELLSATQNRTVIRSELLNILLAGRDTTASLLSNLIWELSR QPAMLSRLRQEIEDSIGNDIPTYQQLKDMKYLKALINESQRMYPIVPSNSRQALHDAI LPRGGGPDGQSPTIVPKGAYVAYLPWAMHRRRDVYGDDAEVFDPTRWLDEGHASSPLR PGWAYLPFSGGPRICIGQNFALTECMFVIVRLLQVFDVEQRDEEPWREKLGITCVGLG GCKVGLRPRG CC84DRAFT_1152314 MKEAIVSKGPSVKIVDSPIPEPGPEQVVIQVVVSGSNPKDWKLP EWLGQTANTGDDIAGIVHSVGENVWEFKKGDRVASFHEMVTSGGSFAEYAVGWQHTTF HIPKKTSFEDAATLPLAAMTAAIGLHVRLGLDAPWVPNSKNSETPLVVYGGASAVGAY AIKLARKANIHPIIAVAGRGIPFVEKLLDPSKGDAIVDYRKGDDAVVSGIKDALKGKK LYYAFDAVSEHNSYTNIVQVLEKDGHITLVLPGKKYEGIPETVHMTITSVGEAHKGDK EFAYIFFRYIARGLDEGWFTPHPAEVVKGGLEGVEKALTDLKEGNASAVKYVFRIADT PGVKGAQL CC84DRAFT_1099164 MVADGASSISVTVRVRPFTIREAAQLTRSDDTTLFLGDGSLAAL PTPKLHSKGIRPVIKVIDEKCLVFDPPEDNPVQRVSKSFLPQGKRVKDQTFAFDRVFD ENTTQGDVYESTTKPLLDSVLDGYNATVFAYGATGCGKTHTITGTSQQPGIIFLTMQE LFEKINEVQEEKVTEVTLSYLEIYNETIRDLLVEGGSKQTLMLREDANQAVSVAGLTS HRPQNVQEVMDIIVRGNEYRTMSPTEANATSSRSHAVLQINVSSKDRNASVNEPHTMA TLSIIDLAGSERASVTKNRGERLIEGANINKSLLALGSCINALCDPRKRNHIPYRNSK LTRLLKFSLGGNCRTVMIVCVSPSSAHFDETQNTLRYANRAKNIQTKVTKNVFNVNRH VKDYLKKIDEQRVLIDELMKKQKDSDASAFVKFQKQSEKKEIVVREAIGRLRQAYSDS EPDRKERLGMLKTLKQAEKRVSLISAWVAAFDQVQDLRENEVMPSQVTAVRDTAIGIS AELEQTRQHCHRRLERSSWTSKLDTALQFGLRQLSEIDGSEDSHDAANIKREYEILKS NAEREALGVLLDQEKGGDAAIVQVLVQAHIETVAILSQITQMDEEEAVQAARKILTQI MNSCTNATARAVRPDGGLPVTEFFAPSQKGTPKRRAPLYTGPSECRPLAAPSFAEASH LTASPPKGSPRRPRKMGGAKKGVQFSPLKKNSPSKAKAKRGVRWRDDTENGTLAEFQK TPDPVESTPTSSSIDIPPPAFSMPVDTPVSDQNAGSSPIPTPPKVSIDLKSKSSRFQT GFLSKKSDGSHAHSSDSDTSPLREIGTNTIRPSTLHSVENAADFNSAEASSGSDEEKW NMDQSDARKIGSAMKRRSSGVHGSMPMSRTHRRRSPSAATGSPQNENTLLSAGAARRM VKGDRDVDWRASVLSPRPAAIVKGPARRTTMAMTGEGAGAGGSLNRAPIRIASNGSTG SRGSLMPSSKSAWR CC84DRAFT_988426 MVRSVSSARVGGEDRRAELLERASERFNVYQRTVHKTDRDLKPA TTTQRQRTCASSLQLAYWCHGLSVVSVASNCITCGCMSHCTRASLACVPGAAITAVLQ QLELHAHAYLHLVCPVLHAGRDAGSPSLRVYAWYVSHNAHFTPCCPEHFSCNALLPR CC84DRAFT_1099568 MAFTTPSSQTWTLRFKSHKTTVLLHVDPLQTFRTIKEQLYKALE ETGLKDSDSEPVPLPPSPSDIELGRPSNTNDPHKGFQLGEWEYSLLEEDDAEDPKGKG KAKAGRPQKSGAIGADSVKDCPKGAGLRDGAVLAFRWKGNGSQEDDDIERVDGRKVDM WGVQLASYEDNYGVENEMDVGGGREFEG CC84DRAFT_1152323 MATAPPDADPHNDTNTSMSKAPPPSTAHSPHRLGMPFDRRLLLA TFTSFSCGSMLGYMNTSRLAALRFRAENAHRLPISQPGWYLYHKSKNYYKLRHGITAG LRSGVYLAAWTSIFFLVEESIDVFRGTWRAGRTFREMEGISELDIEKMDTGVEKSRDF WSSVVSGMVTGGLWSAWNKFPVLTAARTIRLGLFAGLGYGLFQDSLIWARGKTGGVVN DAESWIYKGAKNRRQSTETSGELEQQE CC84DRAFT_1044597 GRFADRTLPPDSRNEHLRALLRTYLATMHALGAETWLMHGSLLG WWWNRRIMPWDSDIDVQVTEPALKLLATHHNMSVHVRDEDVDVIVGNREYLLEINPHW KNTSYADKYNVIDGRWIDMKTGLYIDITSVRWNQSSPVPGTLYCKDRHHYLSRQIFPL RTSEFEGVPAKIPYAYQELLAEEYGTESLVQTVYRKEGHVFDKEKMEWV CC84DRAFT_1189433 MSSRFGLKTPKMFIRTRYEKLPGGDSQSASPLFRSGTWSKGYRS PMWANRLQRPRMSFARIIMLLVVSILIVSMTVTGIVKQVRLKHHHKGDDRKLYHWEHY PRLRGLFNGVRTLVPHSDYVSEQNFVKYSQSANPEDNIKQRWPVMDKEHKEPPMDPIR FSPYPKFDSKDYLKTHEEVHTCYLDEDEKVELPDIYAYPGIPQNQTNPSFGSYEELGL NDKVCFDRFGRFGPYGYSYPREQGGFGLADKSEKNGADKIWSTEPKIDYTKVSWGKAQ KRCYEKNKARFLKNVTQSDSEENHVQKKKVPRHAYILRTWTGYKYSEQQILTIRAMIN ELSLKSGGEYDVHFLLHVKDDSIPIWSSDEFYEKTIRDNLPEEFWGMATLWSEQQMRL YYPEPFPNNVYNHAKAPVHSVYRSAHFPLQWFSQVHPEYDFYWNWEMDLRLTGHYWEF NTKIGDWARRQPRKGMWERASRYYIPELHGSWKNFTDMVEEEMYNSDEKPVWGPPKFE NTGMLESPPETEPPRTYLADNYQWGIGEDADLITFNPIFDPAKTNWVFRDDVSGYDLE LPEPPRRCAIITVSRLSKRLLDLMHRETYLMRHHMFPEMWPPTVAFHHGLKAVYAPHA VYFDHNWPLESLDGTYNHPPKPTDSVFGWGEHNQLGNSFYYNAGFSSELWRRWLGGRE YDQGGPIEEENGTGRLCLRPTLFHPVKHENLNI CC84DRAFT_998442 MAGGTRGPVPVPLKTEEHNVTQDVLDLLESKQTLQTSEDFPSIP QAEIKAALDRLASRSMVEYQAHDSEQVLLTPEAESIVADGSHEFKVWKAVKDAGKVPI KELASIVGAESAKVGQGNAFKNKWIKKDGDSLVLVADTVQDATRDLLKGIQETKTLSD NKTLNELKKRKLVTVTKVIDYSVTKGPKYAKEIPVEVTDLTADMLASGAWETANFKPY NFNALGAPQDAGSLHPLNKVRQEFRNVFFSQGFVEMPTNHYVDSGFWNFDALFVPQQH PARDVQDTFFISDPPKADKPRADPITEATMDTMEAGSRKLFAKPNETGEKPKSRDFEK YWQNVQDVHQKGAFGSVGYRYPWSADECLRLVLRTHTTAVSTWVLHRLAENPRPARYF SIDRVFRNETVDATHLAEFHQIEGVIADFGLTLGGLMRFLEDFFSKMGLENLRFKPAY NPYTEPSMEIFGFHKGLNRWIEIGNSGLFRPEMLEPMGLPKDMRVYGFGLSLERPTMM KYGVNNIRELLGHKVDLTWIKEQPAVRLEKD CC84DRAFT_1098969 MVKQLLVVFGATGNQGGSVACAVLDDPELSKRYAVRAVTRDTST IKAQELKLRGADVVSADMDDPYSLPTALQDANFVFAVTATSYDGNTRAIETRQATALI DEAVAQGASYIIWSSMSNPAKASGGKLTHVDSFDVKAEIETYLRKQPVKSAFFAPGTF MQNFTSTMAPRPNPAGDGTYVLANLLRPESRQPLIDITDAGAWVSAILADPDKYEGKF FAAAERMYSQAEIVEVLSRVSGKTVRHVQVEDEVYKGFLPEAVRDMLFDMYLWIRDYG YYGEGTENDVQWAREQAKGRLTSLEEFLRKIGWGLE CC84DRAFT_1099052 MSSKDKPPKKEPRTGSRTSSRQPGGGTANSATPTQILNFLLSSD ALPYCFPSDELDAANSGEISKTYSLTPPNHFTPFEHLITAHLLSKPLSHKLGMRSTRT LLNKPYGFSTPDKLHAAGEDKIWRALEEARTQHRQKTASYLAQMGEQYADAASKGDSS SEKMQELAETTNEGGPRATIEYIKKTVKGTGETGAQIFCRRVQACEGWGEALWPYADP RSIDALRELGVKVADAEGLREVIGKEVDLALVEKNGGLGYKKQEKGGEKANEKVVLVT VLERAIGCVLEGKVGELRSAAAGQ CC84DRAFT_1179068 MLAQVLRYRKDVRPSGGGVACTTPQSTHTAIDIARPHEYCTMPR SPSPYGGQRRRRTEVSPPDYMDEFGGRHHQPAHSRGRGPRYDDERTADRGGMRGAFDA VKNFISESTEDRDRPRRAKSHREPRPRPYPPSDSDSGSPPPRRRRDSPPPRAKARAPE APPAYADDYDDPNRPQRRRRPRENDYYSDSRHDRPRRDAYDERPRRRDDYDEPPRRRD RDDRNDDRPRRRDPYDERYDDRPRRRDTGYDDRPRRDRDPRYDDRLLRDPRDDRVRSG RGGRKDVPDWQRQAKDMFFTHAMPVIKKEGPKLIAKYAGDFLKQVSENQDCLAATTFA ASNPPDISIAVPSQSATALCSLTTHSERPISSS CC84DRAFT_1050311 SAGAASPAFLAETNNPYLDQRVSIAFIVIDTAFLLLFYASRYYN RKAVGTQMLVCNTLCYVLCMGSAATGILMTQIGGVGHHVTTVSPHTFQTWLQLSKVLE FTYTPAVMFAKLAALFLYHQVFEVPLYRRIIVGIGAVVVLQGVVALILAFSICRPFRY FWTQAVDADDGTCGDVMLFYKSYSIPSLVTDVAMLVLPWPILARLNIPVMEKVGLVLT FLAASLGIITCTLRFVTFFTTPLFQDPTWYASGGPMIYALVEPSIYMIASILPTTRHL YRRLRSRARLTSTAKSDS CC84DRAFT_1098841 MLNLRTLISVTYMAQIAFPGGNAHTNNSHLLDLVPKREVLYVGG KYTNSTAGQIYVEKLSPHPAPAHPPLPIIFIAGAAQTGTNFLDTPDGRPGWASYFLSK GHTVYLSDQPSRGRSFWLPGQGNMGYIGSPDAVSNIFTDVANNGDQWPQAKLHTQWPG TGRIGDPTFDNFYRSQVQLQTDRFISEEQNAQAYSALVDLVGSCYIISHSQAGAYGWR VGDMRSELVKGIVQLEPSGPPFTLRPPFGNDPAFAFGLTDLAIAYEPSAGINASNIET TIEPASDADHNDCIMQKAPVKQLTNLARIPELVVTGEASFHAPYDYCTVKYLRSVGVE VEYADLGEEGIHGNGHMFFMEENNLEIAERVYQWLEKH CC84DRAFT_1098708 MGLLEYRQHRRDCERKQSERASKIATLPKTYLYPLSPEERTILG KPIQDLVQDVHKQVTSPVDILRAYGKVTLKAHEKTNCATEILFPEAEEWARDEINLKG PLAGIPVSLKDSIQVKGFDISIGFSGHTGKPYAKDGPMVQLLKEAGAVPFVKTNLPIT LLSFESTNDVWGRTTNPHNNKYSPGGSTGGESALLAFGGSRIGIGSDVAGSVRVPAHF SGCYSLRCSTGRWPKVGMDTAMFGQEAIPSVFSPMARTLNDLTYFTRSLIQQKPWRLD YTVHPLEWRENIEQEYKDKKKLKVGVLRTDGVVDPAPACARALDEVASALVAEGHEVY DVHPPSPYEALQLASQLLLSDGGNTFMSGFRTGEWNDSGAKQMVYYMQLPRPIKYVYY LWVKYVKRDHIWAGLLRDWHPKTGYEYWQLAGKREAYKARFFEWWNDEAKMDVMIAPP NATPAVPHDGMHDAVSSCGYTFLFNLLDYTAGVLPVTHVDPARDHLPATFDFKQLNGV ARGAYKHYNATKMAGLPVGVQVIGRRLEEEKVLAVMERIEDALDRHGGRYQLLEVD CC84DRAFT_1099070 MKWLLAFTPTVLAGVIPGGVQLGEAPPPGQVTIGNVTYGGTGCP QGTLSAQLNNDKTLVTIIFDAYTASIGPGISVTEQRKNCQLNIDIRYPGGFQYSVLSA DYRGYASIQKGVTGTLKSTYYFSGQTDQSTTDYTFTGPVTGDYLKHDTADSVSTVWSP CGAQGLLNINSQVRLTTTDSKATGLLTTDSTDLKFTQVAYVQWQKCQK CC84DRAFT_1189440 MSFLSRFIRPFSMNNAPAAPMAVPQGAQTATLAAGCFWGVEHMY RKAFANKGLLDARVGYIGGDTDSPSYRAVCSGRTGHAEALQVVYDPEQLTYRTILEFF YKMHDPTTVNRQGPDTGSQYRSGVFYHNAEQEKEARDITERVNQQWWKGGVVTEILPA GQWWDAEAYHQLYLDKNPSGYECPSHFLRSFPPLDYSSGA CC84DRAFT_993715 MSSYKGHCHCGNTEWEAKLEADQQGHILCHCDTCKSLSGSTFTL NQIIPKAALNITKGESDLGKYTYYGESGKGVHCYFCKNCTSHVYHHQEVMGPDTIVLR TGLLTEGIKNFEPKAEIFGKAKLPWEKEVATTFETLPPS CC84DRAFT_988578 MSLTHRVTTTEEYDGPWSREASPDSRHRKMSFNPLDSPGTWTPA PFEEPIGAFEVPKWKRILQVFSAVMYCLFAAGVVFGFAAIKPVLLEEGVYRDQCTDKE LEGDVPVCYGQELRLNLMFTVAAVSTNVVALPVGTILDRFGPRVSGIVGAIFIIIGSL LFAFAGDIPFDAYIPGYLFLALGGPFIFISSFQLSNTFPQYSGLILALLTGAFDTSSA VFLAYRLIYQATDGAFSPKKFFLIYLVVPAYILVVQIFFMPSTSYKTVGELTQTVENT ANEIIHDSDDEIEDERMVQQLRDARRIHRDSIVSQITELLGTKDATTQTKEEVKKKNI SGVWGALHGKTAAQQIRTPWFILITLFTVLQMLRINYFVATIRSQYTFLFHSAVKAKD INNFFDIALPVGGVISIPFIGLLLDNTSTTFCMAMLVTIATTIGVLGVIPETWAAYGN IILFVLYRPLYYTAVSDYSAKVFGFATFGKVYGLIICLAGILNFAQSGLDAATHLTFH DNPIPINVILLSSALLVGLGLVGFVAKKSHKIHRENIEDEAEGARERLMPGADISVAD YGSVNHGDSEERGRRQ CC84DRAFT_1098668 MPVPSYGAMPLSKTFLLVRIMQVISMVVIIGITSNFIGNIVNLG VEPPKEFVGTISITCIAALYILVSVAYYWSEANLGLLVMAGADSLLLIAFIVVAVTVG KPVSYLNCYVIGKTSTEVDAAYAYAFTTSVKNNLNQMGDKLSLGHWAGVTRSNCFQAK TVWGLAIAMCILFTTSCALLPTLWYKNKKATAVPKSVEA CC84DRAFT_1098801 MHLLAPLVLIGHLTGLCAAQTAIKVDVTTKYQTIDGFGFSQAFG RATEFQNAASTLRTQALDYLFSTTKGAGFSIIRNRIGSGGSGDSILPNQPSSPSASPA YTWDKNDRGQLWFTKQAVSYGVKTIYADAWSAPGFMKTSGNEATPGYLCGTPGHSCSS GDWRQAYANFLVQYVKYYAQEGITITHLGFLNEPDYMVSYSQMQISDDAKEAIDFAPI LYKTVQAAGLKTKITCCDAVGWTKQSTYTTALVNAGMTSTNIEVITGHSYSADATSPI SQTTRPKWNTEGGPSGAFTTTWYSSGAANEGFSWAKRVATAMVNAQLSAYLFWEGFEF KQTQSALHLVDTLDGTNAALSGPFWSMAMWSRYIRPGAVRVGTSGSISNVIIGAFQNT DSSVVVVFTNSGTGSASASISFNGFTPKTAAAWQTSQGNNFVTTGATLANGAVAVTVP AHGVVTVKLT CC84DRAFT_999252 MFWQTVALSALFVRNASAVPQAGGGNSGSADFLRFGCSQLVVER TDPLVNPGLLPSPHMHQVVGGNSFNITMDPDSIDPPKTSTCTSCIYTEDTSNYWTASI YFKSPENGTYKRVPQMANGRLNNTLLEQDGGLTVYYMRPFSGTKTMKMTAMRPGFRML AGDPTLRSKSTKYPGICHRCLQKGDRVSGGSGAPCDSKDTAEFPNKPCPGGIRATVIF PSCWDGKNVDSPDHRSHVAYAPGSNALAGDKCPSTHPVRIPQVMYEIMYDTSGAMSDP KYFQNGKQPLVYSFGDPTGYGAHGDYLFGWKDDALQRAMDNLGKGCASEDCTKILKIQ SGKDAIACTKAQQAKEDVGNSNWLTEIPGNIMVQ CC84DRAFT_1053876 PNQPAEEQDEELVLDDDDEDDEEGAEEGKDDNDDDDEEKPKVIT AKMRKKMDVMAKMVQKEAGQVILTLEKNDLTPTSMNVTWEQDNIKLLCSYNWQGANDG TNTIFVPGGPAKFVPKTTFPYVIEQDSGFQAADYNYVRKPWDPFAPLFTALGVMNPGH QFWDVDVLADRNNLRILLEFCQGKANGPLRLDVHMVYNTLVFVRKGEKFWRRQTNGIG NNFEKNFTQVGDDMEDATSHYRAIQYPMGPLNVVVRFEADAYFDDSASGDLDPTETDA VTGALLAERPRYDFRPPVRVLQKGHIVPTAQMAELKTVTHKEEGTSSVACQDQLWFGR TTHLFTGVYKIEDNKGKILRLKYENAKARVDKWEEKNQDALRMLVDLL CC84DRAFT_1099411 MATVQNTPKATRQCWECLKRRLVCDYTLPRCKKCVKRGRECPGY DAQKPLQWVEPGKITSRKRSKPSKNSQLVLQVRSRGSPMGDSSSDGGWSIETVKSEKE DQDSQKELQYTYQKAMADVQSIEDLDKILHIESQDRIEEIVSKGLWHEAARILKIEKD PLKGLRRVLLYMKLEQLPVYHFRNDTSEVVQAINYFNTRIIPEATESKFALVRNPQIM FFPANSLHLLTPSTHSSFVCLALQHYINRLPPGASEEALVANGPKLWQYRGDAIQELY RRIADPKTMYSLATINSIVVFMTNELQSQRFPQWRSHIDVLMRIIKVRGGLMQMYRSV FYMHPTVVLFYLVVTVSNTTSPAHDHVVLAPTLKQELDDVEELYHEIFPYCLCPPAVF FFIIRISNLRREVSQALILEDDLTGFSHLAANLLSQIQAFSVEDWAQPGDENEDWLLI GSAYKHAAAVYCIMSLQALALLPKDAHMNLQLENHGDLLISFLKKVLVSSRIQRNASW PLSIAGVEAGYRGEARRKWIEDTCSELSRLLGTNAPLNLKVHLKKYWDSGRIGWEECF HKPYAFMF CC84DRAFT_1099361 MPDGRPVPGSVYFYEPSKVAPAIFAALFFASGAWHLWQCIHYKS FKITALHPFCCLLFVVGFALREYGAYHNSNVTIYLVSTICIYCAPPVLELANYHVLGR ILYYVPYFAPLHPGRTLTTFGAISTLVEILNALGVSLLANPNVKKQSTQDLGHILMKT ALILQILVIIIFVGIAGLFHHRCRKANIKSSKVQQPLLTLYISTALILIRTIYRTIEH FGMSRAPANPGTDWDPMSLSPIVRYEWFFWTFEAGLMLSNSFLWNGSHPRLYLPQDYH VYLAQDGKTELQGPGWESEQSWIMTFIDPCGLTAMLAGNNNKGKKQRPFWETNGFENV PLVKRDNGENV CC84DRAFT_990700 MTALPHKYLETIYAGVLGKLIGVYLGRPFENWTYQEIQARLGPI HHYVHDRFEVPLVVIDDDISGTFAFVRALEEHPFEDAAKDFSCENVGSTWLNQVIDNR TVFWWGGHGISTEHTVFNNLKRGIKPPVSGSMGTNGRTLAEQIGAQIFIDGWAMVCPG NAGLAAHLAWEAARVSHDGVAVDAAVLWAVMEAEAFVEKDVDKLLDKGLEYVGTESGL RKPIEDVRVWVQEDGDWETTRQRIEDTYGYDKYGGICHMIPNHLIMVMAVLYAGHDFS QAMHIINTAGWDTDCNSGNVGCLVGIINGLGGFENEKALDWRGPLADRALISTADGGY SINDAVRITYDLANTAHKIAGLTPLSAPKDGAQWHFSLPGSVQGFQCTSPTSSVQIEQ TLDARTPALGLTLQPSSTNQEEIEVLTQTFTPRDALAVKRDYELMACPLLSPGQTLFA VLSSPATNTTPITAAIRLKAYDFDDALVPASSPYTTLAPGQKKSIAWTIPAALANKPI QQLGVALDQPANPEGTNKVYLHSIRHSGTPAMTLSRPPLSTGPGLSSVPETMWTYQWV SSIDKVHTKFGPSFFLAQDAGEGLYYTGTRDWADYSASAGGWMVNMGEGHGVVVRVQG LRRWYGAMVRKEGEKGVLEVVKARDAERTVLGLGEAEWGLDVKYEIAVEVKGSKISAT FGDVRVEAEDGEYRGGAAGFVLGAGSICADSLRIAPM CC84DRAFT_1179082 MSLSRSRVAALVLGSSELQPRLFPNCPSYLKACPVSFCLSVFVA NAVVPSPTLDTMPFYPPSWVPKLPFDPPDSISIADFIFDENYGRHPLGYSRPMFTCGI TGKEYSSLEVKERVDYLARGLAKEFGWRPNEGSEWDKVIGVFSVNTLDTAPLAWATHR LGGIQTPANAAYSAAELEYQLKNSGAKCLFTCVPLLETAKIAAKKCGIPDNRIYILEV PKELTGGKGTPAGMKTVDDFIREGAKLDRLEPLNLASGEGAKKTAFLCYSSGTSGLAK GVMISHRNVIANTMQITAYDAPARKKLQQPGTQSDYTENGLGLLPMSHIYSLIVICHV SAYRGDGVIVLPKFDFAQMLGSIQKFKINSLYLVPPIIILMAKDKSTREKFDLSSVWA IFTGAAPLGKETADELQAIYPDWKIRQGYGLTETCTVVCSTSPQDIWFGSSGSLLPGI ECKIVTTEGNEVTGPTRKLFKMVGCRGPEQSRRRLTSAGYMRTGDEAVIRKAPSGNEH VFIVDRIKELIKVKGHQVAPAELEAHLLTHPAVNDCAVIQVPDEKSGEVPKAFVVKSA SISAEESDRIVARSIQKHVEEHKARYKWITGGIEFIDVIPKSPSGKILRRMLRDKEKE KRRQTGSKLYHVIQFLSIRVTSAVYPTSTRWCTGAAEAKTYDMAHPDPNAPHHDDEDV HEENEMLDADEADEEIVDDGDVPMESDDEGEGQEIQMTINLQNDSAAHFDKHTDSIFC IAQHPVHANIVATGGGDDVGYVFDTSSAPAAPQPNGQPQEREGLKELLKLEGHKDSIN AITFSEPKGQFVATAGLDGKVRVWQGVPDGTKWKFLAEAQEVEEINWLIANPSPNHPN VVALGANDGSVWVYQLATDKGSELQVLQAYYLHTETCTAGAWSPDGNLLATVSEDSSL YVWDVFGEAAAQGLTSSTNSQAVVGLTGVDERFRVEGGLYTVAIAPSGTFLTVGGPEG HVRVIGLPRLSATSEAGTSSGGQGASAKAGGGKQAAAKGGASSAGQTGQILASLQAGT DNVETLSYSSPPLTLMAAGNVDGSITLFDTAHRFAIRRRIEDAHADEDFPHAVVKVEF LRKEGAGAWILTSAGYDGTLKRWDARGGTAAAAKGLIGEWKGHRGGGEGGGIMGFVQG GDGSKVVTAGDDGVALLFSTPLP CC84DRAFT_1208237 MASAGCAMASASPQPSEQDLQQLTDTDVELSDYPDDLSEWSRAR GDVHAKEQNILAHSEHDGIQVEEHLQAEQEARVEIHAQVDQEAQPELDVPMDQPVQAD SAIIQNSDQVEDTRVGKLMGSCAQASAHLTESVPGPVHDDTRLQPQGLPSPPGSQTSG NEALPPQLPLPTTPSPAAASDFHSLTSPTSPNDSLNKPRSIRKRTTPKRGVKKPRQTG RSTHLVPATSAATMSLRRSTRATRASFIGMESPGSSREPSPAPDPTQKSKIVIFNLPT DALKQIQQRPRPNKRQREPPDLISSPKKKSRQEDNSDQETRGLTAQAPDAQVQAEQDQ TTVDLPQSQALLPPQPVAVLPEPSVSDEAGESIDLWSVGKQLMAYAHPSGILQKSKPQ PRGRPPAFANERYELVESIVNCKIYQSGCYTKDKLAYAYLYSGHPAKRDFADSKVIVA RASGGMETNGMTKERHVAKDQDGNASKTLALKNTMKAYNPVVLITKNDNPLMPSQMQH KYSVLGHYKPTNIWTEEQNGKKVVRYRFERLDPRDELAWFQPKGMEEICPPGSLEPPI VRSCLTCRKSCPQVYLQGWACLNGDCRAFWKFTSSSGELTKLNDEGLVYDPRFLKQKT KWPGEHLQPSLGYRPLEVFDDTRAEDLYTRAAWNGMICPRCNGCILRLSFLGWDCKTP ECGFQFHPPRMALPATAITDPGAAFTLTDGYTPSRDLVMAKEIRRRKPHYANNNRTDV YDLPIPRCYIAHKIANKTINEAPGGPNDIFKELQLVDIGLRRRKMTPEGQKDPSYCRH MNQNFGVNYKFTGTGIENSRSFEEAETPAIRKVRTQLNWDSVDIQARYDDKPFEEVAA AWKPVEFNEELVLSYFEDQGIGFHDDGEKGVGPVIGTLSIGDTGKMQVRMKGTRYFGV SRSGSYDHEYPPIPGCLKYKERHARHDQILRDAPKKFKDKYWKQIAQDLGLRRGQNQQ PPNALEMVLRNGDKVFMVGHPLQDYYEHSVTHQGKIRFALTCRYIDPEFGKPNFEVRP DMGHYDGSALPLPRDAAGNVIPNDGKFEIDDRDDEVEEKEDAPWAEADVEGGGEDKET GLMRHAATCQTNTSVPSEPPGQVDGIAG CC84DRAFT_1220495 MSNLAAILPSPKTSLEVHPVPVYVPGPREILIKNECIALNAIEA KIAIHNVIPYTPYLAILGSTYAGTIASLGPSTPPSLAIGDRVVVSKRFDVKGNQHGAF QRFVICTDIMVSRVPDAIDLSVPASLFMNLTCVVGLFSGRLGLERPQLDGGVVEDRDQ KKKVLVYGGSGSFGGLSVGYLSQAGYAVVTTSSPPNRAFVEGLGATAIVDHTQDAQGL IEQLVEKGPYDIVVDFVSVASTLAVTGRVVEAQGGGRLFTMQPGREELPAGVERVFEP YSESLYEKRNRGLQRWVVEEYLPLGIARGLIKPLPIEKVGGGLKGLNEGLRKVLEGGS GARYVVDPWE CC84DRAFT_1198394 MLFTSAVAAVALLATQASAHGAVTSYVIDGTTYPGYEGFAPATS PKTIQRQWPDYNPTMTVTDKKVMCNGGTSADLSAPVKAGGKVKAIWKQWTHAQGPVMV WMYKCAGDFKSCDGSGKKWFKIDQKGMTAPPLSGTAWGTNDVLKNLYWESTIPSSLAA GNYLIRHELLALHQANTPQFYAECAQLQVTGSGSGSPSGDYLATIPGYASQSDPGITV DTYGSKATTYTPPGPKVWTG CC84DRAFT_1220497 MKPKSSSRCATWSFLFLSLYAAAGLCTPTAGPLLQPGAPSPAPA RHPAPPGRQAQSSPSQLLRDQAQAQDAARSPSGLASRSPQPQPQPQVDPLPSSSERQS KSRARRRSAASRRANTGVCTFTVSQVQVCTPDSTSPALANYLQINTLYSPYNADAAEV DNVRHQRPMQEFNSYQRLLADQPWDVARVEGDSRTLTIIEGEDGDLRMSYGGAGWTED SRAGDGQAGWCEEAEWYEAEDWSCPHGDTKTQRKRTIHCGFPCARIERLELK CC84DRAFT_1179087 MAMIIEKESVRMSNPTVDTCTRFPLQSLPYLFIVSRVWKHCHAL KPQDNRPNLTALVPGRIAMTSSVCVFFTDAQIIYRHCQVVKVRGFHQASRLQTTMVSS QMVTDCLRRDHPVLDSQNCGVGIVSYRFCYTYNVSLPNPPSQQCTMIRFHCQK CC84DRAFT_1179088 MSNPYDQYGQQQYGQQYQQNSQYGGAPSYGSPAPSQGYPPPHQG GYDQGYGQHAPQQQYGEQQHQQQQYPGYGPPAHGGFQNGQQGYDQNQQYNQPAAYGQQ PYPGQAPAQQFPQQGGYAQDQYPQGQYGQHGQQGQYGSTDPNAQAEGDRGLMGALGGG AAGYFGGNKMGGHGIIGAIAGAVLGSKLEDKHKDKKHNQQGGW CC84DRAFT_987914 MPVPRLQRRVDEVKYVVVERTEEDETQEVERLGVAATATPTNFV SPRQDPAQAQPTVLQIRDDDSDSEGKGPPEVWTLTVMGAQSTGGALAANEPTATVVVA IPPPPRDGDRGGKPDGGSQKGGISQTTEHLLIAAGSIGATIILVMIVLAIYTMRKRGL TLAQAIKQGKHQVTRRGPPPPPKYQPTWDAKQSFSNDYGALRRNTVTPPQAAMARSGS LSSQRGPIALGRSDSFNLQNTKSVDGQGSTFLLESPPPPRRNNSNRSGPTSITPSSAV LGQDSRRSVSTQNTRSVDENASELIYNDVPQERIASPLPPPPTFKQFLNNRPSISGKA GFGGMMSRFSWTNSNAPQTPKATTSQDPYQGGRESYMTSRSSVPRFRTVDSWVNQQTN RIEAQKLKEQFRLTSTSTVYSQDEEADHVPEVPAMPKNVERLRESSAPMSASLPLRAP ATPPLPPSMGLPGKNIKHERHDTRTTVDTAPIFKQHPGNEVRFSTRSTVPSEILDRGR PNNVLS CC84DRAFT_1152385 MRSFILASLASLATQHVYGHPTHATRTLSRRAVDLNAFRQVLDT EYANATAVQSDPSITSLNKRADPVDTATELVKATIPGVTFRLVDDHYVGDNGIAHFNF KQTVNGLDIDNADFHVNIGRDGEVFSFGNSFYQGKAPSALRKRDAVEPTAALKSAVST LQLPMSADKAKAEETESNVYTIKETTGTVSAPEARLVYVQTGDSLALSWRVETDVDVN WLLTYVDAEDGEKIHHVVDYGADATYEVYPWGLNDPTEGERKLLTDPFDKVASEFGWH SDGSKSYTTTWGNNGVAQSNWAGRTSNYESLPRPTEADLDFEYPYDLVESDWKSYINA SITQLFYTANTYHDLLYKLGFTEAAGNFETNNNGQGGVGNDFVYLNAQDGAGLNNANF QTPVDGQKARMRMYMWNRTEPWRDGAFEAGVVIHEYTHGLSNRLTGGPKNSGCLSVLE SGGMGEGWGDFYATAIRLKPNDTRTTDYPMGAWVYGDPKGLRPYVYSTNQETNPHVYS DADALTKVHYIGTIWATMLYEVLWNLIEKHGKNDAPVPTFDSKGVPTDGKYLILKLVL DGMALQPCNPTFVSARDAILDADLALTGGENACEIWKGFAKRGLGEGAKYSSTKRTNS FVVPKGVC CC84DRAFT_1262146 MPSSTEGTTNGTSNGTGHPSIPGSCPSCPIPLKYSGSLDHLHYF EITPIIGREYPDVKVTDILNAPNAEEQIRDLAIVISERGVVFFRDQDDLKIDDQKKFC DLLGKLSGRPEDHGLHVHPIYRDPGNLTLPDGTTDENIYVINSEAQKKIYKTMARRAP TEPRDLSREWHSDSTFENAPSDFSFLKMEETPPHGGDTLWCSGYEIYDRMSPSFRSYL ETLTATCAQPVFKSAAEAGKYEVMSPRGSPLNVGDEFAPSHPVVRTNRITGWKAIFAG VGLHVTKINDVYSYEDQFIREYVMRLITRNHDSIARMKWTKNAAAIWNNSCVWHAATP DTHLVDGNRTGVRASSIGEVPYLDPNSKSRREALGIPII CC84DRAFT_1189457 MAEYSVESRGITRVEPDERHNLSQLGYSQIAMLWISINLAANNI TLGMLGPAVFELGFLDSCLCAVFGMLVGCLPVAYIATFGPRSGNRTMIFSRYIMGWWP SKIVVVLNIIVFLGYSLIDCVVAGQILSGVSENTMSVVVGIIIVAVITWVITTFGYQV FHYYERYAWLSQLIVLSMLAGVAGPNFNISSPSLVSGDTLTANRLSFFGLALAAAITY SGGAADLFVYYPEHTAGWKIFVTTMLGLTSSFAFAFILGIGLASGMSTNPAWEASYGI SQGALIVQGFKPLGSFGSFCGVLVALGLVQNLIVPTYASGIDAQVLGRWAAKVPRVVW NTVGVIIYTVCALAGRSHLAEIFTNFLALMGYWVSIWVAIVLEEHFIFRRSSGFNWQI WNDRKKLPIGIAALIAFIVGWVGAILCMAQVWYIGPIAKLVGDYGADMGNYVGFAWAA VIYPPIRFWELKKFGR CC84DRAFT_1262148 MTISAENNVIELTSRPQCKNPLQSGIHVAARSTSSEHRPAGKMV ANKPRQVSDDSFASLTGLMKSKKDWAIQPKPLIPVVNGQFNPISPSESAVSEPTPARN LSAHRTASADTTAPRQVHHRAYDAKPHLETPNGLEPIVSKNHGYDKAAMSKMEDLIAE MSKMDTEATSERETIPNHPVGTQLGQQVHSPSQTLVDIDTASSSSAQGHISLPRSETP AFGFRTTEDELNARSKDSESAFHPSVNQPVVRCTPQVWDTMITQMVTLKKEKMEAQAK LAALKRDWTQRHQVKSDNSFELDQLRYRLQVNKDHKAMIHRDMHQKEADLLIKDLENE SLKKQITNSEDMRERCEKLQAEADYLRTEVGKTEADNGQLKQIVIFKEHEVGELKESL ARSKTKMTDHQQRAHNLVDTQKAREKKLKQLEKQLRDAQGTEEKLLDEIELLKTEIKP LKKQAKDLDSQLREKSSSCDRMRSDLRHTEKRLEVASKALHKVENHQHLKGAAHLIIP SDRTKLPRLVLPCIECFARNVTCDANSRCQNCNLSGERCHRWRCSQMHILRGGCQDIM CPLEHD CC84DRAFT_1220504 MVEAPNELLVSAAVVTSTLILGIPVAIGTIVLDNSYVPDIVLGS KSIDAGPSGSKTLSFGLQTSPDEAVLASAYISILASIVLAVSLIILRHTNLLGRTAGW GAIASAAANLLAQIGCIAAWGILLMTDEAKHAQSVDLSYNERLQRYDTRGRMFTREAW ACSMQALFREREGAWAGKACVNIKSARMVTIVTTLFGGVLVGVAIWQVRGRGGWGWLR GGAARRERDKNIAMEDYRRS CC84DRAFT_1099254 MSRTTSNRARGTSRLGIFIIVVLAFLGLAFAQYADARPIEDSST SSPPIIGISIGSSYSSVGVIINDTTHIIPDKYGNLVLPSVVSFTDTGEALVGQDAIDM LISHPERSVTGFKRLLGRSYQEIGNFVNGAHYKIIERTGNPIIQIPLQSGSREFQPTE VLAFMIHELKIMAEEFIGEKIKEAVVTVPAGFNDSQRAAFREAANITALDVVRIVNEP TAASLAYKLDKDYRQEKFALVYSLDGTAFDATVVYIEDGVFEIFGNVRSEDISSSNDV SSSKLAHQHSDSQRTSFQEVSSCASLMKRKCTFAQPNLLILQEFLNSFNADQALQVID QALREGNLTIYDITDIVPSGDSSRIPKLRSLLLDHFPSTLPVHEDIDPRLITTYGAAI QGSVLHDDGHMSVCCVVDVTLWQLGIETAGGIMSPLVKRGTLIPTKKSLIFTTTVDNQ TSVAVTVFKGQRDFVAKNTKIGSLELDELIPAPRGVPELEVTFMVDYNRNLNVRPLCN MNGFIFS CC84DRAFT_1189458 MPSRRILSDTRKHLPFSERLHHFTWSWFECTMSTGAIATVLGQQ PFGFDGLKTIGKIFFILDLVLFFTFCACITYRFVRTPPGTLRTSLHHPEESFFFGTFW QYGVPSSGPWLIKALEVLFWTYAGCAILVAVFQYHVIFDTRKLPVTDALPAWILPVYP FLVLGPLSAVLEYSQPPDAALPIMIGGICFEGLGWGVALIMYTIYVTRLINSDLPEPS KRPAMYVAVGPAAYTANTLVALGMQAPKVIPPNFLGIGSFPVGEVWKAIGVPCGIFLW LLAFWFCALSTVSVLNSWKEMHFTLNWWAFIFPNVGLTIALIQIANVLDSDGIKGVCS AMTVILVTLWIFVAIMNVRAVWRGDILWPGMDEDMEEEGEHEDDEEQRTE CC84DRAFT_1198399 MMATCTAPNGARVLRDGSQRELPSLQPTQPRPRPRRKRPTGSPS QLLSLFVLVVILAALPVANAVFINFDNCLDSNYRRETAPVRLQFTPMHVWVKFNNTDY THRLNVTIYGNVSGQFTGGDYPPPSSPKWKDPKESFGKIPNLWRVGAPGTPGGVATTL FPTFDALTYSSWNPGPQQFCDYTINTACPIAPVFPQTVPDGTNLSDPTVLPAFGVQRD FYSSYSFTTWEATFRIVAGDVNATTIGCVSATITPDLGPSLSDAIRYMPAAILVLVGI ATVFAGMYSPWGSTNIFRWSSNYGRDEDLLRLVTPGFGDCLQYIQFIVLAGSLNLQYP GYFQPVISRASWSSLMFNQSFVSGLNGTQSLEDGIYFVNGTYGLSKLSKYVGISEDQD VWAGMAIWLLVLIGIVVLLVQLGFFLRWVFRLVTKVQDTDLRGKNWPFTAGNIVRIVL NWFMLPIVTLSLFQMVVAPGSSASVVACAVILLIGVSGAAVWIFWMIFTTRPRAHLFD DLPTVLTYGPLYNTYSDDAAPFAFIPALLTVIRGIAIGAIQPSGIAQIIVLAICEIIM IMTLHAFRPFQSNTSMNAYHTFFASVRLACILLMVAFVPSLGVSEAPKGWIGYAILLL HAIVLVFGFFLNSLQTIIEVAARLTGAGADQRGGLTKVFGKRDLAKRNHRHQRSSLNS NAAMLAHDGNKGSIQLSGGRTRSLSGSSAVLLNGPYGTNRESVAFDGFSQGEYSHGGT SPGTPGTNATPFTFLAGSASSSRRPTMGTMLDNPDPYYRPPRARKHTMESMTASLSNR RSQSADLTNTPYADNPDLESGDLGEGPSSWSPGKRSITPAFLRMQRDDSDPSVDRRNN TDYSVRESDFYYGLRGPALSSQPTRKLKTGPADPMGPVSSATGWFKSLGFFAGKKKEK GKGFEVVRSTRMPPQMIAEEEEESPHAAQEPYHDSPAGSPNDKKKSIQQDSPGAATAA GAIRRSSVSESDKSSDDDDEDDYDDIPPHTRVSDLPPMLGAIDTGGGIELPSRIGSRA SRMSRQQPPSRAPTIPRKSSRRTPSTEAAILESSNRLSTVTASPPRSPRRRSAHLAST PSQRLRPAGNTIPIRLPFGSSEPSPSPDPSPNHSAASSLYPTHDSDYHALGTGNTLAP PPIIQTGERPLSTGYVHQHVARDSIQNDNHVPGSHLETSAEIIDRSRSVSTQGSLNNR RR CC84DRAFT_1252530 MAGRAAFSHSSPNLGRQLDGANSGAPQDAAGMHPPRTHSYGAVA SHFLAQPNGAYGGQTWVDFLRDAGADPSASAQQPRAPPQPNLHAPLPPLPVDSFPHRP SPHYSLPAHPSAHTEARPSRSSSERKRRLTTADSPFRRPSSIRMHSEDQPGSSHNPIV LGSSPAAAPAQRPSLQSHTSTGRRDSDFALPRWQPDSEVTHCFVCGSHFTFFYRKHHC RKCGRVVCSACSPHRITIPRQYIVHPPYDNPNIIDPTSEDDDHPMSRFGPFGNPALGG GEEVRVCNPCVPDPNYSPPPQHGQTSPFPPFSPTAHSPHLAPSNALPRGPRPSHRSTQ SVNDSARTVSHGQAPRSRDLFTDRRTSYHGASRVADLWPPTQANPHDYAQPPAYDHRT RPHSRSVLSANPQPTSSDFAGQHSMPGSRRSFFAHGHPVPGPPPQPQPTPRRQIAEED ECPVCGNELPAKGPDGDETARTQHVEECIALYSGSPPPAPTTPADLSSNSLPSQRTRG MSSAAGNGEGSSNRMSMMARGMVPYVATEKDCVDEEGNEAECVICFEEFEAGDKMARL VCWCKFHESCIKEWWDKKGRGACPTHQLQY CC84DRAFT_1098913 MSESALVPGLKRRHQTQQSRQLLSCTKCRERKCDRTKPCSACCA RGAPKECHFVADGGDYAPIQQSYEIRKLRAENLRLKERLRASKISLEDHESDHEGSPD SQFGERLTGLSQRRRAAKQKRFQGSEWSDSIYFGSPGLANVVTDFANIHLDPGSSQSL AHLMPRGPDMYTSKSPPPHPFPTLFKPTPEEGIPELLSFHLCAFPHIPMEITRDEVER FLSDPRKNAQMCPDMLALLFAALALGSQYSAWDRSGGQWKADTMKAELQRGNVYIAAA MQALRMASFMHKPSLLGIQALIMIGPFLTNSGRFLDAWTLFGTTIRLAHAIGLHRHPK YLDPAPPTQRECSIRQTIWWWMLHMDQEYSMTLGRPLGISGIGDCPPPHELTTNPQML RLGEFANHFTLLARQILSSDRLSNSKIDDFTDQLRNLLDTMPEMLQFDESWLDKNKEI PEWPLGTMAAGVSCHNLANQYSNELLTHIVFYCKTHTYLILLNRQRIEKQSAQFFHPQ NTSTARATPAPFQPVNAYPSAPGSPKASRRGRALVLSSSQDLLTAFLFFHFRVPAAQI TWTMGQQAFNSCMILLLDAMETGELSRISKVEKAYVVFQQLEKNGVHELASMAVERVS WGLAELGRMNRAPDTHVRLHPPILSKGGVSSCDVEMQDGNGSEARQGSGGVHDTVMGN TGMLLLEDPGLQSFVPEAFAPLTWSVSRGFS CC84DRAFT_1003528 MIRSRSSWQTPSICWQCQWRLANQRRSFAQKTLRKDGLAPRIAS SLPRRALHDSGRISQIAPPFSVTPSIDPTLLQSGRHKLSTRDHLEQWNQIFGGPDELE LSAFEKHPARSDVQNTVAKLSFGSKADEDVEGDEQMRDAENDDDDELVTIGLFLSPGD VVELSQPGREPVLAVFVQQLDLTSQFYSVNGRWTLSGQSRISFAVPGAIDPALLKPLI PYMPTTTDGVRPKNSVQAPREIGAPVQELLSQLTEDSERIYRTNAPVLDSAYSTLADA TRTRMMTLSQIAKTLLGNSDPTWSPSPSALLAVRKALKHNEFRFRTDTNSQRLTNIFA IRPKVDVEMVETVHDWVREYREHEAILASTVDKKQPTRGVGAQYIHLFVKKAQRLIAE SRQSRESKYGFVGPDHSHSTQNSTGIKTTVSGDDFTTADRQIISFLQAWVLMNQFASM PNLHAACASILQAIGCYGHVEPKVNPLSAMGRSVGQLLLQEMGVATPFENRVIYNENL MLPTVRLSRNLELLNTKAELTRRNPDFKDTMADLRRDWGPITVYCIDSPGAHEIDDGV SIERVHGKPSEYWLHVHIANPTAFFSKTHVLSGLAAHMTESIYTPERSFPMLPSWASQ NYFSLASNRAVITFSARIDESGNTLESKIQHGIIRNVVSITPDELAAYLDEYTPRETK GGEAISLIVGAGHVPVQKKSRPLPKLAPEQLQNLRDLYAAARSLWKGRKAAGAVRFSR AGQAAISVYQKPDVGGLTWMPPSVERVRRVVGDPIIELRGPGNFANIGHFTDDIDSSN IVEEMMVLACRTAGSWCAERGIPVMFRGTVGNPNSPFSRKEFYEKVLCPELKKTGKLT LLTVQNYITALGRAIAHSSPIPHQTLGAEAYIKVTSPLRRFSDMIAHWQIEAAIRFEA HTGQKLDARMLADAPRPILPFSHRQMQESIITLSPREKVISKANKTSNEFWAVQAFMR AFYYQEAPLPDTFTVRITRPGLPDGSTALIEGYGLSVFLAKNGDEDHLVGDLWEAKIS HIDSFKSTMHAVPVRLIDREL CC84DRAFT_1167275 MASPKAPAGHFSILYFASASTFTNKTSEYLSAPVEARGLFSKLE ELYPGINDKVLNSCAVTVNLSYIEIDGDEAPDLKIVIKEGDEVAIIPPVSSG CC84DRAFT_1126274 MADPSIDPRLQQFSSLPPRSLAQQSYTQGLPAQPLQNSATPYYL PTPNNAQSRPSNIDPAFEQTSPTGPDESHDEDDHDDGELDGTHGTPGSGKSPHDLKRP RACDSCRGLKVRCDQERPDQSCKRCAKANRACITTPPTRKRQKKADSRVAELERKIDA LTATLHAQKGVPEPTRTYPGMPQYEGGGPTPYPQPEGGFRAGSIVHDWSSAPSAQERY PDIPPGYGPAQSMQRGPEIKRRKLGDGSSHNATIPEDMDAVHRDLIEHIDMKRTGRKG IHTDHSNINTLIDSLMTPETAERIFQRYVNEICPHFPAVPFPAGTTARELREKKPLLF LSILAGSSHGAAEQLVSQDVQRDLTKILKDQFADIIWRNGEKSLEVVQALQVGVLWYR PPLHYEQHNFYMMVNCAAVMALDLGLGRRNTPTVMKLAVGPFRRCHPNTSSIESRRTF LVCYYLCMSITMVLRRPILLRWTKYMEESVKLLETSTEALPSDKILCQHVKMAHIGEN ISVQFCMDDPAVEVAISEPKVIYALKIYENELQQLRDENASLFKEVDPALRLAEHVTN LYMHEIALHHNQSPADFQPPFPQETFASGVSAKEAVGPAHIGALGECLTATHGILDTI LSVPLDTLLTLPVIFCVRAIYAIVCLMKMWVSVTSSGEVGTFINKDLLQIEAYTERLV TMFNAIVSRDAQSPHGKFYFVAKRLQERFAHIKEGASKTEQSSDGTEDSRSSTTKSTS KPRSRQSSQNQTPLHLLSEVAMGSSNTASSQHTSQQQQQQQQQPQSQMQQTQAPSQAA MQGWYPGMPNQQLAPDMMGMPQGNFDPNFDFTQFDLSTGSDADLSALFIPDSMMWNFP PDMGMQGYSGF CC84DRAFT_1179104 MAASRSLIGKVALITGASQGIGAATALHLAQLGAKVVINYSSNT KPAEELVQKIGSNNAIAIKANAGNLKDIERLVDETLKWGGGKIDILIPNAAAGATGKG LEATSEEDFDSVVGLNVKGPYFLVQKAAPHMPSGAHILLVSTSLTGLSQIMPNYLLYV TTKGAIEQMTRVLAKDLGRKGIVVNAIAPGPTATALFLDGKSEELIKTMAAWNPFNRL GTPEDIARTVGWLVGPDNTWVQGQVIKANGGMQL CC84DRAFT_1003550 MPLTWKETRPGRWERPQSCLEKVNLLNRNVDKALDRDNWAKTAV AKVEFDPKLGDPVQALKTAWKQVRYNYPEVAAFPYNGTYMYRIGNPEQIQLWVSATFV VEHNTTIDELFGHLPRNEQMMCYYLPDTSEILVRSPHYRLDARGAIFILNYLIKSLAN PDPVLIFGGCAGNLSPSIDDALNIPFEYTPKIEEAATRRMASLNAHNPLIELTPNAKP NRAGATRRRFLKFSEAETKSITKGAKSAGMDYTAAMHAAVIAAVVRLAPPTEVQSYMA SFHCDLRYLIPKSSKTKAAPIVCTDAITTEIEVSPSTNLKSYYNQLAPVYANGYAPYL ESSACFHDKLAKEKYADGKGYESTDGQAQPRLGPLGIIDDQLTKHVKGVVRVKDFWLG GETLTKRKMVHNWIWEDQMTFSCCYNEKYWDDQFVELFLQTIKSVLMEEVAKTSSSSL SSKLKGMMLK CC84DRAFT_1152416 MLYGDYPDSGLDILIVGAGLAGLTAAIECVRKGHRVRVLERGAD INTMGDMYFMGLSGTKFFKHWPAMKAEYDEISMQSCFLETFKHDGELMIPAMKVSERL RAIGLDPNTPPGAFQMRPLVYKMFINQAERLEIPFLFNKRVTRYFEHENKAGVETDEG EKFEADVVIAADGIGSKSQTIVGGKVRAASSGRAMWRAVFPAEVLDKDPEVREFFSMK GEDPIVRTFLGPGTYGLTLNREGTVVWIINHDATGTEKESWSHTVSSESVLENMDKSL TSSTAPEWAPILKKLIALTPPDTIVNFELFWRNPQPMWHSPGARVVQIGDCAHSFLPS SGNGATQAIEDAVSLASCLELGVKLDGKSAVPDAVRSHVRLRFLRCACAQKLGFSNAE RLQDTDWNKVKIDPKKAQPKHPKWVWAHDPEEYVYDNYEKCLKGMRAGVPLDQDPNIP PNYPPGYKWEPWNIDEIMRDLEAGVAVELGSGNWD CC84DRAFT_1252559 MPFTHTHLHLGPRASCHLTCTHDAVPYGSHKRLVTFHPSKSRGL VPTSTPVALHSMPCAANTQPNLHKHHFTHAIIPPSSPSKPSLHTPTLMSSAEPRSKLP TPGKTILNIVTKHQGAAPPTTTMPTLKANPSPTTPILLRHRWRCCHPSCTHLNTSTTP VHFAPYFSPTENAPIRAPGYYGQKCASCAHPACEVCCFLEVSRVDGKSAASAAGERSP WVEIGCWGRREDFPAGEVVGLRSTDELPPASKRGLSEAWRKNGRRDA CC84DRAFT_1098882 MVRHAFTAAVAAAAVVGSAFAQKCTRQSKCPKDTPCCGLYGDCG VGAFCLGGCDPTMSTTFDSCVPNPICKSNTYQFTDLNDIQSIDKYLGDNSKINWQAQG NPVKYPSGGVALTMAEGTVGTLLASTFYVWYGKICATMSTSRGQGVVTAFIMMSDVKD EIDFEFIGNDINNAQSNWYSQGVTNYENMEKLKVSPDTVGDTHEYCIDWSEDELSWSV DGNKGRTVKRTDSWNATAGRFDFPQTPSRVMLSLWPAGLPSNGEGTIEWAGGEIDWNS KYMTNGMYAALVTKVTVDCYDPPSGIKSTGSKSYKYTSNMPTNNTVEITNDFVVLGSL MDTGDEPGSTASASKSSSAAKSTKSVNMVPGGNPGGGARQETAVAAQNTAQATSGSSS TGSSGSSGSGDSGSSGSSSGSSTGGGFVQGDGNSGSSGTGSGAAALEPGLAKIGGSAI AIVFAVFGLLVL CC84DRAFT_1262160 MPNYSPFPSYDPYRTNRSLRRGFMNDLQVTDPSTWSYTRGRFVA FGAAAEQRPEAGDMIYLFQNSMDPSEESFRLRPNGNIYYRFRCTPLVCGNMSCQGQTR VMTSFAPPGWDSSHGYHYGNPFNPQHQTRDRSCWVKNHGKTYNIDTDYEDDFPVGITR TFDLEISEKVVSPDGFPKVAQVVNGQFPGPLIEACWGDTIVVNVKNTIKNNGTTVHFH GLRMFQENNFDGANAITQCPIAQGDSFTYKFQLRQYGHSWYHSHYSSQYGEGTFGPVV IHGPSTANWDEELAPIMVHEWHHASAYDAFHDSMFSPAPPKADLITLNGIGRNHELGI GAYFQKTIEEGKKYLFRITNSAIDFHFHFSIDNHLLQVVSVDYVPIKPFWTNSLSVGI GQRYGVIVHTNQTASANGKYWMRTEYFDGNVKDSTFCQFPQQNYPPNQTDTQRVGVLS YSGAGPGEPTTTRWNATVGCKDPVFEPHLEWRVTPPQNDVVKNARYVGIDRSKEMHGA FRWLLAEQPQWLNYSNPTLLNIANASWNSEYVLEPYSYNDPEGFVYFIINSGGGPSKL TGGTHPIHLHGHDFAILSQGLGPFDVANPIYNTENPPRRDTAMLPAMGHLVLAYKTDN PGAWLLHCHIGWHAGSGMSLQILERQQEISSWIGGSAAFEPAKRGCRNWSEFLARHKN DPDVFNPIGQDDSGI CC84DRAFT_1167284 MEGEEQPPLPPGAPTSHLFEVRHTPHSGRAVFATQDIPADTLVF LGDDLTVDVVLREYRREVCGECFGYDRGRDLCVRDNTVGFAFCSEECRGQWRNRVGEL GVETWTAVEKLVKGRSKEDADMVDVGLPRPKTKEIRIAWEGTADQAALIRIARSSVSS PDSSGENKGETGTVKPTKQHRKAVQKALMQPVSPDVLTFCVNGVLWKYNNPAKWDHIL ALAKDNTPYHNYDDLRAFTRSYLHMLAVCPSALLEHVTPETLFLLSSRDSHNSFGIRS LEDDGSEFFGYGCWPSASYFNHSCGPNVEKKRVGRVWHFRTGTKIKVGEELCITYLSG EERKLSRNKRMKTLKGNWGFECGCERCEAL CC84DRAFT_1167285 MVYRGRPSTGCKTCRQRKIKCDERPEGCVKCADKGYQCPGYERI IDRLFQDESAAVERKAQKSKAKALIARDEREQAAKARRAVARMSDAIGTPLLCPLIDR GIAFFMMNYASGVDLPPISSAAYNQHLSTFGFHPIVATAMTALGLAGTANLFLDNGLK REATTWYLDALNMTNKALTKPSEAKSDHTLLATMLLSVFESTHNEFSFQGWLQHVQGS ASLVRMRGLSQFQTPAGRRMYMQTVGLLAMKCMGMGIALPRFVHEMNKEVEKWEDGHD PGSRFFHTHIKVIDFRAEILNHKLTDLRQIVERASEIDKEATEMFSAVDSDWNYAVET CDEGTPGVFGTEYHIYPHLAAAQTWNWLRYIRIYIHDILRNALIAGFSSTPPVFVGRK YMELMVDSTETLYKMQSDIFASMPQYLHDTPKAPPTWSDSTHMCKPESVLSHRCLPSS PISPPSTYTFSPSPFPSPTGTAMGAMKWGPSTTPITPSGTPKTFISNFLEHQNASIIP EFRTPATPSEQLPIVRVSGGYSSLWALFIAGATPIASPESQAFVLSSLERVASEFGIN QAKVFASALRTKIELERTGISKMAEEEMLHGWDAGWYAMLGDKQKFTLRNAALKGGEG GIAPIYMPRVGPHVDD CC84DRAFT_1167286 MSPPKKQLHLTAFMRPVSLHTGAWRYPGAYPDANFNLKHLISFI QSLEAAKFDAFFMADHLAVLNMPVEALKRSHTVTSFEPFTLLAALSQVTARIGLAATA STTYDEAYHVARRFASLDHLSGGRAAWNIVTTGNPESSKNFGRDEHMEHGDRYKRARE FYDVVTGLWDSFADDAFLRDVESGVYVNPEKIHTLDHKGDELQVKGPLNIARPVQGWP VIVQAGQSEPGRQLAAETAEAVFCSPRDVESAKALYQDIKGRAKAAGRLPGLPKILPA ALIVIGDTVEEAKQKRLKLDSLVHYDSAIASLSIALGSDASKFDPDGPLPKDIPDTNA SKTGRAGVISLAEKEGLTVRQLAGRYGGYSGLAFVGTPETVADELEYWLSEEAADGFT VTFPFLPEGLESVTKKLVPELQRRGIFRKEYEGTTLREHLDLPRPPNRFFENVLKS CC84DRAFT_1198410 MRVSSFIAAALLQASVTWSSPVETDDLVARGASKRPNHPIKPHH PGKPFPVSPARTKTCTVKTYGNGKDDSKNVLSAIKSCNNGGHVVFSKGKTYTIGTALD LTFLKHIDLDVQGTIKFTNDTDYWQANAFKQVFQNATTFFQLGGEDVNVYGGGTFDGN GQVWYDLYAKNNLILRPILFGTIGLKSGRIEDLNLRYSPQWYNLVANSTNVVFSDISI SGYSSSSNVAKNTDGWDTYRSDNIVIQNSHIDNGDDCVSFKPNSTNIVVQNLICNGSH GISVGSLGQYKGEVDIVKNIYTYNVSMSNASDGARIKVWPGVSSALSADLQGGGGLGA VSNITYDGMVLSNVDYAIEITQCYGQKNLTLCNEFPSNLTISDVTIKNFVGTTSKKYD PLVGYLVCSSPTVCNDINIQNVTVKSPSGTNQYACANIDGIDSQVNCKKVA CC84DRAFT_1098497 MTSFPPPLYQMGPQQPYEDMDLSHSPNNNLMQLDTEYGDYPRPI PEDVTAYSTPYNSSRSSTPNEESPLLPHDDGVFDKDRPYAQLIFDALKQAPNHTMILR DIYDWFRKNTDKALDKDTKGWQNSIRHNLSMNGAFEKVDQPFEEAKKGFMWKLTQDAL ANGVKSTTRYRSKQPNKRGHRTSHYLQRQRAGAVGGRSAKKQLRHRARMHDAYRSDPY QTSSRSMPTPATFDSSFFSDISGFEMYPSSPSFYSPSGSEIDAMEYQPNAYGTASEQR MSQQIFHDLPSAPVSSSSLASYSDGYIKLPSDPAMPLFTRSPTDTPSPSASEPRTPDS QSPGWDDSIYGPMGGPGHAGFDLNGFGIGIAGTSSMPNDQFAHDSLVNGE CC84DRAFT_1189471 MSSRNPPWRRDDGPPQRPAPASTIPAKRSAHQRSQEHPSKRSTN AQEEAWVADEDRFVLQQAKKRAALRVKGGRAKPIDWLAVTLRFVDNTKSLLDEEVQDH ELEVVDPEGVLEGLKEEDLTELEKEIETYLTLETSRSNRDYWTDRRRKSRAEARGTSS VSADIDRLLAPKTYEQLETLEVQVKTKLDSDEPIDYDYWEQLLRNLRVWKAKAKLRRV SQEILKERLEILRKQQQETALSVQSRVQTMLEAARQSDTGVSTSVAYDPALDPEPLLK LHAEEKSLEQVEERKFLDSILRERQKVIKMGYVPMQNQIQDVSISVPTSKTGQANHSA VSRFAPVEKEDYSKATMALYEREVARGVEEGEEIFAGEEEITVTQPQWASQYKPRKPR YFNRVQMGYEWNKYNQTHYDHDNPPPKVVQGYKFNIFYPDLIDKTRAPTYKIERENGR KRGQSFAPAGEDDTCLIRFIAGPPYEDIAFRIVDKEWDYSAKRERGFKSSFDKGILQL HFQFKKIYYRK CC84DRAFT_1099519 MSCWRVLQLSDEDAAIPQLLIKTQFDSSSYTVFLTDLTNIWSEE LDLPGIVRRASEVESPIEVSERDTSQLAILFENVQKSLSHGKDSTSALSRDKLDNVTL HTIISLPEPLDSLIWKFHFTKGTAAALKNELILPLLISSHVQHVRISRLLSMISDKDQ AITRLIDQYESSNLDLAVAFPKIGNAKSGKRIVRREQAARHIPGLQTFDLDIWKRDTA EMVDTDVSTLGLFQEALSECTPIIPPKLKSHDDGEVDWWNDLETSASVSKRAATTRAK SQPKRAPIPARSAVADSETEEEETEDEFETHENFKTRDLIKKSELPATLDNAPQDNDR TNDVAMIVDEEDEDDEDDEDLDAPPKVYSQSQKSPSRRKMSSPEVSASKVALPVRLDS PPAKPKAKGFKIGGKSKKIESPPVVSEGDISTSQPQNEGIPTRSRVDEGGIGNKSVKR GFKIGGSAKPKKPIAIEEAASSEGSRVRRDTLAIAQSPSVEPSASATIKVETQNLPVE EEREETEEEKVERKRRELKRKNEELAKKQAQSKKKKRF CC84DRAFT_1126307 MATMQRAAELKQQGAIEAAQDPNSSVTADQAEHAIMKEARAGGS AAFKFDPDASPEEKAAQARAQVPKNFHHERNPNAVALASDANGTGPKYALPPASKAGA IDVPTSPISPTSDAPNGVLPKLDQDDEWGRVGWAPRFGLPGSENQDDEGSLLDHQTFL EGKLEDKFFGDWYHNTGVIIFACLSSWVVAVLGGGLGWVFCIMAICGTYYRTSIRRVR RNARDDLNREMAKNKLETDTESLEWINSFLVKFWPIYAPVLCKTIVGTVDQVLSTSTP AFLEGLRMKEFVLGTKPPRLEHVKTYPKAQDDIVLMDWKFSFNPNDTADLTSRQLKQK INPKVVLEVRLGKGMISKGLDVIVEDMAFSGLMRLKFKLQIPFPHIERVEMSFLERPT IDYVCKPLGGETFGFDINFIPGLETFIMEMIHATLAPMMYAPNIFPIEIAKMLAGNPI DQAIGVLQITFHGAQGLKNPDKFSGTPDPYATVSINNRTELARTATVKENANPRWNQT VNIIVTSLKDALTINVYDFNDFRKDKELGVATFALEQLETEDEHENLHLEVTAGGRSR GIIQADIRFFPVLEGETLDDGTKQPPPESRTGIAKFTIEQAKELDHEKSMIGQLSPYA MLLLNGREVHRSTVMKRTNQPVFPDASKELLITDRKKAKLGLLIKDDRDLATDPIIGS YQITIDDMLELMAKGQEWYHLHGAKTGRAKMKLEWKPVALRGAAAGGGYLTPIGVMRL HFKNARDLRNVETMGKSDPYVRVLLSGVEKGRTVTFKNNLNPDWDEVIYVPIHTEREK LTVEVMDEEHLGKDRSLGHIELTAGDYVRKDDDGQWLVHEQKETQQGHLHLGARSQSK GTLNYTCSFYPTYAVHDPDEEDSDSEDDLSRKGSIRNSIDSAPKGHKKNISSVSGIAR SETAGTISSLRSSHTAGSEPDVAKQLEKSMAQAEQEADAVPQKKEIEKLHLTADDLQQ YESGLIVFKIIEGDFAETGAYVDILMDDMAFPSYSSAKIKSKHMTFNEVGDTMVRELD FSKITLRIIEHIDKKGENDEDHVIAKLTGNTLDTLRRCLYTPTQLILKDNHGRENKIT VSLKYLPVKMQLDPSESFNNQGTLRVEVLDAADLPAADRNGFSDPYCKFVLNDKEVYK TKTQKKTLHPSWNEYFEVSVRSRTAADFEVRVFDWDFGDKADFLGKAAINLEILEPFQ QQEVTLGLDGKSGAVRLKMLFKPDYVMRSRQGSSTFSGTFAVPGKVIGAPVKGVGKGA VLVGGGVVRAGTFLGRGFKRRKSRGAAPEDLDSSTLNGSAASDEPVPAISIESDGRPS TSSNANAAAEKNHSRHRSWGAQSFASAHGASAPSADQGTAHFSILSAAGFPAGANLRV FVRLDTPKGPKDVHKTDHIKAPTGAATFADESFKVTCAADAFFKLVVKDHATFGRDEE LGEAAFTVDDQGNGGEKSVSVGQGSVVVRTSFVPADAQSSGGHSGPNSPKLTKGRGLL SRGRESRSVTPA CC84DRAFT_1004842 MVKSLKSHTSSLDTLSWRDGIHSQDIHYMGPLGFLSGFVKLSHV EAPLAVLAQQTHDINITVESIVANLPRSVQVLTMNVIHDGIAYYQKCLDYMAWNFGDY LPSLRQVKVIYHSLLTPPVYEWEKLGKLLTDRGILFEFIHSISEEEADGEGNWAPYVT RDDSASSDSSGYEESLYSE CC84DRAFT_1099157 MLNTQEFPPLPSQVQRKPKKQPPRKADPLPLTRRAYSTSLNDLP DELIVEILDYLPGIDMHHFQLLTLASLFFCTPYPFLRTTMCNKNIASHVKSISFKYGP NVHSDRPRYVPSISDKQLIKDSLRSLEIPNFDWKKWASECNDREVDQELIYATILLYT PNVTRLEIDDGAAIEPPGRIPRWLHHFRKIANGVDFGRVHRFQHLKSIRVDVQYLKLR HLAPLFKMRSMRKVTLVGLFERPSTAESAHDELRRLFPKRSSLIDELQLEMSYVDDNV LNVVIAGIKKLKVFRYWSSTDHFEVSGRNSDDYWGIGGQEGYEPYDEADASNSWFR CC84DRAFT_1167294 MAQRKKSLLIGINYVGSKHELKGCHSDVDNIAEFLSYRGYSNSH RDRVILSDNPVVAPDSPYYPTGHNILAAMDWLVSEPGCTLFMHYSGHGGQVEDVDSNR STGLDDSLVPVDFEYNGQLSSTLLHQHLVTRMAPGCTLFVLMDCCHSGSAIELPFVYR TDADGQISLMDNLRKGAQLVGEAQDILSGGFNFNKMGEARELFAGATSFFHGLKHMGE QEEEGLQEGEFVGQYGSENKMVTMFSGCRDDQTSADANIAGRSTGAMTWAFLQIMKAG ETPTYARTLQMTRQLLDQSNYTQVPQLSSGLDIDLDNLPLAI CC84DRAFT_1198415 MSNITYKSDFTGDPKQHDVSKFSESMTGTCLCGSISVTIHDNEL FGDKPRGHLCHCVNCRKVAGSFVSSNMAIEKEKVTYEDKNGTLKVYDDWETGSGKKVK RCFCGNCGSPIMSQPDIFPHMYMLKMGMFPRIPKPECESFAEHRHDWQGKHEGLEQYA LIRGQKKLGE CC84DRAFT_1220529 MDTFDLIVVGSGFAGCMTALNFLETSKKLGKTGRVALIEVGKPD ERCGASRWTMAYLRLDKDLGFDSDWKHEMALVSNGLADQSYCATLEREAQSTAKYVEE HGVKFNHHDEENVLLEFKTQQHFVFPEGGGKAIIDCLMGHIQQYKNAEVFWETEARHL LVDKRGAVRGLQVRKKDGLLYELHAPDVMLACGGFEGNREMLAKYVGKRTHELPLIAP GLKYNRGAGLNMALEVGAGTAGSFDGMHCELVDTRATKPDAVIWGHNYGIVVNEQCKR FYDEGKRHLFATFEMIALELWRDQNQKGYFITDEPIMKRFRPGWVYDTTDQEPEKANT IRELARKLKIDPDALEKTVNEFNDACNDKQFDLMKLDGKATTGLSPNKTNWANPINNS PYYGYPVTSHLTFTYGGVKTNNEAQVLSTNDVPIPGLWAAGELTGIFYNEYPPGTSVL RSLTFGRLAGTKIAEQLGSKSLLQSVTNTVRSTL CC84DRAFT_1167298 MENERGELVDLYVPRKCSATGRIIKAKDHGSVQISIGKVDENGR YTGENQTYALCGFVRAMGESDDSINRLTQKDGFLKSVWTAQR CC84DRAFT_1189477 MEPRRVSSAAPIPPPPPLPPSKASYFSRLVNQVQTSASAYGSRP PSAQTHRPDGGRPNPLVPKLPGLRTGSSQDASHKTGLPIEALDINRDRTHAILAGKEI LKTVRVQQQDARIVEETNLRAAVINFSDHSHARQRNGLGIQDVKWSHGQFNTHIATAA ANGKVILYDLNRAGVELARLHEHTRQVHKLAFNPHQGYLLLSASHDTTVRLWDLRDTR KDIMTCRSRDQYAGISGAIYDIQWSPTDAVEFAFGTDNGTIMRWDYSNNRVAKQKITA HDTKTCTSIDWHPDGKHLLSAGLDRTVKVWDFSVGVRRQKPVLTLNTPYPVHNARWRP PNWSEEGAYQCTQLATAYDRDHPAIHLWDFRRPHLPFREINKFASAPSDMLWHSRDTL WTVGREGIFQQNDIHHATKVLDRRNLQAIAVSPSGEIGGIAQRRPLRCRSIGPAYSED TYVPAGSREKRNSPEKSSLRSSAENSFDENLLVSRRHHGRSASNRSAKSFGSTPPSDA APKVMFLTDSMVVPHESSRPNQVALRGTLPGATNTQIFAYLAQKYKAISLTDPPSVKS FEDVQHVFEQNAEYAQRAAFYRLATTWRFVGLTIASSLRRRAQLHRNQRRVKTKPTTL ASSDVQPEPPSDNLRAHDKQKSRPDIATRAFLNLEQTSPHTHSTDRNTATIESTSNLA TPLARPLTSIPTTSNANQRSPLPDPDHDEKIELPPAIAAPHFSNGLLAPDSANRESDH IANRPSFNGPQWYRSSNDLDERRAMVGSWRAPPRVPLSFEPPETRGLNIQAPPRLDRH DSDESFAMFSASSDSQRGSSMPDSLNSATSHPRNIDVGSCELQSQSHDSSFGKALRRS IQISPTTIPENDGIMTLSHDHNELGSTRDDTRHTREHESQEQAVHDFENLHRNNQLLR HDSSESDAFTSNGSGMSVSSLMGYSLDMEASGTIVPEAHDTTRSPLGLRPPVRLPHAT ENTPAALDPAAEDPLLVEDFQAMHLDADEEQPFAIIGLIKNLLTFFTDSQADAQTSSL LLLLITPLLPQTRGQFKDASLDLQSTLAAYTETFTALGLSPTQSETILSTELKTIITA GINPYQAEAILQTYHAQLHSLSLYNAAATIRRLAYPTYPAVYEQALKGTQVGLLCLSC KSPINNPKDKMRCETCKRAQAPCPICWGRYPAFEAVTTKKKAKAKLRMGLKDKDHGCK RSSLLSGMAADIHEGEGDLSPSVPESVSPPNAMLWTWCPICGHGGHTHCLSTWFSDAT ISDGACATEGCLCDCVQGNRREEKVQHILRLKAEKERSRVVKKGDDWKVGESKAVSAV RGAFDENASDTVQNTGSSTQVSRAKEDGRRVRVVEPHDDQH CC84DRAFT_1220532 MAVLDDEQPWGHARALRSVLVILQYSYPVFLLVFFLVAFTARSI ATSNSNANVAKPTTTGPGGKPLPATDPTRNFVKKTAHDDVTHSQKLLFTWLSLFAAAT FVGNAAVSVTHALADQTDTWWAGNDVVVYLIGSFFVYCLFLISLIDSKPSPTSAHLAT WIVAAVLEVVLVGVSLAIYTHAHHQPLLDPEKDYISWGVTRWEAGEVACDVLRIVILL ALIGFYVVFVSLAQRKSSRESGGASETTSLLGGAEREGSAGNGHANGSYGSVHPVGGK HQHTEGAPPAWSRPTGAPARSWWEYLKGYKVFFPYLWPSKDRRLQIMVVICFVIVLLQ RVVNVLVPDQIGRITDRLEDGSRGNPWQAIMLFIAFRFIQGNNGLLGAIRSTLWIPVG QYSYRELSVAAFEHVHSLSLDFHLGKKTGEVLSALGKGSSINTFLEQVTFQVVPMLVD LAVAVGYFLYKFDATYALVLCVVTFWYIYLTIRMAQWRAEIRREMVNADREEDAVKND SMVSYETVKYFNAEAYEFNRYRNAVRKFQDAEYTVLFSLNLMNVSQNMVFMLGLLVVC FIAAHQVVKGEQRTGDFVALVTYMGQLQSPLNFFGTFYRMIQSALINSERMLELFKEQ PTVVDKPDAQTLPGCEGDLRFNDVHFSYDERKPALTGLDFHCPPGTTTAFVGESGGGK STVFRLMYRFYNTQSGSIQIDGRDVEDLTIDSVRSHIGVVPQDTVLFNESLMYNLKYA NQDATDEEVYTACRAASIHDKIMTFPDRYETKVGERGLRLSGGEKQRVAIARTILKNP RIIMLDEATAALDTETEQHIQEAFTTLAQGRTMLIIAHRLSTITHADQILVLHRGKVA ERGTHEDLLEKNGHYAAMWKKQIRAQRAAEQAKMLKDKADRLRRESKDGNIGLGDDSS SHSASSSDDERMKKSKAAQRAKEELDSQTPAKRPGHP CC84DRAFT_1220533 MRPEDANIVVDSTYSRYHESDDDDSQSYISGQSLASSVTRYRYE NGRRYHAYRDGSYYAPNDDTYSHYETIVHHLWLLTLDDKLYLAPIASPTHILDVGTGT GLWAVDMADAHPNAQILATDLSPTQSTTAPPNIRFEIDDAASEWTYPPESFDYIHVRG LTGCIRDWPFLYSQAYAHLQPGGYFEHAEFSVTTNADPDGTSVADKMYSTFSSTILSI GEEKTGQRFDTISHIGTWMRAAGFVDVVEQHFVWPIGPWPKDPKLKELGRWGERNWAD GLEGWIMALYTRILGWKYEQVVEFVRAFRKVIKDRKNHYYQDVRVVYGRKPRVGEVVE TPADKGEDAA CC84DRAFT_1099278 DTNLIDNSETVYISSLALLKMLRHGRAGVPMEVMGLMLGEFVDD YTVRVVDVFAMPQSGTGVSVEAVDPVFQTKMMDMLRQTGRQETVVGWYHSHPGFGCWL SSVDINTQQSFEQLTPRAVAVVVDPIQSVKGKVVIDAFRLINPQTLMMGHEPRQTTSN VGHLNKPSIQALIHGLNRHYYSIGINYRKTALEENMLMNLHKHVWTEALMMNDFRDEG ERNKDSLQKLVSLAEGYEKRVKEETELTPEQLKTRYVGKVDPKKHLEDVGQRLIEDNI VSVSRQMIDKEASVPKSNGKSNGQRSPNEGDVEMED CC84DRAFT_1152467 MDNADAAPPTASNSLNGTGSQPKKVAYFYDSDVGNYAYVSGHPM KPHRIRMAHSLIMNYGLYTKMEIYRAKPASKYEMTQFHTDEYIDFLHKVTPDNMDNFS KEQSKYNVGDDCPVFDGLFEFCGISAGGTMEGAARLNRGKCDVAVNWAGGLHHAKKSE ASGFCYVNDIVLGIIELLRYKQRVLYIDIDVHHGDGVEEAFYTTDRVMTVSFHKYGEY FPGTGELRDIGVGGGKHYAVNFPLRDGITDETYRDIFEPVIEAVMTYYCPEAIVLQCG GDSLSGDRLGCFNLSMDGHANCVRYVKSFGIPVIVLGGGGYTMRNVARTWAYETGQLV NKHMEKQLPFNDYYEYFAPDYELDVRPSNMENANSTDYLHKIKSSVIDSIRRTGKPSV EAFTNIPDNPPQLAGYDSDAEEEMNDLDADENPDVRSTQRQRDQQIEAEGELYDPSDD EEYKNGLGVRRQPGARRKRNIMDFQNPNADEGAETPDGTRGLNGEPARRRRSVSGASS AQPPNGTASRTRTPAIPATADEDADIEMEEAEGATIPASAVPAEPVPATASRTQSPPA VPTPPESPAAQPAAAAATTADVEMEEAAEDQAEAADEAKAKEEGQSERDTENVKGEVR AELAKEDS CC84DRAFT_1252747 MSYFLHGSTSHTGMGWVDSIPRAGNLYIGGLYALYQTDLIKAAG ITHVVSVVDYELGLDKPHLSDLKKLHIWAEDDPNADLLQWFERTSAFIREGLEGEGSA VFVHCAMGKSRSAAVVVAYLMARYGVGAEEGMRWVEEGRPVCDPNPGFKEQLKVWEGM LKAGEGGEKRRMYGEWKEGGFKGSAWEWEKRVETEREKARL CC84DRAFT_1167305 MNCEISPPPAKRRKTSTNNVTPIKASISLPPTVPDADTMRIFSW NINGITPFLQKPITTYFNPTKPTSNANIPPASLRGFLKRHHWPAILFLQEVKIAAKDL KTQDAVQTAVNASLPAETSELGPGPRYEAHFTLPRDPHNARGSRGTGKVYGVCSIVRA DVAKKLAATVRTVDWDTEGRVSVVELHSPSTKLALFNIYAINGTDAPYRDPATGVLRG TRHERKLAFHRFLMEECLEMEQDGWDVFLAGDMNVAPAAIDGFPRLRTFPHQHVVNRA DFNARFLDTSKKKQGEGKVFEGIDVWRRMNEGVKRYTWFPRGRQWGSSCDRVDYIVVG ESIWDRGMVRGAGILDSEAERGPSDHVPIWADIGLSGVDEEGNGKGAVLDGMRSYDLG A CC84DRAFT_1126339 MGPRDIRRHSKWPRALRMHGSVAPKLVAPLLGIGMWSALITTIS EKVHTINVHPIVITVLGLVVGLALNLRSQTAYERYMEGRRMWAMLGASATSLARHIWL HVKEGEGSRAKTILISKTAALNLITGFVIALKHKLRFEPYATYDDLHPLIAHLDTFAI NAGEPEPPRRAFLCKKVGYWMGFPMARSNPRKQIKRAKHPVGNLPLEILSHLSAYLTE QIDMGTFELGIAQNQSMNVMNDLHHILATTERVQTTPLPLAYSITISQITWVYLLTLP FQLTTLTGWLCIPITITTAYIVLGILYIGNELENPFGTEVNNLPLENYCEQIVSDVHV IAAISPAIMKAQIHAPQSQLLYPLSLETRELWSSRSEDEIRVALTQRADPSKTDMWER LNDWRNEVGHDEGQGSAQSDTVCGDCEQGQCRGGNDEHSPGNGGNHRPSWRGSRIWDR SSFSKGTFSA CC84DRAFT_1179129 MKLFIVATALAACSASALSIPARDAPPSLRTIELSPGNIKQVTE EQKFELLAQGTNLIDITEDLDAPSIKKNVAAVTFPATVTQQSAITPLLPLLSTASMRA TLTPFTQFQNRYFNSTYGAQSSAWLLKTVKDVVSASGAVNVTVEAFGHSFLQSSVIAR IPGRSAKTVVLGAHQDSINSRNADRVNNRAPGADDDGSGTVTILEALRVLLTDENVRT GSAPNTLEFHWYAAEEGGLLGSVDIWKKYKAEGKDVKAMLQQDMTGYSKGTTDQGKPE VVGVITDYVDAGLTDFITKVIDAYCDIGYVRTECGYGCSDHASAYRNGYPSAFVFESA FENDSPYIHSSQDTLETVNFEHMLQHAKLTLGFAYELAFAAGL CC84DRAFT_1262182 MDRSTPAPPSARAVHIAWEFSVISTLLLATATLLFIARLWTRCF PVFRMLADDYVCAVGYVLVVINTSLFFKSVEWIFPSWKGDRTKFTIADMEQSAFWGII AQPFWAWSMAMVKISIGLMLLRLESDKPWRRFLWAMIAFQVVLSAYNMLTQLLGCIPL SEMWDISDNVPGKCWPYHVESASQVTVQAFVILTDWIYALLPMNFLRKVQRPLRERAV IGLLMSLGVFAGVASIIKIKQILTLKHSRDAYADIIVIEMWCSIEVLTGFVVSCVPCL RGPFQRVLEHYGIVTLRQATTYAYGQYPGTQYATHVTSGKNSMLDPPLRMTRVNSSGG ESVEHIITGSETAVKNGEIWCTTEVLMEEEETQKMPRVAQSKSPGLPWSGRSTPRQTS R CC84DRAFT_1167310 MNEVIALFRISTAAMHFYEQGPGIGAFKLVVDGRAGRGIIPVHA KADALLLSYTNSPVLTDLVS CC84DRAFT_1220541 MSSPESKSRNSSFSKEQPAPPATLYQQDLSTWKTTTITVQSIDA GLLNGKRAWLVCLTLQFSCPHNDRFKKANIMLQFEPSEAGLASTAVVKRWAPQFLTGR RTTSSVEWAFEGSLTAGVDIPGSTINANTSLSRKESVVKEHSCSILSDTWKLPQLAEP NAVRFYIHENEQSRGGIPNRLNAVLVIQSDVACRGRATVETNGIKAMYVRGHHNPIEL QVNVSYQPRFPEGVKDFSTLSTIEWQMLATPTLQMSDNIVGSDR CC84DRAFT_1126344 MASDASYTVPLLVNGKEITTSTTFPVISPGSHKEIWSASSASLD DVKSAIEAAQAAFPAWARTKPAARRDIFLKAADIVTARAKELGRYMEEETGSAAAFSS GFNVPLGAEMFKDVAGRCSGINGVIPTCSDDNTAALVVKEPFGVVLGIAPWNAPYILG IRSVLYALAAGNTTILKGSEFSPRCFWAIGSVLTEAGLPAGALNVLYHRPEDAAQVTT ALIEHPAVKKINFTGSTAVGRIIAASAGKHLKPVLMELGGKASAIILDDADLNKAATQ CALGAFLHSGQICMATERILVHKDVKAKFIEAFKGAAEGIFGGDKPAPILVQAANVEK NKRLVAQAVSAGAKVVHGDHEKDEPHPETKEASKTRMRPIIVDGVNKDMELYHTESFG PSVSVIEISSEEEAVEIANDTEYGLTSAVFTENLGRGLRIAKQIESGAVHINAMTVHD ETNLPHGGAKMSGWGRFNGSWGFDEFLRLKTITYQV CC84DRAFT_1152481 MISTFSLSQALVYAAVAFTAYIISLCAYRVFFHPLAKYPGPLSY KLTGWPLVWQAYKGDRHIWHLRDHERYGPVVRIAPNTLSFNTTSAVHAIYGTRSANVK KGEWYKTFDIAAGTYSSFTETDREKHAIKRRWMTPTFSTESIKANEPLLIDIIERFCE SLKPNGAAWGEKWNAHQMGVYLGFDLMGALVFGSDFKSVQEKRNRDLADCVLPAQKLL YWLSYLPVASLVRPLLRSQLLEMVGGKPVRDNNRLIDYGKAQVQARRSNDVNEKGFAD AKDFLSKLAYNGDKKTGWQPTSLDLDTESLNMIIAGADPFSQVFTGTLFYLVHNADCL KKVTEEIRSTFASPSEIVSGPKLTSCTYLHACLEESLRRLAPVPSHVPRVVLPGGATI DGAFLPPGTVVGVPQYALHHNPSYFASPFIFSPERWLASPENPPSAIDEMRRAFEPFG LGVRGCIGKNLAYLQLKLSLAHFLWRFEVRETVVEGERGLGCGGAGLGVGRERRDEYQ LWDALGAVRDGPVVEVRSAW CC84DRAFT_1252770 MLLVYCLLPAIVIARYVNLSTETSASAAKHLVTVSMPLTTTAPP VGMNMDKAGPIPATRLYSHTSASGLKTTNTTHTSEPQLPTRTASRAKVPAFLSFLSRK STANRSETMSTTKFEPLLGNSTIASSSTTSTTSTTSTTSTTSTTKFYPPPELQPPSIT SDLETLPTFHPQPTESYSTGQSPSVTSNLETFPIFHPDPTESFPTFRPDPTDSLTTTS STSTGGTKSKTYTTYPGLKKAISINQFQTPVPLSTPCTTPVTAGKRRISPLRLEKVKT SYALQGKRRGSGRVVRWILRPLPVTTSTATKTAIPALHNDMCLMVVFECVYLDMSANP SHISGHHTDMAFRLRSNSLGSSNSEGESKGLNSVTVDILEI CC84DRAFT_1208284 MAGGRPLLASRNSSEISNERDLAEEDALLTGRPTKRRSDGRRSW SFWREVGLFVWAVVATAFVIVLAVVYQKSQDSKHSSPAPSGKRNLIFMVSDGMGPTSL SLTRSFMQFQNGAPWSEQLVIDQHLIGQSRTRSSSSLITDSAAGATAFSCATKSYNGA ISVTPDHEPCGTVLEAAKKAGYMTGLVVTTRITDATPACFAAHVHQRGEEDRIAEQMV GDYPLGRMVDLMFGGGRCHFLPNSAEHSCRGDNKDVVELAKKNGFNYIGNRKAFDDLK GGSGLEFPMLGLFAETDIPYEIDRRNETDVYPSLHEMAEVALNALSEATRDSDKGFFL MIEGSRIDHAGHHNDPAAQVHEVLAYDKAMTSVLNFLKNDPTEGIMVSTSDHETGGLA TARQLHVSYPEYVWFPAALANATHSAERLAAEYMGYFNNHPDTSARTAFVTASIEQGL GIFDHSEDEVSNIANDPASALYRYADMISRRSQSGWSTHGHSAADVNIYASDAQAAAP LVGNHENTEVGRFLKEYLGVDVDAVTSELKKKDKELKMLGEVGDGWMGKIPEEGQRLD GQTHLASYSGDFKKRGVEHGDACGCGH CC84DRAFT_1208285 MFVPLQERYSLTARLETHITAYSVHLIVAFIALPALIWHISSAH IHDDYGMPDDWISTFDKTHFTGGRGFLWAFLFVVVRFAIPPEEGDGVLMRQVSIDCVR ALLALDHYHARRYERGFGDDEVEERGRRASEFTSACNSTSTSTSTATSTASIPLPPHP PPTHIPPILILTLHTAYNALLTTILLVHVVNFFHVIDTNWDSCEAYVSPYPEAFMDPM NTSMSVLERCQRICTDSYVSGGFDVALCVGLVGCHVWEVLFRVWEGCLFGLGIKDRMV CEEEKGEERVGSGDGVRENQDKSVALSEGGECQAKDALSTAIRCRGWSAEVRRNRLGS SVKEGSLVTRKRDRSYSVESAWWSEVLLECLVP CC84DRAFT_1006330 MLSMSPQTPNGAQGNPPISYVASPTSARSQTFKRPASSDDEENG DGGSTRPGSRRSTVVKRACNECRQQKLRCNVQQDPFVSCARCQKQGLKCVIEPNFKRV GKRTRNAEMEREMEQLRNRLAMYEQGRANHMPMVSTPQQQQLADGTFSAPGTIKVDED DAFLQTQHQQVAATSLLDLRSGSPMMHSLESLRLPPAQVTELFTEFFDKYHRFLPFLD PLRQPEEVLTKDNKLLFWAIISVGARHYKRDQGLLNRLKDPLTDLIWKTIKGQTNHHV VKALCLLCYWPLPQHRTVMDPTFVLCGVMMQVAIQIGLHQPTHPQDFSRTKVRLQKED IQDRLRTWAVCNIVAQTVSTGHGQPSITLYDNTLDFKMDDEEHAGTLPPDIFVRLRQE MAASRINKLLYSMNNNRFSEGAATTYMGLEADRLREERGAFDGVNKELEELHHCAVSL HLHLYSFFNREARLERRDDLVQLYWSATSYLDRVFKLQRDGQLIHTPYHIMQLALAAG FALLKLLNSDFSARLPSDGRQYVLQTVEALRKSKVETNDLLDRFAEVLAQLWKESSRG RSLHSMSQSPVVSNPGVANMFNNNVQAPQQQQSGHRRDSSGLLEDPLGLIVRSRMSMS VVFDCVWRWRESQVSGAAEQLDTTVRDNPTNPDSSSNSTPPPGLIVENPAHSLPSFNP HLNTLSMPAQLPNGLASANSYEFFDSVSWMLEAQDWNNQYGGVFTNDFGS CC84DRAFT_1167316 MPSARLTYRRRAPYNTTSNNVRVIKTPGGQLRYLHIKKKGTAPK CGDCGTKLPGIPALRPREYATISRPKKTVQRAYGGSRCANCVQDRIVRAFLIEEQKVV KKVLKESQQKKR CC84DRAFT_1262189 MAFPPPPPPPPPPGAPGSSFGALPPPPPPPGPPGTVGPPGLRPP IDPQVAKFAQKKKDWLRRQRQRFGERRKAGFVETQKADLPPEHLRKVFRDIGDVSQKK FTSDKRSYLGALKFMPHAVLKLLENMPMPWESRRDVKVLYHTNGCLTLVNEVPRVIEP VFHAQWAAMWIAMRKEKSDRRHFKRMRFPPFDDEEPPMSYSENIEDVEPLEPIQLELD EDEDGPVYDWFYDHRPLLDTPHVNGPSYEKWNLDLPQMATLYRLSDKLLSDLVDKNYF HMFDLDSFLTAKALNVAIPGGPRFEPLYKDIDPNDEDFGEFNAIDRIIFRSPIRTEYR VSFPFLYNSLPRSVKLAWYSHPQVVYVRSDNPDLPAFYFDPIINPISLRAVAPTNITV SHEDEVFGYGNDEDDEDDFQLPDEVEPFMAEEELYTDETASAIALWWAPHPFDKRSGR MVRAQDVPLVKQWYLEHVPAGQPVKVRVSYQKLLKTYVLNELHNRPSKAQNKQNLMTT LKSTKFFQQTKIDWVEAGLQVCRQGFNMLNLLIHRKNLTYLHLDYNFNLKPVKTLTTK ERKKSRFGNAFHLMREILRLTKLIVDAQVQYRLGNIDAFQLADGILYAFNHVGQLTGM YRYKYKLMHQIRSCKDLKHLIYYRFNSGPVGKGPGCGFWAPAWRVWLFFMRGIIPLLE RWLGNLLSRQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMSDLMDMMPEGIKQNKVN TVLQHLSEAWRCWKSNIPWKVPGLPAPIENIILRYVKSKADWWISVAHYNRERIRRGA TVDKTVAKKNLGRLTRLWLKAEQERQHNYMKDGPYVSSEEAVAIYTTMVHWLEARKFQ PIPFPSVSYKHDTKILILALERLREAYSVKGRLNQSQREELALIEQAYDSPGTTLARI KRFLLTQRAFKEVGIDMNDNYSTINPVYDIEPMEKITDAYLDQYLWYQADQRHLFPGW IKPSDSEVPPLLTYKWAQGINNLDQVWEHEDGQCNVLIETQLSKVYEKMDLTLLNRLL RLIMDHNLADYITAKNNVQLNYKDMNHVNAYGMIRGLQFSGFVFQFYGLVLDILLLGL QRANEIAGAPESPNDFLQFKDKETEVRHPIRLYTRYIDRIWVFFRFTAEESRDLIQRF LTENPDPNFENVIGYRNKKCWPRDSRMRLMRHDVNLGRAVFWDLKNRLPRSITTIEWD DTFASVYSRDNPNLLFSMNGFEVRILPKIRNLNEEFPTKDSVWSLVDNATKERTAHAF LQVTEEDIQKFNNRIRQILMSSGSTTFTKIANKWNTTLIALFTYYREAAVSTVNLLDT IVKCETKIQTRVKIGLNSKMPSRFPPAVFYTPKELGGLGMISGSHILIPASDKRWFKQ TDTGITHFRAGMSHDEETLIPNIFRYIIPWESEFIDSQRVWMEYSQKRQEAHQQNRRL TLEDLEDSWDRGLPRINTLFQKDRSTLSFDKGFRARTEFKIYQHMKSNPFWWTSQRHD GKLWNLNAYRTDVIQALGGVETILEHTLFKATAFPSWEGLFWEKASGFEESMKFKKLT NAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFLHGKIPTLKISLIQIF RAHLWQKIHESVVMDLCQVFDQELEALGIETVQKETIHPRKSYKMNSSCADILLFASH KWSVSNPSPLQDNKDQMGATTTNKFWIDVQLRYGDYDSHDIERYVRAKYLDYTQDSMS IYPSATGLMIAIDLAYNLYSAYGQYFPGLKQLVQQAMAKVMKANPALYVLRERIRKGL QLYASESNQEFLNSQNYSELFSNQVQLFIDDTNVYRVTIHKTFEGNLTTKPINGAIFI FNPRTGQLFLKIIHTSVWAGQKRLGQLAKWKTAEEVAALIRSLPVEEQPKQLIVTRKG LLDPLEVHLLDFPNISIRASELQLPFQAAMKVEKLGDMILRATGPQMVLFNLYDEWLK TISSYTAFSRLILILRALHVNQDKTKLLLRPDKTVITQEHHIWPTLGDDEWIKVEVSL RDLILNDYGKKNNVNTSSLTSSEVRDIILGMEISAPSMQRQQAAEIDAQQQEQQQLTA VTTKTQNIHGEEMVVTTTSQYEQASFASKTEWRTRAVATSNLRTRANNIYINSEDVKE EGHFTYVMPKNVLKRFITIADLRVQVAGYLYGRSPRDNDQVKEIVTIVMIPQVGNTRD VQLPKTLPHHEYLNDLEPLGIIHTVSGNEPPYMQASDVTQHARLMNTHSTWDKKTVSM TVSFTPGSVSLAAWALTPAGYKWGAENKDTMSDNPSGFSTSFGEKCQLLLSDKIRGYF LVPDNGIWNYSFLGSAFGTVEKRPVNVKLDTPARFYDGVHRPLHFHNFAELEDVWVDR ENQFE CC84DRAFT_1006473 MAKTTFIPVALLTLLHSAAAHYYFPHLIVNGTITPEFKYVRDVR PHEGDYSDPDGYNGKEYPVYGEFEDTYMTDVRCGRDAFAYGAAKTDTATIVAGSAVGF HIKVRFHLLHSRTPFRIYTPCGTFANTSGTQEFVDPQYNNGIFHPGPAQVYMSASADP AKDDGSGDWFKIYYLGPSSNTTWATDRATQINFTIPLATPPGPYLLRLELPFPIKDWP GHSQWYVNCAHVNIVGDGTGTPGPTIRIPDDYTSYDEGIGLTTPGVDFDTGLDWYVPP GPALWTGEEGSEKRAVEEGWRREV CC84DRAFT_1208290 MAGPRNLPGRSSQRKSVNQHDDEQPPRTRSGRGPKSAAKPDNKP DIVSPKASSQQSNTTPQTRKTTRQASTVANYADLEAGNVVYAIYEAPIWTVVGLPRDF LDGLKEQKNSRKRRFSERSELPVLNTLFTHSDTMSDDESDEIPSPHPAASRGRGRGRG GRGSRGGRGRGGRGRGGRGGRGGTATVAHRTTSPLRTRPSRNAAPAFPLVEEDDEEPS NQESAVEDAKRSPELEEETMNDFSDDAETMNELTDDELLGKRESEEGIDCRETDGFVE SRTPPGSPSPALLLAYRDPNANIPVPVAVPKVSLPAKSASRTRTPKEGTSTPIPPPKL PRLAPEEDALTESDLPGPWIEGLPKPIEAECEDRADYLLQQRYEPMVDVQDVIASLTK FPLAQRSTESLYALAENTQRILKAWQDEYLQLDARTAPHAHPPKKPCNGGRIPINPLV YEDMKEADIYGYTYNPKITEPGFQDPFTQRPGHEQSGGRELRQRRANAMLDSAAPSED EEEDEEGRPAKRQRRATRRFEGSDAGTGTVTGTTTPKKHNGWGGARKKGVSKYAKPND HATSATPEPDGRIKRGRGLLHPRVQEMREESAVVSSGDDGGSNADSVDDEEHISRPAT RGGRGRGGGRGGRGRGGYGPKGPRKRAFEEDEPIPSAHAPNGPPPALQSLSEGQNQFT LSTPVGAPVPPPVIGPNSTETVFQATPQPYGYQDLGTGPHSSAHTPDTYMNTTPLSQY SNPYDDSANNSASGSRKKPRVKSEKRSQSMTIWWAERKARQKEADEKSGTPPKPPSRS NSGTGRRGGRTSGAGSPAAKSHPEPQHRQPIPFYPDPHAQPPPPPPPQEVQTFHSHPP PPAPFSAPSPSAAHAPAVSYPPPPSLLMQPSPLAALPSSVPPPPPGPGRTLAPAPLPP QVPMSAYPSPYGPRTAPRPKSNGPLPLAPAPSHVSPYPPMGIGMGIGSSQPVRDMPFK VLVPGIPPDSRRESR CC84DRAFT_1006468 MPPKTVEEQLAELEMEAEAPAAPPPKSSKAAKAPRKTAQQDIDA LKELEELEALEKFQAPEAPRPSSRSNTPKLSSSTASSKKAGVATPSSSGSGRTSEEKP QPPRKSGESARSFHQSFAPTEEEPVKPAPEPQQQSGGWGWGGFSVGGLLSTATATADA ARKRAEAAYQELQKNEEAQRWAEQVRGVRGNVNLGALRGLGEELQKRAIPTFTDLVHT LAPPIAQHERLQIHITHDLIGYPSLDPIIYQTFSSVMSQVEGGDLLVIQRGSESTQRS SIDGHRGSSAGWNDGPWWRDTDKRDLSVVKGLPEGTKLVRVSAESYANEFFNARGGLE EAAKQATEVLSESNPVRSSDIFLALQAISYTTPDDLFQASPSADEKEGNVQEQKETDE LIVFAIYLHDPIHGISYKALSQSFPAKWVEWLDAPAPTEEAGEEAQLPPEIQQIIQDG GVDPREWAAEWMSEVISLSVGVVAQRYVARRMGVGEGGIGRGKAREAIVEAGGGEAAR AGII CC84DRAFT_1262193 MAQGAVKPRKASNAPKKPTGPQRGNRVIKPKKASLASQQKIKHK ASSQLTGKTEKLLAEKAGHLELLKGERGRAESAGRRSIRRVRQRRSEDEGRFAGLMDV MACEKSTSDVRC CC84DRAFT_1152501 MDPRNAEQENQPEEPRFLNFKHQPAGTQRDGKPVLNRWSSTLTN GHDFPGAQAMLYAAGVPNKEAMKTQPHVGIASVWWEGNPCNMHLLDLGKEVKKNCLQD DMLAWQYNTVGVSDGITMGGEGMRFSLQTREIIADSIETVTCAQHHDACIAIPGCDKN MPGVIMAFARHNRPSLMIYGGSIKPGYSETLKKPINISTAYEKFGAYVYKTLQSAEEG KYTPDEIMEDIERNACPGAGACGGMYTANTMSTSIEAMGLSLPNSSTTPAESPAKMRE CAKAAAAIRICMEQDITPRKLMTKASFENALVMMMALGGSTNAVLHLLAMAGTAGVPL TLDDFQRVSDKIPFLADLAPSGKYFAADLFEIGGMPAVMKLMVAAGLLNGDIPTVTGK TLKENIEPYPSLPQDQVIIRPLNNPIKKTGHIEILRGNLAPLGAVAKITGKEGLLFKG KALVFDKEHELDAALNKGTIPRGENVVVVVRYEGPKGGPGMPEQLKASAAIMGAGLTN IALITDGRYSGASHGFIVGHICPEAAVGGPVAVVRNGDVITIDAEKNRIDMDVSDEEI QKRLKDWKKPHAAVTRGVLAKYARLVGDASTGAMTDLF CC84DRAFT_1167322 MGGVSVKDVDAQKFINAYAAFLKRQGKLPIPGWVDTVKTGHSKE LPPQDIDWYYVRAAAVARHVYMRKTVGVGRLRKLHGSTKNRGSRPSHHVDASGSVDRK VFQSLEKIGVLEQDEDKGGRRITQAGQRDLDRIAQTTLEAEEEDEDDE CC84DRAFT_1167323 MELTSAPHNAAAWALKPKTRPLVVSSAPYKSPPPGFVTIKVHSV AVNPIDWINQDQDVFKAQYPTIFGLDIAGTVEEIGEGVRLLQVGRRIIAQAGASSSND SSRGAFQKYVVVSQNAVAELPKEIAFERGVVLPLGISTAAAGLYQKDFLSLPFPSIEG LPGILNHTRTLVVWGGSSSVGSCAIQMANASGAEIFTVASRKNFYYVKSLGATKVFDY HDDDVEDQIVEALKTKTLAGVYHAAGADGAVQTCARIADRSTGKALVVTVKGVPDAGI PCTVRVKAISSSSIFKGGNQIGPDIWRKYLPSALARGTLVPKPDPLIVGNGLRSVQLG LDKQKAGVSARKVVVNKIDDDSSHELVELSTFTPS CC84DRAFT_1152511 MLGAQFLLLIALSSAAPIKRNAYTFLQPNSQGDTVFELGKNTYL ANARYPKASFNIDFTSSDAAGSSRSEIPITVINTNVSTLSQDVLTTIIQNYEDGDDVF TTDYLETIFISSSAPGATLDSSATSYLQSLNISHVFVDTRISMAGYNTLSVSTVSSPG IESLPSGPYLALIDGGKVSLASVFLLYPDTYRDFLFGAYDSNDGNSTHSSVGVFLPNM WDPYVPVPSRIYSWNDPRPMAGWRVAIKDLFDIKGLQTSGGSQAWAFITPIANETAPS IQRILDLGGVLVGKYKLAQFASGANPWEWQDEHYPFNPRGDGWLTCSASSSGGGCSVA AYDWLDFAIGSDTGSSMRRPAAVSGTFGQRPSQGLMNLERVLPLGGATDTAGVFSRDP YKWIAFSKAWYEPTLFQPPNITGLSPLEVPDTLAFPKTIVYPTDYLPLNNSAAEVILQ NFITNLTTIFNMTVKTLNFTETVQSINVTDQAPFINNLTYLTSVPLGVINAWSAWDII GSVLTSTWAALFDGRFPPIDPARRPGWKSFNTTRYNPSTYANALVEKNAAVEWWETSF QPTTPESCSESVFLYDIGTGGFPSYRELGLNGYPNTSFLAVKPLGAEITGANICPVYG CADFTIPIGQVPYYSNVTFHEEMVPVTINMVVKRGCDFMLLNMIEKLADHGVLKAVKT GRTAF CC84DRAFT_1152513 MALANNTDTTDDYPQQPAVVNYTTSEAGNPFVDGWYADPDTAIY QGRYWVFPTSSYVYEEQTYLDAFSSLDLIDWIKHPNILTTKDVTWAKKAVWAPAPAFR DGKYYIYFGANDIQEGEPEQGFIGGIGVAVADQPQGPYVDAIGKPLIGTYNNSAQPID QDVFIDDDGQAYIYYGGHSHANVAKLKEDMISLDTFEDGTTFKEITPKDYVEGPQMLK RNGKYYLMWSEGGWTGPDYRVSYAVADSPLGPFLGVTGTRILQQDSAVAKGSGHNGVI HVPGTDIYYIVYHRRPLSENAGEHRVLCYDRMYFNEDGSIKPVKMLVKDSFTDGDMVG WKTYPEGSEAEGAHVVDNKLEVKSRSMSGTIVALDTNFTDQTYEATVILSNSSADVGT SAGLIFGATNIASGPYKTNGYYAGLSAAGRVLVTNLGDGTSVLVEGVDIKPDIEHHVR VSAIGANISVFVNDFTSPVLALDSSTHAAGTNGVAAFNMTARFGNVSIAEAS CC84DRAFT_1152516 MESHSHSLLSQHGLRRLPQTLVLLLKTCLAGPSDRPDSVAHTDL PSWNSIRRSVVNLVWLLNPLDCRGESSFLTLVGMVKLTALCGIGSGLWVWSTRNRKGK RPASSDGSTHAAEVSDVDTDATDPGLLKKHSTIREYTVDSTGFTYPGIRTFYRAHPQE QKLPQEPRPVPLLVFIHGLGGSVAQFNPILLSLSNLASCLAIDLPGCGRSIFAPTEWE AYTTEALVQLLAVVIEAHRDRDGNQQVVLIGHSMGCSLAAMLASPSSPYAHLISIHVS GLIAICPKADPPTEKQTGQLKKVAALPGFLFDLVRRWDRRGGLNSVSVARMAGCDADE ETKKLQLRYNRQSRSKVWQRMARGMCPNYSTGSPKGGLPGREVWAGLNLPVFLAAGAA DQTTPVVNVKRIVEFLGRDVTAIEPPSEKASLPIAAAPVDLARVDPEILERKHQDSGV DPGDLPGMDDNTSGRASSSRYSQDQTSVQTMAFSSTGESSDNTDAGTEAADSTPSNLP VPCTRRLVVKTAIMPKPAAHSLLFAPTSSRIISGLISSFLADHIDPRLSLAWQLQYLS TEGKWDVKNFKKWQAVQPVSQPIAGIFRAMKTLREVDEEHSPKIFVKQWAGKLHAVVD ISHDSPVYDPKGLEAGGISYYKFPTVSKQPPTKDEVCIFIQLIDKIRSGKKDDDQSLI GVHCHYGFNRTGFFLVSYLIEKEGYKVQDAIDTFQRARAPGIRHNHFIDELHVRYFPG LKKAPTL CC84DRAFT_1006991 MPAKHEQGAIDVKQKNVLHKPLARLSAPASSSKNLPSTVHAGFC TSGSPIAAILTASFLDFSSKQGLDLRGAGVKEGSRYCIDTALWKKAVDGGIKDVQVKL EASHEHKSSISESHLWNFLTETTSGMSSKD CC84DRAFT_1167326 MGDYKLSAVLRGHESDVRSVAFPHPTSVVSASRDFSVRIWKLQS ERPPTYDSTIKVQGKEFINSLAIVPPTAAFPEGLIVSGGKDQIIDVRQPSAALEDNAE ALLLGHGSNVCALDVSQDGALIVSGSWDTDARLWQVGKWGSESTVLEGHEASVWAVLA YDAATIITGCADQQIRIFQPTGKLIRSIKTGDVVRALCRLPPNHPSGAQFASAGNDAV VRLWTLDGRQVRELRGHDNFIYSLAALPDGRLVSAGEDRTVRIWENNECIQTITHPAI SVWTVAVCSENGDIVTGASDNLVRVFTRAPERYADPATIEQFNEDVKSSAIPQQTMGN INKEKLPGPEFLTQKSGTKEGQVQMILEGNGNITAYQWSMAANQWVSVGTVVDQAGSD GRKISHNGKEYDYVFDVDIEEGKPPLKLPYNVSQNPYEVARKFCEDNKLPLTYLDQVT NFIVQNTQGATLGQSSGQGADPWGTESRYRPGDASGSQAPSHAPAPKPKILPQKDYLD ILTANHKPIFKKLQEFNQALVNDGNKELSFNPSDIEELAVAVALLEKGSVKDVDPSAI GLIVKAATEWPEDKRLPGLDLLRLLFAAEEPVAYLTTEQNILQRLATSGVFAPTSPLN NTMMAVRSLCNLFKTDIGRAIAGEAFDQIHDSVSAFLTTSNRNLIIAITTLYINYSVL LTGDNNADQVLSLLDDLNKILHSAKDSEAIYRALVATGTLLSLDSDFCEAGRDILQLP SAISAAENQAKEPRVKNVVAEIRQKLQ CC84DRAFT_1167327 MDTPTHELLSALASMFDSGKYSDLTIVTANKSYPVHRALLASRS SFFEGICNNSFRESETGIINLTEDDPEAVKHMVHYFYHLDYLNKPLSRHSSQRSAGSM SPRTPRFPTRGPSQKAKLALIEDPLLAMLSAATPAPSVPQVQQPDDQDILDAPAKLPD TPMQDQFTHDPFEYVYTSEAKADAEQPHLVTHAKVYAIAEKYGIVGLKSLARKKFADQ IQTHIDSEELPEAFQEAYESTVDTDRGLRNIIIQAFRSNPDLSSRKDVEIVLRDTPGL AFELFRMASGMPVTS CC84DRAFT_1220563 MSYYRDDPYSRHPPTHAQHTTTHTSYPDTPSSNYFARLSEKAAP AGTIHLNSYDTSPCDYRSTSDRRTSAYRTSGCLQPVYYARSPERVVREVREVREPERY VDREPVRVERVERVVREREGGTGKEAGHRRDGREREDRGIVGKGAGAIWKALGGR CC84DRAFT_1167329 MCCRKNQPRYVSYQSRQRGCCSSQRQRQVHQITPYYSPLGGAVP IEPQAYAPIAVNAPYPELQSGFDFPHVRGPFSMAAALILGLSLGAQKIQEKREKKKEK KALMEFANEQREAKLRKDRSSRKSEEARSEERRRSESLERDEVPPPSYEDAVGESAYQ RGR CC84DRAFT_1262206 MSTGRSNAVDALPRASFLTYFRTPTKLNRRTGEDCQKRRASCRN HCSKAPLLPRIPEDATTEHTAEESEPEDYEHVDNHESGEEFEQGWSWTNILSFTGYTL LVLLIVLNLDFLLSAYNANAGLVKWDDHGVSTSSSSREMHFCLAEQNRLAPTSRVWRY VPRGSDSSCATRLLTIHADPTEEHKLFTEDIIMGEARNMPTCDSPNGESWGRSYRRSE RLEVGDDLAQEGILSKEV CC84DRAFT_1189503 MTGNIFPARFHAGHRDRTLNTNYRRNGKLQSCEPCRRGKLRCDH MMPTCGRCARRNKAHQCVYHPAPLTKRTSLPTPSTESDPRSPSIPLQSTEWGAPTPVV HPLTTTSQTESAINSQPSYVVNPPAALSRTARATSLPAHPRLTIDELRRPLPEAHVRG DSLNKLPAAGFVHHTAILDEYELSIGIQRPNAEDPNASTVISPLYIERGAAVLTLLKD PSILQRYLDKWFSFGRGIVLVEPMIKIFTSGVWSVWGKTLRDQNPKELQRMSARIWEN TMKPVSRLLHRHTSPREFCSAVTGENLRWEIVGMIVTLVALLCQSLSDADPIFVSDKA CLEDRAAIILRTHNASEICIGFCEDFAIVNDLYLWFLYENAGLYCSLRSRGSFENWKR SGILATAMIFFNLHQEIKVDDNTPFFIAELRKRLFVCAYENDKYSAIYNGRPPRLTRS YCMLQSPLDLTDEQLMSEGPDLELALHSLDDEGWNSSARIQRSTFARLFMPNSLLAEE ILEVSLGNLPHDEVIRRAADIERRAIELQASLPEFLRIDDQPFLELKRPPIELIYMAY IRLDSLNHHFLLQRTLIKKIGADNSKLLSIAQDMFSFVVLLVNHINVLRDFQLDVIQL LTMDGIPPAAIIAMELLHQDQNPTSASALANPLPRSRTIQDLSVFVACLGTVKPFTNG SNSCIRGRKFLKKILDTILDPPPVRLTTDDSSTQNLSDPSFTSPFLQTGNDGDFMRWL DAMDFDCESWVNLD CC84DRAFT_1045585 IDIEPFDTIDDIRWKVHGQLGIPPEQQRVIYAEEQLEDGRTLSD YIIFHEATLSLMPRLRG CC84DRAFT_1252815 MTPRTRTSPLWDPSTVLKIDSMGRCAGWAHTRGRNCANTIAFHN QQNAEYLLKTISTKEPDPIALEDDLYDLAGFVLCRRWHQDQADAMVEKWSARMERFAT ARRVLNGGRRSRGSRTSSSGTSEAATPSTSPSSSGSEISAMQSLMSQHLDRIAQLEAM IATLSARVTPSQEATATSVTPGEVTPPVQVTPPVQATPPVQVTPPVQGAPATSAPIPV HRRIPSQPRRSSPSAAQPVTQRPCAIPHVRRRAVDDDCPICHDAFLADEALVWCKREC GRTVHKTCFAGWEKVSRDEGKPVKCGMCRAAWERSSRSPVESTAPQSPTSHLHAKQPV PVSLSAAPQLDYSQIFDRAGFSCPHVFPDARMAALTRFPDWHTGWSDSSLATASR CC84DRAFT_1007125 MAREVGKQRSKHSFKPLLGVEGSRLRVSDMARPYTRPAMKFATH LKHFFPPDTDCSKQFPSSIQFVK CC84DRAFT_1252817 MLLHHCPEYPRGAKRDLKIMGARAPSIVNLHPELSSLAEKLVCR RWNRNEPARTALVTCIVEPWGACADPFAAEDAEKRRKMHKESHKRQTKAGLVGVLVRQ NTIMRLLRWASKSELHTLLVRQLGYRNGGPWLRIGVIGRDFDATPQQAGNSELEGGNC ARKRR CC84DRAFT_1220569 MALPLGIFLGGVVVAIPVVTGVAEGVEHQKKQNEEAANETRMTK FNALVSCDSNDEIADEVDQGILVMRHGKVWVVPRDKDNPKPAPAPKLDPPLHAFAGFY IQYPDEDRFPPERGLVSTIQDDPPVLNWIYCDRKTYELKYGNRSASISEIVGEWDWTE DESALMLDGWEGFVAIDEWDGAEEDNTTEWGREGLRWALYYDIDDNGLKGRRKGRDMF EITLQRKLQSDEDQLKQLEEANKKMQVKTAGDMKTQFTAPARELRRKNEWGRKD CC84DRAFT_1007133 MSHRSMYLSLGYAGENPSRVRWASPQVAARVVGWGAAAPLSNVT GFKRGRRGFCLLHMSNTDTCGSSCFWQCAFSGMLLITTGLVPTRWWISRSGSCRRPSS TSLGWLSSG CC84DRAFT_1167334 MLGLSTVLSQALLSFAGLASLGVGAATPSERQCENARYENKHRA FVLTDMSNEPDDQMSLVRLLTYSNEIDIRGIGVVTSVWKNSSIDDETVRLVIHAYGNV TESLDANSPKAAPYPSASELLSKVHVGHPVYGLASLQLNTSDAALALVKAGDEASPTD PLYVQCWGGAAVLAEALHLVSSIRSANATSAFVSKLRVYSISDQDDAGPWIRQHFPAL SYIVSLHSFSEYGRATWNGISGELFRFFDIGGPDSSLVTNDWLQSHIRLGPLGAHYPR FDFIMEGDTPAFFPLIRNGLGDPEHPEWGSWGGRWKALDASGKVNVFTDATDWVVGAN GQTYLTSYGTIWRWRREYQFDFAARMQWTLGKAYEETNHAPVAIVNGSCGPEVLQMAY KKNKTVLLDASASWDPDGDELRWKWFHYLDVTERVEGKSPFPSKFVRVEELGENGGVV AVTPLYNHTIHLILQLEDNRDMELTTYRRIILYPTA CC84DRAFT_1099489 MLRQHCRQRGLASTSIRRYASHGDNTIANLRINQHTPVIYQGFT GKAATSNAKDTIAYATNVVGGVSPGKGGRDHLGLPVFNTVREAKDALDPHATCVFVPA MHAAGAIIEAIEAEIPLVVSVAEHIPVHDMLRVHEVLRTQSRTRLVGPNCPGIIAPEQ CRLGIMPYKQYLKGCVGIVSKSGTLSYEAVGATTNAGLGQSIVVGMGGDMLPGTTLVD GLRLFFDHPETEGIIVIGEVGGEAELNAAELVKEYRSRTENPKPIIAMVAGRTAPEGK TMGHAGALLSPRDATAEAKAKALEEAGAVVVPHPGLMGSEMRRLLGR CC84DRAFT_1252822 MLRKSGYSIVLARQTSRRYLSLHEYQSQNLLKTVHIPVPRGELA RSPAEAECIATSLGGPCILKSQIQKGGRGKGLFSSGLRGGIQKVDDAASAGQMASQML GHRLVTKQTGEKGLQVNKIYVAETIEYQDEWYLAMTIDRERYQPAIILSKSGGVDIES VAKSNPEKLLTFNFELTKGITPELVSRIASALETTDQETHNLGDILQKMHKLFISKEA TLLEINPLVRSSDSTFTCLDAKFSFDNAAEKRQPELFALRDVEQEQGDEVEAEKYGLV YIKLEGNIGNVVNGAGLAMATNDAIAHYGGASANFLDAGGQATTETMKKAFEIIMRDR RVQCIFVNIYGGIIRCDMIAESIIGAAAELGPLRMPVVVRLQGTNSAEGLQMVEEANL GLRTESDFGKAAQLAVQLSNTHGRIGTERDPEVANAGS CC84DRAFT_1252829 MSLKTLHSLRALPPWMPRAKLLRNRINNRDVSVPTGIFINNEFR SSLSGSKFSVENPATGQPILEIEEGREEDVNMAVEAARKAFEGSEWANSNPVWRGELL NRLAQLMERDKEDIIALEMLDTGKTRKQAANLDFPGSVGTLKYYAGWADKVLGLTSFN IPGTFAYTRREPVGVCGQIIPWNFPLLMFIWKISPAIATGNTVVIKSAEATPLTALKC CELIKEAGFPAGVVNLVSGFGKTVGNAIANHMDVDKVAFTGSTATGRTILKSAASSNL KKVTLELGGKSPNIIFPDADIQKAVEWSAWGINMNFGQTCHAGTRIYVHEDVYDEFVA AYTKRMASLKVGDNFDESVDQGPQNSKMQYEKILGYIESGKQDGATISLGGKVAEVEG ADGKGYYIQPTIFTNVKPDMKIMREEIFGPVVCIAKFKTEEEVLRDANDTTYGLAAAV HTKDYERVLRITNGLKAGTTWVNMYNFVHWSVPFGGYKQSGIGRECGEAALENYTETK AVYYNTGIPAPQ CC84DRAFT_1053118 SRFTVYGPFVDQYTYQIHPITCGDLITAYVVFGLAMCFAILAVF IACQQTQRSRVPLKSLYVWMIWLEIVASLGLALLAFSYLIKVLRPSLYFYLGIVVSQL RVIYQVQILLQIICNRINVILQDQKKGTLLCIGTFVLVSIINVSVGIIWIPACLQISD RRVVFLAVVWIRVNTIWDRTEKVLFFLLDAALNWYFVRVVKRNLIGNGMEKYRPLVRF NQRMILISLLMDLLIIGAMSLHNGFVYAMFHPLAYLVKLNIEMSMANLIMKIVVGT CC84DRAFT_1252834 MSKMFPRVLAPLHPLLTKMRSLPAVSKRTYVSEPGNKIRSKLRN PRLFREQAYINGRWTDGRATSRFSVVNPYNGKVIGNCPEMDVSDTTTAIEKAQHAFES FRHSSARQRMGIIRDWFQLMQEHEDDLAIILSHENGRPIEAAKAEIKYAASFFEWFQG EAVRSYGQTVQGSSPENRVMTIKQPIGVVGVLTPWNFPSAMITRKAGAAIAAGCTTVL KPAADTPYSALALAELGEQAGIPPGVFNIVTTEQNVAAVGKQLCEHPTVKKISFTGST NVGKLLMQQCGDSLKKMSMELGGNAPFVIFDDADMNKAWDGLLAAKFRGAGQTCVCAN RVYIQESIADKFIEDFHKLVGAKMVAGDPSATGTSLGPLINLKAREKVERLVKDAREK GAVVVAGGHRSQDEPQTFFPATILDRMSHDMQASREELFGPVVAFYRFKDETELLRMA NDSEVGLASYVYTEQLTQAWRAAELLQTGMVGINTGMISDPVAPFGGIKQSGFGREGG SVGIEEFQNVKTITLGGVQL CC84DRAFT_1252840 MLKAGSRLAGKVAIVTGGGSGYGAGIATRFAQEGAKVVIADIDE EGSQRIASVRPDSLSFHKTNVTSQSDWESLFKTAESRYGPVSLLVNNAGTSYKNKPTN EVTLNDFNKCFDVNVKGVFFGSQTFLQRALTNGTGGVMLNIASVGATRPRPGLVWYNA SKGAIWNVTKGLAAEYGPHGIRVNSLCPLLGGTGLFEAFSGMPDTPENRGKFINNVPL GRLCEATDVANAALFLASDEAQFITGINLEVDGGRAV CC84DRAFT_1198444 MAPQESIPVAANILGTIGTVCWCVQLVPQIVRNYRTKSTEGLPA SMMLLWTVSGVPFGAYAIAQNFNIPLMVQPQCFCCLCGVSWAQCLVYGRKWRTWTATL LLLGLFVIFAGVELGLVYAIRPPYERGVDWPVLLIGVISFILLIGAYCAIPFELLKRR GRVIGIDFIFLTVDWCGAFFSLMSLVAQSEFDVLFGTMYALCCAIEMSMVLSHLIWRL RTRGIRKRAKAEGKTFDKSAEGIAWQAKGIDLEAKFWKVVGRKDKAKEEEEHMEAAIE EEVVAGKNTVPIGVV CC84DRAFT_1126405 MACCYIAASFIAFIIRSCDALDINLHLQYNESVEHSYEDEEEMV QGDSIEKLDPSGVTIVSIIGMTCAACTSTVESALKEVHGINEALVSLPFQEARVLHEP AVRQKEIIEAIEAVGYDAVAGERAAEQKINTLRHTEELTTLRESLKGLSLYSGAIFTL GTLLECSGWHMALNTAPIRLIRPIVLFSLTLVAATKYGRWIFRNAATAGIHLRVNMHT LIAASTAVGLSLTFLNMLSMNARTDALYFDTIIGVLLIVTVGRYMDLLSRRRATDTFA GLYSLLDQTSNVKMAKLDKRVPASMVRSGDEIVIDAFNIVPCDCYVVSGKSHVNEAVI TGEPLPKPKEEGDLLLAGSRNGPAQLRARVNQDLKGSFLTQLVRSVENSLSAKVSVQH RIDVITQYFVSGIFAIAIPTAIYTFVATFRAEDSYVDALDAAGRKLMTILAAACPCAL GLATPCAVMAGIDVSWRRGMLMLEGGDTMERLQTITHVVMDKTGTLTKGTHSVTDMSI NGRWKGKEQLLATLVCAAEEHGMSAHPLALAIFRRLLPISEDMWSKYQDVGGARKPLE TAGRGVKCEVNPGDGLWRAVSVGNLAWMKDNNVKGVEVLPVKVDSEGSTVFVGIDGDI AASIVLQDALRPDAKATIDALKAQGLTISMLTGDQPVEACRISNELEIPVRGSAATPD VKVKHIKSIQEKGGKVLMIGDGMNDGPSLATADVGVMISNGRKCLTSGGSVLLLQPQL DSILTLLEISRKTMKQVSTNIRWVIAYNAIAVALAMGLGSPLGISITPPIAAGMMSVS SLFITVQGLLLRGRL CC84DRAFT_1252845 MATQVMQAPGHVHQGKQFDQFRFEKEPSHQKGWREFDLKSVMIP PSAGLGTTKRYYQRRTLVQYAGLYLVGLWAFQNLQSTALRAMALGLLFPGAGLVSVAT IPSLFAFVLTIVGIPITLFVWFAMGGVLFPLLLWFGSAGLAGLLAKDKLFDQAGPAWG AICIVGVSWMIYKSSIIGARGRLTQQARNKWLPAKVKSMQEAALPAPAPGSRELDEKT LRFVQHMVERGLTKHDDLSYHDVIDQFQTGAVRYQLYGVVDSLALYLTHYAPGFHGYA AEACRNCIEKSLTKRIMSYWKWERLTGKFTTDYDPTNYDNIMVTGYLGVAIGLYESAT GDRRYEKPGALNFQIADGINFPKSFGDLADDMYQNMATNDYCLYPCEPNWTYSLCNLI GMAGLIISSRMLGNDYAEKIKPRFERALEDEMTEPDGRILPIRSELTGLTIPGLSGTL SDCINAMNLTAYLPHIGSRVWAIIRNEHVTYDTGKMDVKDLRGADKMDPGNYKGGIGA LRAFVAGCAAEFGDEKVRVDALQQLDDEYFPVTATSSGALYNKGLSATSQVVALMARL MRYQDLANATLYGPEPVAMTGPLLADCKFPEVLVAKAYSHDGKSLELVLYNGKSAGKQ KLGFERLTPGGMYKIMKETVETITADAQGKASIEVDLNGRTALTVSPA CC84DRAFT_1252850 MSAPEDLYRPIKRIRQACQNCRRKKARCTGERPECAFCVRLGQS CTYSDEEPYQNSSSSSSDKKRGDVTTMDLSDRLNCLENQMADVAQTLRKNLPKNGNTN ESLSSQSEEPSGVVLDGTQDFTALPSKDILRSTADLYFKFCHNQPYSLFHESSLRQRI ELDEVPTHLLFALLASTVRYSDDPYFEDKSAAVSAYASQSWKSIVMPWNGIQSEPELS IVQTILLLAIIDYTDGRTQGSWIKVGLAIRLAQDFRLQIEPEKDLNPIQQEERRRVFW SFYLCDKLISCGRERPAVILDDQCRLQLPIDENEFRNGNYQQTPTLEHLIDENAFSVI STLSPFAVAAVMASLLGRCAQYALGEQEEQTSSGKTFPWNPRSKYSSIHSSLLQIESE LGLSESLVEKITNHFTSSDGTIDQHRAAPLVLSHALFYLCQCLLYHPFLLRQRLVRLG QRSPQSFLAQIFHSCRAAATSLSKLMDEVKSLCCETLSTSHDPFYGYCTMVSLIRRCS LKTSAYHRKGCRDNT CC84DRAFT_1262213 MLSFVYGADEFIYVLGACLLNYGSAIGAGMYQSATAGAPKQIAG KREASHHDDKEEVLSVPSTELPHTLNSAPPSGVEIQTAATSQKTSVSVQSQLNQSSHA PTRPASPKRAKLDWTRWTTAPRKPEQSETEWQETQLREQIRNLKVVFEDDDESILEMA DVAGLDSVKAALDEFACFFLHFPHLTRNLRHRSATGILLFGPQGTGKTLLVKSFAKKY NLTVYDVRASAIMSKFVGESEKFVKALFKEVRANTPSVLLLDECDGLLCNPTRDTTQS HNYRLLQNELKNQWSDLMYSKDEVIIVGVTNKPHDIDMDGFGRRLSLKLHVALPNATG CQAILKGALDRLRHTLSDDEFSKLGALCHECGLSGYDIDCLVEGLLRSSLRKIVLSAH FQRLDWVEGTILVPCDEDADGALEGPYAHLVEHAEEVSYRPFTVDEVEHAIHRARPTV DEEMTQQHTMFASQYATED CC84DRAFT_1126408 MADRDGQPRRKRARLACTACNARRVKCNVVESRPCRNCVAANAP CETRESRRGKHPRKPRVTEETPEFRSRLDSIVSLEDGPVSSLHVTTLRNHEELAASQA LASLSGSHQEIGQQPIPQRLPGTVAGQTDAVSEVRQEPSEAVLKPDRHEDDVFLGEST SLRYVTDESAPTIRAYKRPRLRHSVPSGARADSLIPQWESERRRTRMKNLHEDGAFTF PPAPIREKLLGAYFRWFHPHFPVVDEPEIWSVHKSGSMSPLLLQAMLFIGVIHCEEST LEELGWGNRHRAKYQLYSRAKDIYDAEYETKKLIVIQALFLMSFWRAGALLEKDVRHW IGAVISLAQTKAFHRSGSENENHSTRLRRRIWWAIYVRERQCSSALGLPNRIRDDDCD VEMLSEADFELAFDPSTTSTSVTRYKAYTIGMTQLAILLGKVVDAGYLPNRTLSTDDR SRIRGDLEQWKQQLGSSIKLSSDADSSFQASMLHLAYNNLHILLHRSAFIAEESDAEA ANFALHAAARNSRIVEDMLSEGTMGHAQIHVITNLFNTLCIHVAHLRRSNGITQTIAE HRAKLCLLGLQELQRTWEVTNWVLQLFFQYLDRTTAARLAMEADDVGIMSAASNTQHL NVDVVSAAPSRGESHALELSGAAPANSLAPGGDLDNAVTPWSWTTDEANQYLFSQIES DFAFGEGGMLDWSPEAVSHFAGFDQFA CC84DRAFT_1167337 MAQFARCFSTTVRRLNNASALEACSEIFARFGDKPISVRKQLLD ANQLHLLNITLGRSPTSTQAPANGTPIPAGYHLVYFTPSILEAELGVDGTDRTVNPLC PFTRRMWAGGELQWTQDESLLLRVGQEVQETTRMLSAEPKKLKSGGEMLVVGVEKTFE NDKGVALIDKRNWVFQKEITAPKAPPPLPETKPLPEGDITRDVKQTYTSLFRFSALTF NAHKIHYLPEWCREVEGHRDAVVHGPLNLINMLDLWRDTARNEEDVALPASISYRAMN PLYVNEQYRILLQKDNNQWNTEIWDSFGKQSMKGHIIS CC84DRAFT_1208311 MQTVPAAVPEFIRDPKSTIEHVENISLLGNLTRSSENQDVQEKR SDLKNIKTKALVVKEAKADFKMQDVVLDEIRENEVLVEMKYSGICHTDIVVQKGLLPM IEFPAILGHEGAGYIRALGSSVKDKSLRIGDPVLLSFATCGTCTPCRTSHPAYCTTHA NVNQNGVRIADRSTPARTTDGTSVRSQYFGQSSFAKMSVVNEMCVVKCDHPDKMDIYA PIGCGFQTGAGTVLNVLKPGKDDSIVVFGLGSVGLTALMAAKYLDVGIIIAVDIVQEK LDMGKDLGATHTVNSRELTDVVEAIKEITKGGAAYAIDCTGIISVIEVMIECLAPLGT AAIVGVPPSGKKISLDPLSFLLENKRLIGVIEGDSDPQKFIPTLVELHSRGHFPIEKL CRTYPVEKVQDAIHDLHAGKVIKPVIEWS CC84DRAFT_1167339 MAQHFPGQQGAPAYNDHILTITDRTCPDPYVIWDKGTFYMTFTV GDRVEVWAADSLFEFEKRARKECVWRPPPDKEYSGDLWAPELHSLHGRWYVYFAATDP KHGNKSHRMFVVAGPPADQDPMDSSKWEFAGRLEGMPKDQWAIDGTVISLHGGLCFVY SGWPLGTGNDESKQEIYIIEMVSPTQCQGHPVRISTPDNPWEYSGKSGINEGPQFLCS PDGRWAGIVYSCAGSWTHEYKMNILHFTGGHPLSPQSWHKSPFPLIQAARDDTPPYGP GHGNFVTVNGPGGQEVWGVFHATDAKTGWDGRKARIMRVGWGEHGPFMGNGYCGRCCS DVDHFLHGCDGGACGGKGNCGGKGGLTEAGSGFGGQEDLKREAKELLGKGKKAFGKIF K CC84DRAFT_1198450 MNGRNGTLSPVSTDSTEWSPIGRYQSLDNPYSPSLPPSRNGVTP PGSIHSNVDGRMSGGMARNGNPSPPSSVGRSSDGTGLYAQSMSDRGMNDRKMMALEET LSEHYRVLKAYLGPYLNDEKGNPRPSRAKDKLTRLSTVQFQELSTDVYDESIRREQDR KRGGPGAPGNETPKHLLPKNNFHPKRNQARQKLSTLPLERFRQLATDVFYELERRTPR FAGGDMPRSSSPAGSMASRTSRGAPSRVGTPNSMRGGRPPPGGYRNGPPPPGMMPGPP GALRPGSQPNELGRPLPKTFQSNTIVPNKGTMVEDDDDSGADDDDAFNLEGAAARRQT NKSAKSMSEAQEKMISDLENQLSELQAKVDSLEGSLRDKDAELQKAKDADSARDTNTA SERAEWDDLRYTLEEKVEKAENLNTSLRSEIDRLRNENADSERNLRAQIAHLEANPPQ KAYDSDNEEWRQRCEELERELSEQQQVSEEVRRDAQMFLQEMRELSSRSDAAVEKEER LAGQVSTLEAEVKEWKTRYARAKTQLRSLKASSIGLASLASPDISNYTRDATLSTPDG AVKDVHVTNFQLSIDELLQVARRADPEQVLESMRHVVKCVRAITGDIDNTSLSQLASP TSSVSGDMSNPEKKLKSRVSATANNLITASKNHASSGGLAPVSLLDAAASHLSTAVVE LVKHVKVRPTPEDELDQDEEDDRPMPLKPNGSTSNVANGNPVGLGLGHQRQRSKGGSS ESTRYSAYSSPYSGYDRQSYGANGLGYEREQGMTEFKNYLEDQTALLVQSIQPLVNLI RSTPVSTPADEQLIDNYIQDISRAVQDTGSRTYDAVRELSSPALKKHAVPVVEVLEDC RQDMLATDIAGGGRERIPPLAFKTARALKELVLRVDRIETGELTVDQTLKSEF CC84DRAFT_1167342 MANPEDAATVLEQFIHDVANLPAEITHLFEEVQAKDELIQEARR TIATRDNSLQKFIKLNGSLVQNPKEEPYSKLIVEQYERSQILQEEKIGLVEKAAALLD RHVKRLDLKLKNLQDDGSISTDPQLPSLLRESPGNMVPPSSLSTTGANTPLQAVSGNQ GPGGANLAHAAIARIANATNLTSRINPSLQNMTQQALLNQQRLTNQQSAAQATARQER EMSAGSDSKRRRPNLSTGPLPGPPSSLGRASSMGPGTPKPATPGSRAGSVGPSRSQKH PLMVKKAKPQQPLRKAALTAKSGSNKKRRKGGSRASPSTTADDESVASEAGTEDEEMA GMGNGEGDDEEDETDNRKYCTCQRVSFGDMVACDNDNCKHQWFHWECVGIKEEPVGDW LCPECSKLPPTKIKRAPRS CC84DRAFT_1262220 MRSRASLAKSPNGGSEDDEDVSGSSSFSDDRHEQSDADNEDSDL YTNEREEQYPEESSESTRARPSARGNRSAAPVDHTQVEADASLARDLGVRRSGRNQGQ QLGRLHYLGLYSQPKPAKTKKALIKEEHSQGTPTTPNSYFQNLWISRKAEEDRAHSFS NPFLPREVGDVDRWIAQGPSPPLKNPYMKTQVLEVDLVQQKVAMRAQSFAPPMNGQAN GIVQEWLSPNDTFQEDFSVFKTLSDDEQQAVIRREAEQHGLEKPQGYNVSFHYNPHVE YHHFGSSHPMKPWRLTLTKQLVLAYGLEYTMDCYEPRPARFDELAVFHDREYLSFLSQ VTPQNAKPDDPAYLSFGFGGESNDCPVFDGLWNYVSIYSGASINAANNLNNKQSDIAI NWSGGLHHAKKNLASGFCYINDIVLAIQLLLTNNPRVLYIDIDVHHGDGVEAAFESSD RVFTLSYHKYGIDRNGYPFFPGTGNIHEMGPTDPANRGKGHSLNIPIDDGIDDEQYKW LFKTVTGAVIEKYNPTAIVLQSGADSLGGDRLGRFNLNIKAHGFCVETVKSYGRPLLL IGGGGYTPRNVARTWCHETAVCVGAELHNELPTHIPYIQAFEGAENGGGILYPDLHNT KRHDNLNTQDNLHKLVTQALENLRYIEGAPSVVCNTMGLTEEQIMKVRAMVDEEFEDD AQDRGTLTVENTRRRKERGTGGRGERGLR CC84DRAFT_1189524 MSDDVLHAAPASAHDRVSKPSGGSFSGTSGAGAVGLNPRSCVTC RRRKVKCDKKQPCSNCARAKIECIFPGPGRAPRKSRKPADGELMERLRRLEGVVQSLN AQVEEHEQQDAEREKNGGAVSGCPLGDAGVEQGGCPSGRDLVGASVVADNSVEGLETR FGRLVVDEGRSRYINNSFWASLNNEVEDLKSILIEQSDDEDEPDSETSFSAQHQGFIF GYSSTSVDMLSLHPTPQIARMFWDIYKENVDPLVKVLHVPTLEPVFLEAISNPDKIPK SLEPLMFAIYYGAVTSMMPKECTEQCGEDRNTLLHRYRFGLEQGLARANFLFCDEMII LQAFVVFLMLLRRNDDARKIWTLTGLVVRIAQTLGIHRDGSHFGLKPFDIEMRRRLWW QVCILDARSSEDHGCDPTIVEAQFDTKLPLNVFDTDIDPAMTDWPKERQGFTDMTFCL IRFEVTNIFRRILYIPPGPGRGNYYFSNLTVAEKEKWISDCHQRLEDKYLKDCDMSIP LCWVTATISRLVMSKMWLIVYHPHQRKDGGASLPQETKDKLFITSLENIEYSILLETE ARTMKWGWLFRTYVQWHAIAFLLSELCVRTKGEAVDRAWHALEATAGRWWFPLGDNNP HRKGKVGCLWKPLRKLMAKAQNARQKELALERASQALKTGGPFYTDFSQLTDQLNAHD LRQPNPENLDRMLRPAAPKLGEMPVAQPPTWTGSPQSAQSEFSFSADTPDSSRRTTRD TQSISGATTKTDASTALETFNALSEHGFDYLMGDIMDGLGTNGLPHSTTTTPPAAFAT SNPPMDPQPPLGTVQNGQQNMFNGAPLPLQNGVPTGFNAFPQTQSPRLMSNGDSRSSS DSPLLDGATMDWAVWDDLVNQYGMENQGGMATANGAGHLGMVHWF CC84DRAFT_1007251 MSSATPTPRDAGLPAPATATPSPLPSSSSTSTTKPAILHLGDDI RWNHALYQELGVKFHIERSYSMGREEFKAALKERRWGDFVGMYRPFWNTGGEMGNWDE ELISLLPASCKIYASAGAGFDWVDTRTLAAHGIIYCNAASACTESVADTAIFLLLATY RAFPWSFLAARSCDPDAFHAANQNIAAVTHNPNGSVLGIIGLGKIGFRLAQKAMVAFE MKVAYHDVVRIEGRERELGDVRWCETLEELLGMSDCVVLATPFEGRVLLGKKEFQQFK KGARLVNIARGKLVDEEALVEALDEGIVSAAGLDVHADEPNVNPILAKRDNVMVLSHT AGASVESHVGFERLGMENLISYLGGGQALTPVNLEWIKKKD CC84DRAFT_1179178 MSGETISKSYKKLSADEAALRDEKMMESLRSGVGYPNERPDASF QHHYPHESTAEQRTGGSPSKSSRTSVTGTVAPGSEYDNRLKEINLATASGGTITAYEW YNDPQALDMGYKQSHKENDLDWEKMDAHRRTMNGMAEEHRDGDADDEMESDPEEYLDR IESGKGTQGVRRAIYRLTASVLGECDIYQKDMILEHSMREIDGLGLSFR CC84DRAFT_1220590 MAYLPTVTVTDFAMPRRRARFNIGLEDDTVPSVHTLRHLVSTGI EQIRDLKTVLMTIWEQAEEIERALDQANDALKTMDARETASHERESARQERRTETNGV LHFPALRSPRGTEMGFPGLM CC84DRAFT_1167344 MSSAAHALREKQAPIKANYRSDPSSAFVTLKSTGSLDASSITCK LSTGAAVKEASRIAGLHPKAGGDDPSISGELCSGDMLLDALVACAGVTLKAVATALGI PIESGTVTAEGDLDFRGTMGVDRTAPVGITGIRMGFEVVFGERENGPVTESEVESLGK LTERYCVVLQTLVNKPEISVKVVGNGGGKEEHGVSREVSWEHKA CC84DRAFT_1167345 MSNGQPHDLECLTIDQLEKIAADRMDKQTRDYYNEGADSGTTLA ENKLAYQKYRIRPRVLRDVSKIDTSVDIFGFKNTIPMGVAPTAMQRLAHQDGELATAR ACRKAGIVMGLSSFSTTSLEDVKGALGEEHPGLLQLYLFEDREESKNLIARAKKAGYK AVALTVDTPMLGRRNLEIKNQFKLPRHLKSANFDREGNNHSTTSEEKEAEANETRKRT QEQQASRAGYHDGSQWVSPTGRITFHSHAPNPTLNWERDIDWLKQQCHPEMEVWVKGI ATGEDAILACHHGVDGIIVSNHGGRQLNGALATLDALPEVVDAVKSMNRKMPVHVDGG IRHGTDVFKALALGADFVWIGRPVLWGLAYKGQEGVDLCLKLLNDEFRLCMGLAGVTR VDEIGKEYLAKINRDGFVSKL CC84DRAFT_1252906 MRSLALIFSTFASLVLSETWHRLPDVPISPRQEHATVLLPPGDI VLVGGVTNGTQSTTSLVQSYSITRRKWTSLPPLPVPLNHPNAAVLSGQLYVFGGLAQK NTSWTAIPNSYRYDAKTRSWRRIADVPDGGVGSAAVGVWDGKVILAGGLKEIQLVSPY TQSTISSVYIYDSLTNAYLALPAAAAQLPDTRDHACGAVIEHRFYVLGGRERGQLTGK SSVPVLDLNDLNKGWSVAEGIMPSPRAGLACGIVGKKVYTFGGEGNLKVESGVWNSTE VYDVAQDSWNTLVGMKVPRHGGGAVAFNGKIYVPGGGDVIGISPTSYFDVFVT CC84DRAFT_1152571 MAPALKKYNWILALTTIAFVFSSASNGANDVANSYATSVAARTL QMWQAGILACITEFVGAVALGSRVTSTIKSGVFGLSKFEPNPPTFMLVMGCAEVGSAT FLTIATFWGMPVSTTQTVVGALAGAGIAAQTPLKWAWASGSLSQIAASWAIAPLIAAG FSAALFLSLKFLVLERKEPFKWGMRLIPWYLGFTAGVLALFIMDELPNGESLEEMGAG KVTGIILGVAFGVVAFSYVFFVPYFHRRLVKNDARMRAWHIPLGPLLYRENPPIYWPG KGDQAVKDYYAKTSVESNSADIEKAHMKDDQDQPKNLGPETNGDAISTAIDANDGDSN ATSERAKGGLSIPKQNRLSAHVVAATPEPEERWLTPVRDLPMWSPKKIANWTKFILLQ GVSRDVVTQKNLGAVHARAIVYDNRVEHLWTYAQVASAMMMSIAHGSNDVANAVGPWV ASYNTYTSGLVTSKADTPIWILVVAGLLLGLGFWFYGYHVMRSLGNKITQVSPTRGFA MELGAAITVLLASRLALPVSTTQCLTGATVGVALCNFDVRAVNWKQVAFIFSSWVITL PSAGLISGLLMVMALNTPHF CC84DRAFT_1189530 MVRSENETLPYRDVSLCVEDRVEDLLQRMTVPEKAGQLFHLQMQ MGPNGTLDPGNVTARRNSSENMIGEKLMTHFNLVGNVVDVRMTAEWYNRIQERALQTR LGIPITLSSDPRHAFTENIGTGFAANQFSQWPESLGLAALRDAELVQKFAEIAREEYM AIGIRTALHPQIDLVTEPRWARIGNTMGEDANLTAELVVAYLKGFQGKELGIHSVSTI TKHFPGGGPMENGEDSHFTYGKNQTYPGNNLEYHLIPFKAAIAAGARQMMPYYSRPIG TEYDEVGFSFNKGIITDLLRGELGFEGIVCSDWGLITDTVIAGQDMPARAWGVEYLTE LQRAAMILNAGVDQFGGEQRPELIIELVESGVIPEERLDVSVRRLLREKFTLGLFDNP FVDVEAAVQVVGNPYFRRIGNETQRKAYTLLTNTDDMLPLKYDSNIKLYVEGIDAEYL ETRNLTLASTPEEADLALIRLQAPYEPRSGGFEKNYHAGSLEYNATEKARQAAIYSAV PTIVDMYLDRPAAIPEIAESAKALMANFGAGPDAFLDVVFGVDGAQPMGKLPFDLPRS MAAVEASMEDVPFDTEDPVFKFGHGLRYAETC CC84DRAFT_1252911 MNLTPRRQINGAPERVLTLGCDPLVLPPNTTSQTFKNYALEAAK IVGSANVTVISDEAELSQESYLEPSKAHDMFNIADKTYFVCSAVVAPRGVGEVQELMK LANEFEIPVWPFSIGRNVGYGGAAPRVPGSVGLDMGRHMNRVLKVDVEGAYALVEPGV TFFDLHDHLVKNNLRDQVWIDVPDLGGGSVIGNTIERGVGYTPYGDHWMMHCGLEVVL PSGELVRTGMGALPNPKADTSKPIHEQEPNESWQLFNYGFGPYNDGIFSQGSVGIVVK MGIWLMKATGYQSYLITLPKDSDLHQAVEIIRPLRVNMVLQNVPTLRHILLDAAVMGH RTDYSKSDKPLTDDELNAIASKLDLGRWNFYGALYGPEPVRDVMWQAVKSAFSAIPGA KFFFPEDQPNNIVLQTRQNTLQGIPSVTELNWVDWLPHGGHLFFSPIAPVTGDDAEAQ YNMTRQLSEKYGFDFIGTFVVGMREMHHIVCIVYDRKDAESRRRAHKMMKEMIEEAAA KGYGEYRTHLAMMDQIAATYSFNNNANMKLNEIIKNALDPKGILAPGKNGVWPKNYRA ADWQVPIA CC84DRAFT_1049418 MRIFTYEAWGVPQSGFDPNFTFVRSHFVSPVVLACIRAVLCLYS FTTIITCYTWLAHRTATVNLKDVNIDSYTIHQGEAAIGQSFSFFTYLTFWCLGFYFFI SAFHTFTYVFRRTSYLHTWLRPLQLLHTLYYTTITTFPFLVTIVFWGTMNSGWPAGRF EQWINISVHGLNSVFAIVEIVLPATDPQPWNHLSVVLLVLSVYLGLAYLTRGTQEFYV YEWMNPAHGWRSIVLHVVGYAAGMIALFVLARYMVVARNLVA CC84DRAFT_1179187 MQKSNNFTDHPALATELSDPLLHGLNKPLYSASHSVALILEQTK ALMVNRTLGQLKHSPRVIPGDKSVEVDFEAIASLNIADRASFRFALFAEGSDKELARS PWSEFIEIQKEAEIPQMYIRDGFAQRKTVRKIWTKTFAENDTDGHLVTTIKGYGVMTF PKAEHTAQD CC84DRAFT_1198458 MALRTLSAKSAAALDQELMSTGAFSIDQLMELAGLSVSQAVFKL QPLSKGKRILVACGPGNNGGDGLVAARHLFHYGYQPTIYYPKQSKNDLYQRLKKQLQD LKVPFTDDFPSALKSADHIVDAIFGFSFSGEVREPFPSVIEALRDTKIPVLAVDAPSS WNIEDGPPSEGPGKGFMPEALISLTAPKPLVKWFKGRHFLGGRFLGPEVAEKYGLDVP KYEGLDQVVEVPVEGGKL CC84DRAFT_1099144 MVLRIRLARFGKKHSPFYNIVVAHARTARNSRPLEVLGTYDPTP KPPRPGDTNGRPWKDIKLDVSRARYWVGVGAQPSDTAWRLLSMVGILEPQFRVGQIQG KVVRAETAAKSLITPKEGEA CC84DRAFT_1126444 MAGYSAIGPEPTDTDPMSSSTGSLRGRRRGRGKTLTGHHGQATW ISSVINLVNTILGAGLLAMPSALSKMGIFLGIFVIAWAGLTAGFGLYLQTRCARYIDR GHVSFAALSQLTYPNLSILFDAAIAVKCFGVAVSYLIIIGDLMPRVVEGFAPQAGEIS FLVDRQFWITAFMLIVIPLSFLRRLDSLKYTSIIALFSIAYLVILVVAHYIKGDTLSE RGPVRVFRWSGPVSALAAFPVIVFAYTCHQNMFSILNEIADNSHFRTTSVIFASIGSA CALYILTGITGYLSYGDNITGNIVNMYPAAAASTIGRLAIVILVMFSYPLQIHPCRAS IDACLKWRPQRRPQGEDSPSRNTLIAGAPRGSKPQEMGDLRFAVISTVLIVLSFITAM TVSSLEKVLAYVGSTGSTTISFILPGLFYYKISDPDSAHHQRLVKDEDDEDEFGSADA EGYVMSDAHKSRNWRRGLLRQLSLALAIYGACVMVTCLVTNTFLVAAH CC84DRAFT_1179190 MDEYEEAVLFTFALLESRLSRLEYILGSKREGEEKAKTVPERIH KIERSLQELSAKTGLLSDAQQLLTKHKDILKPEHEEGDAPLDMEEKAVMVVERAPQFA TTASQLKTLDDQQIPSTDGFTKLAKLLPRIAEAEDRHLQQALQISMLRKKNGLLVQRV KQVQFLGSARCWTEWQGRLQNVQKNIARIEFKHKQEEEDAM CC84DRAFT_1007807 MSNLERLTTAGKRRRYDEKGLGGQSLHVIFKSSPPSRERRNSVV TGGTASRHEQASEKHKTCMMVMVSAGASRALGDDVPCGVQRSVSVGACFIAVSGTMSM AWIANDVHAAATTPHSEHLHALAHVVAAYRQRAQLRPACRRGTRPRLEMSLTPSLQTR DGPTRAPPGATSGCAV CC84DRAFT_1007774 MSAQIGAEDAGIHVCPPVTRRSCAGAVHGGFVGRGGACGQRQAR ADGGAQGAANLGLGVSVSLCGRGPMMPGEAASLRISGRAETGAATLEHRSAPPPPTSR SLSPKQPAPQTSHPAQERCERPPLALRCPYEHTPLAPPAPHRLSTPCAALPRLRRHTA PAPRTLPPAAQPSLPDPPSQDESPS CC84DRAFT_1167349 MPQYGTYGSPSLNKMENGYGHGGRSSFSLGRIFGDPFALATISI GSLAWVIAFVSSILSALRPKPEERVPPFAWWTLVYMLCSIVGVSVTVASDSERTYHVA IVGFTAAGIVLSSSAVNALVYSPVAANEAAAAGFILLSMIAIVWVFYYGSQPQASHRT FVDSYALHKEQPASRSSRQMSNTYGAPQQSSLAPQPQLYTSAQLNGFETSSPVSGYPG GPVGGDARGSSIPRFGGGMGQTPTNDVEQQPQEQSIEYPYRAKAIYSYEANPDDANEI SFQKHDILEVSDVSGRWWQAKKPNGETGIAPSNYLILL CC84DRAFT_1179192 MAIHTGMHPSLILKAIPGAGIRYEKTIACGSVVSYSSYVRMIDT VVPGAAYNTSTFFWFFPALNHTGANLALYLAGGPGEASSFAALTENGPCISSKDGNPV IPNPFPFNQTAHVLYVDQPNQIGLSYDRIVVGVFDALGGFAGWGDGRPHEEGQRRPGT SFEPRHAHGPGLRRPRLATQL CC84DRAFT_1179193 MQLLNSVFLITLLSTGLVNALAPRSSVNGPCTGAGGAPGVCIST SSCSSGGGSFISNACPGTAANIKCCVKTSCASGGSCKWASQCGGTTQSGLCPGPSNFK CCKPKSGSGTLPGLNSVQTRNARAIIARTKKDFAASQQKRACYVAITTAFQESGIRIL ANSKYPASLNYPHDGVGSDHDSVGIFQQRPSWGSTKDRMNADLSAHFFFNALKRVRGW QSLAIGVAAQKVQVSAFPDAYNKWVAKAEKVCNAGL CC84DRAFT_1252923 MSFPRFRFGGGSKGAATNSTAVSDGTEISDGSLTYVAEQGGNDS LPSYQEALGAPVEKQSPFGYAVGPVTIIFLNISKMIGTGVYSTPSAILAGTGSVGVSL IYWFIGFIISISSLAVYLEYASYFPNRSGSEAVYLEQAFPRPRYFFPIAFAVQSVILS FSSGNSIVLAKYLFAMSGHTPTNWELKGVAIAGYTVAVLLLAFHTRFSYMLSNGIGLV KVLTLVFIAITGFVVLGGHTKVADPEINFRDSFAGAATAYGATNAMYKIVFSYAGFEN AFNVVNEVRNPVKKLKTYAFIALTIVAILYTLANVAYFAAVPKAELLASKEISAALFF KHALGSSSAVKGLNFLIALSSFGNLVAVLLGQSRLIRECGRQGVLPFPRFWASTKPFG TPLGPYFIKWFLTVIMIVGPPAGDAFNFIVDLQVYPDSLFKLAMVIGLLLVRRARSRL NLPRSEFRAWDIVVYFNILVTLYLVIMPWYPPAKGRYGGDVSFWYGTYIVVGISIIVI CGLYYITWIYVLPRFGKYRVCQEVIVLDDGAETHALVKVPLHELAEWDATHDASGRKY NSDSGSDTKLRNDLASEGEKA CC84DRAFT_1189539 MTGGSQTGTNWLHTSDGCPGWASYFLSLGYTFTSGKKFKIWPQA HFHLQWPRTGEPDHQSFDNFFATGSYGWGEGDRRTDAGIIALEPEGPPFENQIIGTWP ARPYGIGSLPLTFDPPITDVAKDLPTQRINLTRSDRSNCIVQQNPPKRLSNLANFPVL FYVTEASYHAYYDYCTLAFREQAGMQVDYIDLPEVGIRDNGHFSFMEKSNLRIAPLLN AWIQKFV CC84DRAFT_1252929 MVHFTTLFIAALAAVPSLAHPGHDIAAEIAERAEYRSSKEYRSL SHCADAIKARDHMMVKRRIEQVQQLQAKRGLERRSLTDVLNKDHKSTKKVTPDSSAAD IFGSNNSCILQPETTEGPYYVTGELVRKDITDGQKGVPLTFDVQLIDTNTCKPVSNVA LEAWYCNSTGVYGGVNAGGNGNSNDKSNLDNPMLRGIQFSDTDGVLQFDGIFPGHYTG RTPHIHILAHQNAQTTVKSYGTVLTGGTISHVGQLFFDQSLITSVEKTAPYNTNTQSL TTNAKDGILAGEAATSDPVLNYVLLGSTVSDGIFGWATVGINTKLSKTVKAAANYDPT D CC84DRAFT_1098842 MAADVLSPRSEPGSLTSPNANRTSLPPLVTSPPSKAKLERASSY ASKRLSTFSNASASQSVHTRSRPQSTAFPIFHSSLPYSLVRDFAYGPLHPLFYGPLPE HPSDISTPASEVSRRLSDPPAVAWHDDHGWSAGTGSWDTGDGEQLPMTAYEGGPPYEE DEEISSPVVTSHTRHKKHKSNIVNFDQTRGRRGFPGERGSYAGANGDGNHVYYVNDIQ DTTAPGGEYITYPPEQSSSLEVPTGASRRDSHFATTLPRRAYTNDEGPPYDSDEDMSE NENFLNEDSRFSRDYQFTIVSPDEEMHGKAVALFDFERENDNELPLVEGQIILVSYRH GQGWLVAQDPKTGESGLVPEEYVRLLRDIEGGWNGLMNGTTLQQEPASLEGLLSPVSA GPEAKTPTQAEHVAYTPVISTFSTSTKDLEPYPKEMLGTPTAAHGNFPKAKDGEKTEG PMEGVEASPEPGSRPQSQGTKP CC84DRAFT_1008024 MCAMQCLTGDRCPAATALVADSLCSLDSTHAINRNRKLHVGRHG LLGLCLSTSTCLAIMACGSQAWTPATMGDPAFKAMSDRPGLACQACRQFALAQPHTQL SFFLTSASVLQHQQPYTRCHPAS CC84DRAFT_1167352 MSDPRLRARAQQQQQQQLAPAPAPAPPPPPPPPMEPPVAVDGAP AAPAQDQAAVASDSNFKLKFCTVCASNQNRSMEAHLQLASANLPTISFGTGSLVRLPG PSITQPNVYKFNSTTYSAIHQELSNQNTQLYTANGLLNMLDRNLNIKSYPERFQDWIP GKPRLEHKDDQGFAGTEAGVVDVVITCEERCFDAVLEDLHNKGGRMNRPVHVFNVDIR DNHEEALVGGRGIRELALMLNEAAVQEMETHGDTGWDSGGGSARAGFDERVPDILAAW QEKWPNLPAIWSLAWF CC84DRAFT_1262235 MRGERIGLIQHGCSVVIVDGPGEEWTGIVLLDAPISESYTALGF PPVHLASKLFFGGTEDFTTTPAGGVNGHDGNPTTVNNRGMLDELVHYWTQETPQSSTT SKPTLRDISYYPLKIVAAEWVNYIAVMGLSVREYELSTTLSGDLIAQLEKLNTNLRIL QGWRRRVLSTQAKLRRTIRFIENHVDRKQPNRDWDVLVDDFEFICTGVAEHGERLEAM VSVVTSTAALIEGRRSLTETENVTRLTILAVVFLPLSYIASLFSMSDEIRPGGPHFWI YFAVAVPLTFIVGLIAKPPVSIAKRIAGTIGEKTASLM CC84DRAFT_1198464 MKVSTSVLAGVAFANSAAAHYFFDKLVIDGKETNSMQYVRENTR PEKYNPTKWKNTRDDMTPDLTDFRCNKGAFESASRTETAEVQAGATLAMKLAVGATMQ HPGPQLVYMSKAPSTAQSYEGDGDWFKIMESGVCDPSKDFTKDAWCSWDKDRIEFTIP KDTPDGEYLIRPEHIALHGAHDGQAEFYYGCAQVKVTGGGSGAPSETAKIPGVYKVND PEVNFSLWGGYKEYTIPGPALWTGGGSSGGSSAGNTTEQNELPSTPSAVATPAASVAP SASAQAGAGRISLLL CC84DRAFT_1179199 MSDSSPPMREWTLSDVTDPLLRTRTEAFKVLFSGLTIRKVHDVL LNSPVRDWNAIHSNLQQEASKSGPSATLSNEDNRPQNSQHGSTSEESATDDGTPVDWK GQKITPRDLACRMNGVKLSIKSMRTGVDNLKKSITEQLDDLVEILELTMGEAHGIQNS LHILQNYENEAGE CC84DRAFT_1167353 MAPKAPSREALTMLKHSIRTSSALRSASQCRFLPHFAHATPRAP VQPQFPRQSSRGVATYTHAHHADAVSVLPTNVDTNAEDFKENKRQMDEATEALEQLHA KIAQGGPEKAREKHIQRGKMFVRDRITALIDPGTPFLELSQMAGYDMYKGEDVPAGGI ITGVGTVNGVQCMIVANDSTVKGGTYYPITVKKHLRAQTIAQENRLPCIYLVDSGGAN LPNQADVFPDVNHFGRIFYNQAVMSGMGIPQISVVMGPCTAGGAYIPSMSDESIIVEN QGHIFLAGPPLVKAATGEVVSAEELGGGRLHSEVSGVTDSLAVDDAHALVLARRSVGN LNWHRKQTFSPSAQPTFEEPLYDPKELSGIAGTNLRRQIPIHEIIARIVDGSVFSEFK PLYGPSLVTGFAKIYGHPVGIVANNGILFSECSLKGAHFVQLCGKRQIPLIFLQNISG FMVGKDAESGGIAKNGAKLVTAVSCVDVPKFTVIVGSSAGAGNYGMCGRAYSPRLLFA WPNAKTSVMGAEQLSSVMEAVGKKVDPDLKARIERESEATFGSARLWDDGIIPPEHTR RVLGMGLQMAQGGQNLGVEKESKWGVFRM CC84DRAFT_1008052 MASTAPSSHLPSIHLLCLEESHITSFTTALRTYNAPSLDITYHH GTLSSLSQSTHFDAIVSPANSYARMDGGFDDALSRALSPADDYMALTRVASEALYAEH RGFLPPGSCMLISLEDTRLRGNAWGCTWLALCPTMKVPQRVEWDREVVYECIYALLAA VDRRNRRLSEEGVERREIRSVLITPLATGYGRWSAERWAAQTVLALKHFAEVVEAGKN WEKKGPVRVMGHVAEVEKTWDL CC84DRAFT_1152597 MESIDIPLDSDKILLITGGASGIGLALTKQASDLGAKVLVADLR STPEFESWAQGKSNVLYVQSDVSHWPDFLKLFDACERKWNDVPDAYGICAGVFEPPFS NFWQDPEQDKGYAQVDINVSHPIKLTRLAIKKSLEHGKRASVCIIASIGGLGGSVAAP LYCATKHAIVGFVKSMTGSESFTGVKITTICPGLVATPLFTDDKKEQYSFHENKALKP SDVATGMLDLIQKKEFGCGTVYEISMAGTRVIPEWNIAPPSAEGTGQNEAELAAGMKA LLGPIQEKLRSEMKGSKL CC84DRAFT_1152601 MSIKGKVIVITGAASGMGLETARLFASKGAKLSLADVQEKPLKD LMAELQSAGAEVMATVVDVSNRKSVDDWIAATVSKFGKLDGAANLAGVIGRQNNIAGV AELDDDDWDFVMNINTKGLMFCLRAQAPVMNEGGSIVNAASILGLIGAAKSMPYVASK HAVVGMTRSAAKELGARNIRVNCFCPGPIDTPMFQKSLEIRGTKMNTDFLALKRTADP KEVPPLLEFLISDASSFITGAAMPIDGGWFC CC84DRAFT_1198468 MTLHGAQKVENEELRLEGNLLEEPAGLFDPTVLENLAPALPDIA RKGFKAAVNAGACDTQLLAKVVADEIARQNLNLKVAYVEGDTKINKFVSFITGENLKD CGYTLKRLQCYLGGASIAEALNNGADIVFCGRVAKAAPSVGVGLWWHGWNREKDFDQI AGSLICGHLIESCPEGVVMAEEGKPLVRVTGVRGLPHPPLRKTWIERQVRYSAGDAIK ELSSFKFSVNGYRPDVTRNQNVATCDVRIFFQTKNKKLVDKSTLHVPGFNRRCMDNGL QGYPGWTLGIDQRQSEGKVNYEYTVSLFPQSEVQHHVVLPWLENKTIDILPPKDMKLY PRQSNYEIKDVVDLRTFGPTTRGAMGWVVGCHSGGNASDANVDFYVRNDDEWGWLRSF LTIRKTKLMLDEEDHLDRGFNSTSTYDTLGKNFGEYLRAKWVDTLNRFLERGRF CC84DRAFT_1055079 RRRASMACLHCRKSRIRCKVESGFTTCTPCRQSGVTCAFDSRDG RKNRANSKAIANELKDRIRHLEGLLRQSSSEHINEAVNVQEQLQQPQINSPHPSESDS GSQFTLAYDEEPPPYTDTTQPIVWSQTQSQRREQQNRSMLAKLIPHPVKFDMSAGRVR FFGPTTNMHILSRPASDTSRSPGPFWPISTLVQSLSPATHDYLIDLFFDCHNSALHIV HKWAFFDDLKSDGTQFYSNFLHMAMLAGGYRYSDKRREDIKRLAAPKETTDSSIFHTK AKKMAEQELINPGGIPSIQAFFLLADLEVGVGRDDTGWMFAGMSFRLLYDVGLHVDPS ELRLTDREVQIRHMVLWACIMNDVRYWALYLGRPTMLKSSDIAPTCLEKDFDRLIESQ TRTYAYQKTIETRVYEALLELMDLLEPLCGTPSISKNAKPSDAYLKIATLDRTLNDWY ADLPEQLKWTEGTIRTAPSSFFLLHTQYHTALILIHRAFPNQTQNQTPSSHSGFTDLS TLSRNVCVNNAIRISDIISSYRARHPLQRIFATGLQHVGTAATALMAEISMLQNEQDS PQRERLLDCLSGLSEDMKTMSETYQPAKLMASVV CC84DRAFT_1167358 MSPAQKDQKNPKFGHLPLSTSGPQETVLTGNALLRTPYFNKGSA FTNEERDTFKLHGLLPSNVQTLDEQVRRAYAQFQSRPDDLAKNTFMTSMKEQNEVLYY RLVMDHMKEMFSIIYTPTEGDAIANYSGLFRKPEGCYLDIEHMDRIEGDVDQFGGKDD VDVIVVSDGEQILGIGDQGVGAILISVAKLVIYTLCAGIHPDRTLPVVLDCGTDNEDL LSDELYLGLRQKRARGDRYDEFVDRFVQACRKRYPKAYIHFEDFGLTNARRILDKYTP KIACFNDDVQGTGAVTLAAIMAAFKEAKIKWADARFVMFGSGTAGTGIADQISDAIAQ ETGKSKEEASKQIWCVDKPGLLLKSKKDELTPAQIPYAREDGDWDQKDHGDLLSVIKE VKPHILIGTSTKPGAFTKEAVQEMAKHVDRPIIFPLSNPTRLHEAKPQDLYDWTDGKA LVATGSPFPPVKHNGREYEISECNNSTTFPGIGLGAVLSRTKLLPPSLIVAAVRALAD KAPISNGTGSGLLPDVTDVRDISVQIAKNVIQQAVKEDLAQEKDIPSDDADLEELIRE QMWEANYRPLKLVEDDEADAFARGEAGAASHQRTGSVNWTARL CC84DRAFT_1252974 MRSTAVITLLSNAAMAASGHTITGSPVGEYAAYDGIVYDPPVTA TITETKTTTQYDTITSYETTVTSWELAPPVTVTVTEYIDELFDQSYENKDELGDLVVA PTIITATKTFTLVAPNEEEPSMTELVNEWNIEQATSYATTVTEFTSTTWVHQHITSTI WVDEAKPTEEYAEDFDEEHPAEPTKTIQLEDGYGGLKEPQPTGSKSHVIDLPVNQVPN DEELEKLLADIWEAEQAAAEKAAQEAAKKVFESAAKGGKPTASPSSSLQAQPHKPSRP LGSTPTHALTPFHTPNPSSSPKTSTFATKTSLQAAPRPQPSVSAGKWKGELINTPDGT CGPKGGEIAYTCQGNSRGSCCSSYGHCGSEDAHCGTGCMPTFGTCSPAASSSEISHNI AKRSETLEKVHSEMIQKVVDMILADLELHNKNLPSSHGVAKRSSTPDKETKKVKQDLA SLILTGLASPNKTSPHAPSVASTPKSEAHLFTAKLKQDSIDFLSWAAVFQKAQEKEKS SEIDERDLNLNVQYNITAIDGILRHFRAETIKTMQPYNVTELMEALRAEGELKRAMWM VKGRRKIGEEFEWNVNGSVAVNETALAIEAGIYNRTAAASQTAVGDKTTVNDGSVLAR GTPLTEIESGLIAQTGAIARRSCPKWLWSCWPKTLHDAIKHHRDDGDDKKHDDSSDSS SDRE CC84DRAFT_1262246 MTSTHEKIRQAASRNAELLAGLHETDSAPSQLYQQIQYIKDLNE QLKATEKQVSRLKEKTALELKDHRKYNESTFRRFAHRASGRTDKFNEKAAKEEKEYFD AIQAQKSAEDELGYVRQLVAEAEVAKAGYEKDAARHDEYQRALDALYNSIFAGTTPEF PEEDAREAACEAANARLQSLAQTLEKERHVLELVKKACGRLLDAKRLLMQAHDMSTMD LWGGSTFASMAKRNALEQADSCMRQVRMLSQQISQIEPGREVRSLGAVDVRIGNIWSD VVFDNIFTDMEMHQRIQAAEAQLDRALGKGAQLMKEQQGREKALLADVNYASGELRER RVELQWSREEAFRKVVGGEQPPPQRTMPQVEGEDEAPPAYSREA CC84DRAFT_1126479 MISPKTLVLGLLAASAAALPTDLSKRAVIAHDAVVGFAQAVPDG TTGTLMLKYKPWLKVFNGCVPFPAVDAAGNTGGGLQTTGGSNSGCSSSPGQVYARAAT YNGAFAIMYSWYMPKDSPGPGLGHRHDWENIVVWLSSASTSATVRGVAISAHGDYQKP SPPSLDGTRPKIGYISYYPVNHQLISTTDLGGEQPLIAWESMTAAARTAIENTDFGSA TPSFRDSNFQTYLADAFI CC84DRAFT_1008204 MSKILGIWGEVPEENQEWLENTQIPEAAKRLGTRALYFQAGENM FPEENAQTATSFTLYDPLESADSQLSPEQGLSPANSSIDALKDVILEAQYHTCQYEDK AKSFSGDLADVGCLVMGSFQPTPEMHDAFFEWWRGEFVPFIQRSPDFLRVRLWKLEAA ADLRNQMVQKRTPDDLYPYIMVYEFKSYDMPWEVAVEIGQSKAYQQFVEKDLKYRYGV YHLKRIYKATGEVEAEFNKDAYSDDEEQGSA CC84DRAFT_1008208 MDPLLATATAADAPSEATPPPPPAEPAVEPARLWAISDLHLSYK GNREELENLEPKPNDGLIICGDVGETAEHLRICFSMAKACFKQLWWVPGNHELFTMPS SNGKRGEDKYMECVEIAREYGVLTPEDDFVVWEGAGGPCVIAPIFTLYDYSFRPDHVA LEEALEWAAEESILATDEHLLHNDPYDSRIEWCNALVERAQAKLSEAVMQNLGTRLVI INHWPLREDLVNIPLVPRFVIWCGTKQTEDWHNTYNAKVVVSGHLHVRRTDWIDDTRF EEVSLGYPKQWHECQQRGMDINDLLREILPGSDPPPPGAPTTLWRRYGKGTTETHPIF LKGAKR CC84DRAFT_1152618 MATARLQSFAQKPRVFILSDISNEPDDAESLCRYLLYANQFQTE GLVACTSTWMKNKVCPQDMHKIIDAYEGAVDTLNKHAHPDAQYPSAASLRGLIKKGPE TYGMSAVGTDVPLSQGGELLLERILAPSLQPLWILCWGGTNVLASVLLKIDEKYTPED SARLLSRLRVYAISDQDDTGAWIRNNFSDIFYIASVHGWNQYGMAAWTGISGDKYYGF DQGGPDFSKMEKSWIKENIQVGPLGSAYPDYMFIPEGDTPTFLYLIQNGLGSSEFPEY GSWGGRYARTDISADGLNSNHYSDAVDRVKGLDGRIHTSNHAAIWRWRDAFQDDFAAR IKWTLEPDFAKVNHHPVVAVNGKFGLEPLRLEAEAGESITLDASASYDPDANDKLTFK WWHYREPTATQWWVEAEVSELVIKPLDANSSKIEVVLPPAEKCAVELMSRQPMAKGQQ LHLILEVTDNGTPALTTYRRVVIQATNKDLKGGGKGADAIGDIMAAHS CC84DRAFT_1179213 MRASTLTFLATAASATQIPFFLPGMNAEGAGVAPDASIMAADFS TTVMALACPTNAAEDECGWGNKDIVVSVVGDNVYALAYPAAGVRFDCTSKGAMTCTAA IAQEFTDAGMDGFTAGNDGTATGTTVYPSNKVFFETARVTAGEEKLTEGAGAVETSAS VSAKQTGPKETGASSTLKTTGSVASTGAAPSATGANATGTTTGSAAPVENTGAAARFG AAFAAVAGAAAVYVL CC84DRAFT_1008254 MWMGKRRVCGRRGRARHRRPPYHSTVSTPAWRVWHRFTAYFFAL KPPLLGPPLLRMTQHHSTTAILFFALDLDLRLGTAPQSFMHDSRSWHAPQHFGHVEKW L CC84DRAFT_1008245 MSSSPFDIEKQDVRVGSAHDGAVPGETFEYGTSTYAKLQRLAGK LHVEQRGIERVPDDERDDTSLLNVGTMWLSANMVISSFAIGLLAQELFFLGFVDAILT CLFFNLLGVISVCYFSTFGPRFGLRQMVLSRFWFGWYGVKLIAFFNVLACIGWSSVNS IVGAQLLHAVNNDVPGWAGILIIAVGTLLVCFFGYKVVHAYEFWSWIPTFIVFLIVLG EFAHSGAFVNLPMAVGTSEMGSVLSFGSVVYGFATGWTSYAADYTVYQPVTQSRKKIF GSVWLGLMIPLLFTEFLGIAIMTATTINDGNNPYMEGYKASGTGGLLAAVLFDPLGGF GKFCLVVLALSIIANNCPNIYSVALTMQVLGRWTQRIPRFVWTTIATGVYIAIAIPGY SHFEAVLENFMNFIGYWLAIYEGVAFAEHLIYRRSMSAYDPSTYDQPSKLPPSFAAVF AFCCGVVGMVMGMSQVWFVGPIALHAGEAPFGGDVGFELGFVFAFVSYAGARYFERRY FGR CC84DRAFT_1076655 VLSSSDRNEIPWSLSELEDAFNRLKTQHEIPVKFYFHIDGLDEF DGDHYKVIDIMEALAQLPNFKICVSSRPWNQFKHTIGQSSADSMQLHLFTRKDIENFA RDSILTHRDYQRQNVQRQQYEDLVNSIGQRSEGVFLWVRLVVRSLRDGLLNDDPVSLI RKRLEQLPIDLEQFFRHILESVDSVYSERMARTFLSALAAPEPLEIIHYSFLDEEDPY FGWTLTFEPMDPTTVTKRVSETRWRLNGRYKGLLEPSSDEDSSRVTVDFLHRT CC84DRAFT_1179216 MPVMFKALLSSLSRMASNEVASPWIDVGMEASLVPGSWDRRLIK VYDDVMWEPSCISLASFPGLTPGTIMHRDLSCGKLSRFFDSSIVGSIFRVALEDAALA HAYSMYKYWRVFEVASRTLNENSPSSMFAIIIAKLRPPEAGPSIGRRLRKLGPAGKAV YLRLIFLMAKFNSRKYQSCVANMRGRVTGERPDAADIIGSAPAHN CC84DRAFT_1167365 MSVPQTHRAAFIHGKGEATKIGERSLGKLKPDEIAIKVAATAIN PVDWKLRDYGLFIVPGWDYPAILGSDGSGTVAAVGDDVSNFSVGERVFFQSGYGNPDV STFQEYIKIPAEVVAKTPKNISDEEAAGIVLATHAAATAFYDKTGHGLKAPWSEGGSE VGKGKAVVILGGSSSVGQYAIQLARLSGFERIITNASAAHHENLKALGAHVVLDRHAS TAQDFKKALDGLPLELVFDTIAIKETQLLGVETLQATGTKDSNVVIVGMTDEEAKKLG ESKEPKVAIKGILGIALAPHLRYLITALTDSLGGEKGWLASGKFKPNKVEITAGGLEK LQEGMEKNKTGVSGVKVVVKY CC84DRAFT_1099479 MSTTNEPADPIAKGILPTAKQSLKDLFVWKQRVVVRNEYGEEHT EWQSPEPLKNPISLFAQLSARDWLYFIVGFASWTADAFDFHALSIQTVKLSKYYHRSK TDISTAITLTLLLRSVGAAVFGFAGDKWGRKWPMVINMIILGLLQIATIYAATFNQFL AVRSLFGLFMGGVFGNAIAMALENCPTNARGLMSGILQQGYSFGYVLAACSNLAVGGE VESWKTVFWAGAGLSIGVGLIRILFPESKQFIEARKAGKRAQAPGVFWKETKKMLRQE WKMCIYCIILMTWFNYYSHTSQDSYTTFMKTQKELSDDGANRASILMKTGACVGGTFI GYFSQWFGRRRSIICAALISACLIPAWILPETEGGLSASGFMIQFFVQGAWGVIPIHL NELSPPAFRSSFPGITYQLGNMISSPSAQIVNAISESHFLRSKKSGDIVEAYGPTMGI ATAIIALGIATTAALGPEKKGRHFETVGPPIAVDIPKDIEEGRVSDEKTETKAVEVSE KA CC84DRAFT_1167367 MATSDTEKSAGGEKVSTQNTQYDAIGSKYGDIKSKPATQPEPPS VVEALGDVSGKRCLGRLAFFFSLPPLPPVC CC84DRAFT_1098334 MKFLASLIPAFLASTAIAAPSRQERAAARFNRREALRNSNPLQA SDLEELRLANANESHVSYSSNWAGAVLIGSGYKSVTGTITVPTPKKPSSGSSSTQYAA SAWVGIDGDTCASAILQTGVDFYVQGSTVSFDAWYEWYPDYAYDFSGIPIKAGDQIKM TVTATSTSAGSAVIQNLSTGKTVTKTFSGESNKLCQTNAEWIVEDFSSGNSLVPFANF GTVTFTGSSVTTSSGTTGVSGSEILDIKQSGTVLTSCSTSGSSTVTCSYTG CC84DRAFT_1252976 MHTPRHSHLQFGTINIMQPLQFRKIAPKLPPSSPAPAAARAETP AAPSPPPPPKVSLASSQNAAPAIQALETAWVDEKLLPFLHYACIDLSLSASQLQDYAS AARLWTFLHPTAPAATDEDLLFERIFLSVMNQHNTTDTEIAPYTTRLTPLVRYLVRRA MTKGPYELLDRKWTGSEDWAKEMKERYGCKCDECGGGKPEIRSTEEMLGRELLE CC84DRAFT_1189558 MKIASILSVLALASSAVAKPLAAKAPATFAQRVVFEPPRNANWT DPGVLYARSAQLPNGDLLATWENYSPEPPKVYFPIYKSTDNGYTWKELSRVQDTQNGW GLRYQPFLYVLPEALGGFPAGTVLVSGSSIPTDLSKTQIELYASRDSGETWKFVSHIA AGGVAVPNNGETPVWEPFLYKHKDTLICYYSDQRDAKYGQKMVHQTTKDLKTWGPVVD DVTYPTYTDRPGMPTIAKLPNGQFIMTYEYGGNHGTTSYSFPVHYKIVSDPEKFGAAT GVQLKATDGTAPSGSPYVVWSSVGGKNGTIIASGHSNSEIFINTQLGQGAWKKVATPE LTHYTRHLRVLKDQTKLVIMGGGQLPPSSTNKVQLSVMDISKL CC84DRAFT_1189559 MHKDLANLPSHDDGRGTPLPQYEKTQQVPFTLTLQEDKGKPGQF QLVVSVDPQSQKDRSGNPLKTSSSKKLVANLQEAPSLGYDVSKLYQTQKSSPPSTPQA EHQGWSDLDALPPEFLDKVAPDWKVTFSRSDSSASTQSRKLADIKARIKKSGKGFVVR LLKGTSADTNEVREVQLGRDPVEQLPTLSELDSTVPPVELATHSTASIVADVPGGVFE IGSSGEPGVERATPPVTARAVSSIPQWLSQTSNEPGTAFSVLEDNLSDAETLLPDVRS VIDRMEDHTDVEPVSNYSSVLPTRSSSVLSIVKTPTRGLSVVGPVRRVEKVNRVRAKG KAARIDLSRTGARKSFIGRSPQGSISEAFVSERLRNAAAAYPPAQEPGSDPSSLDEGS DDSSKKRRNAYKRRAQADRQEPANRKVRRENSAPNATSEAPSRTRLRLDTSLAPSRSA ETSPATVRRTSPRTKKSPTMAKSRDQAVPSHAPETDNAEGSPEWSEVDPADDEDIREA LEMAFGKIADDLESSPVQSKRSIPSINEPADDGESGMYTLPPNLEIRSAPAAPGNRFS MFLGLAIGAVLDKVIDGVHHLRDSYGSESAVPAGHVRVRWTCSCGEPLYDDFIERRPG AARWLEGYLNRPRTHTPSTPHSRSSTSTSMSSIFDNASRASTLATPSSTYGGSSLWGR SNNNSKYSPTRLKSNSPFSVSIGRPIDDSWLLTCANEGRFTPKIVHLDVNTQRIRSDK DLAMVLREHYDNINRRWMKWTRLRGLTTIEFVQFEVHRNRFADIRATPSMPPTSSSVN EKSPSQHPYTFEAVDLIPPVGSHYLLHLFKHPEDYDGELITYLRAPKRRERLEFGMGW GINLVEGFLAQKVWAVIMGGFGIGSVVFAILWTIKKDDVQGAFGVAGWLVTLAALVLG ALQAWLE CC84DRAFT_1076373 ALAATAAAHGTVTGIIADGTYTKGFDLQYYYMIQNGQTPPATPG WYAENLDNGFVAPDAYTTADINCHKNAKAATSSASVKAGGKVDFQWSSWPQSHVGPMI TYVAKCDADCADADKTALKWVKIDEAGFTDDWASNKMIANNNTWSVTVPSTLASGNYV FRHETIALHGAGSENGAQNYPQCLNIEITGGGSDNPEGTLGTALYTPTDAGILYNVYG TSNTGYKIPGPALY CC84DRAFT_1208348 MKVTTKAVLYLAHFLPVVSSTCYMPNGNTTNDAACNGGADTGSA CCGPGYACLSNTLCALTEHVSSDIVKTSPFYVRGGCTDKNWASKDCPKFCRNATNGDN LGIGGMGIGRCDGEGQTNRFYCRNSETAGLSNTDLCSNKQYYFEFSGFPTTVTVIGQE ASGTPDATSSTSTSETTTSSTSSSFSTSSPAVTQPSDKEKRVGLGLGIGLGVPLLAAI GFLAFVFMSRWRTGGYSRSKHDATQSPAPSSHGVHSWSPQWSKHAAAGELGTEAQVSE VPASHQAYELQNSYIADPGTLTKGQKNGLI CC84DRAFT_1252983 MFLPQHCHLTFRSFAHYMIGSIIPDHTNQDIVDAKNLGLDAFAL NLDSLDSWATETVGHLFDQADDSGFGLFFSFDHNHFSSPSEYADFLKPFLSRPSYFKY AGKPLVSTFNGESVTEDNWASFKAAVGDILLIPGFSAAVPSPDFFAARNSLDGVFNWN SWPDASAGKADVSDADDSTYLSAAHGAGKLFIMGVSPLQFKHIDPSDNNWYRRGEGNL ELRLEQALTLQPDMIELQTWNDAGESHYMGNWWEEPIANTPILDYVRDYDHKGYWQVL GPFIQAWKRGDSTTAGIVPSGKDVQGAFWHHTLTVNADCGADAFGKPRDFGNAEDVVS GIILVAVGKTGLVALVKNGDRELGQVDLVEGYNRFKVEGLGDGSVSVKVVDGSGAAVA EATGSLSVSSSAALCNYNFQVVGF CC84DRAFT_1262260 MSSTTPNASGASAEPAATTTTTRSTAELIREIARQDDEQQARRA ETVQDPVLRRLATARTLLAHRDHEVARGGSRDSLMFHWISDRIAELIGEALAIVHADE EDLRLAAPPLVPGRKLGYLRPRTPLLRPAPLPRAHRVGTWVPRIGEHSRRRPTGWHSL NNLLAEHIRRNGDHARFDPVGISIRDARARMLREAHTAWQSEFPDEQGRPSWYLYSER LLREEGSPRPAEGQWWSSRREGLRVLLQEVMARRAERHRARREQRRALARLNRLLRRL AARS CC84DRAFT_1262261 MSAHADTEKATMSDERTPLLAVVQTRPHRDRYPHHRLRHICTLF LSISLFTGLIAAVLILNFLPLADDDGDTAVGISRVLPGKLPAGWPYSRGLDYDALVDI LTETPDSEKAREYSRYYTSGPHLAGKNLSQAIWTKDKWEEFGVSSLIVDYDVYLNYPK DHRLALLEKKGDHREDAVGIEGESMWKITYEASLEEDVLDEDATSGLKDRVPTFHGYS ASGNVTAGYVYVNYGTFKDFEDLQAANVTLEGKIALVKYGGVFRGLKVKRAQELGMLG AIIYTDPGDDGEVTVENGYAAYPDGPARQPSSVQRGSCQFLSFAPGDPTTPGYPSKPG APRQDTSHAIPSIPSIPISYQDALPLLKALNGHGPKASSINWKDGGLGYLGVEYNIGP SPDHLAVNLVNEQEYVTTPLWNVIGIINGSIPDEVVVLGNHRDAWIAGGAGDPNSGSA AFNEVIRSFGIAVRAGWKPMRTIVFASWDGEEYGLVGSTEWVEDYLPWLSASTVAYLN VDVGTQGPDFKLSAAPLLEKVVIDTVKLIQSPNQTIPGQTVGDVWDKRISTMGSGSDF TAFQDFAGIPSIDMGFGAAPGSAVYHYHSNYDSFAWMEKYGDPSFQYHETIAKVWALV AAKIIETPVLQLNATRYGAGLRRYVDSVKDKARTASFPPHKPDEIFAGLDAAVERFQF AATIHDGTADALAQRLIDDDIPWWKFWEKLQLYYAIRKVNTKYKLLERQFLYKEGLDG RSWFKHVVFAPGKWTGYAGATFPGIVEAIDEKDEAAAFKWTIIATEIVDGASDWLEAE CC84DRAFT_1179227 MFDGADLIAYTGPNLGSVTVLMLVIAIVRYYRDPAEDAQSPLNC TPSMNGLVQSSQTIVSSAPHVGAALRARSIRRCSERLPLQNGCLSLLHKAPMAASDGT PPRSSLRLIAARRSILRSTEAAAVSGQAGRPFAQHLGRCSSSPIGSLSTLAFSQCFWY RNDSMAGLDIRCGLFTADHMQSGPVLPLFYLPDLARCNPSTQTQLQQPVVSTRTCFVS ISSHLRFKSRSRFYR CC84DRAFT_1252985 MNLGAPPTCPGLLPNLAPGGSAPVQTQSLGKPWYRRARERNRIL AGFGRSRTSHPATLALNSGDTRIASMSSQSSSAELDAAKELLSLLSSAENSLNGTGNH LPALATATATASAPAPRAARVRRWPVARPPRGTKGLKASLVRADVQRYQQRLADEWTA QPLDEGTPKDFWKILKLLGFLVPDYAVAIRGCDRSVLAEFFVKVDCLGAIYGWEETRA PNAKIWDDHIRTIYSIHDVQYLTLLGMIGDYLEREKWQLEP CC84DRAFT_1008565 MCRCMATTGNFGLSSQHLIESLASLSWHWCARRLSFYRLLSSAG EATSQSVACLVSPTLVLPCLVFAARLQHGGKHPMRPIAAETGMPVNLYLHRRLHIGPG HPFYSFAA CC84DRAFT_1167371 MSTAAASMVGFWVCRWGLPLHPFSSDNDAAAIDANKKYPIAQGT RLNALQLSKASCHVGSGALRRHQSRPPHSRHGVAAQWRSH CC84DRAFT_1220643 MSGLHLALQQGHIPAVLRHIQARQRPAVRARPTLSPTNTHSRTL QERDSPTLAHTTTSRAGRAITSSARIGWRLWPCPWPQRSRDQRCFDIGDIDTACINFK QVDRNKLMMNLARNGVDILTKKDDHSLAGLLW CC84DRAFT_1262262 MAGATYDIVVLSSSPPDSLHVGPRALSPASPLERRVAMPASPLR SLSPPPIPKRAVAGASLSGSRAAPVPMGVERGFATARSLLADISINDRGLSAIEEALE SRRVPTGPENTIKASKPARKRATKATAVDEDAAKPKAKPKPRGRKPKTTSAEKVTSNA SNAEATATTSSYFAKLPGADVAASTREPAAAPPEPKPRKPRTKKATTDNGEIQTTIKK VRVTKPRGATKITKKVQEKAAEVASAHFRSRGAEHHTAGLNQPDTTVAGGQSARVGDD TIWDVPLSPSARSNGPPKQRPPDPDDPLDLDEAVSRRRDWTPPPDTKRQEILTSSTGK ENKPVAEKESFTSLLSGYSYARMETQSQKSASETACTEVTGAMKRRRLELLDLPNHQV ASRQASPEKGKAPKKKPRTITDLVTGQYAPQQPLPDSPEVSSDFFARRTTPVPKTTKV SLNDMADEDSTKVSKKPVRKRSTSKPASKPGEAKGKPKKTSAKAAAKPKLVAETLLSP ASALSRLNRQDVLFGTSSQLAREESPTMVRDLQNAIRESEQDAELRHSLASNDAPAFA AWPRLQRIEGRRALWKASSRDDEGHTLERQSVCLPEPDRTQDFPLVIDKMPEQSDDSF LDINDFAPPPQAPISISSDLSTPPSTVVDDNAVTAEARAAIRSPCLDMDNFLSCPPPV AGSKEVAVEGHFLKSSPFRDINDFPRDLPPSNQPVDSSFLDINDFILSTRTPAANTLP TLASTGSPKKPRDRPPKSQSAIRSRVPASAPVRVPKKPIAHAQSSTATSPSTPRKSQN RFHSIEAILDSEDDEALSPTPPRARPLAHSPPLPLVFDTTPNPAKDTADGLVPIYRIP ETKLTFDGIRPTLFPALTSLIRSLPPSTDASKPSWHEKILMYDAIVAEDFTAFLNTHD HIHTYKRATQKQIKAWNKELRARREEEMKVVEGEGMVLAVEKEIEAYMVQKWCEEMSV CCVFKERKNGGARKGLY CC84DRAFT_1252989 MAPLASTLTRVLITGFGPFGTTINNPSWGIASRLPTTLPNNISL LVHPSAVPVAYHPVIDLVPDLIADLQPDISLHIGLAEGRTYFAVEQTSRKNVYGNSRD VEGQPWTNAEGEEYWAGEEERLSTALDLRSVVEEWQGRTEGIVWPQDSSKASILNIDD DGDVRWSDNVGTYLCAFIYYASMVEMSRETVGGRRDTAFMHVPMLRSEAELQMGVNIT VELVQSLVDTWRAQRAAESD CC84DRAFT_1220646 MEHIFPVETTNELGRRTGVFELQEQASGEQPRFQYVAHTTTKKF QSSDTDTKEYVVPVSDEDDELSQFGDKNESAFVNQLPMESQKVDLSSLKTGSAKWRHY SPKTKFVDTSMTGENKTIYCIGIPDRARNSNAIVYPAEKQYLRGIDWALTFNSDIVNA CLKAKWKVAHARYERTPKAIGSIRNYYGLPDGPAPGPAYALGGYWIDQEGIDSSELLV RWLILQEVWTSLPQSIQTFVKDFAQWGTLKETSYPAAKNDTSPEQQPTKRVKYSIGGV ELLQEGDSEDDQQS CC84DRAFT_1262263 MSDSHKIDHEMKEHNGHSIVGNGVELSRQVTVQLSPEQYERLFF QPSAPRRGDLAKRFANPTLLGLVGFLIPYTSTILTLCGFQGAVAPQTLVGLSGDYYFF GCIAMNLAGLAEFILGNTFPMAVFIIYGSHWGSLAYTQDPIHQTTSAFSELGGATGAA YNSSQGFHNITMAIASFVFFIGTFRVNVFFTLTFFGLVMLFSFIAAADFRIAHAATEV DVEHINRLLHFAGGFGFIGLVCGWYLAILTACEAVGIPCPLPVLDLSSKIFPKKDPNA NVE CC84DRAFT_1262264 MTITGKCDTCRSRKVKCDEQRPKCGACRKRDRDCTYSFGKASAF VLEDPTQLSKHGRPKVAPVIYPLEGAQEPGITSSPLSRLPPSTSLVLRQSTSRYADTG QGVFVTLSVLPRDRAKVTKKTTSQQRRKLQIHLNELDATIRGTPRQFLPSQTALAARY VNLLGSRPPEMQPFAILGSWVESLPSRIGKTTAVDLAVEYLIESFNVYCNPDHSAHRT ALATKARAIKELQLAVRDEKTRRSYDTAVATKLHFMAEVFMGIKNLYHAIHAAGLSDI LQDGPLTDADDHHFWSFLDNAYFDDVSEAMVASRTSVYDNDAYLEMTSPAVIPKDSPA TFRASIALMHVYIQVPRLICLVRHASNYPEDSLTLAAAVALAETLWELIPDEVMQEVI QSCITIVDVPPSPEIADIIPDSYYFDSIENSTVVSRFWKLQVVLSGIIQTLYQKYPAE CASSRLPPLSVVEKIDADAATELARCIHWAFTICPSLPLVPLRIYTTFQVSVGAWCRI IQRISASNRSPPPYPDPFPSVTAYFDEQLSRAKRMEQFVADKSNLVHELWNIPHVNKR FLRSASIDMAGGPVPDWMPIRIKFDTEDGAMVMRMEYDVGGSLFEDILGHNNLIKGWT RRTITKSPFQPGTSENLPGKGFPNGGTGKLPKWLFERFGTGPAKFWGYSGPDGKSAKD TSSDAIGSVPHTLLDVPSDSEDENLDVQYKAVEME CC84DRAFT_1152650 MAIEEAPVYDAEKGHAPPRVSTSSDEGVVVGENGKLKRGLQGRH MQMIAIGGVIGAGLFVGSGSALSKGGPASVLICFMLIGVMIMLMMQALAEMAVLYPVN GAFYQYIVRFVDPSWGFAMAWEYAIQWLTMLPFEITAAAITIEFWGHYNIAIWIAVFL TVLAVVQIFGVRGYGEVEFALSIIKITACIGFIIFGIIVNCGGVPTDNRGYIGARYWH SPESAFRNGFKGFCSVFVTASFAYGGTEMTGLAAAEAANPKRDIPRATRQVFWRIFFF YVVNLFVLGLIIPSSSETLLSASGANTKYSPFVLAITMAGVKGLPSVFNAVITISVIS VANSATYASTRTIQAMAAQNMAPKFLAKVDGKGRPMPTIILQLLFGLLAFINEASTTG DKVFTWLLALSGIANFFIYGSICLAHIRFRQAWKLQGHSLDELPYKASFGVMGSYVCV ALNFICLMASFYSSLFPLGGEPDAEVFFEGYIALPLIILLYVMWKGWSWSRYPSHRKL WVPISEINVLEGLREEQLLISGADVSAEARRASISESQQAKKKGPLGYARHAISGLF CC84DRAFT_1152655 MAKNATISEKTAPTYTTADPNGDFAQTTDVVEGLHRRLSNRQIQ LIAIGGSIGTALFVSIAWGLVEGGPGSLFIAFAIYCAILALANNCMAEMTVFMPVVGG WVRMGSKWVDEAYGFMAGWNFFLYEAVLIPFEISALNLVLTFWRDDIPVAAVVAGCIV LYGIINLFAVRAYGESEFWLASGKVLLIFMLFCFTFVTMVGGNPKHDAYGFRYWKNPG SFAEYIHTGTLGRFEGFLGAFFQASFTCVGPEYVAMVAGEAIYPRKTIKTAFKTMYFR FGLFFIMGALCVGIILPSNDPTLTSLLNAGDTGTGASSPYVIAMKNLGISVLPDLTNA LMVTSIFSAGNSYVYCATRTLYSLSLDGHAPRFLQKTTRAGVPIYCFCVTMVFPLLGF LSVGRAASQGVKWLANVTQAAQLMNYIIMCTIYLCFYRALKVQGYDRNSLPYKGWGQP YVAVAGVILFSVVLAIYGYATFYAFDVGTFMTYYAMCFIDIVLYIGFKLWKRTPFVKP EEADLVWERPKIDAYEASIEAPLGPWKDIWLTVTFQKKKGVREEDA CC84DRAFT_1220651 MSREFWNLREQEIKPGESFFSRALLNGLHSDSKCKHLVILFFVL QLYALQAISTGDFSIAIVTALPAFLLPYTWTFSQTYETILGIPLRFILPYQGTSYTEV KYSPRRGKDFNGPAKDIYLDCFYTLPLYLALWYSYIGTVFPERVTFFVFVAVLFISRH EYWTSHHSFRSSNRSWGKLVAGLKWQFLVGTSTLALWTVSTNYVRNKVSHKRAHPSQL WAIDEGAALVMTCVVLLKVINPLKIFKNYRSRIHFKPYSLYREDKATDEEIATWEMLG WEKVRDRQLRREWLDRETQKEIEEYETVEWRKAEEEKRQRLGPGITNLAKELRVEQAV YGQQSAPRFLYREESRGPQVLYRGFSSDEDDKDYTPQRPPRRPRPAAPTVPLHQALGN KRAQIAARLELTLNIDSAFRERFNKQVKDISAAAYHTPLTLNTAEPPATQSYISIIED PVALDVDASSSDSDGAETDVFKLEPDYPDSAFPRRAWGEALADTRGMPDYILACWKGW ISDLFMFLVQAFAAAMLVRHTLIVTETWPLDPNDGDNAELVKSTWWAIHVVIGTVYAW SLPWIHYWGRAVREAMEFLVNDWFFTVFLVIFAGLARSMWVWYNKGEIGLAFWVVRGT YNGVAAFLNWTFT CC84DRAFT_1252994 MTVNYAPPFHPGVAPAAQISLRVSKYWTDNPTALREMHGFELVR RLCNGSISILVAVSHSPPSTVLSWNRSVRAEQSCKNRWFILNHEGSLANYGSINETVI TKFDDFIDILSDKKNDKKNAVYVMSGRTVLWLNTLSAFPVNLADRKADPCTAQDLTWK WRLYAKYTLEIFCDYYDRQSGYSPRSMAFHSQALSSQSPEPRRTS CC84DRAFT_1198486 MGMIKHTLLGVLSLASCLASAASLSQDRKPTVAFKSGNGNLPLA SKGSPVNLVLDAGDWPGVLRAAHDVAVDFGRVTGTNGTLSTVGSGASNQTVGGSASVI FNVTGISKDWSVSKSSTKGKGVIIAGTIGNSSLIDALIKSGKLDVSKIKGEWEAYTSA VIKNPMNGTAEALVIAGSDKRGTIYGLYDVSEQIGVSPWHWFADVPPKSHSSVYALRS TKVQKTPSVKYRGFFINDEAPALTGWANNKYPKSIYGSPFGAEFYSHVFELLLRSRAN YLWPAMWSSMFNVDDPRNQPLADAYGIVMGSSHTEPMVRATQEWTKVAKGSDSSWSWA TNNATLYKYFTEGAERAKPYENVVTVGMRGYHDTAMSADTQTSVLEAVVQAQEEIMDK VWGNASEVPQMWCLYKEVQDYFEAGMKVPDWVTLLWTEDNWQNIRRLPVGDEKKRSGG AGVYYHFDYVGDSRNSKWINTIQLQKTYEQMSLAHARDADRLWVVNVGDIKPYEIPIS HWFDIAYDIEAYDETSVPTWIENWATRTFDEKHAKTISSIVDTYGRLSNMRKFEWVEP GSYSIISYEEADKLQAQWEDVGDQAQEVYDDLSDNEKGAFYEMILHPVLAGGNFINVQ VAGARNQIYSGQGRTSANDWMQETIDLMGTDHELTQKYHKLFNGKWNHMMDQTHLGYQ GYWQQPMRQSTPFLRWVQTSERSLSGDMGVAVEGSNATIPGDDIWHTNGGGSLDLPAV TPFTPKRWIDIFSMGTNSFNWNITTEPFIKLSKTAGSISPGGDDVRVYIDVDWSKVPD GYGKKVALNISSSQNYGTQFSRPTVNVMVNKTSVPSNFRGFVENAGQIAIEAEHYSRL SSSGNLSYTVLPRYGRTLSALKLSSPLAEGLSPSSAPALEYDFYTFTPTTSAKGLNLT LILSPTLNINPKKPLAYVAQIDDKPQQRRQYVTDQKQPDFPVGWGTAVAQNAWLNTTN WGEVSPGKHTLKLWLVEANVVLQKLVMDLGGVQYSHNGPPESYRVGGNSTSAA CC84DRAFT_1098761 MPPKRKASSRIPANAASAAAYTDRAGDAPNKRSKLSKPIAKAFT TATDPKDEIDDAIVTQGRGGVEHQDEEESNFDHSRPEEKVGIVDRRFYPAEMSNERCA LYNANDIPRPMEILNATLASTKAAREKIDKGEAGHAVIHWFKRDLRVRDNTALSRASA VAKGKGIGLIGIWLMSPQDWEAHLVSPAKCDFELRSVALLQKELAELDVPLYIETVTS RKDIPQRLLNLAKEWKVKNMFCNLEYEPDELRREERLVRLGAEQGINFEPFHDDCVVP PGSLKTGAGKQFAVYSPWYRAWVAHLHANPHLLNERPIPEKNPPGFRNKFETLFDHEI PPAPDSKALNIGQKERFSRLWPAGEAAALDRIGLFLTEKVERYTATRNFPAQKSTASV SVHHAAGTLAARTSVRMARDVNSTKKLDGGIEGVKGWIGEVAWRDFYRHVLVNWPYVC MNKPFKFEYTNIEWEYNNEHFRAWTEGRTGYPIVDAAMRCLNSTAYMHNRLRMVTASF LAKHLLLDWRLGEQYFITHLIDGDFASNNGGWGFSSSTGVDPQPYFRVFNPWLQSEKF DAEGEFIREWVPELRGIEGQGVHNPYQKGGEAERIAKKEGYPKVIVEHKFARNRCLER YKAGLGRETA CC84DRAFT_1167376 MGSKASKLDPRSGSSSSNKLQPSVSQQDKEQMKEPDNYYTHRSK SMRRKAGKTPGGSGPNGEPGQSGVTGGGLA CC84DRAFT_1167378 MSSQEAVVSKPSTEDDIEPSTERASTGLSLTPRQNDSVHKQEAL IDDILDHTFHLPARKTKRLLSSHSGTPPTSDVHER CC84DRAFT_1152669 MAFWKAVLSAALLFERAHAFDVEGMLAAPRRSNANVNPSGELAL FSSTSYNWTAGKSKTTWHFLDVKSGNISDAPIDSAVSEVVWVGATNTSVLYINGTNDE VPGGVTLYTADFGEEEFAPKLVASLNAPFSGLKAVQTESGAINFAVNSLAYKNGSAYN EELATAAKSTGQVYNANFIRHWDTYITAERYAIFSGVLSSGYGGLSLEGDLKNLLVGI NGSITRPETPVQPFGDSGDYDISPDGSTVAFLTKAPELPKANYTASYIYIVPHDASEA PVAVNGPGSSAPETAQGGSASPRWSPDGKKLAYAQQDGIAYESDRFKLYVASIDGLNS EVSAIAEDWDSSPSSMSWSHDGKDLWVVSELYAANRLYIVPADADASFTPKNITGASP NLADFALLPSGEALISASSTWSSKIFYTQGPDSESKVLFSAHAADAELAGLLPNSTTN FWYTGGDGSQIQTFVYYPSNFTADAKWPMVFMIHGGPQSSQGDTWSTRWNLRLWAEQG FVVFVPQFTGTPSYGQNFTDAITNNWAGTPYRDFEALFSHIEDNVDYVDTNRAVAAGA SFGAFSINWIQGHALGRKFKALVSHDGKSNQFGAYATDELWFIQHDQNGTLWDDRENY AVWDPMTHAKNFSTPQFIVHNDLDYRVVQSDGVALFNVLQSRGVPSRFLHFPDEGHWV VKRDNSLVWHKHIFNWIRYWVGLEDELISEGVIDQ CC84DRAFT_1008927 MTERRLPVKQLVILSICRFAEPIALTSVFPYIPEMMESFGVAEN DIARWAGFTSAVFSIAQAVTGIPWGAASDRWGRKPIILIGLFNTMVCMLLFGFSTSLP MAITARALQGLGNGNVGILRTTVAELCPWKELQPRAFSIMPLVYTIGAIFGPTLGGAL SNPLRVDPRKPHGGSFFERFPYSPPNLVAAALFTIGIITGWLFLKESLEKRRHDRDLG LIIGAKITVFVRKVLHIPKKQKKLNAEREPLLGQAKLINDEEAVPNGPSAPEEEEGSP RLKDVLTYQTILNLVVYTLLAFYTLAYDQIIPVLLHHPPMSIDDPDVSLPFKFSGGFG IDSRRIGAMFTIFAITSMLTQFLVFPYLARKWGVLYCLRVAFLIFPVMYFITPFVTLI PDQTTKEVVMVTILIIRGFGGTFAFPTSTIMLTNSATSLRVLGTLNGFATSVSAVGRA LGPLFTGNLFTWSLKKGYIIIPFWTLSFISFLAFIPTLWLVEGKGFGDDPDSDVDSVV SATAPSDDLIITQNFDAVSESDYGEPTNLLSHTSTRDSEAMTTDDEEYSGNESPYERH HGRRDTASSAGLDRTLSRRGVRRRSSVPLGMGVGFRRLSSNIGSTGIGGGGASWGGT CC84DRAFT_1008919 MDAASQNTSFSSLYDSYLDRADTKSQPINVDRPDMRRTASAEHN AIMRQIMEPWGNLSSTPPACRAVSYSSWRHFSATSSNQSKSRDEMTASALLGENGLAP DFNPPMLERCNLSIAEEVVCGNPTAQPPELPQLRQRNRDPRYYPRFQRTQSRRASSTG SWSTLASESSYQMGASEPVSFNKQMVRPASTSSLLTMSFKESPKAPRCTEPPKAALDK IGKDFGIERLSIDNLPEDAFESDSEDGD CC84DRAFT_1167382 MAMERSFLTCTRSLSQSLRYSNLSKVAARSFSTALRPAVLPQTR TRQRRCLHSTPSNYEDRAPRSLRDPPSDDNTQADFAALDVLRNTVQPATSIDACTSEG FALNNNMRIAGCGVLLVGGEAYRWRPWLNPQGQKGTVEQDAARDDAMTGRLLNAKGQW EVPDEAWGLLDVVWPKPDLLIIGTGARITPIAPAMRKYLNSLGIRLDIQDTRNAAAQF NLLATERGVQQVAAALIPIGFREARKA CC84DRAFT_1220661 MWDSAVRWTFAADPDPKLDEPAHETSVSASTKSKPKRKTRTTDA HDFTTLRPTPHQPRGVPSLLVQKGKSEPVVSVPDPAICGSAVLVKGDALNTLATMASP AMASPGSVSSISSLSSPPDSPLDSPSRSPSPAAPSPPSPPESATLAPTSPQNPTSDDK PDTAAIRPEIDAMQPPPYPDPDPVTEAFLAPMKTQLEKLRLSTASAAPTPNMRAYFPS MKSHLQLLRERLEPVGAFIVQEVEKLERGGGAVEMRVCHHIARHHWPLPPTPGEATHL RIHEMYRNALFKRAHLALRPPPPQTQPQPHPIPPPRTPPLDEDSADDMPALEPAAAPF TKSAKNKLSSSVMKLTKLLHKDKGKDDAAKQGKDTHDDDNSSVD CC84DRAFT_1008816 MAAIQNFKNFLRHGKQARGTAAHGEPTTNVSNVHAQHQPQRHNN HPEPHHAGISEPAMHQAQKEHVVAPHGDFSAGAVDNRNIAAKAGNVAADRAGDKQKQQ AAAAAGTKNRDIDPTVLERIVAEEREAKGKLPKYPGLERWTLVEKMGDGAFSNVYRAR DNNGQHGEVAIKVVRKFEMNSSQGDNHMHPDFKKAPKTVERANILKEVQIMRQLDHPN IVKLIDFSESRQYYYLVLELCPGGELFHQIVRLTYFSEDLSRHVIQQVAKALQYLHEE AGVVHRDIKPENLLFYPTPFVPTRNPKPRGPDDEDKADEGEFVKGVGAGGIGLIKIAD FGLSKVIWDSQTMTPCGTVGYTAPEIVKDERYSKSVDMWALGCVLYTLLCGFPPFYDE SIQTLTEKVARGQYTFLSPWWDDISKPAQDLVSHLLTVDPEKRYDINQFLNHPWMREA DELTYAAHDAPPLATPALREKQASYEGGSGGDPMRQNFAYLESPGARRMDFRSPGAVN LREVFDVGYAVHRQEEEGKRRKNFKQGYRGANAINSLNALDEDEDDEFTAESVPYDAS QQHPPAKLPKSQASDVSAMEQKMRNTSLSAAAQARQTAAPVRQERGYGQHSPAVAAAA KRQVKNKGAFELSLDNATLLGRRHKKPEPSGLREQLST CC84DRAFT_1009214 MSESPSNAYALLESSFTASPNLRAHKPLPRRSDDHRRLEPAASK EHTLWQDDETESQASSELPTPSPLVPPAVAPNGAESGLPPTPPSNSQDGLPATDYSPP PHVDGVVASLTSRKSTLSTPVNQRSPPTPDPSPPRKATASMTTPERPLPFTYPSSRAE SFTTAREEPISSEAESRSSTPLGPRLSVVEEDRGLGLAFEREDDANTPKNTVVEAPLD TASKKLESGLNGQGDLDVEDVPNREWDTNLMRNVTVRRKRNAKPSPKKPDAPRPTSPA VSPIAASTPRRSSSLRERVEASRRSPHTSSVENFAKAINWPIEVVDARTSEPDQKRFS ASSMSSTVVEAMVVITPPKPQRRHTLRHSGKNLAYRRDADSPTENGSTIYSRTSIRPD DVPLHRLLHKKASINDRRNRISSDSATMYDRTATPASLRQRAEESAAVTLAHQRSIKS VLQPAADIMSRSNSVTRNASSLNAHKRIASAPEAVTRTRTPSISRSTFNISPPASPSP KRERKISSRLAHVEAALPSSPVENVHDLLLPKLIRKKSPTCKNIAPHATPDVNKSLPE IPLELPIQHTDDLGTEKCEVHDPDQQDTRPSSAMLDRVRHLLASDGTPQPTAAESPRV QETPKTHVSSNEASPNARRGSVSTRGRSEERRRSSFSQDRTSNSRDAIPRPSLDGLHS EEHPRASRERHSFHTDENGRVSFDRSTSRTDEHAMARHLFSQTTPFSQFSDTPIEVSE ATAVSIYPHNNNSVTVVQQFARASQEPEAHHYIANEAHFAIPDVEELEEPSTPPFFDA SEGPQTELSKPTFTFEPSTPPMQIDLPTFTAVDSPLKNPRTAPEPPVIKFIPPTPAEE LERQLVPAPPGPPKRSDSHPQRRLSLKQRARRYSDNFISPFLARASSMRGRSASVSHH GHGQLQIPSVNDEDGSLHPFWRPRGFWDDFDDSESESDDDILPPGGDTSDIEDSDSEP PSPRKRVGALSRRLTNKVKKPNGFLIGNSLGVERSGSNRRKPQINLPSRSMSDRWTKR EAPKILIQPPTFPFRRAQSARVQKRPSGASMASSSTQTLERPRRRTDRGTWRQGKTIP GLKNMQVQYIGLSGVKERFRERRAERRREALRKSIGGRWYVEPGTPGGTHVHT CC84DRAFT_1167389 MVDAFKGRWKAKLFAKDHAGDSPPEDPKPKTQTSFKLNDDVNDF LKPSTERAQAQKQAEAAAQAFLHSSRPKIDVVSAQRWPSSSDVLNSAAGKNGGLRKGT RKKGLTVSFVRTQPIVIGEGGDECEEPSITVYNRRKSNSVSDAEKLATQSHQDDIGLG ARSPKFDALGSQNAQAAQRGIVTRTLTSGGELSPPLRQKLEVGSINTHASPPPEPAKG MGTMGLGQRPKPLTRAPTGFDVQDSEAQTNDPRRPSMDSAYSFDSNGENISPVLSKKA PELPPMQEEEEDFRPKTLSRTQTGWSEHAGDSDNEPMPAIPRLPEVKAAEAESPIDAK ALLAERYLQSEPADPNSFSARVIQKMRADEGKALHEAQQRAADHAKSDSGSSASSFQP GSVQSSGFVVGTPPTTVTTLAGKTPPRFATREPVPPPESPQRALDAEDPHRSRARGPS PGRSPMPPGTFPLDTDPRPSSSSSGQPSAASRTPQYVPYSAATTTSIQQTPSTLEKPS FSAQSQTSIVPTPPQFERQAVISPPRAEEPPAPPPHREMPVQSPALPTSQVPLRPRQD TATSTLGRSDTKSQAEGAFLDFGERVEHMRGVFQLMAQLNGQIYDHSPTVWLRVASWW FLKGRAAMETAIRSRSRSGEQQPERLIQAHVDLAKTWWILTEVFANHPKLAKSGDQQM GSQANTARATGDEPRAEMDETHDAVLGALKMLLGSMKRHQSMPPTQALIQGQQQDIWE TYPKFAPDAASVLSYASAKLIVADGAQPRINPMKFMPVSDTKTDFCYFRMFAQVSMST EDLNTDRAPFPVVVSVLRSRETFSVRLAISSQNELANIMVGPSADLGATWKDVSWRAK SHSFALQLRHGFVLNVELTETDFRSLWNIVDHTNRVDSALRERSDERTSCVMMLREGI YKDPTNPGVFPAEPVRGSKLLLFEKFDRSSEGTGKRKLHRGYRVVMVTHPRNRTVGFV THEAGTKPEPLNFAYTTEPDQAPSMVIRFKEEMPDKRIKVCTMRAVFNEGKERNHIFG LLTSLNTGESEKMFAQVPLKAYNIESADPAEGFSQSGSNVLNKLQWLEAKTMNQDPDI FGLESAPTVMSESLRILCRHSAGVFSDRMNLDTGELLVRLPTSGTPELTFLRNPQRDM AIAIDASRSEKDIPDALADLLRTLINASTIRRLTFASYQDLHAFQLAVTGFSVRFDGI ASTLSIARRRMVVPIYKQWTANTIRIQIVEQDNIIQLLAFFEEFSHADAMNFQLKPQD EFQKTDKGGKASVRLVDCKFALPVEERKGEGKMGKEEGRLTGWAGMKRRFVCLDQIEY PGEHDDMIISFDSQETRDKFAEALPSATVSRKFTVRRKI CC84DRAFT_1179248 MSPHPVFCQDRRTALTNRKTIGWRRRNLFRQSQCARDPLKEWKP APKPAIQPNAHRKLIETLGMEEGLQETNNGNLMVIPQHVEARTAAPTATTLSPEKYRK ASFPSTASRPKIVDLRNHDNFNQSIKRNKSYEKTHRRAHWSRSL CC84DRAFT_1179249 MLGLQVDGMILSVGAVPIRVGPRIATGTSSRTAVSWAISNKTVL AYRLSKVHVGRAGAVKPEEEYRKGALFDRETSSTEDPYYAIAGNVVSSPEFEDWFCRS VTAMCPRYPTAGLEKPSLSFFRALEPLAFKILGWRKRLQISAVVSLASRSFEVRVQAR SAPLLRPLPQS CC84DRAFT_1009118 MANLVPEYYLAPTWEIPRHCSIKLSNIISSIKQPQRPLANIHPP DYITTSHKTSLTCCKRKMSSKDVSIITSFLSFMGVGTDAGANRGKSNMQNLGSDYFDT AQSEPMDM CC84DRAFT_1098572 MSHLQYYAYKGVGERNLEHHGYQQAVRVGDRIECAGQGGWDPST GAYNTDIKAQIEQAFANVDLNLRDAGGKGWDQVFRVNSYHVPLNNEALGAMTKAFKKW MPGHSPIWTCVGVQRLGEDDMRVEIEVVAHDPQ CC84DRAFT_1099268 MLHHQPQILSPLAEMDTHNSNSSLRARKMSAGGNRSWSEEEENY LLQTRMQKMPYKHIAAHLKKTELACRLHYHQLSHGSHRRKRTSSVSSSTSCSSAGQST SYQMAVDNDAYSPSSRHGSPMSYNGGSPHMRAASIGNSPGRNQHKILLPKPRPMTPRE SPEPHNMLRINTGVAHQPKVVDTDRLRAIYEARRQQFWATIAADYGADVSPAQLEEIW RSGSSSVRPPTPDASPDGSIMHNLALKPSPFTYASPISSDAGKQFGAMNISAVSAPER MPYVLPMPVPSVARPRAGTWSSAPRDNMPIASLLTEDKHPRAH CC84DRAFT_1220667 MAAAAIVDEVRGEKAQSEAEDKALRSQDDHAYNEALQTLTSPAS KRSLKPSPIVASTQQNTSLVPSAELPKIQPAITAPQESSVPATQPPSPAGARKRKSVS IKDSSDQMANASTTAGAAENVEPPHAADTEAPALEPKAKKSRTNTPWTPAEEQRLKQM RDAGHSWSEIAKTFPQRTEGSVKKHWYKDMHYAEFGENESAELLAAIKEYDANKWKVV GQKVGKPAKACEQYAKEHFGGRV CC84DRAFT_1179253 MGHDAQRRSKARRLQHQQRGGDGRWADGHCNRVLDGFTSQWSEA LRENAQARRGPQASGWLRADKMKRTSRAAHRKGTQQSPVLRVALAQRIPLPGQHRAAV TNVHVTVRNLVCARPVEHPTAGRGFLAMPVTVRTEAAPWCCDTDAPCWTCLLDQLACI SQPFRLRGGRERHVGTLSPTAHARFEARSVLHHPSRGTEVAAEAGTHAATPYRVWPKF NGVFVSFLGDMSSLVDVVVPGILHRSTCTSPGRFDIPAANRLPPAITVTRTHRQRKRI ETLDLPHWSREPCAGHSVDGAADHGPPRQERSAACSSHSQRRSKSKAKQSKAKRSTND KRPSRQRMPACRNGCCMHPK CC84DRAFT_1220668 MSHPSPSSYDVGYPQTPQQAAQSRKRSRAEEHLQQLDLPNPMAQ QQPDPYAHAPGSIQVPPSHPGYSLQPFQQHQTPQSAAAPTHHHHHLPNQGPSSNKRIR MEGAPPSPAQPHGPPNVVGQDGMPAPAPRPRGPKLKFTPEDDQLLVDLKEKKNLAWKQ IADFFPGRSSGTLQVRYCTKLKAKTTVWTDEMIQKLRTAMHEYENDRWRIIASKVGSG FSPTACRDKAHELETGEVIEEDDTAYTQSQLGAAGSSDAGPSFQSNDPNPPYQ CC84DRAFT_1009346 MKQQEIVVQGKVQSKLSHQHTLKFHTNTGYLYHPFSKHTWGPLH MLKLSLKLLLSTFSVLLSAWPCPLCDCERQHTCF CC84DRAFT_1220669 MEDAYTHALAHLPTRLHTYNVTTIEDGIDDDERCLLCWRSFNED EDSDPHERPCKPIRLEPCGHIIGDQCLQRLIEHGIRKCQLCMSPIRLLARANLPSWLM ILADLHLYSKFNAACTKEVVRTDHAQSLAQHHKELLDETISAKYALWLWLINMWGLVM LALPELAFAVLFAYGRQIVTFELCHLSVLVPKSGAFGWHSFWIRVEFVADICGRSWPQ EFAVLLIHLIVLLLAMWKWGPERVRHEFARRFRIRTALIGPSVLRKVIGQKASLLILS GLGFSVLMYVAITALLIWYVKAAVRTRTTTRPKSD CC84DRAFT_1099324 MTVLRSAVLLFAASAVASPALLPRQASTVTVTEVAPAPAPTATA WNSGAVDEFPVHSSCNATEAAQIKRGLSETMAMVGHARDHILRWGNSSEIYQKYFGNA STGEPIGWYTKIAEGDKAGILFRCDNPDGNCGQEGWAGHWRGENATSETVICPLSYEV RKPLEALCGFGWDVANGKTNFYWASDLLHRLLHIPKVGEGIVEHYAEDYASVIELAKT ESELSVRDSDTLQLFALEAYAYDVAIPGEGCTGKWTATSSYVASATSAAASLSTAEAA SATKTASPTMSEGPSATSGAPAVRD CC84DRAFT_1198501 MEVAASAALVFLSASVAAQSTTPAPPTTSAAAPSITAVSDCHTH STVQYCVAGTAEYEIVGPTATEEFEAQYTDCHSHGSSTYCVNSAGEDVQIVVEGAERT ESEGEHAHEHGGETEEGDANEGVHCHEHAGIPHCTGGSESEAAPTCQRIDREYNKPLR LGLLFVILVTSGLGAFAPIIMTRFTRMSQRSLIFVAAKQFGTGVIISTAFVHLFTHAD LMFSNECIGELPYEATTASIFMGGLFLSFLVDYISKRFLLWRQSKRGGEQDTEATAAP TADAKTASPANSAVIPAHGHSEHVDLHGDADAKINVLVLEAGIIFHSLLIGITLVVSG DAFFITLFVVILFHQMFEGLALGTCIAGIPPQAASTLVKFLMASSFTLVTPIGMAIGI GVLDRFNGSDPSTLIAIGTLNALSAGILAWVGIHEMLARDWLHGGLVTAGMLRTGVAM FFLIAGMVAMSVLGKWA CC84DRAFT_1152700 MVGRRAPPDHGPTLALETDYRRKAPAVYGRSRTASLSSQDDDEQ IHTHLSASSAGHTDISSSSGMSGTSTARTSAMTDDDRPNAATKTSPSPHAHPALTVLE LVSNTASSSALPDAFDFNVSRKGNFVAVYSSSNIWLVKSTQLPRLWARTLQVKRKPVA IDITEDGFLLAVLSRSSQVDLYEIHGEQDRQIKKRRTVSLVHEAEAVAISPDGLIMIT GNKFGIEVVAIGPGAPETARRTLTGPVGDTLEFSDDGRTLLITSYARKSANSSLYVLP GLFDGPLNEEGVPIPESPDTLWTGSMLFPETAKIARQATLLPDADTGHVNELFAFNSQ EDTWGVYDIATQRFTQRKMFLPDQQRWTRSEFVDDAMPAVSPNADLAAVSLRMRGMTS IWIYQVPEWDFQSTTKSDLSPIQPCFCIPILSDGPNTYQEICVLRWVTINSNVQRLIA VGNSSTASADEVPGASVGSKGVVIVLDFDKSKPAGSAVPRPTKTEYDLDPLCPGEMLP EGAIDFEREVELVRTRTLAQRRAQDANSSGSRRNSRLGSAPVRARTSANRERPTSIRR PTDEDELTAEEAQAAFEMPYDNQQPRSQMSLARAATVAAVSPANRRHLRALPFRPLEY RRADGMREMPHESDADNWVPPPPAYTATAEAAQSVSLSHPNGAPPVSGPSASNAQPAI PPVPALPTNLAQFMPPMAPNHPYQSRGPMQSQSSTDLPSTRTVSPTFVTPPQQRRPSL LHPTTFPSPENSNPGRRRSSTASRNLPLEIPPQMPRVPSQHRSAYQATASNYSNATRP NTRPSLRARRAVESTVELRPPPIINSSNGRRGSEPVVSIPRRPMPAGASPNRASMPPP NARRNLLPRLTTHGDTMPQPNVGPLSAPPGVSTGHARAPSRLNLRGGNAGEKTSSPKK KINCVVM CC84DRAFT_1179258 MDAEGKRHRFLDNIPGFKRRTGDHTGGSHLLRLNSLPDDVLILI LSQCRIDEVFALRGTCATFRNVFSRYSAHIIPSVAQCTFPGSKLLLEPPIAPSGYNLT WLKERIPQQLAAILVDRHRFIFEEQPMGPRIGIAAEDALGTVFRGRVASGWRILGRLS NISSDIYRLDAKDVLSMSDKKATWLTAHTSRYESETVRLREELVLQRRLQLIETISVH EAEDYIMMFMLLSGAWRVGKSSRQQSTVKSVSKKNPTWPFDFGHGIDAPRMIRKGESW VTWFILHQGPRLFWEQWWSLPPEAPETTDHVRDLAIQAFFSLLPSEDQATHNYTDFSD PHEELHIMQRTCAETVQRAIQEKCSVSTRTLHLHYQSIYDRSRLERLARIGARAAAAA QAESDTTMLAVPFFIVFSAHEPAKGAQAQVHTIPSAHSIQSAFFRRRS CC84DRAFT_1262285 MYLVQVRPRSLSSTITVVSEISHATMIFQLPVTLLSALACVALA NVIPADPTITPPAILPRQLDAAWIGWVQDNGTWTSQSCDAGNTWFQSGNYAQCCAETL TACPAPTACVRGSQIYPFSGTTITTACTENYNNATYSVCNTAFIFESFGDSNPKTDIV CGDKSQIWSYYRSVPASITESPSASGASGSKSSSDSKAWIAGAVVGPIVGIALIAIVV FLLMRRRKKNKQANVPQAGGAAIGPPGVQQHYNEAKPQPTAPSYGQSPPGVNDTYNQQ TYPPQGYVQQPTSPAPQYQQQYPVPNSSPPQQQPYGAPISPVQHQQSAYATQDAKFGY TAQQPQHPNAAELGGVGSPVGGQHTSELPSHERGT CC84DRAFT_1126571 MRFPAFADCLAASTLTSHCIMSMRSPCWRQRAVALLLLGLQVAS VVGQTYHVLQPGSPAGSSQSPLSHIATDHTLHDLHDALSVMQDQYFSLWIGKWTTAID WTAAVTSTHLAATLRSLSDSLSYTMPGTFAKSQRLDVEAQMVESEINKYFGHLITYYF GQDYFAIRMQAFDDMLWVVLGWLEAIQLIKTHSERHYRDNGHGKRAWHAQQFIPAFAH RARVFYELAEEGWDWELCGGGMTWNPRLLPYKNAITNQLYISASVSMYLHFPGDDNCS PFLGAAERQHPDWTLRYAKEQNPMSACSESAHEPEYDPVYLQNAMDGYDWLANSGMRN AEGLYTDGFHIRGYAQNHSKTECDERNEMIYTYNQGVILSGLRGLWEATGNLSYLDDA HELVANVIHATGWTAAKIAPFSSSHSSTSKGERAGAGTRGALGANGILTELCDPSAAC SQDGQTFKGIFFHHLTAFCAPLPTVPARKGKTHGASYEVALLHRNSCDAYAPWVVRNA RAALATRDAEGKFGMWWGIRDDSEGESEGDGAALPSAALDYRNDPRVLGTGNFSATLR DASGETIGKRSWTDDGDPNERGRGRTVETQGGGVAVVRAMWEFLKWRGDYDDQMAALE L CC84DRAFT_1126573 MDVEFNAIEVPAEANPLTEGILFHVLRSASSTDPNQIQTGTKQL QEWEKARGYYPLLQAVFLDKSLPYEVRYLAIIQLKNGIDKYWRKTAQNAVNKEDRNTI RVRLLESAVNEADSRLALQNALMVAKIVRFEYPNDWPDVFEQLIQFLRVSTEPTAFRL QLPRALLLLLYIVKELSTGRLRRTRENLQNIAPEILNVLGTIYVSKVQSWQKFFREGG DDEGGALEDIENSLIVIKIIRRLVVSSYDFPGREKDVHELWTLSRTFFGEFIPYVQED STLAPPVRRLVEKHLMQLSKFHYFMATTHPADFVLMPDSIDLVRAYWGLVSRLGETWG SKSVEAAKIGSDGDEEDDAPIFERLGLKGLLVVRACVKMVFYPVQTFRYRQQQEKDER TKAVQMVKQELLTDDLVREMVSTLVTRFFVFRPSDLRMWEEEPDEWEKMEEGAEDWEF AIRPCAEKLFLDLAKNFKDLIIQPLLQVFQTVATPDNEDVLFKDSVYTAIGLAADVLH DHVDFDAFITNTLVQEAQKQKPGYNIIRRRIAIILSQWIAIKIAKDNKPVVYQIFEHL MNKNDPLNDLVVRVTAGRKFHQIADEWEFKADNFVPHAPAILDRLMALVQEVELQETK MALLNTISIIVERLEHNITPYANSIIALLPPLWEQSGEEHLMKQAILTILSRLTNAMK AESRSFHVSFLPIIQSAIEPGSDTQVYLLEDALDLWSSIIAQTPSAPEPTSPELLNLL QYLIPLFSMDNDTLRKAIEITEAYLLLAPAAVLADNFRPELIRCLSELLGSLKPEASG AVSHLMMCIIRGADDLGGEQATKVLVGDLISSNFFAKVMQGLHGAWTHHQSHGPLREM PSRAVDGVVETDYFSVLARIGLASPAILLEAISSVGGVELEKTLHWLLEEWFGHIENI GDPPNKKLMALVLTRLLETGAPWILGHLQSLVAMWTDVLGELLDGMDDKTADSLYWPE EPNPYAPIEPEAPEDARKRILLYSDPVHRLNLVTFVREHLQGAIQTVGGEARFQEDWL SRVDKDVLKGFGDLGIM CC84DRAFT_1167402 MPSADFAAAQERLAARRAQRARKLPSSAPPTTRLPLGSSILSIW DAIKGREGTRPAFRVGQVDAELLDDELLGLLKGQVGEGLKYFGQNIADAWAPEILLAL RVVLFKLSIWNNSASYGAHLQGLRYTDARSTAPDRPPPAKWQKIAYGVVTVGGRYAWT KWEEYLLAASEDYSRPQSETLSLASRITEYLDSAHDIASLASFLVFLVNGRYRTLTDR VLRLRLTPTSHSTSREVSFEYLNRQLVWHAFTEFLLFLLPLVGISRWRRILARSWRKA RATFLALLGRAPAPGDTDEDEAKPAGELGFLPERTCAICYRDQNPTTQTEQDILSASA GGGGVVGSAATDITNPYEAVQCGCVYCFACIAQRIANEEGEGWTCLRCGETIKECRPW DGDVLETNRQSQSQSSGGNGKSVGFVAVGGAEESGRDEKAGLREVDPMPEEEEERGEE DSFVEESRSRSLDWGQALDESAEWARASDEQSTEQDNQSEEYDEDEQEEGEELDYDQ CC84DRAFT_1189587 MTKKRKRYPDLNQKLERPWCFYCERDFDDLKILISHQKAKHFKC EKCGRRLNTAGGLNVHMTQVHKEQLNQVENAIAGRGGLDIEIFGMEGVPPEIIDQHNQ QVTAAHFADEAARQLATGNPTRGSGLNGATKRAKKNETLEEIQERAEKYRVDRANGVL PLPVADVQLEPTPPAAQPFAPPPGAAGYAPGQFPPGAVAPVRPGSIPGAGGLPQRPPF GAPPPGAFPPNGAPPGTDFTASLDDLIADAQKPPTAEASAEKKSKKDKNIRLVFFDEI VSPEEKMAALPRYAEFARV CC84DRAFT_1208376 MASLLESICYPPPVDPFAKSDHMTLSEYLWFCEILRQPHNRPRR YRFRSMRPLYIRIGSFESLECPREPERKAFGSRRSTVSRIARSSDENIRTYSHRCDTN EWEASARHPVPFLEQTINGACGFYPILHALSHGPPASMLGSNSFLTDVLVISNEMSLA PDNYALALELNKPLEEAYTDIAIQGDSVFASEEPAIRYICMVKSFDGNLYHLDAKRNR PGNLGPSTENVLSDRCLDHVNRVIEATTGKKPITMLGLISGQRSKERKQKQVPQP CC84DRAFT_1179265 MAMENYYHTSSSRLGLTMAWSGSVELTNFPLAYFHPPKPSFASK SSHRSSFQSKNSFHPSSKMKPSYIFAVFATALSVNALYFPKRQHDTKPAKPTVQLPYH FMQGEPIEVGPVYNEYKTATPAIPAPQTPAPTPFKSEEEKSNANGEYWRNIYDQRLRV MIDANIHIPLTVKMDWSSLTYRDRAEKLLELVAFGGGADPALERDLEAPVESPEEQVQ CC84DRAFT_1179266 MRYSLLAGTLLGLEAAALQLGLPTAGELAQKVQATKSRHSALKK RDDVDLSVLYPPHNLSVPIDFFHNDTRYEPHTNDTFNLRYWFDASYYKPGGPVFVLLG GETYGDDRLPFLQKGIVHQVIEATNGLGVILEHRYYGESFPYPSVSLENLRFLSTEQA LAEVDYFARNVKFPGIDADLTAPNTPWIAYGGSYAGAQTAFLRVVYPETFWGTIASSG VTEAIYDYWEYFEPIRQYGPPDCISATQSFISVLDGILLKNNTAAIKQLKDAFGFGGV TNNQDFANIFTDIYGWQSTNWDPEVNSPSFYNYCTNITGSLNFPDLEANRSTAASLVA AAGKGNDTATVDAVLNAIGWYGRKLTSQRSSGLTQDQYFTALNDTQWEDDSLDAANAY RSWNYQVCTEWGYIQTGSGAPADIQPLISRTLDLEYLTYFCRASYNRTEPPDVEKVNK YGGFNTSYPRLAHIGGNADPWRPATPLWYPDAKKDSIKEPWLLISHGVHHWEENGIFP NETTPTLPPYPVIYAQQYLKNFVIDWLSDWEKPGYFAEL CC84DRAFT_1009733 MHNSNAIKRRIHATICFQTKHATPNQTEPPTAIVETTRTLPRPL ISVNMRTTALLTTALSAALTTARLTGLAAPSTLAPSSPFNLTLITENYIQSVADVSIA WGFSLAPGYPLTLGSFTSSSYLGPDKSNQLENVTIAATAPAELEEWKGKEVVLAGSLF SLYGASGSPSLTNFNVTILVGDETSEELIRSD CC84DRAFT_1152721 MPEFSSIAMIGCGSMGGGMAMLFAENGVNVSLSDPAEATMDSLI DKAEKAGYHGKLSKYTDYKSLCSSLSQPRLLFSSLPHGNVGDKVLEGLMPNLSRNDII LDCGNEHFENTERRQKRCTEPGIRYIGCGVSGGYQAARAGPSMCPGGDKSALEEVLPL LKSVAAKDKNGKSCVGIVGTGGCGHYVKMIHNGIEHGMMSAISEAWGIMRKMSLGYEE IGYIFAKWNGSGELRGTFLVSIGSDLSHKRESGPTDDRTSGNHPLVISEVLDKVVQDI TGEEGTGVWSNTEAIEQHIPAFTLNIAHGFRLASAYRGSREEANTTFAGGFPPQTLEI PDRTAFLEDLRRATYAACVASFIQGLNTIAAADKAHGWNVNYAEVWQIWRAGCIIQAD YISDELLAPVLRAPNANHSTINLLSNDKAASSLRHCYPSLRRVVAKAVETDQVAPALG ATLEYFKVVTGTDLPTSFYEAELDYFGSHMFDKKGDQDKEVKKPMEGKHHFEWKPAVS QNDTYGYIGTKQ CC84DRAFT_1009928 MDERNQYKADQSCTRGLISVTCMGTVCGRRMTQGVLHTQHPYRF LHVSRGFKFRTPCSCQSSGIPCQFPPSCRKAYLAPLSPADVTLLGCDHIHDPSLRHSG VIITIVNQRIY CC84DRAFT_1098809 MADTEMDIDQPERTSADPKASDARTAAGATAVRSIEGWIIIVTN VHEEATEEDLQDMFGEYGTIKNLHLNLDRRTGYVKGYVLIEYPTLDEAKAAVRDGNGL ELLEQKVVVDYAFVRPPPPNKGRGRDQGREDRRGSGRGKGRSRSPGREGDDMED CC84DRAFT_1009945 MSSAYCVETQTSIFRRIRFFVFDELVHRLEEHTVLHPARVIGER RPLRYLVQPLRQSLAASGYIVEAGLALHLESLLNHSFNAGAANNIPAWCLKSLAQCCG VVFFDAVFASAGGSFGVEWEGAGEGLVGVAGEEIAEFEGEHNGCGLRCASREERAFGT GFGDARHKIDGFVCGEIQFGHDAV CC84DRAFT_1253075 MHWTTSTLVALAFASPISALIRFQCSQLVVERLDPLVTPGQVPS PHVHQIVGGNSFNATMAPSKDMPGESTCTTCQFSEDFSNYWTAILYFRARNGTYKRVP QRSNAGFDGTTGGGMTVYYMTDPLYDSQPKSKVSAFKPGFRMFVGDINARTKEQAARF RQLTYTCMENAGSREHEVVHFPQKPCSYGIMTSVRFPTCWDGVNLDSPDHMAHMSYPE SGTFESAGPCPSTHPVRVSQVLFEVIWDTSKFNDPADWPEDGSQPFVWSFGDPTGYAN HADYVFGWKDDSLQKILDTSCYATSCGGKQQSIAEMNKCAGLKKTVDEDIGEDTWLTQ LPGGHAAE CC84DRAFT_1208382 MDVAAQYNPAMTYPPHVVVVRFKRIRERVEGRKPGKVHVSCHAS GCEEGKDTHPQILHLEKTFSTFIPLAMFRENIVLALCAAIASAYALPSSFQATNPCPT DTEMACFDVINSSLCLSQNASRNGTAAQMAACVDYPGGMSDLPGSSKIVFSTVALEAH ERPGAKLGFNNEAKILRDVSHTGHSLLAGSGKDWCLKSCLGGDIVLRVLLSVGAHACL EEATRRFKSRVEQGGCGGSASVVYQGISPDNWTITTYAWSDGSFTNIAQRELACGTTN TFLGATGRWYTVTSYYLGDNGRKVASEAPLTNMCAAEDKDCHESHKPDALILADGKNW SHVDVSSDELIELLEIANDATTAAA CC84DRAFT_1253079 MDTANNMTIMPFKLQRWTQPIPADDRTCYVCLREFGAADEADDP ACEALRPKCGHYVGSTCFLKLVQTGSPMVCFCQTPYPRAEPTFVRKLAVLLLWRLFLV ELWVFEPIKRYFDGPSPARAARIEHFSSQLARGEQVFDIEMAGEVFSIGGRVPLAIVL MFNMPFCLFFRILTFVLEGLPLSTYAKAWIPRGLFGYQVANAACFRDLVFSLFIALVA AKMYEDIGMAEDNQDLPVGHVQVMLHRLLTSLQVLIYPVHIVFFSRTVYLALQISDYR LILMYCVSLLLAFHVGLYKVLSSRSFYKPSMSAGIYVVVQIRRTRKGIRNIVFARKLG TAIPRDWKNQLAYPNCSFSTVKPGSSDFLYCLLPYYNLP CC84DRAFT_1009963 MQLILSSLLLAVAVSALPAEPMLGTSGLRANIRARQAKVIPVVV GGPQDTFNPNSVTAAVGDIIQFQFSNGNHTATQSSLEAPCTPLNGGINSGHIPFKDGQ TTVGTFSMVVSSTDPIFMFCSTGPHCQEGQVMIINPTGAQQILDFVKAAQGTDKSVEG TAIAGGTTSQIELTNAAFVPAPAGAGGPPGGAPPAAAPPAANATAPAQRNAPEAKNGT AKEGEKKEKRQPVLLAF CC84DRAFT_1167412 MGIRQFLGRVVATVALVSVVVALPTDSKSIRCGPAGVNLRDPPT FEDATPDPSWKPIPTGWIFKPWYQVLTSNTQVAQLRNFQWDPTPVTSSDPNGPINDLS SFQIPNNDTVFTSYGVATPNTSYVNVYEYRGTGILENATSQYSWLGWGCDGFGKSYYV SYATAAPASGTPAGIDFMSTSEYGLDENTKNKLVEVLKQSGSEEVRKIAETFVPNIQD GGRRGKPRIRECDDECKTNSDLIGVIG CC84DRAFT_1167413 MPLDTSTYSLALLRLDGRRWNELRNIHAQISTQAAADGSSYLEM GNTKVLCTVTGPAEGRQSGQRGGRDNEAKVEVEIGFAGFSGLERRKRKNDKRTSEMQH TLETAYSSLLLTHLYPHSTITLNIHILSQDGSLLAACINAATLALIDAGVPMTDYLVA CTAASSATSAESDNSDPLLDLNNLEEQELPFLTVGTLGRSDRVSVLLMETRVRMERVE GMLAVGIDGCKRMREILDGVVKEYGKRFS CC84DRAFT_1152733 MLRSNGGKGHERALRAFAESEMSSEEEDGLDSVQSARKDLDLSQ YIEGSPSPAPHFRLELTQSQTLQKQPQDTDMGEPLDETDEEVQEVAKPHPKQRNSNSK AENKKTSEGALGVSFSFEDWSDDNGKADYEAFKNRRTAKRKRVAAETNRLRAQKKTKA AQATSIVGRKPLPKKADRDTMFSTDTAGEDVDRQETFLDEPIPDYIEERKGKLKKLHG AGLRYPPSYEDIDFLEPQREEKPVMDKAIKTQRAKKDIVLRASGALIPAPIAQWLREY QVEGVQFLHERFVKQTGAILGDDMGLGKTIQVIAFLTAAFGKTATNHDAKRMRKVRRF GDDRWYPRIMIVCPGTLMRNWEDELEKWGWWEVYRFHGNPADRKGVLNAARKGMLEIM ITTYDTYRNNESDINIIDWDCVIADECHQIKNKNAEISKAMNKVNALCRIGLTGTAIQ NKYEELWNLLNWARPAAYGSAQEWKQTVSLPLKMGQAHDASHAQLADARSKAKELVNK ILPSVFLRRMKTLIADQLPKKSDRVVFCQLTEVQADAYRTFIESEKCEFIRMAKQECD CGSGKSRGWCCYQTVPSEGEKWSKFMFPCMVTLQKLANHLALLLPISTDNNEKQAKDV ENLQLACPDNWPDLLRIKDSILKQSQREFCGKWKVLKRLLDFWHSNGDKVLVFSHSVR LLRLMKTLFDLDGTKYNFSYLDGSMSYEDRAKAVADFNSDPNQFVFLISTRAGGVGLN ITSANKVVVVDPNWNPAYDLQAQDRAYRIGQNRDVEVFRLVSSGTIEEIVYARQIYKQ QQANIGYTASDERRYFKGVMDQSEKKGELFGLENLFTFQESNILLRDIMTKTNVAETK AGVGAMAFDVDNSQLDSDDDDDDILSNTSLGLTDDDISIDSQMKKIVDSFTSTTSSSS RKQKFGKKRAGPDPINAILAKAGVQYTHENSEVIGRSEVEARLGKQAMELRNDVELGR KRVFGGSQSQSQHPAHDPDDAIDYSGGDSDDLKVLGEARADGKEFKINYRYRPSETVR RRQFCEMARMWGYDDPVEFALLIEGMTQDERRKTLAKFYRSRRREFAGM CC84DRAFT_1098869 MDQQVARDGQNYLTRVDTPVSTPPPTPTPATAAAQDLYREIRSI YQQLTPLKTLAPCDLVNALLTRLVSLCIQSYSLEVVDDFYQIDAATTLCHALQNLCAI AEGELERHWAQKILQDADPIQTRTLLTSFPYHANYLSLSHLEASLLTPFLMRPPSNIA FIGSGPLPLTSLCLLTRFPTARVHNIDRDANALAVSCALTAKLGHTERMTFSCEDAAN ATDGCVAWETLDVVFLAALVGMQSSEKIGVLRGLRQRLKVGTVVVCRSARGIRGVLYP VLELSGELQNAGFEILAEMHPWNSVVNSVVVLRVKA CC84DRAFT_1152739 MPSLGWLITLSTFILLGSVVYQNQQKLLEPITSSLAFKVLLQLF EETHCYVTVRTNSADLPHAECFSVANGKFKRVFLDETSMDIVKERRKGHVIPGLWDGH GHLSQFGESLDSVDLFGTKSMDEMKQRLVKYKAGHEDAGTSEQWLRGVGWDQANFKGK WPVAKDLDIDGQFKDLYVMLDRVDVHCIWVSEKVLSLLPNPLPEIAGGEVPAKGVFCD NAMDIVMKYYPKPSKERRTQWIQDAMFELNKLGIVGMHDAGVFPSELKLYEELSTSDA WTVRVYAMAECETRNTFCAEDVTKISTPNGNFHMQSVKLFADGALGSWGSAMLEPYSD KKSSSGTLLVNATTLSKITHEWAHAGYQVNIHAIGDLAARLAINAFEEALKTLCPGST LRECQAKYRFRIEHAQIIHPDDQQRMNEIGIIPSIQPTHATSDMHYAETKLGKRRTSE EAYRMRSLLPLKPVFGSDFPVEPADVFQGIYAAVTRRSPKTGLDADGQTKGWYDDETV TLEDALEGFTVNPAYAAFLEGKAGVIEAGAYADWIVLEEPLETLDTESLRNIKVKETW VGGKMVYRAASPMEAPW CC84DRAFT_1010104 MATNEVERLREQLESLQRSHDILVKSLAIVPGGPFVPPETPTFQ RQATRPSLAFADASTLSDTDSEEDESYFVQEELPSKSFDHEHLRAHLQNHNWKEHGRE ILAPLIKDPGKLSKQPHLFHLGPGTPDDRSHYSHFQVYDVGPDGATELIETSGSHSTN TMSRATEIWHSIRNIGNSTDKPTVGRITIAREPAPILFGALHLTLNDTFDMDELFKHL VQTEASSAHMHRAFKEDQKHQKTFFFTFEYYTILGEDCKPMDWQRADKAYDPKGSHLP LSRCGAVVALALYDPKPRRIRNRGRRAKTQYGWVQDIWSPWQVLQLECFPDWRATPDT FESGNRFLNGPEAFLHALLTEYRDARKRYEEIYTRITELITPPLGFLFDAELRDQRLF EDRKFTWTRRYFYAHQTLGNVNDSIKSMIDAFEDTFTDEVWEGKSKTLWPLYEESPRN DHWKRRLRTLRLAFEREMKELRTLQRENNERRQEVKSLQDHLFSGTSIQESRKSVELS DITVQQGRNIKLLTIVNLFFMPLTFVTSVYGMTNMPGDPSPYWPFAITLAAVCVPFFS LIGFLSTDFGYRIWVRKTKSLYRWLRPKAAGPPQEDDEAFEPRPVDRTLSTEEGMRLR MGAGPGGKGNRERRPTVDSGKGRESLSHPNIRRMVEAMGEGRQSGLVRMGNVTREKEG GGDAVVDMKGG CC84DRAFT_1126611 MPHSEYDTEPRIDTSIKHGDWRDDLYRDGYYVVKGVLPTEKAQS YVDRMFNWLESFPYGFKKDDKSTWNPKHLPDHIKGGMFYGYHCQHEKVLWDARTEPAI IDAFAKLWGTSDLLVSFDGMNFTLPAEHPPSEPWPHVDQNPIRKGMQCIQGILNLAPN GPTDGGLLVMKGSHALNEQFFKKFPEKVGRGTWGSIDWFGFEKDEVTWFEDRGCELVK VCADPGDLILWDSRTIHYNKLPESQNLRAVMYICYTPAAFASEEDRRKKVDYYNSKLG TTHWPHANIFHQEDKHLRLGKPDTYSRDAPFEEAEETELVLKLAGVKAY CC84DRAFT_1179280 MALASVGGFLFGFDNGWWGTILGSQKFLHDYGSCAIVEGVEICN LSTSQKSAGSSVQSGGISEAPQPFDKRVQLTSAPAVIACLFSVYLNNLLGRRLSLIIT GFVSIVGVLIEITSSAGNGPARFGQFVAGKVIASIAMGLAANIVPIYLSETSAGERRG FAVTSYQNVMILGVILASGVVYASSAMSTKAAYWIPIGMQLIAPSVMVIGSPFLPESP RWLVWKGRNEEAKAAAQKLFSTPFNDFDAADYVNAIEIAIDSDRAHEMASSWRDLFRG PDLRRLLIAVGIQSLQQAQGSSYMNNYVVSFLQSTGVTNVFPVIMGLYTLYYFAILTG HFLPDMVGRRPILMSTGAFCATCLITVSALVVAYSNPPEAAQKASIALIFLWQIGFGI QSPLIWIVTAESAPTRNREKVQAIAVFLGFGVSLLIASVSPYLQDAGYGNLGGKIGFI WGAFSVVTVVWVFFMVPEMRGFTIEQLDFLFNTRIPTRKFAGYSFATSEGEAVFGSAE DVQEELGEDDVKAAVVATHKAVKRAL CC84DRAFT_1099175 MLPSTPTAGGDEESLGKSNDETSSQTTVQSSSRSSRMDPRIISD AIIGLSDGLTVPFALTAGLSALGNTQVVIYGGLAELIAGSISMGLGGYLGAKSEAESY SATQVQTRKKIMADPTGTEEDVKSIVEDFGLPEELSELVTRKLVEGNEERLEKFLMKF EHSLPEPPSNRALVCALTIAMGYFIGGFIPLLPYFFVGPNDVQKGLALSACVMVVTLF AFGYVKTAAVHGCSGIGPVWDAIRGGAEMVVVGSLAAGAAMGLVALFSQGSEPV CC84DRAFT_1262306 MPQSCKDIRAALAFCLQNSDCIMVERNKPGDCLRPPLKYTLPTQ CQQLQKGYAECKKGQIDMRKRFRGNRPISVAGQLETGAFGEGRAEKDEKQGTIEEKGY MLYAGRPYKGVQESKPEEQATSEENK CC84DRAFT_1152753 MPLRIPFLGRLHVREYFVLALSIVLIALESIIALITVAIPTPII NIFYRITRRLFNQLSSPKSRRSRQQKKGVWSSIANAPGFGELCELYGYYAEEHVVQTG DGYLLGLHRLAHRRGEEDTRVNAGPGKGGLKKKVVYLHHGLMMNSEVWVSLTERERCL PFELVERGYDVWLGNNRGNKYSKKSVHCAPTDQAFWNFSMDQFAFHDIPDSIGYILKT THQPSLSYIGFSQGTAQAFATLSIHPDLNEKVDVFIALAPAMSPPGLTSGIVNSFVKT SPDIIFLAFGRRSILPSTTMWQSILYPPIFVRLIDASLHFLFGWTASNITAHQKLAAY PHLYSYTSTKSVVHWFQIIRNGVFQMYDDDAPSLMSNKSKYYKVAKFPTRNIKTPIVL VYGGSDSLVDINVMLKELPRHTIAKEIPHYEHLDFLWASSVDQLVFPHVFEALEDYAG LAGEEAPSLGHRKEKRFRSPGRYKGLLPESGALPGPEGDDAGDESSSSPIALRSRKHS QSTRKISFTDPPPADVPQPQSPSAQKSRIPHLASSYASAVERTHSPSDRTTTFPPPAA KVPIHTLESASPAPSASQATAHSSTSRPEGWWSSDEVGGTAQSGPTTPERSAPQKISK KQSLDSVRSVQSGKVGGVFGERGITLGVGKAVSGLVGGLGRGESLESGESEGGKRSRG RVKRGSA CC84DRAFT_1152754 MHTHHIEPSSSPTFTNPIIRGFNPDPTICVVPGTAQEPTRFFLS TSTFEYTPSCPIYTSTDLLNWKLIGHALTRPSQINLRTVEPGAGSWASTLRYRTSEKR FYLTTGVFHRYRPATDERIFPRGFYVWTDNIFDDSAWSDPVYFDNPGFDQDLFWDPDD ADKAYLSTTVRVADRNPASKLKDFAIHISQIDIQTGRTLTAPRVIRQSEFGVAEGSHI LFKAPYYYLFVAEGGTEAGHQEWVFRSTEGVYGPWESQGKPLWYNGPDEEVQRTGHAD VFEDGEGRWWAVFLGVRPLKDGEGTWLEPQLGRETFLVTVEWVNGWPVFNEGGNVRLV TKGRDAVVQEIEEKRAGEAPVKWTADLSGEELELGWYQRNTPLKKCYELLRERPGRLR LWGNCYNLSSPEAPAMLLRKQTEYRESFHAKLEFEPNRPGYEAGIVVYWSPFSYATIG IGCVQDGDSYLKTIVCRRPEGQAGGFSVIQHGPFPMDRTVPLGVEAHDGVYRLYAKYG DEEYVPAQKSTFTSKELAITPPVGMSFTGAMFGLYAFGNWEPVLVPADFSDIHVTETT LDLEIADFSSEELHRKKE CC84DRAFT_1167422 MRRPELLREGVSTSMMMKRYCRLPLSPRGGMDVPRQASGGLRPR RTAAPELIRLTQACYTA CC84DRAFT_1179285 MEQQLKPTTLLGSRSACVCTSVLPRGAFQPWEGRFLCPRQYQLP VGRTSLKPLRAWWSSERRWPPHHQKHRYSARLPRLHDLYRPLELNGSRSISPTNHLVQ RVRHSSQGHCLAPHERELSGTAVGRKGRGGSGRGGTRRITHSKDDYWATAILSPRHHC SERICEKDPRPRIIFHPAGHVSVCKTVHNGCRGNLATFTTNTTQGVRDFIATTVVIAL PEQLFLPYSLSLMLPCIRFMFTIVAGPRPSASTPMIAAQTFALPRLGSLCTTSRHFGI RSSHTHARQMHCNRFKDAFRAPCCQCAIGPDSSLSLRSNRIALEDHLVVVLGVAFHFI SSLSETAHLPASPTSSPTACFVSPSPCCPTFYRCRDEVVPISPLILARGASIQTSLPP SLSHTQEPRVGRCLFSPRLPVATRHCQRTTLSGVCTFAVEANYSLPHDAGMSTHNRML PTLCVGYTLTITTHSYPSYAMLTCHGLNASSCSLSGTALGCVVFLVDMHTLSTLRLSG VLPGAFRRFMIPFEASPSVTTLSIDSLGAINSTALEHEQFNTSTASLERFMTSPIGLH EHANGDIRQCGPPPRTVWYCCNCGDGPHNIKLDTGCSSCGQTRCGSCTTGSAK CC84DRAFT_1062601 DQKMGQLSSVVMPLIEIGALGFATYVFVYSLCIQYLLAPSSELR SRGIQPRKGAAIALITIYVLLVLIILLTWLRMLQVIWTNPGIVPLGHPDSEKQDVGWM GIEKYDAFISDYEGNPQWCDKCHNWKPDRAHHCRELNRCVRRMDHYCPWAGGIICEGT HKFFIQFVFYGALYTTFSWIPVAIFLAERIRVLGSKPGIWIAMLPLCGIFMIFTVTMF FMTFWNQSINYTTIETLQRGGVHNISMLVTRRDPSTQTSRRNSSSHNAREKPNVLREV TRSDGREYVVFQTQPYVNPWDIGSMENLRTIMGNSIVQWILPFQTSPCIKRDDVRGQY GWSREVIDMASDWERDHPDRRVVLLSHTRR CC84DRAFT_1099153 MTNVAYNSHAPPQPYNSYTFQSSLGVDQNSFNRKRRGNLPKEAT AILKKWFADHRDSPYPTEEEKLALCQKCQLTLNQVSNWFINARRRAPGREQRDVREHT ENFG CC84DRAFT_1179288 MRIEQSQMPDRITGPHRKCHRVPPLFSYAGPIQRSGDGESQVEA VTNEGCRATQTGDSGCGGTASSGLGRDGALVEAAAGPSEGLPCMFWRAGATATLLTSL EQPNNGRQEHPGVSRNPARRGHRDNGQGFPGSEGWARGEAWPQCNEETQRWGLKPSWR GAKTPDGVRCLHLVLQRQSQRRQPRLVQVAIQSSWRGKETPRACPPPFRRHVVACGRF GMLSRSRGRAVVIVRGIWRHAPLAGYNALVCKGRFAQKHAGWIWDLPDGNCGGGRVDA TGLTAPARWMAQAPGLGCPHGELLEDMTDCQAVRLLLCNAGERRTGAPAAVALQRTAW PRGRAALEQRDPVARVVGSVSAGAQLGRSRLLWSSGCSEVVSASVAVGRREDPMAVPD VPHTAASGPSPSRSRSLAGGDRRQNKGPPRHEGDGAFWILQRTTMRRIGRQRGIREPR RDWPARTHPCAHHAPLEPRVPTPASAHHTPRVSPLSRHISGQRPALCHCCCSVCRAWA VPSLHRHVSVSLPLPSALCLPQPPDLDQTGRSVTTGLRRGAERLAGARRLREPLHESI CLGSAALPCCFGGAWHRCRSPASNAI CC84DRAFT_1167429 MCICDNTFPERSHLPCGYSIDEQREDTSAISFRTDPNNAILTPF LSAFAKAAAHMPRLIEALLWAPLSFIPDEATNSDDEYEELGEQGLHYRPRRRSSYALE SIARYPDAALAWGRLTSRRRTNS CC84DRAFT_1098826 MPFCPPSLNPYVDRSLVVSRYSPYYHHQHDSDPESYNQQPSLHA SRSLPAFALRLQDPRIKCGRNLGWNIDWAVAFLVNHLDPQNSPNAANVLENIRIRAST LEKEGHALEIPFRIFNKLDEMLFAGHLKNAVYLELRKLHPDLSGATHTHGWGLDLKVK RVSIYLNKDALQQARSGAIIGTLIHHMIHAYFLIACGPQAEKETAYGRLAHGLHFGKI MATIKKLSGGNGRPLTSLEFGHTLSKTNRPFYEEYYYQQRKPYHRRRGTEKWYCSHCY SDVAALSGAEIDAWYDTVCKPLLALPETLRSSSVQIYNPRQHILEDSVLVPAALLENY CSIHRAFEKGGCRYLELNELLDADTVLRFFELLYTGSYSPDAKGVLSLGGKGPPVIKS PSAGDPLLLADIRMHKMGLVTGFDELKGVTLDRMYKHAVTYEDPVSLLAELYGCGEPD ADLKTWTRKFLGRAPQGEWGSGSVAGEPSNLAKLECEMLGWKARFYDLLEGSSALKYE VGRVRRELLASGMYAPVPGVGALFWAQLGMLPRGLGAQLGLGLGVRQGGYLGLPWVTP EVDIERRAAAAAAAADPYLTKGLAYADDYNDVVPSWEPW CC84DRAFT_1058188 SVKFEKETVTKSVEGLAGAAADAAGKGKDDLLKNVGEAVTKGGM NGYLAAYLKHLQENPLRTKMLTSGTLSGLQEFLASWIAHDRSKHGHYFTSRVPKMALY GAFISAPLGHVLISILQKIFRGRKSLKAKIMQILISNLIISPIQNSIYLVSMAVIAGA RTFHQVKATVKAGFMPVMKVSWIVSPISLAFAQQFLPEHTWVPFFNIIGFIIGTYVNA HTKKKRLAALRRKHYGDGSGRDLGGRDYGRDVSGRSVAGDRPGEYP CC84DRAFT_1179291 MRVDAQRSEAQSARVYARCGNYRALGFLYVCRQERDVAYSETHT ANSPHGTRSTKSDLRREMEDVGLSESIIATAEKGLYTDQQLEKLKAKKTELNGVVARS LRAEQMRDTIVHVPFNDTFEALETVPSCQVVACHACRPYFRDRISMSFDAVFRDEVLP LQPTEADSLPVKSAQIIRNISCRPTPAPLRKTIEENTPTTLLVSSCSPDESPSTPSQS SMYTYKTTQSEIDTLNTTRHHRRRFYKMGHRSSGELGRDLSRQMPLFFRQGLKEAFKG IFRATARDSSSSGSNITLPMPHTAAARDTADVTAMGEFDMGALRRVKRQKDRFDLRQG HGNGVGASEQDAQNCVLRRDMSSRSDGESDSSGSMASVYSCVSEGSEVEVDGGVALTE EAVETHTPDIISNPESIMTQV CC84DRAFT_1152770 MLHREKLRTKTGCLTCKNRRKKCDEKTPACKRCLQSNRNCLWPT SEELLDRRFASHQRSRYALGMASEDQDILAKAFVGSSLVCRLSRPVVLGAISESLEGF LVRHFVQKYYRSLVLPNCHPRFANDWISEMQRLMRDWKSVRYSVLCNAASNVHLIESN GQMQELALTYYSQALRGLSDYLSRAELLANCNSILISMMLLCLHGCIRRGTYFDIPRH LTAATRVLSVRLSKQHRVITNPFDRFSLECVLYQIFLTSTGLWSDDEVNHRLDFHPDL DFWLRAERLLHQSNVFPDQSTSTNSPALGLPVRLLRLALTVKQMYQRAVSYDAATLND IRSEMILWEDLVLRDQAPFPLSATSPQNSPYSNASHLYILVMSLLSNQLSLGSTADPP TVPLPADPESWQIQEATRILRVHANDPAWASSFIGTWPVYTLGFFLVRVEHVQLVRRD LRRRWEVTGFNQVARFCNDVERTWGERGMLGKDGLGRVGMCWRGTYHKAGGKGRGEGA GPR CC84DRAFT_1098811 MGKVHGSLARAGKVKSQTPKVEPQEKKKTPKGRAKKRLTYTRRF VNVTLTGGKRKMNPNPGS CC84DRAFT_1013941 MPKKHQPTYASTKPTYVHPSLQSSRNAASSSTPSPQTVNERIQQ LRREQAPRATAQRRDEVSEVVSGQRTVPPELRRILHMAEVDAPKPKPGRRRMHGERPP PGPAAPTSWLSKSRWAPDYARKPKTFDGHDNGVGRFCKLASVHNEEFKRLPPARSLIH HCLRAFALHWEEVAIYEQHYLPALPFALKEAMLSYLSLYGERSCLDFKTFKILFQSDS EVEGASGSEDIQFLDLTGLLNEGYTLKDLEKSLQRSGLGAAVTSSMAGLSLSPSNRQD KAPIEIVDSWEDEAEDGALSVLPPTLTVPIFPNLTRLSLGHPGTAASWTDLLSLSSHL KTLTHLSLAYWPRPSTTPNAATTSMVARHATVSLGGSHFYSDLDDDWHEAANILRRLS LNTYCLKWLDLEGCTWLKALTWDLPSNAAPYRSAAVMSGADEWVRPSSSPGPDWNGAW RQIEYINLFQGWIPSDTKSLQNMPAGVVPVQLMRWLRENGRRSGVKQVLGDEGAYGAV EWVEKEKVARSVGFAVQSLRKVGGGKYCRVDYGWGGEP CC84DRAFT_1152780 MLSFKKALVLSLAVLTLFFLFKSTHSSHSPAPYRNANDMPKPTS MQPKPKPKPETKADVAKADKAFDTPDTPKKVREQIPLDELHKRPLRKQLEYQFPYDVD SKFPAYIWQTWKSTPAQGNFPEEFREAEASWTINHPTFVHEVITDDVAVHLIRHLYAS VPQVLEAYNALPVPVLKADFFRYLILLARGGIYSDIDTHALKSAADWIPSDVPSDSYG MVIGIEADPERPDWADWYARRIQFCQWTIQSKPGHPILVDVVANITQETLQRKADGKL TKDTKGIIEFTGPGLWTDSVFSFFNDPAYFDMSTSKGNITYTHFTGMKQPKKVGDVII LPITSFSPGIKTMNAGEEDDPMAFVKHQFEGSWKPESERHIGKIFN CC84DRAFT_1179295 MSSYTSQPHAYDPQPHYESQSQPYHPSQSQSQPQPHHQPHASTS NALTPLPRPQHQHHPSSSSSSSYNATTPQHLTPYDPYANQAPYDPYAAPSPARRPSSQ SHHSHHSTRSHRSHHSHRSEREEREIEKRPSLGDTVVLIWESLMGAFSRRKA CC84DRAFT_1014845 MTCAARRGSRPSLELKWTEEYFTVRRGNDRKLTQLVFYLFICHG TLQRSSPYKQSPNFESCFSCHRRDELPTRIGRASNPKKNSDTHIPRYVQEFMLSITQK RSTKSTPTKNRISGCLAIMVCPGTRNHPTDSRHFTDNEGPRRREIAWRVGAECWLKRG GWFSISPPNRLQEFARSGKVASSKNPRAIYIHPNLSSNDKPSLRGSTNLIQMNSGILT GRIVAEGLVEGMRLSLALLWPTAPRASIVRELR CC84DRAFT_1220710 MRSSTTFLAAAAALLTFAAATPLERRYNECRAPQQWHVCGDGWA GCCSVSPCKGPAIASGCPDEGGSNPPTSTVESTEPSSAACSSAGPTPGNNDVDNRWFD GPSKVCKEDDSDCNWKPMFHTIKNYDEEFAKNSSSQFYAWQDGAIDNSVKRRDVIAVY KDIPDSVKNCSIHWYKPMTGIFYGTYGDGSFNISLIDTGDKGLEEAVGGKINWKNTEK FFEKQTRTGALDLGNWGWSIGDTSLSNSAKLDCSGKKELVVHFALSAGAEGAVIVDQI SEKGKVNGFVQRAGWVLKYE CC84DRAFT_1220711 MAQPTMPRTNDLWAHAATELPDNVKRNINFNRPDKLNILTELHA TAEKSRQKAIESRWKYTRKNGEVVIVRDVLGKIVRWVDTFKQVGDAAVQYDPGYASLP WAGIRFLLQVAVNDIVKYGSVVEDIARVAELICRFALTEELYLQGTSKEAKALELAVV KLYARTLVFLSTAKQYLEQGTAKRVAKSAFLSEMNLSSGLNDIQTAEKDVDRCITLVD RIDNTKNNAKLIDILARIDTPLRRMDDGLNNIHDDLQVSKRTKIIQWLSPEPYIQHHK QTMQGVLAGTGQWLLSDPIFKRWKDDSVSSILWLHGIPGSGKSKLVSVVIEDAVARYK AGNSPQPVFFYCSRNPAEPTRSQPQAILASLARQLSCLEPGKPLLAPSVNLYREKEAE GFASGSLQMDESLNLVLQLIAHYPLTTIVIDAMDECDPRKRHELLKALEKILQKSSSL VKIFVSSRKDQDIVLRLRNYPNLEIDSRRNGDDIARFVNDKVEELVEDGRLLPYSDSE TEMKKLIVDKVIEGATGMYVEDHCHCDRVDPDM CC84DRAFT_1098317 GVPPDEPDFEGATPLWHASARGHTDTVRVLLETNAVDVNGTGLG KYTPLFNPAALDYVEVVKLLLDYGAQQNYKDKDGMSLLTIAHMGGQTKIVEILEARNV CC84DRAFT_1167438 MFSKIAATLSLASAAVATGVSITPHDAYSSSIGVLGCLIDVNRV AYWPMQPDCNNLCKRVTANGRTVNLLQIDTSGGAYDISYDAWNYLNVGASATDQPTMG GGIPAEFEDAPMSECASIIKTPDGKLPLMAANSINTYVGCPAGSWLHDNSALYNIQTS GCKYGYNEVCTLDLAVSNQPSCPHQLGAQNPLSGMDVMNIQYGTGALVASPI CC84DRAFT_1208407 MVPPALVKIVGQDSTNRLSIVAPRKMYGTFPVLAGVPPRVKVLD TYSVSLREPSGATQSNCRVVGDLASFLWGRPWKDSRMCTVGRAATSILRRKSNGAREM VRYVRHEICDSTRSPSVPWRRPAGVLGTEVEIRRCKSGVKVSTSDRVDASVDIYAVEE HFESMKPSKLDGVQHIVHTGVLLIDWSSPFRVKPRPGGRIPRKNSDSTPSPRHLSTMW TCSTTDRFDATSRESLHSDHSYYPYGGTAG CC84DRAFT_1126654 MLRPRSIRPLSRGLGQASASLTKAASCAGRRGLATVVAEEKDPV ELDQITTLPNGIRVATEALPGHFSGVGVYVDAGSRYENDALRGVSHIIDRLAFKSTTS KTGLQIAEAAEQLGGNIQCASSRESLMYQAATFNSAVPTTVGLLADTIRNPVVTEEEV QQQLETADYEIGEIWSKPELILPELVHMAAYKDNTLGNPLLCPKERLPHINRAVVEAY RKEFYKPERMVLAFAGVEHAEAVRLAEQHFGDMEKGTGPSLVGIGEESTITEQPTFTA SHPTPTGAPPQSSKLLSKIPFFKNLSTSATSNASVNTSFDLNFPPIDTSIPSHYTGGF LTLPPIPPPLNPYLPRLSHIHIAFEALPISSPDIYALATLQTLLGGGGSFSAGGPGKG MYSRLYTNVLNQHGWIESCIAFNHSYTDSGLFGIAASCAPAQVAQMLEVMCRELSALG SETGYAVLRDQEVQRAKNQLRSSLLMNLESRMVELEDLGRQVQVHGRKVGVKEMCAKI EAVTVQDLRRVARQVFGGEVKNAGEGSGAPTVVLQEGEMEGLKRKDLSWDEIQTRIAR WKLGKR CC84DRAFT_1098858 MTRTRAETAAPQLTVRNHSGPIPTTPTMASSQADQPTPSEAPAM KDLPSIRFIMHQDPRAARPSLSFSTINRTLPDESAVIKVGRYSERDVLPDVPKDQPSA AAVGFKSKVVSRKHCELWCKNGEWWIKDVKSSSGTFLNHIRLSQPNQESKPFKLKDGD IIQLGIDFRGGEEMIFRCVKIRIECNRGWQQALNAFNKQTHQRLRNLGKAKKDGDNAS THTSECSICLMSIAPCQSLFVAPCSHVWHYKCIRPILNGPTYPNFLCPNCRAVTDLEA DVDDPVDFDEWEEEPAQENGEVKTNGASSQEDRHVTPKASTNALNALAGSHISFGDLE AAISNISISDSVTRVTSESTVDPSTPQRSPAPFASSSVTQPVAINVIAANESVGLTPL QNRSSNSSDGLSPNGAPEGPMTPRNDAGPFVLDGSGSTGRAAGQRFRDA CC84DRAFT_1126660 MSWQPPSDYATRPVTILGAGVLGRRIACTWAAAGYNVHIRDPSA AQLTQCASYFESNIGTYKQKTSSSTTGTISTFADLEPAVATAWLIVEAVPEVLDLKTK TFTALEAHAPRDALLATNSSSYKSSEMLSGLVSPTTASRILNTHYYMPPANMVVELMT CGHTHAPIFPFLAARLRETGAAPYTARVESTGFIFNRLWAAVKRETLAILSEGVSTPE EIDALWTDMFVRGQATPCRLMDQVGLDTVAFIEAHYVAERGLDDEHTVRYLEREFIAK GRLGDKSGKGGLYPP CC84DRAFT_1152795 MPSRRPTYPLAHSFRHKPRRVPLVLRFTKGSIHSIVFIPVLLHS LFTVLIVYLDTRVLESLGLPSTIIPSLSIVVGLMLVFRNSTSYDRFWTGRNCLTTIGT SVRNLARIVLVNAKGNGRLGEAEKRDTEHVLKVLIAVLYAIKHNLRAEFNIPPASLEA APVFDAHSTPVSRRPSYTDRPTPASEDVGENGLVDGDGLHQPKDVYRSLLPDGLRGYE DEGLSLPLQLSVLIESFIRRGVDRGWFHAPLASQMTVQINSLVDAYGRMETIRSTPIP VAHLIHQKQVLALFTCVLPFAIVDDYVWWSVPIVAIVAFTLYGIEGIGQQLEDPFGYD RNDIKMDGIIEDTRREVLTLLAEWQKAQGDAEDEGAHLGGMFT CC84DRAFT_1018407 MASDERPASTTIPSATPKPASSPSKSNPPTRTTPSAVQRIRIQA LRSLALHVHRHDRFLVRLSALLESPASTDALLGTLGYTLELLAALLSRFLTHRLVSLA SSIANKAEDVLLPGETLVATLPTPSSEKLLSQVATGSKALAAVIGDFRIFVRMWGLAG LYMWARGLWNAPLGTEAGAKERAVRRITWAQIGSLVLFQVLENGAYLASKGVLTSDAW SGEAGKTREGAWWVWSSRFWAAHVALELVRVGVLRYYGDGKLASETEKDVVGDGEKEG KLLMEQKRRERWVWWRDAVSNIAYMPMTLHWSVEEEKGFLSDWGVGLLGAIAGGSLLL DAWKQTA CC84DRAFT_1018435 MQLLPLLFLIPSALGLAFPGAEGFGRNAIGGRKGSVYIVTNLND SGTGSLRDAVSKTDRIIVFAVGGVIKITDRIVVSKRITILGQTAPGDGITVYGNGWSF SNADDAIVRYIRIRMGKGGTSGKDAITIAEGSNMIFDHVSVTWGRDETFSISGSDVGN ITIQNSIIGQGLETHSCGGLIQTALGNGISLFRNLYIDNKTRNPKVKGTNDFSNNVVY NWGGGGGYIAGDSAGESNAHIIGNYFVSGPSTSVTAFTRGNAEFKAYVENNFYDADKN GKLDGAALAATSANYGGVAIQPAKFAFPGPSKILSPPDALSYVTGKAGASLVRDAVDK ALIAQVQSWGKTGATISDEAAMGGVGTVSGGAKPVDTDGDGIPDAAETQFGFDVNAND AMGDKDGNGYVNIEDWANSLVPSSY CC84DRAFT_1167444 MSSILKARRKKNVKKGIQFCLMVCGASGTGRTTFVNTLCGKQVL EHKDTEDANTAHVEEGVKISPVTVELDEEGTRISLTIVDTPGFGDQIDNEASFSEIVG YLERQYDDILAEESRIKRNPRFRDNRVHVLLYFITPTGHGLRELDIELMKRLSPRVNV IPVIGKADSLTPAELAESKKLVMEDIEHYRIPVYNFPYDIEEDDEDTVEENAELRGLM PFAIVGSEDVIEVNGRRVRARQYPWGIVEVDNPRHSDFLAVRSALLHSHLADLKEITH DFLYENYRTEKLSKSVEGGDAANSSMNPEDLASQSVRLKEEQLRREEEKLREIEVKVQ REINEKRQELLARESQLKEIEARMNREHSGHLERQQQEQQEADEA CC84DRAFT_1019075 MAENLLDSTRLQLARTLNVLMCSSTLQLSRATAAASIEPSSNRG AGAWAHDMASPWDASPHAGLHHPSLPRLLGTWTGHDACPSFTVSHADLQATPNSASAP APHLAACRPQVTLEYPYRHTHTRS CC84DRAFT_1220720 MNTRPVLDPTQQPFALAAAFNENNTFFSVAHEHGFKVFRAATCE AKIGRDLSGGLGCADMVGTTNFIILAGGGRSPHFPSNKIQIWNDQIQQVSGSLELKAP VLRVRATKEFLVVVLPYQVVAYRMGNPAQKIHTYETAENPFGLCSFEKNIVAMPGAQA GQVRLVRLVDGNLNPRVTLINAHTGHLRAVALNKTADKVATASEKGTIIRLYAVESGT KLGEFRRGIDEAAVFSIAFSPCDSMLAVTSDKSTVHVFDLPNGVQTKTDIDPKKHKYG IFSKIPFLPGPLSDTYSSASTRFEMGDEMGGWSSQSKAATKEVLSKFSPERVPTKGLL GWISNNEFLIIGAGQDARWEKFEVAVDESNNKYIQRKAWKRYLV CC84DRAFT_1099045 RIWHNWRRKNTDGLPGTMLMLWASCAVPFGVYAIVQASFLALQL QPQCFGGLTLIAWGQTLYYGNKWRAWTATVATVGMMVAFAASEAILILTLRIPYYKGV EWPMMMMAILASVMQCLGLVMPYFELAKRQGRVIGIDFWFLLIDYSGAFFSLMALVAQ HTFDALGGSMYIVAMTLETGIVASQAIWLWRVRHIRHEAKKLGMTYDEYVDANPSKKL SRKASLETVADVEAAHPEEPAVPKKCLPRTQEKVSTEEPTNTKTNSAQLHEQTLGAPE KAVTASR CC84DRAFT_1167450 MATGLPSPPFYDVPNLNNLRDAALACGGLKTQDGKSVRPGVLFR SAEVSKVDGEGWRRVNEIGVAHVFDLRSKQEVDRGWAGITNEEGKDAEDVVQGWEATL HSAGVDRTWVPVFKEADYSPEKLAERYMKYLDRSTEGFVSAYRDILTDGGPAFQTILL YLANLSPSSAEPEPKAIGALIHCTAGKDRTGIFFGLLLSFLGVPDAQIADEYQLTEPG LSHIYDTVLPRLMASPAFERYRREHLAGQGEDEGRKAALRMLGAKKESMLGALEMLRG KWGSEESYMRGVCGLGDEELMALRRNLLVDA CC84DRAFT_1198538 MSRIQLASGHEMPPWGSDYGRFPSPQVATNTRQAIKFGYRIFDG AYDYQNEKEAGEGIHRAIKDRLVNREDILVITKPWNSYHSEEYALPMMTAQNEAWGLD TLGWRMEDGKTTWEQLEAAVDKGIAKSIGMSNFQAQFLYEVQTYVKHPIYSLQIEHHS YLVQEELVRMAQTHNIAITAYSSFVKSIASKHNKTPAQVLLRWSTQRNIAVMLKSKNQ SPLAQNLDATSFDLSQAELDSISALDKGLRFNDLGHYLPSHPLHIFAQAFSNIEPLPN MLFVKL CC84DRAFT_1018573 MDIAGQTEEPTDASAKSRQKRSRTGCLTCRTRRRKCDEGKPRCQ NCILKGFECKYAAAFQILGKHNFTPEVPTKAGYKEVQFVSQHEENTGPKETEQTGGES NPKDPVSTQPRQSQKPQNDRSTTASQVTPIQSPSAERYEYALHGLLALGSGNNGGDAT GVSEVDSARMHFTEPGHAVLPNRNSVAIDHFHTEPASIVVGEYQESPSLRSWPSVSAI PSIEAPQMTDERILEYFTHYRYKIAPWLDICDMKQCFGCEVLQLSNTSSPIQLEILAL AEASIGARQKLQGVSGNMLTPIKRSKDPPGDKIQEALLDAFVTVKAVVTSLSEFWNDQ NSVWDTQHALEPLLFEINRDPSGKNGPLAPATFWLIAKLQLSVALMSHGTVRIPLPTA SISASEYVSAGDAERVARYAHDAVALCIDATVFSRGDEDRWLQQQYGLNRVELWKTLV AGFERWYKHRPLDFQPIIELYPRDAPTSEDGFPTLVFSSGATVLANQLYHTGMLLLLQ NKPRFMDRPHSQSPSMSALWHAHRVCGISLSNDRWDCWDPSLVASFLVAAKTVTHQSQ HKAILSTLENVQQLTGWNISQHVEQLAVEWQQANGW CC84DRAFT_1098694 MATFLPTPTTESQFHGLENIDPFLSPATSWGIDEFAHDPIYLAS QEELRELLFTTARSAAPTRAGTPVEDDDISGEPSFNFSVKQILAKGRRVQYLKNYISA VAPWLDMFDSNRAFAIQLPALAKESCPLLFAILAIGARQLERKEKTQSSFDSLELYQE AIRLLTPLLQARDTHVIAACVVLCCLEMFSASAQDWRSHLEGCAAVFDAFGVNGFSGD VLQAVFWCYARMDVCGALISDGAESTLLKPKKWLPPNVSEELAESMFCNASSADMYAN YAVYLCAKACELISERNRFVELGEDNGCNTESFSDRWFKLWDQLSRWSANRPREISPV FTTASLPFPKEFFAHWAAISSNQLYHTACVLLLSASPKNKALLVPSPTTSILWHAKRI CGISLANPHEGCLNNAIQPLWIAGRLLSHSSEQTLVVKTIRHIEALTGWTACWRARDL EQFYGHKTRGVV CC84DRAFT_1220727 MHLADGAPQVNGKSQTEYGQNVTVALKKEEQLEKSSVQKLSKEQ DIVLKTFRLLIADLCQQFKGGHPGGAIGMAAIGVALWKYVMRYAPHTPTYFNRDRFVL SNGHTCLFQYTFLHLTGYKAMTFEQLKSYHSDRIDALCPGHPEIEHEGIEVTTGPLGQ GVANAVGLAMATKNLQATYNKPDFDVVSNHTWCMIGDACLQEGVALEAISFAGHLKLN NLTIIYDNNQITCDGSVDLTNTEDVNAKMRACGWDVIDIEDGCFDIEGLVEALNKARA ATDKPTFINVRTVIGLGSAVAGNAVAHGAAFGEDDVANMKKMYGFDPQSSFVVGEEVR NFFEDLPARGEEFVRSWEKKVQAYEEKYPELAADFRRRVEGRLPENWKELIPAKEAFP EKATATRASSGLVFNPIAQACNNFMVGTADLSPSVNMIWKGKVDFQHPDLKTTCGITG NYSGRYIHYGVREHAMAAISNGLAAFAPNTFVPITSSFFMFYLYAAPAVRMGALQHLQ VIHAATHDSIGMGEDGPTHQPIELANLYRAMPNLLYIRPGDSEETAGAWITAIEAKHT STIISTSRHTLPQLPQTRRDGVSKGAYVLEEVEDADVTLIGVGAELCFAVDVAKELKS KGIKARLISFPCWRLFEAQSLEYKRKTLRKHRVPAVVIEPYAPNGWERYANAAICVKR FGHSLPGKAAYKFFGFEVGTMTAKISEYLNNIKADDILRQEFVEL CC84DRAFT_1189627 MSPSVTTTNGVNANGVVKPKKTTISTPLPNPSLQVTADHNLKQV EAPVYAPGIGEVLLHIKATGVCGSDIHFWRHGRIGSLVVEGDCILGHEAAGIVLQCGE GVTNLQPGDRVAVEPGVPCGVCFLCMDGRYNLCEDVQFAGVYPYDGTIQRYKCHPAKW CHKIPDHISFSEGALLEPLSVVMHGINTAGLSLGRGAVVCGAGPIGLIALAAARASGA HPIVITDLEPSRLEFAKKFVPSAITYQIRKNLSAEENSKEIRRLFNFEHVGEYGAPEV VLECTGVENSVITACYTARRGGTVMVIGVGREIMNNLPFMHLSLAEIDLKFINRYRDT WPAGLQCLGGGILDLKPLVSHTYPLEQALDALHTCGDLSNGSIKVQIIDDKENVF CC84DRAFT_1019044 MAEKPSAVEVNENFVEKLAPTATETAQLGHLANQEDHETGKLAS FRKYPWACLWCFYAVWCVLLVSFENQAAGNVISIPEFRKDFGNPYIDADGNTAYVLDA KWQAAFQGAPVASAVVGALGCGQLADWLGRRPVIMLCLVVSFAAITMEFVATTNELFF GGKFLNGFATGALASVTVTYIGEITPLALRGMLTCLTALAYTFGPLTVALIVNSTGTY NNRWAYRAVFCAQYGFAAVAAALVFFMPESPWWLVSKDRPERALKALHSLGHSGLEGK KKLALITNTLEEVKRETEGVTYLECFRKSNLRRTMISVAPLSIQALSGIAFAASYSTY YMQLAGFSTAMSFKLQIVQQVISLIGNVMSWYLIDRVGRRNLTFYGLAVLTVILFVMA GCAVPGTPAGSKAAVSMILLYCWWYNVTIGATAYTILCEVSTSRLRIKTIGLGLALQN ALNTMWSFVLPYLFNPDKLNLGGKLGFIFGGLAVLCLVYLWFYQPETAGRTYEELDEM FIKRVPARKFKNYRTDAQAMGEAVKEKEGL CC84DRAFT_1018847 MTTRTQARACLHVQNMERVYRRACKACTATKRRCTKEIPSCLRC TTKGLSCSYPATRQIESSSLETITGLQETIAPLDVDQDYLFLDVDFNSVESILPTSDL YIMPFEDPYVSNELTPASDKLWFVTNDSWHIGHLGPGHGLEYIGEESLEVYVATVQGW FRQWTTDNTCPLIHRQLYQKHMPRCIQDAFTALNSYLNKTPATQKVVLQIIEDRARDL IYSYATQGPVVLPLLEHLARVQALLTYKLICLFDGDIRLRARAEAHELTLTSWDSLLW QRTTEEMTAGNLPSLSPYPPVPLTPWKAWCVVESIRRTCLTVRILQSVYATMRDGAAA CPGGVVCTFGAGLWEAGNEREWRQRANGKRMFMQSLSVREWLGNEDPGSVDEFGHAVL LVTCGLERKGNWTDNGSASRRIREAGAGHRSPTDEFSRRYTT CC84DRAFT_1167459 MHGAVIKRAQIEQFNVDMAAFAKSPNEEIEMHSVTDRLVQVQHQ AEKHNAVIGAIPALEALITSHAHFPFTNPVMLTRDALLRAVLLLTDHASLPFRQACQV GDDYEIRTHSEKERLRFIYSALACSPIGVPTQDDVLDVVSRVEYPWRTWAKGIIRRQL LDDFRPLAERLAPARDVEVPESLPVKKLEPLRELATAFPLRWGDPAAVVGFGGEGAVT REQFVEWATKVRNYPRAMVLYLAKS CC84DRAFT_1220732 MAGPKYTNVPQRDSLDEPSGFAQAPPSYQEASDPTREALISGVP RSEHDNLPDDFKFGGVVAEATLDIRMAFIRKVYAILTAQLLGTAVLGGLSMMSEPYQK FIKENQWPMWVSLIGAFVFLGLTFWKRKSYPTNLMFLAGFTLMEAYSISVVIAFTDNH IVLQAVMFTLGIFVALSLFACQTKYDFTHWAPYLFGALWVLILFGFMSAFFPHTSTME LGYGVVAALIFSGYILVDTQLIMRHYHVEEEIAAAISLYLDIINLFLAILRILNSQNN N CC84DRAFT_1020023 MISCRSSDTGGLGKAAGIAPSNNERLNGANGTHKPYKRAKPRTE NDGLFTYLCMQLCEHQVGIAVNLLSLLFLTHIFFPRARQHTRKFYHLSYYNAENGKYG CGTDDLAYVALWLVLFVGLRVAVMDYVLAPLARCGGIRTKKGLVRFQEQAWLVCYCVC SWSLGMYILWNSEYFFNLHGMWKGWPFREICGLSKFYYLAQWAFWIAQILVVNIEEKR KDYAEMFTHHIFTIALLFLSYGYYHTRVGIVILCIMDLVDIVLPTAKLLKYMGYANIC DIFFGLFVVVWLVTRQAFFPMVIYSVYKHSPIDMEPGCYFADGTMVAANETERYDALG GNVVWPNLVKAYTDREGPVCWNPTIRFSFLTLLLCLQAIIFLWFCMIVRVVVNVIRGN SADDVRSDDEGDDEEMEDVKTGYEAHHVAAAPLEQEVGVESLNFASRKSMNANGAAAV RRSKNQRSTRSSGISIPGHGDHKELLGRIGCDKPS CC84DRAFT_1020436 MSTASNQSNVPAPAWTLTTPFHKAPYPAISPSRLELSQAGKTVL ITGGNAGIGYAIATAFLEASAAKIIITGRREGATRDAAASLTAAAANPGGKAVGVVCD VGDALAIDALWKGFEKEGTGVDVLVLNAVKVADAKPLLESGLGDVWSAFDVNVRAQLQ MVERFYKQKQEGRVPKNLVNVSTFAIHNHLVAADRPAYGLTKSAAALALQLIAQDTSP ETMQIVSMNPGAVLTEAAKGAGYDEESFAWNSPDLPGRFAVWCASPEAAFLHGRFIWC EWDVDEIKSGEIRKRIEEDPFYLKVGVRGL CC84DRAFT_1179318 MLMLNTSPDDIGRVDPLPDAQEHNESSPSNGTTTLSLPCSPRSI QPPNPDHTPSLPSLYKNHLTIRKLSFYAARIQNALHLGLPTFLAQKDNDISPWYWHTQ FSLLITSSSTSPTNPSRSAPATFSNYGFAPTRPIRCQPCFNMSASVSPDLSPTPLQRS HAHGMYLDVVPFPVFRDRAITLLAMQPPAFEEAELKRDMEDGGLVVWGAGHGKAGGAL VRDRRNWECAGWFWRKWRLLVEGSGLEEQSRWWRDMRGEEDEFGEL CC84DRAFT_1098384 MPSTDFPVFTKTFHSSLYASLARTSAALPAKGKVVLVTGGGRGI GKAIAMAFAKAGASAIVILGRNKVTLSSAETEITGLARAGGHLTVVRTFTADVTDTGA IFDVFKAVRDEFKRVDVVVSNAAGLHLATLEATNIDDYWKTFEINVKGTLNVIQAALK FGFDRNGSDPATFINVSSVGLIMPTFPTWSNYVATKLAAFSMTQYLAAESGGKIRAFS IHPGRVETDMAKENGIPTFEDPELPGAFCVWLAATREADFLQDRLVACNWDIDELLQM REEIEAKGLLKMHFAGLSM CC84DRAFT_1020029 MSNKPHAFNPPDVPEPPPTYSQVSITPLLPTSKLIALAGQVGLD GKTHKIVEGFDAQVKLAYQNILNALKAADATPRDIIHVKHFIVKDTGRVGVESEDELT RVWGHHWIEFMDKEADGYRPPDTVLGVAALATKEILYECEVWAIVHK CC84DRAFT_1198547 MLYLVFSTFIACLLGAKLTAYVRHTLQQRRNGCLEPPSLHLKDR IFGLDLFFKKMRALKAGDYLEGNTALFKLFSSKTYRSYSFGTTTYHTIDPEVVKSYQS TFFKDFGIEPLRYHLAENLWGNGIVVADGQRWASARSFIRSSFDVVHTANIDRLDHHV QRLMELIPRDGSTVDLMPLFKRLILDTSSEFIFGQSLNALDDPDVTFMEAFEFAQRGT GMRMMLGRLRFLHRDAKWYAACDKVTSFCEQRVEEALERLKSGQERRTERDRLRLVDE AAKLTTDRYTLRSLILSVFSPAHDGAAVALSNVFFHLARHPRDVILPTGGGLDGNSPL LCRKGDIIEADYRTMMRDPDFWGPDAEDFLPERWEKVRPGWEYLPFGGGPRQCPGTRL VFTECAFTTVKILREFTKLENRDEETEWKEQMRMTWQSKNGTLVGLVPA CC84DRAFT_1262345 MLMATQSPTVVLTLTIILGVLDAFVVSLRFYARSQRKQRLLIDD WLTIPAMALNVGLATTLIHGTARHVYGYATPVPPTQAAASRLLERTSWTELGDNITIA EKDEWIFLLISIPALGCIKLSILFFYQRLFVMSKRDWRDPRNIAIWLMITIVTMWTLA FFLRYLFACGFHFDIWWTNAMNIITLCGDFNTILNALAISDFVCDVIILILPLPAIWK LRLPTAQKFTVTLVFALGGFSTIASMIQNFNPELDVDLLITTFLFWNYLEATTALLAA CLPTLRGLFRTSSLDSAIQSLRSRIGLNSKSPLNSSIRKKEQDEAYVLRSLDSERGLA ADTPSGT CC84DRAFT_1220740 MFHFGTDLAKNPPSAPWKPLSVGYVLSEEHSVDHDKYSRFSGSP THENTKAWEDLIQRKPTFFAATDHELRAGGETIDDAVRVADTDGYLAALGVYHELHCL RQLRLHLYRDVYFTNLTEANLQWFYDHLDHCIETLRISIMCQADLSLYTFKWDSNDEY RPHAKSNSKRMCVNWDEVERWSSERKVSLWPRLIRKGGSSEKIHL CC84DRAFT_1152844 MLFKQLFSSVAALSSLATAVDLTGYEYVVVGSGAGGGPLAARLA LAGHKTLLIEAGDDQGLNINYTVPAFNARVSEDPALAWNFYVHHYADDERQARDFKTS YETPDGGEYTGLSPPAGSKLKGTLYPRVGSLGGCTAHNALIAVYPHQSDFQNVADITG DDSWAPDNMRKYFEKLEDNNYLAVQAGQGHGFDGWLGVEYAPITIVTEDSKLLSLVSG AAAALGGLIGSVIDLTTLILGDANANTLARDQSPSLYQIPISTADGKRNGAREFILSV SEAKTANGSKKYPLDVRMNCHVTKVTFDESVTPPRATGVEFLDGQYLYKASPKSKGAA GTPGSAKASREVIVAGGTYNSPQLLKLSGVGPADELKEFNISVVVDLPGVGTNLQDHY ETNVQGKAPSNFTAFDGCTFDGSEADECLDKWNNPNAIEKRGTYASPGLGAAMFFKSS TAENNEWDTFLFGGPVNFRGYYPGYAFNATHDHDWFTWAILKSHPRNTAGTVKLQSAD PLDVPKITYNYFDTGNGDYEKDITALTEAIGLARKSFAAQLVEIDETLPGKDVTTDAQ ISDYVKDTVWGHHASSTCPIGADDDKMAVLDSKFQVRGTTGLRVVDASVFPKIPGTFT AVSTYIVAEKAADVILSSLRNSTSA CC84DRAFT_1179325 MQAAGSASKTSKDAFRYAMLLPKEPHLKHTAHGVTGYWKDAFEV RRSSLSRGLAGFPRRQSVVVPSPLRSFLFASSYTQPREPRRSGSEYATSEITPLSQGR VASTAAKLAPPSPDRAVGAIEPTSPPSNGSENPCAWMQSGNVAGFSTLKRIVA CC84DRAFT_1253206 MSDTHPSPTRIIITTERLRVSAGTQFLHQPSFIPDASVALSNPS RWKNVVLPLIATYTFQLGSLLDVDFTRALLACPQLPNLYKAITSVNFPQFYQFAGIRD NRTSNPYLDFVKAIPNLEHLALTFHSAGLTGSVYTEKDRIALENNGKVEESKELKVLK KKDVVAFYKLDDVFELKRTRISKVTCYLIDSELVGHFVKKGAALDVFEEFQDYFEKGF KKVKREIHVDLIVCPLPFTG CC84DRAFT_1167470 MESINFSIGRSSSRRLADRSDTNPVRARLRHRRTESTIVSSGVM FELIARTNSAPPTSAAPNAHVSKPHSTFEGLPGELRNCIYRSLFARHPNILALRDNRL YNMYAVPGRKSYFKSLPGLAYTNRRTYDEIATFLLENHTMEVSVLDDLHYLEDTLEQL PGQKGWNSVRSMKFTRFSDLATSPARTPRPSPHA CC84DRAFT_1179328 MAAETSSARGSLEEGAPLLSATATENTSRASSPAPLAGPATKKK PWVWLVFLAFTLITIVDVGAFLAEPPKTRVFEANICRRYYEENDPSKIGDDGYVPEAL CKIDEVQQQLAMIFGWQDTFDAIPGLVLAIPFGALADKWGRKWVFVASLVGLQLNSAW ILFICYFRTLPLQLTWFSSAFLFVGGGPVVASALGITMISDISPPEQRTNIFLYLTAS VLIAEMCAPIMASKLMETGLWLPLLLALAIQQVGVTVGIFFPETLHLRDLPEPRDRDD ASIELQAKDEGHGFKTQLKHFKSALLLLTSDIQLALIVALFVVNRLGRQGLTLLIRYA SKRYHWEISKAAYLLSFRAATNLVAVAVFIPVVNLILLKYLRLPVHWADLWLMRGSLL ITALGFFAMAMAFQPAILILGLLVFNLGTGSSAAMRSVSLHLVGGQSSPDVGKLMSLI AVTENIGVMFAGPLLNEAFKKGMDMKDAWLGLPFFGVCVLYVLATMVSCLVSVKDKDM AYVEIATDEEEVDAEHGTSSALEDTLPTRHAT CC84DRAFT_1020720 MLGRSSSEAGTRLRRSKSASTVHRPQLSAPETVDPGIAQHHAVA AATTAFARAHGQIAAERATKRSSELSRAKSTSSRKSLTSEGSHFPPRESSVRSVNRPS TSQAPSAQQQPRVPTVTKEKFPSFYPAAGSDRPLSAQPSITFNENARPGSQPRSHRPS AASSATSQQIRKARSMYYASSVQTGSPIARPPAKYLATPPPSSVGPTPELLPPVLPAR NMPPSPLASPQIPVTVEPGDTINNARDKYLQGFQQQHRQVKHRPSLFLAPFKKRQDRA RSKERPLSAGFLSGSSRSRPPRIEPAAELIEDFAMPNKKREKRSFSNSIKDKFKKVFR RSSNHATTMPVQQIEASRDYFNYQAPHAALSSKTFDVPSPDDETLFRVRSRASLLERM PSPLARPGSRGSTRSKGSNRSLHSETNTTHLSSRVTSWSDSSAGNTLTQRDIKRLTVI HESKDSTSSDAERSMSSISLRRKTVPLPSFVAFKDPMPMESLLEETSTPVDPKRVFSA LMKEMDSTEARNTQPGLSDVSPGAESDVFESSTTKELHAMASHELHSSASRERRTSTS SDQRPVSRRRLNIAQGKTSSIRSLGRAIKSTIRTVTPVENKSSPVLEQPLGGISSRPG TSASCHSSDSRQRMAFTSMVQEKHARTNQYTVEDTHAHTIVTPSAGQLEQRLIRSNER WKTPLDEHQKHGSHHYRSHARTISASHFADSAFRTPNTVVGIPALPELEGDSPEETTP TELRATPVNLASRTPRINPLFSPLSPSIYSRATDGMSILPNDSVMSFDGTQDHQINDG SGSAVIITSHAVKSYVLGTPSPRHQTESTRSSKDWKAWLSREVSELGSPLEGDITIDQ GYTPTIRNDSPASRHRRELTQIEDDRTTILARVSTDTRVSSPPAPTPSEVEDEVAQAD QNLSAPQQKGAQSNAEVSSSTAKPEQRAMPLLLRTPAIHKERHSSIDSHHSGKSRSAN STPRSSTMNGRFPFIDTGRPTSSNSARVSGSSRYATDSSSSTGSKRSPISKVYSDVSA PVTVDWAPQPTLKTNLGDSKEPDHNKENMNGKAIPLIKQKKGPVPEQPSTPFLSPLTS RENRPKSMLSMSSSATNRSAPPLTRDTSTNGNTSITSKPTQSSTVSLSSQQQHMRMNL LPISPNKLTTRPKSAFELRGQGKIPLASSTLQSQSLSSDESLKKKSASRSSTRTSDPP RMPRPGHSSNIDHDTVRMPLESPWAMLGPPTSPRSSIEHTDRSRVRHKLHVKHNSSTL ALHRKPSPGLEEHTIDALIDERPLSRRSNFSSICGDERGSVTGIITPGQRMAERYLRE RSAPRGSGAGSPYNEKEQQRIRTGATRQTLEREDTPAFM CC84DRAFT_1253211 MVAFSTLALGLAAATGIFAAPAAEPAAEAAFDAPDFEFSSAKSL DRRQDYNQNYKTSGNVNFSPTNNGYSVQFSGAGDFVVGKGWKTGTTRNINFSGSTTAS SGTVLVSVYGWTTNPLVEYYVQEYTSNGAGSAQGQKVGSVTCDGSVYDIWKHQQVNQP SIQGTSTFWQYISNRQTKRPGSGTVTTSCHFDAWKKLGLNLGTHNYQTLSTEGWGNAG GSSQYTVSGS CC84DRAFT_1055377 LVLYLPLSPDIIFRRVVYGVISVVIAYTLAYKLIIIFQCTPVAA ACDISVKGTCI CC84DRAFT_1220747 MGGDPYERQKALLAPGDYSDLIITRKAQRWNVHKAIICPRSKFF AASVRFPVGTLHEVVDPPDDDPHVVERTLTYLYDIEYDLLWESYTGHCFEIPHIHFDW SLRDDADRAERLKVHYSLERASYLPLKNLMGTWMPPAGISPDSQSKYRILDNREILNR LSKSAKLKAFHDTANTPPQDPYNPQDLVMYHEMDVGPTGFTLENAAIYRIADKYDVPD LRELAYIQLQVSLIVPVRSIQVKIIMVDIHPYDKKMLAHLSARIYQDMDMFGIRDEIR ELLDKDPELGKPALEVAYD CC84DRAFT_1198550 MPWIVRHHHGEHASLLIEQVQVRVTIAGLNPHDQKGRDIGLFTK DSLPALLGSDVVGVVTVPGEGATRFKKGDSIFGQASIAPGSASKATQQYAVLDEDFAS IVPDGVSEDDCATLPTNILAGLVGFFDKEQGLGLPNPWSLTESRSHLANSSILIVGGG SNCGRFATQLAKLVGFGTVVVVGGKEEELRRYGATNVVDRHGGDDFVLQRIRDIVGDD LVYAFDAFNAPVGQHLAINALSNSKEGTLARLVWSRGAVDESKIHPKQAGYVLKGVLG FSHSKPDIAIPFWKHIAEYLVGGKIKPLAYVIEQGLDSAKVNEVLDRYRDGKVVTQTH FHVSES CC84DRAFT_1220749 MFARSVRQLSQRHPRTLFVAGRRHASTKHPKGFVPPTQADLEEL RASVQEFARREIPEELAQKTDQINEFPNEMWRKFGEAGFLGPTADEEYGGLAMGYQAH CVILEELSRASGSIGLSYAAHSQLCVNQLSLNGSAEQKAKYLPGLISGEHVGALAMSE HSAGSDVVSMKTTAKEVDGGYILNGTKMWITNGPDAHTIVVYAKTQPDAASKGITAFI VETSAKGFSVAKKLDKFGMRGSNTGEIVFEDVFVPKENVLGEVNKGVRVLMEGLDLER LVLSAGPLGLMQASLDNALPYTHQRKQFGQPIAHNQLIQGKLADMYTKYRASSAFTYS VARHIDESHENPDIKTQDCAGAILYAGERASEVAADAVQLMGGMGYMNEVPVGRILRD AKLYEIGAGTSEVRRMVIGRAFNKEYKDA CC84DRAFT_1098586 MRVPYAPKEPPTEEDKPVYERIAARRAPRPLIPLDLALLHNTAV ADGWNSFIGAIRTKTSVADSLKELAIARVAVINHAVHEWDVHAALALKGGISKEGLET AYTAELVKKGDKVDFAEKGGLTKEQWVVLAYTDQMTVGVEVDDGVAGALREVLGDKQV VELTATIAAYNCVSRFLVALDVGECNGRPMKKVEEL CC84DRAFT_1262353 MGKHHLMIGTWVKPGVIITVAFDDEKLTLELVKKTEIPHDEPIS WMAFDHQKKNIYGASMKKWSSHRVDSPSEIVHTGSFEIGGHPKANDADTKTRAIFLLP AKKPPYNVYCNPFYDYAGYGNIFNVNSDGSLKENIQDYEYCDKSAIHGMVFDPTETYL YSADMWANRVWCHKKIDDQGRLETVGFTEAEGKKDHPRWVEMHPSGRYLYALMEAGNR VCEYVIDPETHLPVYTHRWFPLIPPDIPNPHMYRSDVVFLTQSSNYLFATSRANSFDL TGYIAAFKISESGSIERQICLNPTPTSGGHSNAVSPCPWTDEWLALTDDEKGGVEIYR WHKEYLARVARLEVGEKGFGMNAIWYD CC84DRAFT_1167476 MASQSTTRGILYVTMQPKEGLPPAQFHDWYNNEHGPGRLRLSFC QNGFRYRANDLPASSSTGTQEQPEWMAIYDIDDMDYLTKDVYTRLRKAPVQSQRERDT MKQIHVDRRFYDAVDGDEWKADDFKVLEEVQNEGEGNVVVAVYLSLKEGEGKEEELAK WYREEHVPLLSKVPGWRRTRRFVTSYLDLQDGREKVYLALHEYAPQNGLGGPDFKKAT TTDWTSKIYENVVASKFRRVYNLYYTFGPAPRDLQTLTSPDTILAESTDGATKTYPAS SNNAVPAIDSFITTSDGVNLPYRLEGSSDPNAPLLILSNSILVEYGIWDDFVKEFLQF TNNKYRVLRYNSRGRTALPLSANETVTVDKLASDIITIMDALRAKTASVVGVSLGGAT ALCAGLTYPERVTAFVGCDTNSFAPESNPKAWGERIAVAEKEGLKSSTTSEPIVGEEL AEMTTRRWFVKESYEDAELAKKIARVNEMVKTNSLAGFKDGVKALYQYDFRDRMGAYK GKGAFLAGAGDGVLPKTMQGMADALGSGVELKVVDKAGHLPMVEQPREVAEFIAKFLG CC84DRAFT_1220753 MADLKISKVDTIQYFRSESSPAPKSTQPRHGTNYFFGLPREIRD MIYEYTLTEPGGLFWCQPPGPFEATVGFTTTR CC84DRAFT_1064148 GSPAASTQTVAVGKDGLVFTPDTIHANKGDEIVFEFYPKNHAVV QADFNNPCNPSASGLGIFSGFVPSAAGRANKTFTVTIEDDKKPIWLYCPQNNPKSHCA QGMVAVINPPQYSHNTLNAFKLA CC84DRAFT_1167479 MPSTKRATGGAAAKKSQKITKKFIINCSQPVNDKIFDIQAFEKF LHDRIKVEGRTGNLGETIQISQQGDGKIEVIAHQEFSGRYLKYLTKKFLKKQQLRDWL RVVSTSKGVYELRFFNVVNDEADEDED CC84DRAFT_1198556 MGKDKEEKRVKDKKEKKEKKEKRSEVDGVKKSKKEKKSKLNGDV AETLEMELDKDPEESMVKVVDADGEVAPRDIPASALVPIAEPLLEETKDVKKVLKTVK KSAKTKTLRRGVKEVVKFLRKSSSNAPPSSDISNPSAIVVIAADISPMDVISHIPVLC EDHNVPYLFVKSRAELGEASATKRPTSVVLVGRERVSKKKDISDDDKAEWDEAYGTLR DIVIKASKTVRK CC84DRAFT_1020870 MPAAAMTMASAAASPAARKTGSAPEKKYKCQFCNRAFSRSEHRS RHERSHTKERPFKCHKCRSTFVRRDLLLRHDRTVHAKDGGVPLTSEVKRRTSNKTQEE SAAPGPSKGVMAHESATTLEQIEASSEEMVDIEAAAMLMTDFRHKAMASQEPEMSTEA PSAVISPTGPPMMEPAVTYPAPGSMSLPQMPWGDSMVPESRTHGAQDTHPAFNSASAL SSHPHQLPPLMERNMSNSSIPPTFQPMNSSAAAAGLGTPGALSPYPGMLGPVSPVDYR RSPGPSQALMVARAPQVENDEQCARIFENIRQYDSENALLDTFHLPSLNTVNRLLKTY FDLFHHHLPFLHPATFRPTEVAAPLLLAVLSIGALYIFDQDQAYMLHIGSKVLVNQFL QNKENFSSRKCPLWTMQSTLLNMIFASWSGDPKGLEWACSIKSLLANMVAGNRYELKL RSEARENAQPSHEEWTVDEQCRRTYYAVYIFFGLMTLTYNHTPAMGFNEFDTLELPSS ESLWTMEVSDEESWRESVSASKIITFRQAHDNLFQGEPAQYSAFATRVMINALFLEVW YHKRSPEALQDVVTEYKLRLALETWEKSLDLCEPETVVVQLSAPHKGHPLIFNAMAMY RNTRTRLVVDLKSVQEALRYHDSYEVAAAMTNARDKVQRSEEMIKVIQECFDCIEVAA VQGIRWVARTSATNWSIEHPLTGMDLMVILTLWLYRLEHDEEPATEEELAMYNKLRNL FDDDSVDVYGAKLSSTVARLWGSMIDEVVVWGITKLMGEAFKLHSQALVGYEDAMSER SGSATPSMAAGGVQVLEMQMAY CC84DRAFT_1020848 MRPVTVSFTFGHVLTKSWGRVVLEEYTGAIVVEGFSCSRMTGGC SMVMTTAHPVTVRAQGLSVQDSLPSSEPCVSGLGGARRWT CC84DRAFT_1262358 MAFNGTVPPPGSMFVRALYDYDADDRTSLSFRQGDIIQVITQLE SGWWDGVINGVRGWFPSNYCAVVNRADDDVHDDRNAADAVDDDTQSELGGTDYTNSDT ESLNGNDTILPIERNGSDNNKEEEAAFWIPQATSDGRLFYFNTLTGVSTMELPLETPS ANENGPRDRANVFIPDSSRPPPELLASGYSVEDTDDENSASDLEGPGMMGSHSSLPGR KRLSDGVSPATSMDSMNQHTSFDRSADANGTSFSIANLPPLGTTATSFANNPTGGSHM TPGSSRKFYDDVNPVPLTWNRMVEDMRRAIERYRQAINNSDRSEFVKRAEDISDHLRL ILAAGSGTTDNHSGNPSIISTNKALYPHFRETMSRFSKLVLSSHIAAADFPPPDSYSK CLKEADGVLNGVYGFVEVARQQRGEDIPRLVPGFVVGNAAGGNWHNNGVDVWNASAPT SFIDQEDYESMVEPTVKLDSRVLERLEDLKRLIVSSIRRLDEQLVVRDKIVTPYRHQI IGDSVCNAAGKVLDVCRPWISTIESINLSALSSTMQGQQLGEFSIQKQKVYDLVSELV ISCQGVAAPLADEWAENRGDSLEERINGCRAVSRDLETSIVQQFGLLQHMSEAVPLAD IAVRNDPRRNTDTEVGGSHVPGGSSSSRPLLKDVPQSHTYSEGTSLEDKVVDPVRTAN SSKKLKDFFGEVPNIPQTTVEEVPEFLKLDHEGEISYDHKTNPPQLRGGTLQGLVEQL TRHDRLDPAFNNTFLLTYRSFTTASELFEMLVKRWSIQPPYGLAKEDYQTWVDMKQKP IRFRVVNILKSWFDNYWMEGNDEEARILIQRVYNFAKDHVATTSTPGAAPLMTSVEQR SRGPDMPTKRMVLTLNAQTPQPILPKHMKKLKFLDIDPTEFGRQLTIIESRLYGKIRP TECLNKTWQKKLAPGEPDPASNVKALILHSNQLTNWVAQMILTQQDVKRRVIVIKHFV NVAEKCRLLNNFSTLTSIISALGTAPIARLNRTWQAVNARSMTTLENMRKLMGSTKNF TDYRETLHKANPPCIPFFGVYLTDLTFIEDGIPSLIKKTNLINFAKRAKTAEVIRDIQ QYQNVPYPLQPVPELQDYILTNMNSAGDVHEMYETSLQVEPREREDEKIARLLSESGF L CC84DRAFT_1021063 MSVINETRCECCWALVECRRSGIYDWLVSQILRGARTQRLPVDT ASQRSEAESLHCSIATVASVYVRWSGGGHLSASHPRTAPSRENQDSRPASSAARPKLV PQGITKTSLLVSSKLGSKQPRFSLRQTLRAVRMHCPRHHTTTFPVFGEGYRCMQKGGL VAQRLQPAAGRLAGPVWESRLCIVAADQTGGGPVAPASHF CC84DRAFT_1099252 MFKVRDAIGALPWLVLTLSRFAVADCECGYSINGTNSTEFEVFT DLLENDFLHTSGDNITAFGWRPQEYNVSAKDARGPYGKNFSVANAELNPLKNAQVWSG DSMNGGDAGLKLWVRGDHSSGYVGGAELATVRNDTLYGSYRVGMKLSGESGTCGAFFW FFNNSQEIDMEFLSRQFNESQGTVQLVLQSPQSVHNGYDASGTAGYQIQHLPFRPDQQ FHEYRFDWRPESVVFYVDGKVMHEMTENIPSEPGHMFLNHWSNGDKLWSAGPPSADTS MTVSYVKAYFNSTDTARHNTYSKQCPKFDSSKVCSVPAQTVAPDGSNAKTYFFSQEDG KTPGQQIYHTTNGNGAGRLLRAHTIYISIFVSFLSWAIL CC84DRAFT_1126751 MLFSRSLLHLLPLVCFISALPTDDARNVHSRRNGTAGGVYYGVT SAEHEERSKSLKAGGYRVISLSAFGTPPDVKYAAVWTKAEGAEFETIASADEDAFNAW YEEWKGKGYVSTHVAATGPAEEAVFAGVMERSNITEVQKCGMDTPYAYENVTMDINVI VRGVSVYGSPSNRRFCIVGHENIGNRKQSTHYQTTYFTIDYQKMYDAEIQKRFWRPTY LDVSNDHLISSLFEDTSVGKWVSKVGLTEAQLTAEIHTQTASGLSLVHLQGGGEGDDV LYTAIFAEKTEPLSQNWNANGKMTGFEDNTALTSSLDTTMRDWMTRNGIRQAQVAVAR EGKLLGERAYTLAESDRAVASPSDKFLLGSVSKMFTHAATQRLIDDGLLNLTTTVYPL LGYNPADPRANNITVEHLVSHTAGYDRSVSGDIAFMFRSVAQSKNSSTPQTLRDMIEY MVERPLDFTPGDGGYAYSNFGTMLLSYIVANLTGTPYMDFLKERVFSEGIEAELFATA AETHFNDRIVQETKFTGLSPLFPQEEVLVPATAGGDGDIKEEVVGSFGLRASASTIAK FIGNNAVWTIGGRDWMASRDGSVAGARAYARSEGQENYDWAVLLNSRDFGSEEEWNGL VYTTLPDIWAANKIAEA CC84DRAFT_1208443 MLSKLLSLLALAALAVAAPQRPIIDGVDARNVHVTRDARNCTFT AQFSERCAFRPSSTTKVTYAKVSNLLTPSMSAVPVPDNEQPISQQALTVPITSRNELI NGNLSIDRLAGGDGSVHFGWDWVSPASHAPEIVTWTEKDDGAETRYGCRIDQPWSKDG ITCDKVDPADRRLGILIKTQTKIWCTTAAPLKVAYALTSIATVQNHEDPWRTSPLLIP LEPLDVATQQRSTAERRIGKLYHPYRTIEYFFSAVERAQVNPKYGCCLANQRKAASSS CC84DRAFT_1262362 MKMLSILFTISSFTMTAIAAPTLQAPTGHHLNCTFTILVTETCH FSTAPSLSTSAAITSILSPWGASITNSTEALNIDAAPLSVYASSPQESIAGRLDIERP NNTKVEFGWTYGPSGGRPVTVRWSEDREGTEGRYGCAVKQNWDAPEASCDGAHPADER EKVLDCWFKCNGMADSES CC84DRAFT_1021195 MPGRLLSLVRPAPASSPPLDQLKGQKKHSDIPPLFPPVYSVERP SQKNKSQESLVMEAFKRHGLGGRQNSFKKSPKASPKAEPQPAAILNMVVESPPLVFFN SPQTSTGAIISGLLNLEVHEPNVTLEKMEMRLLAVVTTKKPVHQHCPDCTSQTTEIHK WEFLRGAVQLHFGQHSFPFSHLIPGHLPATTHGQITTLDYYLDAVGTLSNGKKLEYKR ALDIKRSIVPGPDKHSIRIFPPTNLTASVKLPPVVHPIGDFGVEMRLSGVTQTKDDSQ SRWRLRKLNWRIEETQKSISPACPKHANKVGGEGKGILHDDTRTIASEEVKTGWKTDF TEGNIDCEFRARCNIAHNPLCDMDSQSGMSVRHNLVIEMVVAEEWAPLKKLSQATPTG AARVLRTQFHLVLTERSGMGISWDEEQPPLYEDVPASPPTYIGDYDGPPLHDEHDELH LGP CC84DRAFT_1021141 MLYARKTTQPHSRPTQSQDRNAHRALVRDPQLLYPAPRSQLWDV YPHIVSHHQRPSLLTACLLCTSRREPHRKHDPRVKPSPPSSKRQFHAPYPQPTRNGPR THAGDSRPAPLRASQRCPLPESMSWDAGFLCVTGGARQEPHVGSEPQRPGYGREAPMQ GLDVALTGCVGIVHAARWSGVLCVGPENRSRGAVQTASWDCAVRSWKPSARQRRAPRC DLDARHLIR CC84DRAFT_1062960 NTTSDQEASLFFRLPAELRNQIYADLLCPDAVNLHSITNRENNL NVRHYNQTSTATSLHPAILSTCRKIHDEATALLYAPHIFHAHPSLLASLPHLTSSAKP VLYPSVTNLISRWQICLRLDTDPQFTAAQAAAAFSGAEYLEVRVWQAQFEACDYAVLK LFTAVRGVQFARVGGDVDAKLARWLEGQMMLPRGEGACQSDEMCPWKGVGEVLCGRCY HK CC84DRAFT_1021129 MATAPSSGTTCYDTLRFSPPSPLSYSIQLFFCHTFATLLYPALL VAHLRYRSFDCRCYDVFPTELSQLSLAGHDTSVDSEWYSGLFTRTAFVVGFLQQLQSI VTHA CC84DRAFT_1189650 MKFTPHLSTLALLVATSQAAVQGFDISHYQSTVDFAGAYSGGLR FVYIKATEGTTYTDPKFSQHYSGATNAGFIRGGYHFAQPGSSSGAAQANFFVAHGGGW SADGITLPGMLDLEVANCGGLSTSQTVAWIKSFSDQYHSLTGRYPVLYTNLSWWTTCT GNSAAFKDTSPLMLARWASAPGTIPGGWPYYTIWQYKDSNAYGGDSDVFNGDEAGLKR LASG CC84DRAFT_1021126 MRLLSAAQAWTAVSLLAANLCSLPASAIVLDPNSQDSVRAASKD VAQVLWSMYATTDNQVISGITGLLTYPPYYWWQAGAMFGQLIDYWYYTGDDGYNDMIR DGLIHQAGEKWDFMPANQSKDEGNDDQLFWAFTLMSAAEYNFPNPPDGTPGWLALSQS IWNQLATRWEAATCGGGVRWQIYQWLPGWGYKNLASNGGYFQLSARLALFTGNSTYAD RAVEIFDWLQNTSPLVTHDYVVYDGANVEANPSKGDMGNCTKPDTNQWTYNYGIMIGG AAYMYNYTNGSDIWRERLTGFINKTAIFFPEQNNGIMTEPCEGPQNCNGDMVSFKGYL ARWYAVSAQIAPFTAPMVMPHLQKSGIAAAQSCVGPSATGVGGSYECGNRWYQNGYDG KSGVGQQLAALSIIAANMAPWSKAPLTSHSGGTSEGDPGLGTGEDEGIPKLDYGVATT GDKAGAAILTIFMTVATVGGAYWLVI CC84DRAFT_1167495 MNSIRAIQQLNKRELEAGINPEGSWHTDYRDTAFIYIGGLPFEL SEGDVITIFSQFGEPVWIRLARDKETGKSKGFGWLKYEDQRSCDLAVDNLGGATIMDR VLKVDHTRYKPKDDEDMGDNTMGEVDVAADEDGGRRKRQRTESESDSDDDRPLLPEEI ELEKAIRDLDEEDPMKESMVKRLQEKAESAIKKYKKSKRKEKERKHHRRHRSPRRDRS RDHDRERRRIKDRDSEDEDRRRRKEHRSRREDEDEDRPRRKEHRSRRGDSEGERRHKS SRPPRDGSSDREEVRNSPRDGRDRVRRDHSRERRRYSSEEEDRKRSRSKRSP CC84DRAFT_1189653 MASARSSNFPVRRPNSSDQEKEEIWKPMLDNISSGKRLPEKSLL VLGGTPETQREFLESVATDPTNNRRPPDRGRKPPIANQFALGYTYQDVLDTDHEDTLA RLSLYLLTTPSPSFTPLIKPYLHPRTLPHMLVVILLDWNHPWLWIRQLRDWIRVLRSL IVSLDIDAKEVLEENITVHRDRGRNLGGAEANSALENVTAPLGPGEWDEPLGIPLCVV CQNADKIESLERERGWKEEEFDFILQYLRTILLKHGGSLVYTMPSAPGSLQTLIHSTL GIKSLLKQKQLKHNVTDRDRVLVPPNWDSWAKIRILRDGFDVEGVSKNWSVDIDNIPQ QTRAATNGEVPAEGEVQEVSAPPQQIEEEDEGPTATTIYEETIRNPESDFPLSALNSK QTNGIEVSSQDTQDFLKAQVELLDQLRLEDEKEAKVKAAKKDVDNYRTYTDDASGVVE EHIGPVQFNMGGIQVNADEMVKRLQERETSRATESEAVTTPPVNGSDPANMDNEKLMS FFSGLIKKPSAK CC84DRAFT_1253253 MPSQQWSHTLSSYLPWTSPPLLISAPMGGFAWAPLATAVSLAGG LGLIGSLNDMSQLRTQLAEARSTFAASSNAAIKDSPTLPLGVGILAFICKIEDVVPVI REFEPAVVWLFAAKQLDDYTAWVDALREASPTSKVWIQVGNVEAALHVAKDARPDAIC LQGADAGGHGFEKAAGIISLVPEAADALRREGHGDIPLLASGGIVDGRGVAAALALGA AGVVMGTRFLASKEVAIHPIAQAAVLEAQDGGQATRRSKLFDQLRGPNVWPEAYDGRS LVVQSYQDHVDGVGLEEIQRLHNEAVLGEDKGYATGRKGRAAIWAGTGVGSVGKVEEA GNIVEKVKREAREVMGGLAKL CC84DRAFT_1198567 MPRFPVSPYWSSNPNIPIYLDESDERGKREEQQRSESETVARSE PQPQPHPRHAPEFICPTCETSSRDTPIHCVSVAPSPSPPAYTPRAPSYRQHLAVPTLT IQTPRESWSRPQAPIRTLQLDPEGRPNVRFRRNAFSPLSPTVTDPITPGTAERGEDGE PAIEPASNLAQRIEQKLWNYTASRSVVKRWLIEIISWTLSASCMAGIFIMLMFHKDKR IPTWPLGLTLNAYISVLSKVASGALLLPVSEALGQLKWSWFQGETSKKMWDFEIFDSA SRGPWGSFLLLIRTRGKSLAALGAAVTIMSLALDPFFQQVVEYPELWRLQPVQGTIPV AIGYEPFAKGQEYVDKLTNLNPDNTMLGVVARYFYDNGTSPMAFGRGVRAEVPLSCPN SNCTWPEYETLGVNSECAEVTDKLEFRCAWGSLDWVQHPIVDLSGETNYVFPNGTACG WWLKAEKPLLMTGYNTASTGQWANETLIVRSEALYDIFSRKFLPGYEPVFNNSRDPLA HVLIVSGESIDNVRNNGTPIAHECLVQWSVNTILSSYSEGGYSEEITKVQMNRSVTAS PWLTNTIKGSTDSWDLYEYYYLEDAYIESDSGTMYHIDNNTHVLTISIFDDAFPSYFT LVNSTQPKDAMLRYKRWKTIQPYTRNVTYNPFQYDNISLHFEHMATAMTNLMRSAGID TDMVHGEAWDKESIVDVRWVWLSLPISLLAFTGIFLLGTVIRSSREQDRVGVWKTSAI ASLFYGLPKDMTQKMEDEKQHGTPRLHAKEVKVKWVPKRGWRFSGNSVSPSSAHNFLA VSPASEKAPSPTSAPKAKQSPPVPPAHWI CC84DRAFT_1167498 MRSTIILATLAISAFAAPVQNAESRSAAPLESNFEARLAEPWTR KGSVRRSASPEPYVRGGHKREAYVRGGHKRDAEDEEEADVDGLVTRYVRGGHKREVEE EDEELEARYVRGGHKREAEPYVRGGHKREAEPYVRGGHKREAEPYTRGGHKRDVEDDE EELEARYVRGGHKREAEPYVRGGHKREAEPYTRGGHKRDVEDDEEELEARYVRGGHKR EAEPYVRGGHKREAEEEDEELEARYVRGGHKREAEPYVRGGHKREAEARPEPYVRGGH KRSTWASGLIEALKARGFSSATLQNAH CC84DRAFT_1022325 MRATLIVAAFALAVSAAPVPQANSGSQIEGSLVEARTFSSNKDA RDLKKDDTAPADELSVRYTRGGGWGRRSADPKDFIGIRQPKPQAEAAPRNELSPRSAQ PEPEPDYRSTRPWHWEDKKDGVCTIVIEYQEVSGTG CC84DRAFT_1167501 MRSAFIVATFSLSILAAPVAEAKPIYKSNGIGQNDRPDLNGRVH QRDFQMEARSPTLSRNKNSRELEVEARSPALSRNKNARSIDDEDRLGAAEEAEALSNR SADPEPFGRGSHPRSADPEPFGRGSHPRSADPEPFGRGSHPRSADPEPFGRGSHPRSA DPEHYSRAKQGRDVEARSAEPEPGYVRTPQKRAAKAEAEPINRIFGESGKPRTSKNTF CC84DRAFT_1208456 MASNMRKASLRETLSIAHTAECKLHLAVNRPDRDLRFMLGHALT LDSVSLRLVEIEKEVATIQQPSHATGIKFQAANNCPTKRKTSPPPNRLSEMHASDEDE EDEEEDDYISSDDDQDELSLMRFPSGSAKPPQEPPDLVPSEEDSSDEEGPPSPELPSE DMLRDIMKGDGDEGLMNAYAGVKKCPCHGHHEDAPKIQRMWEIPTREGEQRADGVRMA VAEVAA CC84DRAFT_1098865 MPAPAANKRNKRVSRNIIIGSEAWALPPVGHPDRPKGVPDDHTK RWTVYVRQPDGDPSLTTWLNKVQFKIFNTYENPLRTCDNPPFQVTETGWGGFTIDIRL HFQPISGEKAQYRQHFLQLEKYGDEKLQAEQERTGCVRSEYLEVVQFNEPTEALYEAL TADDQWSYLALGAKGGKKTSSMSTGPNGRPKRGFPNGERSAQLPEKGGDDIPFSQEQE AELMNQLKAKMQMVEKALEEENKKREDTESKLKSLRAELGHEAAQQAAQQASGDRSRR R CC84DRAFT_1167503 MASAAKKKLVVCGGNGFLGSRICRAAIQRGWAVTSISRSGQPTW SAVTSSPTAPSWSTSVSWEKGDILDPSTYTAHLASATAVIHTMGILLEADYKGVVSGR ESPISGLQRAFSATKAGTQNPFERKEGEVLKPQEKDGQLTYEVMNRDSAVSLAKEAEG KGVGTYLYVSAAAGAPVLPKRYITTKREAEDIIGSRFPGMRSVFIRAPFMYDSSRTFT LPIAAAGGVAAMVNSAVGGRLTWLMGAGGIKPLKADTVADAIVEALEDEEVKGPVEVG EIEKLATRAWRKNML CC84DRAFT_1022366 MASTESTVPTTNEPIVGEEGESDIEDLFYPSDDDEVPELVAGPN TQKQSKPSANTSASLDDQVALLSKHASRIRLDNRMAGMGGGPSRDKDKADRATSEQVL DPRTRMILLQLLNRNIVSEINGVISTGKEANVYHAATIPEDDAQPLHRAIKVYKTSIL VFKDRDKYVTGEFRFRTGYNKSNNRAMVKVWAEKEMRNLRRIYNAGIPAPEPLYLRLH VLVMSFLGDKKGWPAPRLRDVKFEGLTAEEEEQKWTSIYIQLLGYMRTMYQTCRLVHA DLSEYNLLYHENTLYMIDVSQSVEHDHPRSLEFLRMDVKNVSDFFRTRNVNVLSERKV FIFVTGEEGGKEPADMEKYVREMFEKKDAMNEEDQLREDNDEEVFRQQYIPQTLDQVY DIERDAELVNSGQGNELIYQGLLAGKATDGTTVSQNESDASHEGSDSEGTSDEDDESR FEKGTPRGKRNMDKDVKREHKKAVKEEKREKRKEKMPKHVKKKMVSQSSRKK CC84DRAFT_1099255 MASPPSPASSTTSANSQRSLHPNRPQTLEDLVTHFVASKRSLQT QTILWRANDIVTSARELLEENAVLAAKNTSIRNMVEEQVDALEAVRRGIDVVEGDVQA EFKQLLHDLDTSFAGLKSTLAVLRETPIESALQPSGTPQKHLYDFIDNTTVSALEDSL RACIDRYNDVHSTLEESTDAFDTSLENLQSSIINVPKTPVTNSIPSPIPSLYHDLEGH AREAAQAFQSLVKHYDLCVTALRHTEGGAAAVTQATGDASLPSADDHGPPPEPISEEE RQEMLSVLERDAQEVEDVVTEIREHGSEMSYLLSQIDNHITHLRNEDSALGSVLKMIA NIAREVKGHIATSRAFHTSWLDDTRPTLLNGIEEWENQRDFYERFDLAYAELLVEIAS RRRRHDKAKRKAEEAQKELDRLHAEDERAREQFTLAQGDFLPLDIWPGLRDPPRRYEV RAVAVPHEQDMEEGEEANDADLVAKSIPQLGRNVVERALVRVKRRM CC84DRAFT_1099286 MASNITHVAEPCATDPTSLPPSHNPPTRRRLSWRTSVSQHSDIL RDHPSGVHDPHTALMLGHDHEGLSDRNTSNKIEKMIAPYLAQHIPQQYNPLGGGAEPH VSGHTNTKYCYRHRPDMLCRKQADEPTMEQLQDELGRESQNDQQSIANVWSLFSAAPA RQRNLMLQGILSTCCFPQLSFISSNVRNLIKIDFLSALPPELGFKILCYLDTTSLCKA AQVSQRWRQLADDDVVWHKMCEQHIDRKCTKCGWGLPLLDQRRLRTEKRRIQLRASGR GLNEWSPDIDITPAVDGPTPPAPLVSHTSDLSETTSGSKRSAEDDPSSPESSCKRPCT TQVSLRDQQASYFDQPKKRPWKDVYKDRFKVGTNWKYGRYSTRVLKGHTNGVMCLQFD ERTLITGSYDNTIKVWDVNTGTEIRTLRGHRLPVRCLQFDDARLITGSLDGTMKVWDW KTGECKTTIPAHEAGVIGLHMVDKLLASGSADHTIAVHDLGTKQKFRLRGHEDWVNSV KIDLPSRTLFSASDDQTVKLWDLDTRTCIKTFEGHVGQVQQVLPLPAEFEIDEADFLP NAHNDSDTASLASENLNSGSSFEATNTETFFPNDPDRPAPPTYMLTGSLDGTIRLWHV PSGRTIHRFFGHIEGVWSLAADTLRLVSGAEDKLIKIWDPRTGKHERTLSGHEGPVNC VGLSDSRLISGSEDGTVRVHCFVSEG CC84DRAFT_1167507 MEEGEARPGSALSSKNLCKGPCIWEELGWYGWFGLVFLGFFSVG VVGKFGEEFGVFVASDWCFWTFKHGCLWGRVCEGGRGVGVSGKAAGARNEFSDLEATF ADMVDE CC84DRAFT_1167508 MSAATSVPAAPDPAPAPAPAPSAEELPPVPAPADQDANQEVTTL EADTATPSDSDADSAFDGESSASTSLASSILNYEYSNGRRYHGYRSGAYVLPNDEDEQ DRLDLLHHIFLLLLDGKLFAAPIAPARVLDIGTGTGIWALDFGDENPGSEVLGTDLSP IQPSWVPPNVKFYIDDAESEWVYSPHERFDLIHARGLSGAINDWEKLCRQCYENLQPG GWLEFQEPMAWVESDDGTIERAHNLRDWQQLCNDAAAKFGKVIKVGHTLKERLLGAGF VDVHEKIVKVPIGPWPKDPKMKEIGRYQREHMVIGIEPYTLGFLGKILGWSETECKII IAKVREEVRDKNLHMYIRFYFVYGRKPESAVH CC84DRAFT_1220782 MASTSLTGVAVVAFAVYWAGLVLYRLFLHPLAKFPGPRLAAITP WYEAYYEIIRNGQYSKKISQLHDQYGPIVRVTPGELHIRDSRFFESVYPKNVHLHKEG WDKRFGSEGGLLPTPDAQVHKRRRAALSPMFSRRSIIEFIHIIHRHVETFATRMQEFE GRKEPLNLTHAFPALTGDIIMDYFFGFNYAQLKNPEFASFHEAFIKIGSTGHVATQFP AIFPIMNSIPDGITAWLQPAAEPLLKFKRDQREVIARTLRGDDVKVNDAQKTIFQEIL GSKQLPPEDKTQQRLEDEAQIVIGGGVETTAFALGIAAFHIINKPEIYKRLHADLVKA FPNRATLELQSLEQMPYLRACIMEAVRMGYGLSARNPRTHDKPLHYKEWIIPAGTCIS QSIPDVSHDEALFPQSREFIPERWLDDPKTSDGIPLDRFMVSFGRGTRSCLGITLAWT ELYLTLGMMFRRFKFELFEADVTDVEMAHDYFIPVTSLKSKGVRVFVTSAAD CC84DRAFT_1022712 MDPLSVTASIIAVLQLSAKVLGYLNDVNNASKDRANCAVEASNV HSLLLSLRFRLEEGSADAPWYTAVRALGVENGPLDQFKQALEALQTKMTDGGRLKKAG EALVWKFKKEEITSILDRIERLKTLVEIALQIDHLKLSQAVKDDTHFVRTLVPAIQSG VDKIQQDQADVKHGKIMEWISPTDYPAQQSDIIGRRQEGTGQWFLDAPEVARWLGESK STLFCPGIPGAGKTMVAAIAINHLLHWVQNSLVGVAYVYYNYKAQEAQDASSMLAAVV KQLVQAQPSAAGPVERLYKQHANQGTKPSLKEVFSAVQEVVAKYSIVYIVVDALDECR TSDGTRTQFLARLRELQAGRDVRLMATARFIPEIEAEFQTAEKLEIRASDEDVRRFVA GQTHRLPRCIQRDPVLQRMVEEKILDRVDGFFLLAYTSILC CC84DRAFT_1073108 VKTTLKTLLKGSAALDNAYKDALQRIKAQLGGHYELAKKALSWI TYAKRPLTTAELCCALAIEPKETELDPENIPDVEDLLSVCAGLVVVDQESAVIRLVHY TTQEYFERIGDTWDPDAQLYIASTCLTYLSFDVFKTGSCSTDMEFAAKLQGSTFLDYA AKY CC84DRAFT_1058254 QAASEGGYEQVVKILLDKGADVNAQGGAYGNALQAASRRGDEAT VKLLLDKGADVNAQGGKYGSALYAASEGGHEATLKLLL CC84DRAFT_1098530 MLLDKGADVNAQGGRYGNALRAALDRGYGNALHRYLEGSHEATV KLLLDKGADVNAQGGAYGSALYAASEGGHEATLKLLLDKGADVNAQGGEYGNALQAAS LRGHEAVVKLLLEKGA CC84DRAFT_1167515 MRWAPSAASRGGDGRVEAPVTLRGYLLCVFAAFGGILFGYDSGY ISGVLAMNAFKKQFGTAPDYTISTWQKSLIVSILSAGTFFGALIAGSVADWIGRRSTI IAGCIIFSVGVVLQVASSSVGVLVPGRLIAGFGVGFVSAIIILYMSEVAPKAVRGAIV SGYQFFITIGLMLASVVDQGTHAIDNSSSYRIAMGLQWLWALILGTGLFLLPESPRHY VKKGRLDDAAVSLSKLRGQPIDSPYIKDELAELVANYRFESEHMQSSWLDCFRGGWAP SGNFRRVFIGIALQMMQQWTGVNFIFYYGTTFFKQVGIKNEFLISMITTIVNVVSTPI SFWTIEKLGRRMLLIYGAVGMLVCEFIIAIVGTVDEGSKAAGLCLIVFTCFYIFFFAT TWGPAAWVVIGEIFPLPIRAKGVALSTASNWLWNFVIGYITPYMVDEDKGNLKSKVFF VWGATCTMCVLFAYFLVPETKGLSLEQVDRMLEETTPRKSAKWQPTDSYADGAIDKVE AIASQNLEHREKV CC84DRAFT_1022780 MMYAFLGDKPHLDEAIVHAGYGLGYGNWLHPIVDNTDLDQPPVT DGLSSASDNNNSLEANVVPARRGRGRPRVNTARDESAVEKRRAQVREAQRAYQKRKDS ATASERRRCDDVLQVLSDLSMDVEALLQAAADAGVTKEEGEFPDHIRRLWTTYNTAIN SPCLGPELRLLQVKNERRQAVYQDNNHSRTERNTHGQQPSHHRDSMDLDLGSVNDQTL ITPFSAVQTSFPSSGPRSIYQVCRERQAEFHRVRNPA CC84DRAFT_1022782 MERFEEVLLHATERGSNKVPGAAVAVVDKTGNIVYKYVAGFNGA TENAPDLSPDQVYWIASCTKLVASIAALQCVERGLITLDESLSEHLPELTSQPIIATS GEKEFFEMREATNPITLRQLLTHSSGIVYDWLNPTLSMWRSSRGEIAQLPFTGRTNEI SYPRIAEAGEAWNYGTNLDWASLLVERLTKIPFEDHVTQNIAEPLGIKSWTWHLSRKP EVAQELMQMSERQADGTLAPSKTPIWEEPEAERGGAGMYSTIDDYMRMLTMDLMFTPQ FEEGSKLQRSMWDIACAPVVGLSMEGVSPNQGLGGFLTTHDIDRSDFSKPKGTLGWHG MANLAWNSNREKGLAYFFATQVIPWGDGESQALIKEFEAAVWKAYKQT CC84DRAFT_1198579 MSNTFDVAAARERFPALKQDQVFLDNAGGSQTLDDVIDSITQYL SKTNVQLGASYHTGTISNTKYEEGYQAAAKYINAGRDEIVLGGSTTQLFMNLSSAMKI PEGSEIILSKMEHETNVKPWLVMADRLKLTVKWWESPKPELKLTPENLKPLLGPKVKF VACTHVSNILGGIHDVKAIADAVHEAGALFCVDGVSFAPHRQVDVKAFGVDFYAFSWY KVYGPHIAVLYASNAAQEHVKPLPHYFNPTKTLEDKLGFAASNYENVQSIPKIVTYLA DKSDAIAAHEGKLQTILLDFLNSRDDITVLGSTSADSTVRVPTISFVVDGRNSRDIVE QVDKVSNFGIRWGHFYSKRLCDEVLGLKPEGVVRVSMVHYNTEEEIRELVEALKKILS CC84DRAFT_1262386 MGLTEKVERLNLAVREKPFESSASSHWTAQPRSGLLMLPLEIRK LVFDHLIGGPGICISENGRCAKGVEVPTRYRTLGGRPLITCDHRSENQGNPINALYLM LVCRQFYAEVTDMLYATSRFIFHDAIAFLRFSTRLSPPVLHKLRSITLEAGSSDSSFF ERPLRYWSDVEAGHEDAHRLPSLAAEYFNEPYYPSLLSRCLREPEYHYDVRDPQVPST WGAVCQDLGKMAGLRVLNVVVALESMLVCHKNSVFDEARGMSIDQGQAEGFIFAPLVE VKRALGGEAEVELVVDWATLDGESVFESLAAEVGINFARARIESWKKGMVLGANY CC84DRAFT_1253282 MHQATDRRSEPHRRRRGARARHAKPAPTWTSRSRSGAHCSAKAL YCYRPPATPAAAEQPQASLRSRRLSSVLDGLFLCCCAGAARSVSQVAPPASRTAAAAG HANPTRLLARPPSNTLQPASIVLSFGRPKQTSQTGWCVPRARSRWPVFPDALRAPLER VPAPYALTAFGAGPLEPAPMETRMTLICPLVASPWMAPQSPCPGNRRQLNTFRTFWNL VQYPSSIRTHGLNALLASVHSYSSPKVLQ CC84DRAFT_1099221 MHHGFAEPSWANDAYLALDNGIVSSSDKWAAVLGNAPSFSQSAF TIPPSLLTAASVERYGQVTPPEDLSPAEPPREPIREGSIPLEELQNEALFPDHQMQAL QDYQPQVAQDEPASKRRRTSRQSSNKDLAPPQTQQPQQDGQPPKRKRGRPKSTPQMVE HYTADGFPFQVSSARQSHLEKNRVAAHKCRQRKKEYINGLEARAREFSSKNKALKENV AMLREEVLSLKNEVLRHAGCGFWAVDEYLARCAGDLLGVDAPGMGEQQSKRPKPSPMT SSSSLQLDDLHRRSSSSGSILSAVSTPDNDDYSGLDFLHDIDEDEEDQSI CC84DRAFT_1022855 MDSLPTAGAPKVAIPRVSHPASRGLSGEKHEQRAPRARRACLNC RKRKIKCSGDTPRCASCIANDLDCVYDQARRDRLKSATSKNKDLVLLLKELSLRPSLD DSDRARIQDALEEFEDDSMSMVSGKSGKRRKLSVPEEQEKDDDRHPPTATHARDLIGS EEALALLDEDLLRNRKSRSTGYVGQGSGVQWLRILQDELKPEGPDQHAVSDKSNLVQQ PSAHKAISSASVTDASFYLDANSAELDVDVDPYELPPKEAASKLFECYKQTVHKSFPF LPPQFEDQFRRYFEAVKSERPFTVPDRWLAILNVVFAIGARYSHLINAEWQGEERDHL IYMTRSVRLLGSWPFAAAPDLALIQVSGLLAFYYQVIGHVSRGWIMIGISIRLALALG LHLRNEDPNIPFSKKETILRTWWALHAIECQLSAITGRPCVLSHEDCTVALPQTLSED LPSPISPINPTQPSWKKESSQTPASGSMSEESRILQSPRSYLDAHLKIGLIMQKLLSE LYSPRTAQFTWKRVQENIPRLLQELDDWKQGALPDKDILRVRLSPQPEGPREDLLLRF YYFSTRILITRPCLCRSGRKTKDQSDPSALFDQRTAETCVAAALGLAELLPSSDPQSL YRDGPWWSIVHMIMQAMAVLLLELSYGLNHMQGDRSDVKKSVKKMLHWLGAMRHTDTI VERAHKVVIRILQQHKFQTVFNEILTDTNPAHEQTHHASFNSGHAHPDPTMYPPMGSM IQMLPTEWYSGPFAANTQPEDVVFSPVTTNLQMTDPQLLVGFGQTQEQPQLLSQMTQM PSQAEQYRYLEYPYNNQFMFSNPFMTSYDQDAPFSLNPDDLWPRAGALGDGSLGEQNG INYPSYMYEMDQNASSGSQ CC84DRAFT_1022849 MALTVPPQVHVNSKPFDTLLSVPGPLPSLCYANRKTRSECTPLL FLDTEIIVLNVLAVQRLAHYLRTPIRALVILTIYTLVFSPAISWRAHLAHATRVLLAA CPTLRHVKIGVPHTVCVRENWGMVEEAEFGFTDVCVLRMRMRKEVVDQMDLCCLIDCK TLETVTLVCHEGERVARSLGSRREVVFMPLIVWVRKNICGGWEAEVDS CC84DRAFT_1022859 MAPTLNVGVFIYAGADIMDFSGPVEVYSTRPPPGTPQVFSVKTF AHTNPVGGENSVLVYVPGASFAEVEQQLENYDILVVPGAHPDIITEFVASEHGQKTLA LLQRFAKLPPRKEAGYRILQSVCSGAVILGAAGVLAGRTATTHHICYDMLKEYADKAS GGDSGINIVKKRWVDGGETEAGVRIVNAGGVSSGIDASLWITELVGGKELFQFTEEIL EFERRGEGQAWGVKQA CC84DRAFT_1220792 MPHCLMCTRFKRQCPGPTDAPLLFVDTSSYPSGKKPRAKKPSPQ VTSLEVARRFEDGRGIAVGVGSLPDEMAFVLQVDVSPRYVLGEAFFQNLTAFMCAEGR HMPGAVRRTPSWLHALPRMAAAPPPSASQGSLATHRNEALSLALRATTAAFSSLELRN DALLHHAYGLYGGSLRTQGRVLQEKGDKAGDLYMIMTSLMLTLFESVVASSGEGFALH NVACAKMIDNALEQASKAQVDKKGKGPGGGEPGPMLINAFFHVRIQLCFVYLTTSNSR IRDDPVMKRVLLEACGWTQERLPLNMQIITPLAKLMELQSISREATSSVGLEREREKY TNAREEVNQLWNGYRQQSKGQRLCWTSPGTGHTDFRDPFTALTYAYFSACHILLGLLA PTYDAPVGHSTASLPFMPPQRSKSISSSSSTSSNSSPTWHSPDTPPSSSPPLKDFDPS FPPPSMTDHFALILSVSWYLRLRDTGFAYLRLHNPLFLVAMYAPTLQHRNLARMVFED WKIGALRGIGWLAIAKLDKEQRAIESYGP CC84DRAFT_1262393 MSSPSCLGPPFSSIPTIYTAEALAHAAVISPVDRLRTSIASYSF WIADNANFINLVAQTTRHSPLSRQVIPPTTAAMFCSAISGFFDRLDTYWTGKRTHKAA SRQEAHDREAREQQWTEGHRQGPPSAVQHPGASKDYSDDMENQARPLRAEPQATRKKT VRRQILQPGQRSLETLEIGRIGTAEKFYRQ CC84DRAFT_1022943 MQLKNLFFALPALVAAAPAAQPQDDFSVQIVGGSAATAGQFPYI VSIQVSGSHYCGGSLLNANTVITAAHCATRSASSYTIRAGTLTWASGGVTSRVSQVIV NPAYSGNNNDIAIFKLSTSIPTSSTISYISLPSAGSDPAAGSSSTVAGWGATTQGGSS PAALRYVTVPIVARSTCQSQYGTSSITSNMVCAAEAAGGKDSCQGDSGGPLITTGTKT LIGVVSFGNGCALRGYAGVYTRVATQLSFINQYA CC84DRAFT_1167526 MLALPRSGPILFGCLLFVAIITYSLPLSSPIFIRRQLEMESLSQ PFTIKINGKPVAPVDPKADNVVQAQLGSEAATFELKNGRLVSGDWILGRNLTEDRSMA PKKVSWFKDGTEPATRLHPVTAFEEGGEHKLKFGGGSLIAEDGGVFVDLFGGEEAVAA LQLQFKE CC84DRAFT_1022988 MRYILTASAFAAIANAQTWSLCNPMEKDCDNNPAIAKSFESNFK DGEGALKGWQQTAKGAEFTDEGIVFSVKKTGDAPTIQSDGYLHFGYVEVTMKAARGAG IVSSIVLESDDLDEVDWEFIGSDATHAQMNYFGKGNTTVYDRMIMADVPSIEEVHRYA LNWTSDALTWLIDDAPVRTLNYADANGGKNFPQTPCNVRIGIWAGGDSKDKGTQDWAG GKVDYSQAPFNQVVEKVKITNYSPGKEYEWTDKSGSWESIKVIDGEGVSSGGSKDEPS TTEKPSEEGAAATSTPCTEEATMSTPPPEETPCDCEVETVTVTGPPPSTETSDVPQPP QTTPPPPETSDSPPPPESTTCTDSTTESVPPPPTTSDSPPPPESTSEVPPPPSSSEVP PPSTSDVPPESTPPPSPPTESTSEVPPPPPESTSCTESTTESVPTPPATSDSPPPPET TEESSTVPPSPPQTTPPTEESSSAPPPESTPCTESTETTPTPPATSDSPPPPATETTP APETSSSVPPPEETPCTTTTTTEVPPPPPASSDNCTTLVSVTVPPYPITPTGGIIVDT SAAPSVPYPTNPTGAIPSPPNGTSPPIQEFPGAASTSTVSYLLAVAAGLMVFAL CC84DRAFT_1099067 PSEVEILKSLPQHESIISILAYLPKSSTLQGDATVFDFCPFGDL FELGKDMWQKSRETFSEECIWSISSQLSAAIAFLHEGIACRKPKDSLNWRPIVHRDIK LEHVFVMSLGEKQKLSEITIKLGDFGLSAYYDPSQARMPGWFGTPMMWPPEQTWEGRE ARPAGEVWATGSVIHEISHGFPPVVNPQLTKSLLKDKTGYMHSMWEQELQKRFWEAMS ERKPLPINLDSHEHDLRRIRPTPKYSNELNGCMLAALDMSKEKRPTAGELTAMVGEHH AAFLFHKMKVESDGLIAGSEALLLEETGLEALT CC84DRAFT_1253292 MFLRILCFLPLFFSIILAATATQWRSRSIYQILTDRFARTDGST TAPCEPGYEGFCGGTWYGISQKLDYIQGMGFDAIWISPVVEQVSNPTRAYHGYCAKNI YSLNENFGSAEDLKSLSMALHARDMYLMVDVVPNHMAFDGNATDVDYSTFHPFNDRSF FHNVCFITDWTNVTQIQQCWLGGEVNPLPDLNTTSPKVRTEWNTWIRSLVANYSIDGI RVDTAQNIEQSFFPDFSKAAGVFALGEVASYYTQYACNYQSFMDGILGYPAYYTSVWF FNNTQALSYNMLYDFLNTSSLCRDTSLVGEFMENHDKPRFPSYTSDMSLVKNNLAYIM LKDGIPIVYQGQEQHLNGGTDPFNREAIWLSGYNTDAELYKFIKQLNAIRKLAISKAS SIVTSFLTSKATVVYYDAHNVAFSKGPAGARVLTVINNNGAKAGNYTLSIPRSGYTPR TEVVELFTCTRMTIGRSGNLAVTVVEGKPVVMYSYSLLRGTGWCGS CC84DRAFT_1099538 MQSANEGPADIIAKVAGFVKGGKREDDGAYFTNNEGIPFPDPAH SKTIGGIPVASDVWLFQKQQTFNRSKTLERQVHPCGSGAFGYFEVTKDVSGLTKANFL SEVGEKTPIFIRFSTVTPGREYPDEARNPRGFAIKFYTMEGNYDIVGLNFPVFLCRDP IQGPDVIRSQYWNPKNFLFDYDGIFDLLANTPEGNHAGLMFFSDHGTPQGWRFNHGYG CHTFKWVNKEGRFVYIKYHFIAEHGQKQFTQEQATQMCGEDPDYSKRDLYEAIEKGEE IVWKAHVQVMQPEDADPEKLGFDPFDVTKIWPRKQFPMQEFGRMVLNKNPENYHRDVE QAAFSPGSMVPGIEDSPDPLLQFRMFFYRDAQYHRIGVNLHQIPVNCPFMAKSFAPLN FDGAMRTDANHAGNKQYAPNSFAHKFRPDTAEAPYQVSDNVMSRKSHYWHEGKKNEHD QAKELWSRVMSEQEKKNTIKNTANMLKFVTTPEIQYLAQVFNIGPDLAKGIYGGLPKP KFEFSEVEELSKTAHEWYKEKKFRPSNGERLTGFSPSMPIYN CC84DRAFT_1262397 MAAAAACPVIGSTNDVLPPNHPEVDLTKDGQTCPVVGATTDHHH NLQKHPSVPIPEPKSPLATQCPALKSAVQEPKSQAMDDAICPVVGTATTILPPDHPDT TKAQEGDVCPVTKASVGHHKQKVQPHPSLQAASDVAVCPVTGQHGPAHA CC84DRAFT_1167530 MPSNIPATPAPPKVEGSNRSASPDRKSSPPIVQTHLHRASDILK DNALAHTLTTFINEGYATSSLYPSTRWDHQPVRFHTPTDIHDMLGTDGMIAAIYHISR EGGQTEPVAVASISRWHGDMDGVGAQDEDGWEIKAVTTKSGWGKMGLVGRCIETITQD LIAQEQSHGEEKVKLWLHAVEEVNGDYWRRRGWNDVRGFNRPEGYWGSKFGFRLSVLL KEVDVH CC84DRAFT_1099437 MVQLSQAVTAAILATGVFAHPGGNVNKEILRRQAHLDHPERRTV QSCKRDLVESGWVREQHQRREARLHELRVAAGFAKPGDLVRRDVGEVEEEYGVEASCT LDPEATEGPYWVVGELVRQDLLTGEKGAITHLDINVVDTSTCKPVTDAYLEMWGSNST GVYTGVQARGNGDGGPSSLVSNALRGLQPTSANGTATFITVIPGHYVGRTNHLHTIVH HGATLLPNNTISGGTISHVGQFYIEQNFLNQVEKTAPYNTNTQKQTTNAQDFLFNMGK QGGDDPVMKISLIGSKIEDGLYATIDVGVNPKARRNPAPVNMWTSSGGKPYPNSPWTG YPDTCKNCGFGGRPPTV CC84DRAFT_1126831 MDKQLSDDLPTYRDATAPSSMPLPTWINRRRRRRDFRVLATACL VFLAISAYRIANNTRKSTSTLSIERLHQDHAVCATLRREPAQVSTARDHNKRWVKGTK PLLIRNATIWTGEPAAGTSESDARAGKGWSWISSDIFVDKGLIVEIRPSIALKSLPSD TSIFEAHGRLLTAGIVDMHSHAGLSSEGGLQDDTNEMSSDITPYVRSIDGVDPLQPEM EYIKSGGVTTSLFLPGSGNNMGGEAFVLKFAVGKKNGREEISQQDLYADPDRNWRYMK MACGENPKRVYGKVGEHGPVSRLGEAWEFRHALEQAQQYVREQDDWCTSADARGAENM ATYLKQDLRWESLGAVLRGQVRINTHCYTIPDLEAFVRHTNEFKFRVYAFHHAHQTYL VPEVLKRAWGGTPAAALFADNMYYKVEAYTASERAGAILYAHNITPTYVSDNPVLNSQ HVVFEAAKAYGNGLPYHAALAGVTSAPADLLGLGDRIGKIKAGFDADIVVWDSDPLTV GAAPVQVWIDGAAQFKDPYELKKSDVEPITPNPGLASELEMSSVSGSIVFSGISYAYP GIAKGKSTQEQHVDNMSAVFHNGKLVCLGTCTGEIEAARSANALVVQLRNGHVTPPLT AFSTTLGLAEIDAEPDTHDGPPPSDGITRAIDGLLFGGKQLAHAYSHGVTRAISAPNE YGFQAKGVSAGFRTGAKHVLEDNAVWADEVGLHYVLTLAAKDETTVSVSAAISNLRGK LLDAINDNTTVTDIPTKDGYAEKAYLKRVINGTLPLVLAAHSADTIASIIRLKSTIEH AILKATPSSSQPDLHVVVIGAAEAHLVATELAAAKIPVILAPLQPHQGSWDQKRCLTG APLTNGTTINWLLDAGVLVGLSVEETWETRDLGLLAGIAYANSEERLNLNQALDLVGR NVYKALGIEVNVSGGEEWVVWEGSPLEIGGRIRAISGLSGQIDVWQ CC84DRAFT_1099258 QTRSATRARLPDPPRFDGKPLSLRTWLPSIRAKLRSNQLTGADA FDYVWDRLEQPQ CC84DRAFT_1098452 LEESNDSIFGLQYPEYVELVQSYDRRARRPQNQHLNQYPKPARP EPMDVDPARVHLARPSSALSTSSSTSRRAHRLENDLCLCCGADDHWISDCPVSRRTPS TPEPARIKSTARSTILSAHLRKGGDM CC84DRAFT_1075173 RYIALSHCWGEQPLLTTTVDNLSERKGGIQWELLPLTFQHAIQI CAGLGVEYLWIDSLCILQGNEDDWARESEKMASIYANSWLTIAAAAARDSSYGCLPKG NAFKTYFLPAKSPDGAPRRRAWVFQERILAKRVLYMCEDEMMFECFANIRCECSDIDT DWSMYSTGWSVFLPFRPTGILETWDYLVHHYARRKITYHRDRLPGFAGIAKKISGTRA MGRYCAGLWEYRLEECMLWYILPTTEDVVPLPPIRKSDSAPSWSWASVNG CC84DRAFT_1167533 MFSIIDFSNESGTISQVEINPADAMDQNIPIERLAARYNVQQFA DSRPPTGSGAPTRTRAHTYGHSYSPYALSDLAGMHHRTSSLSSGYVPAQPHTPYGPPA SLSAHGYWQWVPSPIPTELADYEQDSFLEDLLAPVARSRATSIAHSEATSMAPSYVGA PSSANSVVYSSRGSVSEMPPPARLRAPRVSRKKPSLPKQDAPEAAANQAAARKESAET KAMHKEVAEKVSAIPSQNHDAVPVRPCALTPRNLTDVEEKTNRENAYMFIANWERKSR PSFKRHDMNNYQVNDGPLEFNPIQSGHKLIDLELPVTMLIDCIFDSRNFETEDVFNSQ VHADPLVELFLDIARAEILKEVRDQWRELRANDDKALRYQLDLLSKLYRMGSITPWKP IATYLEKWAYWRENWCGENSQERSKTQRPAIHPDDRFFRLNGSKGLQDSAEAKLKELL MGKGMAASAELYFMQRLKDVSKDVRAVIVERDSVLLLDTEGLETLLRLDKEQYEA CC84DRAFT_1167534 MTRRAPVISISHGGGPMPLLGDPSQAALTHSMKTKVPKILKLGT ADAPRAIILVTAHWSEDVVGISSAEKHELYYDYYGFPDEAYKLKYDAPGSPEVAELVR RQLEEAGIKSKNDGKRGWDHGVFVPMTLIHPSASVPIIQLSVLASEDPSMHYAIGRAL APLRDKNIAIIGSGFASMHNLRAMFSGQARTPSYKALNEAWSKTVADAVETTDVEAQK EKFAGWRSWPGAYDMHPRGGAEHFLPLVVCAGAGAGEKSKAYGDEMVGLQMWSYYWDG EEAQL CC84DRAFT_1220808 MPPKKDDSAATVGDAITGFTSKETKMIAAAFVASTGPDKYDYEL LATLTGNTAGSLKKMWPPVKRKVIEAHASFGTFLGGAAGEAAAAKPAPKPKANNGKKR KATSAEPEQEDEAGVEDAGKKKPGPKGRKKKADSVDAETEEKKPAVKRGRKKVKSEED VADDEIMEEIAEETAAAAAQEEV CC84DRAFT_185417 MANAMATTTYRERAGHASSGPLASFLLRLMSIKQTNLCLSADVE TSAELLDLAEECGDHICVLKTHCDIVTDWSDRTARALKEIARRKCFVIFEDRKFADIG ETVQKQYTSGVYKIASWAEITNAHVLPGPAIVTALHKAADATIAKYNTGVHTDIYSDA PRRKPGEEDDDDELEEITRTNSIAVVTPPINGDDEDKRLGIKPLDRKQSVVSIGTSIS QRTESISPRPDPTFHPDEIFNIDSVAMLARLGEAPFVRSLLLLAEMSSEGHLMTPEYQ QKTLEIARANRDFVMGFIAQRSLNSDPEDNFITMTPGCQLPPPGQEGQKLGDSLGQQY NTPRKLIFEQGCDVIIVGRGIVRASDRASEAARYRAEGWRAYEERVGVFKG CC84DRAFT_1220810 MDVKVKAKEKEELRAKMEVRAKVEPAGPASSTSTFSNSASSTAA DVESLFTHQHLLEQAILTCGALPPDPNPLVEAWINLVRDTYLQNMCRRWIAMGIQNKP CLIHGMDMLCMAYSPRLGGEIIKPFKPLTRWLNQWPSSLTYKGDLDERPPLHGKGGIL KACGVQYYGGKQPVFDVLHDARAFRKEMRLQERTMTQRKLVMSIVEKKVGAMRATVQR VWKKRYDKESEVVEKYLEHDQSDDGVARTTRPEVEGHDSENHNSESHESEGHNSEGYD SDIYGIEGNDSGNHDSEGHGSEDRRGHGPEAGDSALSPGHVPEVGDSGPSPDSQDEEV TWAEVGGQLFLVTSGTP CC84DRAFT_1167539 MSGLIPLTIIKGAGFEHIPLPTGENATVADFHTTLTQTPSSNPT PITSGFYKIVAGPARSASYTFEEAKYVLQGQIDILDEATGITHHLVPGDYAFFPVGSQ VQVCLPYRFF CC84DRAFT_1152969 MLLGKSTVEYVLIKAFVYFYSYLGLLCLAYFYLALSIGGVRVVA HPVSIAIEVLGAVEILFYFCWFLPYRSYLWKQKAAFPPPLNRRQRQELWNRCLAVTPD IELCIKKWMCGASLEDLRRENMKEWLLWALFDREGPPGDDDDELEEYVEVVEEMLGKN IRPGWGPAACLRLNFRTFAVSHRSLTYYMIIGTIDFWVSMILLVSGFTFYRQPRNKFL KTFPLRPLTVLAPKQSAAPNFSYFYRPHKSTTHRPIVLIHGLGIGLAAYLPLLLMLPK DIGILAIEVLPISSRITEALPLPADQVREIGDIIAQQNLDSFVFIGHSYGTFFAKVML ETPFLAARMERMVLIDPVAILLHIPDVAYNSTSRKPKDANEIEIAWAATAEPDIAFTI AKRFCWRKHILWQEELLRCPTTVVVGSDDCLINAEAIAAYVTKGPPQPDPASVVSKPD LQWSWTDRKSWETNFWGGEGLELYWLAGYDHGQSFFSAPVLRNIVKLVEQYCGQDGGV AVVEKELPQPPNEAPPSPGPQGIGLVSKLRGKSEGGHSRNDSGTTKSFFERD CC84DRAFT_1179392 MPCNYHSTTQGMRRSHSSSLSTWCSDRYKCTCLAVCSNDSHSAR RVNTLDSTLCSYCHIIKHTNSIKHLLSLTALIVALTNTASTFVTQSKRDFINIGSVDF TISPLTGPTVSAGYYSSYMSRYSEAAWAAVMDKDTEWGSSIIDARLDMLDFLATQTAV SIRTVIMGELVKTAYTEMPEWYTKLRENIHQILEEDPEIFKQALMDKPTAAPSGGGAY ANAVKIGNRPVAADLAGIALLSNRNV CC84DRAFT_185570 MSCCFPTLPYQSHPRRRAYTGGRGWSGSSSSTYRPGMHVTTPSN LAMSGLWPEEFHHGYTPTTAGHHTRSGRAQSSCPLRQRPANEVLDCGFACAVSWSCVF RVSVVVSGQRRGGGCDRLGGLMVFWGSDRCGGWARGSLADHDGRYHDDVHCGEVRQTR AGRG CC84DRAFT_185541 MASIVLTSRPPLVHPEPLGARCRIDSSRTWMRTTFYLEPSGSHA RRRHVPSRALRLAGSLDTGSRIPCPRQPPTAAPSQTFLFDAFIRAACAVLTPLLRYCH AGSAARCTLRRDGARHLQLSRRLAAHFVKRRPSAQVCPVEPASAADRRCLFLRHATTC ASLRARE CC84DRAFT_1126845 MDDNMDIPEESMRHIEALLARHRPDMIHRMFQQAMESRRSSVAS SHTASSISTTASTGSSFRSPAPSFRTSTTTSYHSRLSMQSNLSTSTLSSTMSSIAPSI ASSTSSMSSRSRATPRRTEPRSFPASLDHRQPLDPTRPVPSMLTPSSETESPIDPKQE HAYTPTDEDMSMASFPTDRGGDSFMFCTYCAEAKTLKTFKAKSDWKKHEMRMHETGED WPCLVNGCNRIFDRQKDFVKHHQRYHSGRPLPSLTDIGIQLLPRRVFGCGFDKCKEVS IGWDERCDHVAKHMKNGSTFDQWKYSNVIRNLIRQEALHDTWKEMIACLNERLRESRS QISWCPDNTRILRQKLQCCDLRPSREEVLITALSLRSDISLNPSQQQPPPGFVVPSRD SVPNVDGLSREQRMHILIGNPNATISRGRLAAVNAALLRASNASPNDTNFDCGTSPFD DGPGPEPDTSSRRISYMDIDTSEPYIDMSQQPMQQAIPTLQMPTPSHHHQQPSHSQPH SQPHSQPHSQPHSQPHTQPHTQPQAPPPMMEAEQAEEHAYVDEAKSTNPLNLFYPSYF DAPPQIEESNYYERPSLGQMISKPLQRIGSRLSRHGTPSSRPVSSQPDHRMIHADMTP EFQIQSPVQMDRGFPHHHHHQASMHMQQYPPGAGTQHQQQQQQQQQQQQQHHDQQLLF TSPI CC84DRAFT_1179395 MSPQRLQGGGQHGPAMLSFIVAAYTALDRAPRACRFATAPDARR GRGRHRQREDARTEALGRPPPSGPVPGTSLPASHHGAHTSTLRAAPPSMRHPKSQRHA PAPLAAIGLAQTSAASAQSCCCDRCATVQSSRACEQRPIA CC84DRAFT_1220816 MLRQALATAVTFLSLSHMASGAIVFLSNCHQDYYSGQYQWSEMD YFTNTPPTASYPDGKKPAGKTPSIEAKGPNTGGRDDRVHWEGRKTCGNFSDTTFCATI AADAGGKSKGTYVGSGSNGFGTKFDCYSEGGERYVYAINPPDATGLTSSCYAIYYCST K CC84DRAFT_185638 MSSYRPSNGKAPVDEVETVDLTFSSPEPEPQPKQPHQQRQYDRY LTKQRVSGYLKKELGRTPIRANGASSSSSRHHTAAANISDPLPSVSAEHLRQIINTSS PQKVAELLLDLCKSSPALSGAVARGLAPHSTWAQNTIKDYQRRTGVAQVKSEVGKPSS SSGAIPGRTSENRSYESPRTPYSKAPVRSEVGKFKADSDDSLSDLEMILAQPSRSSRG KAVAGPTSSIHRAAPDETPPSSMHLSQPPLPVRVKPEPQITALLCMQCDKMIQPGTNC LYHLGRPKMSTQGSQKIQLWTCCNKPLDAAGCCNGDHIPLRESTYDPVPTLTGPKKPR LV CC84DRAFT_1208491 MKITAILSAGVATIGIVLAAPAVQYDEAPSSFEALAGSGDKWAL HANSVSGNPRMFKSRPIVALGEGTIDGVPQNHAPPTQVHLPPSFGLENFTAHPQSTPV TFTLTHVGGQNGTRYSLTNVTLTNESWKTANFATEYIFYEPNNIIAIGWYTLFNQPCP KRVGCDPLGWDIRAEGNQKLLKPADQTGGAWDAVKTKEGRGWRVVWKSNVATVHNEVR ISVVPFDK CC84DRAFT_1262412 MEDQYLDSLLDGLGTTQLQYLRQRIDERLGRHDFAGSTEDQVPF VTERGTYYSTASTLYPSGRSTPIACSITSDWKSNFAHHDIPDHNLSHTIDPSPWHSHT VADDRTHLRTCVGQETPHKPLNEVKVAESTSKKFFCTFCFELGSWKDFGTKHDWKRHE EDYHDGTGLQWLCQVVGCSQMFSRGIDFRNHLKKGHEGKMYPRDCKEVRQITRMYACG FDNCRALITTWKHHCDHVATHMAEGDTTWTYDRTIRNLLKHRNLAAQWKQTYGTMCPQ LRIVQQDLSWDIQATRSLRDQLETHSFHVHLEEFLLDLFSRGLPPARTDALVDHTLAS GLTSSSLYTGPPSIPPILPSSMDFGLDPSFESYGVSQPGTTHPSNDISVLALNNRNSV VMADAPPFDGSGTFSTPPHDPFEEVPLMATELISLGGFITETSPTYDTCSTGAQEHAN IQDIPKSHSPRALVTKSREWLASKKSQHFQHGVIDHPDVSPSMRLPRSPSRKRSMTTV HRVRGGQS CC84DRAFT_1152973 MAQEDQSPSLLIIGAGTFGTSLAVHASKSYPDASKITIVDRWCP DGAVQDKNAAAIDINRIIRTDYTSPLYCNLANEAIHFWFWNMEVQGHFHKAGWTVFDG PRQEREFRDGVRKTFEDRGSHIIRNVDADKLIKEHDVLKGLNGADLGNGYFNPEAGWV NAAKATKAYLRVAESRGVQRITGEVEELLFRDGDTGLEGVRLQDGTILRADKVVIAAG AWTSGLLSPLEDKLNIADKDRIERQVTAVGRLSAYFDLSEEETNYMMDTNLPIVVIGG EVDIIPPYYHIPTLKVNDLRTEFTNTITTASGRKITTPSKKNQMHVPRRLIQESEKVV KSSMPEWTKARSPDRWRICYDAVTPTEDWVLSRHPDDRLGNLYIAVGGSFHSYKFMPN AGKYLLNVLNGESNGEEKDRAWKWKSEEELKERGAKEFGESPRNGNRPELRDFEEEKA RL CC84DRAFT_1126851 MSTAHIHPFYRIWFTIVDPTTLVFTILACILSPSTMLATSVPTS FASYDPLSHGPLLYQSAALYAFMAIIFGALLRVSSDLNVWKIVQFATLVVDCALLVTL WSMLKQQNRLELAEWRGEDWFNAGFTIWVALIRFAFLGGLGVGTQVEEKTKTG CC84DRAFT_1198592 MSDINSILHAFRAAREQKLLRVMREIVQTTGPTFEQRLLGFRGI DTVDPINVEAVLSTHFSDFGLGERRLVFAPLLGQGIFTQDGKPWAHSRALLKPAFSRQ DQSMAQIAEVTDQLLNLIPVSGSFIDDENTFAIAFDKGQDYLAQSGRLGGLHWLIDSS DFRIQCKRVHEHVDNAIMQVLQEQDTGDKQSTQSILQDLVQQSTEKKVLREQCLNVLL AGRDTTACLLSWTFRLLAKHSAVFNRCREDILNSCGFSGQITRQKIKYHMDDLPLKVL RLYPSVPINSRTATHTTTLPTGGGPNGTAPILVRKGEAVGYCPYIMHRRQETFGPDAE AFRPERWLENGSQAGYVVARMLQKFSSLRLPKEEPMEAIGTEKQKLTLVMCSAIGCNV VLT CC84DRAFT_185674 MPQKLKLAAAQSRTLSTTAETLHALEATTRKAASQGVDIILFPE AYLGGYPRTCTFGNAVGGRTTEGRDQFLSYFHAAVDLGDTPSGGGDDWVERRLELPKG KKYRGDGTREELERIARETGVFIVTGLVERCAGTLYCGALYVCPKAGVLGKRRKVMPT GSERMIWGQGSPSTLRAVTTEIKGVRLCMAAAICWENYMPLLRQALYSQNVNLWFAPT ADARDSWASLMRTVGIEGRCAVVSANQCVKKSQLPEWITGESATTTTTTANGNVSETA EPGHNRSRRRSTITVTEDKHEICWPIVEEKANGASQPNGDGSAIEDEPQTAIHTAAPK SKLSQSITHSAPQGQVDPSGNEFVSRGGSCIVSPFGEVLSGPLWEVEDEMLIQKVDFE DCERGRLDLDVAGSYGRLDSFHLSVDGLDLNPPP CC84DRAFT_1167547 MANLSEGDPQETISVPMVHSAENVNWKKLWSKDRKVVTHSVIVR KMPREWYLKHYAKDAEGNYVGTEKPAVDAGLVFVPSKSTPDDLLQQVHKVAFGKQHYA GDFSSGIGLMSGLGDGLALTSGPRK CC84DRAFT_1100153 MAGVGLVRRHADLFRRGGGLDAPSEVILSWPAPNYVNPERHSDS GPIIVIIFLVLSILVYFARMWARVVMTKTAGLDDWIMTSSIIPLIAAAIAVVLACREY GFQWHTWDQTSETLISTRKAILYLLTTSLIKISILCFYKRITNGSISKTFVYWIWGSI AFVIIYFFAFSLSVIFTCSPIEGYWHYFDITWRLSHELKCRDEGALIVAVVVISTLQD FFLCALPVILIWNLQISKRQKAALCGIFGLGLLTCLCGVMRAYYAISVYYTTYDITWY AWYGWVWTALEAQLGVMCACAPALKGFFKRYFSLSSVHSNTYGHGTRGQNPSGRVPGY GKLSVGNSLATSSHAEEPVPLNRIKVSTTTNIVEDRDDRVSVESTESTRHLTALPNTA LPLPVHHDVRGTSPSIWNGNRTVITAYREEHELDIEKNVRDS CC84DRAFT_1179404 MGTLGTIAAIVIIVIIIAIVLYTTRKYGCCCGLVKWGKSNKKKE LDIDLKHGKPSISPPTQQQPQCQNPAYQQPQTQHASNIQSQWNPGAHPEQGQQPFSPP YSPLSSPRNLYSNGYPVPPALTPNPPATRPRAKGSKSKPTSEAGTPDSPVADFAPKKK KKKKKKKKKKKKKKKKKKKKKKKKKKKKQEYKGSDGFEDVDL CC84DRAFT_1167549 MSTALHATMNESMPLYEQAERYGLLPFQHEHRVKLLRQMNIQDG DRILEIGCGQGDCTTALALLYPNSHVTAIDPAPLDYGGPETLGQAQARIKTYDIGSRI EFVQATPTAHLKNVEKGAYEVALMCHSLWYFSNRAEVISTMEALKGKTKKLLIAEWAL KSHSREGDVHVQVAFTRATCEAHIPDTTENIRSPLSPSQIKQCVIDSGWVLHHDLTIP SNPQLEDAKWELNMLLGAKFGDEFAFMKRVKEHIQEERIHLVLEGMLESVKSSVDAIG GEDHIKCMDVWIGSFAQ CC84DRAFT_185826 MSSMQARVLAHQSGSCALMPMRCSPFGISPSPTRCSLLLPCTAP STTQAAQSMRGAQDGFRHGSGAVQARSCTRPASLKGKASRHSKCIRNAPHNRLHSPRV DCLSGMCRPSAQHQQSVFAPASSSQPWKKTNHMRTKSHEVG CC84DRAFT_185844 MAQRGEAADYYNGAPQQNNYYQMQEPAGYPQQPPQYDNNAKYGP PSGPPPPNGQQWGGEKPTFDQAFKVEKPKFNDIWAGLLLIAVFLGYTAVSGIVIHGYS ETIDFNGGIYGSKNTFGLDSNTIILFAFVLVMASILSYAYMWCARAFTKQFIWITGIL NIVFGFVTAIYMLSRKYWSGGIVFLLFSVFYVFCFISWIPRIPFSVLMLKTTIDVSKK FGHVYMVSLIGGILAAAFAAWYSVTLTAVYVKYEPGRNPACNTGAGGCSSAKVIGLLV FVTFAMYWISEWLKNTIHVTISGVYGSWYFNKNHFPKGATRGALKRSLTYSFGSISLG SLIVAIIQMLRQICSAAQSSASQDGNIVGTVIFCCLQCLIGLLDWAVQFLNRYAFSYI ALYGKSYVAAAKDTWKMIKDRGIDALVNECLIGPVLSMGAMFVAYSCALLAYLYLLFT SPAYNSTGSYTPVVVAFAFVIGLQICQIFTTPLSSGLDTIFVAMAWDPEVLMRDHPDL YHQMVAVYPHVQQAIHA CC84DRAFT_1167553 MAPTKKAVHFGGGNIGRGFVAEFLHNSGYEVVFVDVMDAIINSL NEAKSYTVTEIGADGQRSFTIDNYRAINSKYNMPEVVNEIATAEVVTCAVGPNILKFV AEPVAKAIEARSSDLPPLAVIACENMINATTAWKGHIETYIKDKLNAETLNGIEKRAR YANSAIDRIVPQQDEGAGLNVKIEKFYEWCVEQKPFENGGNKPAIEGIHYVDDLEPYI ERKLFTVNTSHATAAYYGHQAKIPYIHEVLADQKLHDTVRAAVKETAALIVKKHGISQ DEQDKYVETIISRISNPTLKDNVERVGRAPLRKLSRKERFIGPAAQLAEMGLPVDALL GAAEQAFRFQNVEGDEESVELAKILKEKSAEDAAAHITGLEKDHPLYERVVAVVKKVQ GA CC84DRAFT_1220828 MGCLHIPSSFRKYKPKPMSRNPYPKPTASPPKATKATSSPPTKS KSSGGTSGTNTAPQPAPDTTTQAALNTYTFDLPSADKPPVSGTCQPSSDPVFATVPNF STPSNAQPSFSYYNSHSSSHGHSYGHGHTTTSGGYKSSHSYSGGGGDSGGGGSYGGGD SGGGGGGGGDSGGGGGGC CC84DRAFT_185832 MMFLAARLQSYIPSLYVSLSAVSFSVKSRIMLHFIPSSSFEVVV LASFVSVIFTYNQAFLVCHTTPQASELLKYDCSFSLFREWSPASLSIANLPTPQYNFS GVLYTNTKLTCCLATFTM CC84DRAFT_185863 MIGLPHQSAAGDSTPSHSIITMEAREGRIGNAFACERCRKHKVR CVPSEAAGICQRCQKARVDCIEHVARRRPAKPRAVVQQTNKVAEMEKRIEKLHAIVTS TTAPSVASSTPSSTLPPVATIPSQSVELSRRTSTPAPIIPSATPLPIVKTPILPNPGS TPESALSFWESMNDAVTGLGRLDPVIRSISIIHMQSLLETYRSMTEFFPFVLLPRECF CGDLIQQRPIFLFAVLTAASFDSSQLQVSLSREFRKVVMVKIMNGEKSLDLLQGLLVF IAWHHHYMDVNAASVHLLLQICVGIAGELGLDNISSHSRSPVQKDDVWHREAKRAYLG CYYLASSLSLLESGRTRSMSHSSTLQMFARDIADAWEHRSDAIVPILVDMCQFMEDVE DTFGDHSEPALVTKAQLKRLSEKWDHMQSATKAQANDYRTLRWIQLVARTHLYKATAS LDIYDRDSIPWVSGFQLSQRITCLRCVEQFLDYSLQLSSTEYERLSITDWLALVAGLT TLAKLALHTSPMPGWDPVELQISKSFEYFRDQLSAQMPRLHESQDLGEDLFERFRRIT AIMKMAVKAAPGRSSPNGSTFELATGSGRTVSLLQELPPLKPNGVSNGSDPLPVPWKV SPQFDISSQEFLWKFLTGSI CC84DRAFT_1049028 VQYVIGDDFNALLDKWPKYSAERAQVQKVTKAGLGRYQRKAILG TGFWEEIDGAFPMAVYAAQNRVNEKAK CC84DRAFT_1220832 MKFLFETQKKELRYLRDMLQSVDTRSAQSSDPPATATNDKNHKA TADLHDTDLKTGNRLNADLNDDTVGGAEVSATSPNADGVQISDDVGDDYYVHSGEAPT NYYRSFVKRRPGDPRLGAMWTQRRNG CC84DRAFT_1228289 MALRTAWWMQTLPNPASQSQTQPATANTPNQPHQTWPKNLNTTG ISFAVLEETLRKSRATACRIEKLRFVPGLSADGKHARWTPCTTVNSNNDLIALIQEAD PQDVHSVFFEAIQILGYYLDLTPRFFNFPYTLLSTGNAVEQYVFFSLQLFERYEGKRV PAEATRSDASPTARFRAATGMHGHTWHVTRIALLFARSLDRKKFRGLVHLDPLDTTIA EGLQKLMTRDEQIPPGEAEAYAGLGQILSEVMYITTFNWGVFLAEAELHLQILSQKCI DEDLDIDAQLMYTRELHQLSPLWVQVRRRLVCVKDLAGQMLVHPFFADINGHNGRVAI EGYLTKQVNMVEDHITRTKELEEQTGVLISLIFNIATLQDTRAAVEEGKLANAFTASI RRVTLLTFVYLPLMLAASIFGMNLREMTGDRDSLLPSIWWYFIIAALLMLATFIAWFF WSRLCIA CC84DRAFT_1167556 MCDTTFTFGQRGNHFFQCPSRRDYTRIPKKLTSLLTSAQVQEVY HVALGFEDSFLLTYRDKRGRDHIESHNLPTELTTFLHAKNPQGRPTRNIPAIRLTLGP HNASFFVHDAGSYIWMNLPSGLLTALQARIKDGNWTDRPRLVALGADANYLLLTERHA AVWDLRHYTTLSRMLEYSRTQARGIADVRNVALHPYRYQCSIAQSANGTVLSDNVPPH EAAGIESIRAAILKDTEEIDMRVQQSQSKIESAPRRPGLQHQATLRKDWGERKQEFRA QSKGLRLSLSLSVSAAGIAGSFSKMLG CC84DRAFT_1208504 MNGTSSATCFFSFGPDDSFISKSYEGLRYNDLPPALLALINGGS VRDVHWASLGPNPDSWLLSFKDSSATPNLAWGASIPTRLQKVVSKLAPSQHLRVFLGP ADSYIAWDATFIRWSSLPTSLEDTLQSWLTPSGWRAGPPRMLTWGPDDAFFAMSEYGD VAYRLGDKRTDSWEIWRETVEDWKAERGFRWNEVAFVALDPTTPDQFVAIRNDGTWSG SIADENSSALESFAHNFFQRARAKEKANTEPRAGAGTSGHTHTAAQTGRRIEEPDHAT RAAYQTWCTSTALLFASALAATTPAPAQAPSSTPTPTPTPPPKRAPKKLQIRSQSQSA ASADPNTSTPLPRSKSTTPSSQPTPSSQPTSPPAPPLPRAALLTSFPYLPASSTLCPL SPCLSLKREPSGLRACKHDVERFFRASGSYGYEWLKKERLRWHPDRFGRLCDEGYREA GRRVSEEMWKVIDILIGELEEEWKR CC84DRAFT_1220836 MSISPTYNLELRLHIIKKMPPKATEIIPLLSCRESSPPSLERNR SEPQWRTNGFWSTHPHYKGRPEYVESLFYAHRITGEARFREWAWDAFTAMERYCKAPY GYAQLAGVYRVDPGQWSSESGGRWIDMHESFWAAETLRYLWLAFSDANIASLNR CC84DRAFT_1053579 LTIYRWYKGIPTRTRMLVGVGVMGYAAAAMFVTDRLEEKMGLVP TEKDKEELNRAIPKITVVDR CC84DRAFT_1208506 MKFTTIFLNALIGLATAAPVEDPSPALLEKRYPPNVLTPLYSHR WSNNVWTPATDSRGNATWGPSDSRGFPTETISTFSITPALVNQKFELHFFSPGGYPPI QAIQLWSNTNVPPSANTIPSTSTNGRNVHLGTFRADATGDAVEIPGNPSGWKSVTFGK TGRSSLADEWLGHTGPGMSLDPHCQSLMQTPGRTIMLMGTRPIGSVKFPQIHSARRDL IRKVRLRDAPNANNLKCHTPHTRRQLECLPPTGPVSRPHNRIPRHLHIKPAQMLIAVV RPDEVIRRRAARAVNVHDGVILRAALVVPKADLDFFADPVGGQAEDMDFGGGGEEVDD AGEFGGVVGWRVSGGLVVDVGPAGGEDAGGPALEKSGGGGGCEGGEAEDEGGEELHCE CIWFARWEKSAWDPRRD CC84DRAFT_186314 MEKVLEFQTLDDDGVRHRGGGSLSEVKPASFDRDRYELARVGKE QVLKRRFGLVSMTGLSCGLMCTWESILVVFSIGFQNGGPAGLIYGFVLVWLGNISVFI AIGELSSAIPTAGGQYHWVSLLAPRSCKRFFSYITGWLTVIGWIAALTSVCFFVADLT LGLVSLSHPDYVRELWHGTLLLWAVLLLCVFINVFVSGALPTIEVIVLVIHVLGFFGI LIPLVYLTGSHHSAKEIFTTFNNQGQWRTTALAFFIGLQGNALAFVGTDSAVHMSEEV RNASTDVARSMLLSLGINGCLALGMLISVLFSAPDIQRLMDDTTSTTPVFMRIFEYAT GSVVGATIMTVIIISLEFCSAMGCLAAASRMTWSFARDFGLPFAPALQKIDQRTTIPV NAILVVTTLSALLALINIGNNAAFNGTISLVLEGFYISYLLAIGLLLWRRLRGDLSNP YSGLTAFHSDRQADETLDRSLGWGPWRLEGWLGTANNVMACCYLLLLIFFSFWPNNFK IEYPSQMNWAVVVTAGVASFSVGYYLLYARKSYSGPKVEVDPPL CC84DRAFT_1100330 SHRDKDASMIAFPAEVKTFVARHPGSQEDLGPFLDVVTWVLLVT SFLAVSTRLGTKRALRRRIDVDDYLVVGALLASVGSGVAVVMQTQYGLGRNIDLLSPE HIVAYQKAEYANKLLYIATLTLAKLSIISLLMLLTASHSHHKFGWVLTVSIALWGIVT ELVAAFQCGAQKPWLFHGDDAHCLSVVCFWRSFGAFNIITDLGLVCFPMHIIFTLQMG LSKKITILGFFGARSLDIIATAIQLAYTPAFNDPNITRALWKWTLTAQVIECVTIITS CVPYLRPLLESLPTGMYGADEYRRRGTASELGYSRNRSKNSSFKLSSSHSHTAPSPEK RHRPSQAENAIRRFLPMLSENTSHANSASGLPGGPKRTDGNVDVEISAAANGGEGRWD VESTGSQAKIVKTTVVCAEWEDRRSERRESGSDEIEVLGKHELGPKI CC84DRAFT_1228316 MAKTQQYQPLSGIDDDEGKENVFIPGEDGDTRSTCSRSAFALCV VAILVSLVVNVLLLIDNTRLRDSSRDSGKTKYSGINFDTMVPFQSFSDYWNPNISDEV TDANWDAIDTNPMAISLHDDFAEQVGLGPSTRFPWDTERSIYYIKGFHDLHCLKLIRK AIVSKHNQDNRTFTLSHLFHCLDGLRQDVMCTADDTPMPALVPHHVGDGQLRRCRDWN KLTAWATRLDQHACHDFDDYREATNTLEVFGHCPQDSPYRPVVEAYFDYHGHKDPYEL KEEENRIVF CC84DRAFT_1189696 MAAKYVVREMKSKGEMDAIMDVIWAANYDPYDTYAQLFFPVLGY TASAREAAIAESKDRFWENHNSTPTSNWFYVEDTTNGRVVGCAQWEIHTQNPFKAGAP TLRAPWWPEGEYRDFCEEIIRQVYTPRTHWMRRPHLALNWMAVLPAYRGKDIGSLLMS IGTSRADALGVECWMEASGMGKKLYEKHGFRSLFKMQFDMERKDASDVWRKCMHELTP TPVYPMWRPKHGIWELEGDSIQFPWERGAA CC84DRAFT_186357 MVNNQTSVAAPTVAMPDAQEESENAAADPEAEQVIHDAEMADAG GDDATADDDVAAGVETSDVTASSEPRVIVVKPNQKWRHVSKFMHLTQCPPQGNLFLLS KQLNIEAKTWFYDVATLTINATTSFSHFTFFELALTKLAEAPFSPMEHIKNAEIIFVW DSTWIRAEETGFAGAVFPVLLKSRVDFILEILLRAPELEKLKIIWHDSAQDDGAMQLR ADTLEPFIMNLKAVVETEDHYIAPDAKPRASSRAGKQRLEFKTLFDNGCETF CC84DRAFT_1167563 MASKSSPAITLYRGWNTPSQYVWSPFVTKVEFRLRTSHVPYTCG VGGPRAGPRGKIPYLEITPLDAPTELLSDSTLILRTFTERGVVNDVNTGLTGVQQGQD LAVRALLEDRLYFLQGRERWVNNYYTMRDHALWSIPYPMRLVIGLLAYRANVRKLYEQ GAGRFSDTETRALIRDVWGGVSGLLGESRRAAPRDACFWVLGGKEPTEADATLFGFVV SNLVCDAAPETRELLKGEFPVVVEYAERIHGRWFPDYEIWT CC84DRAFT_1228329 MPSSRNVIHARTAPRPNGNYSHVVRSGSTLHVAGWMGDDPTTED IVEGGIEAQTVQAIKNINACLEAAGSSLDKVVRRRIYMIDIKEFRKVDAIWGEWFEEP WPVSTCVQISGLAKEGALVELEVVADA CC84DRAFT_1074959 PRRLLTVDTQSNGTTLIELDTNHGPITYACLSHSWGSHQPLTTT TATLQQRKDRIEWHELPKTFQEALYLCNQLGIAYLWIDSLCIIQDDADDWTYEASKMA EVYSNSYITIAASASRNDTEGCFRSVYRIIEGKWTMAGSWPLMQRAWAFQERLLSPRV LHLGPSELVWECQEHTRCECSEKDSQFDSPHLIRKSEWCNGLRHHSQKTASELWQKIV KEYSCRRLTFQRDVFPALSGIAKQLHQSFEGTSENPGTYLAGLWRNDLMQQLMWFTTT ANCVSKPVGLRELPAPSWSWAAAEG CC84DRAFT_1208512 MAPRPLDQNGTPQQPTQPEKADPSHTAASNPLSKPEVVQAGEIM LIISLVLILIVVISISIHLYLKRQRRIRRKTSPRNKGKDGNAQPELQSKEKKMVELVG TPLCEMGDSEPRHEMEDAEVLERDTIIEPEHPQEHPVHIVSPLTPNYDDGRMFGDMST RATAQPEAGVYAAYMIRIRSEFPRSCLALSTISAQKYGHCCSLQFMQSQCQHIWTSTP EKATNTFVSSVEATERPVGDLRRSMKAPGDRGVSASSR CC84DRAFT_1167566 MDFSNNAFRPKKESATLSADTMGHAQAVEACRWGWAVDPQVTGT PTPRASAPAVQARQIATSQITRPNRSTPCRGRLYHQLSCSHRIRTDLVEDCGSNCLEP HGLVSDVPFFCQECVEQEAKTIWATREAEHNALYPSLADMTKEQSDIWYDGHRKLEAS FTRDRKIYEMELRSTTRPSNVCSALQYSEEEKAFAAELDSLSLAMMSSNTSAISETQS PPRKRIGLPNDASEQIHWGLNSLTIDRGSCGPEFTAAQPSNGISPLQAMSEEELWGRP RERK CC84DRAFT_1126891 MAAGTDTKPAVDEISDDVVKATEPGHGHAHGRIQPPPLVAAMSQ EYRLEAEAKLRKKIDTRLLPMIILMYIMNYLDRNNIAAVRLAGLQDELNLTSTQYQTT VSILFVGYILMQVPSNLLLNKTGKPALFLPICMIIWGVISGATGACQSFGGLVACRFF LGFIEAVYFPGCLFYLSSWYTRKELGFRTAVLYSGSLISGAFGGLVTAGITSNMNNVK GLRAWRWVFIIEGAITVVIAFGAFFVLPNMPRTTAWLSEEERQLATFRLVEDVGEEDW TSSESQTLFHGFKLALADIKTWILTVLLLAIVSSASVTNFFPTIVKTLGYNNVKTLLL TAPPYVLAVITTYLNAWHADRTGERFFHIVLPLCVGVVAFILCAATHSTAPRYVAMML MVPGVYTGYVVALAWISNSLPRPAAKRAAALAFINAISNTSSIYASYMYPQPKSGQPN LTIPLSVDCATAALAIIMAGVMRVILGRLNKKLDRGEHVEGAINAVPGVAQENGFRFL V CC84DRAFT_1198605 MARHTQLRNNERRRQRTSTLVALTALLVPVLAQDNKRGFAYVGN TNEADNNILTSSRTPLKWYYNWAPSPPPQVPSKNLEFVPLIHGLDEASSDSISRQLSA LPDSSTHLLSFNEPDGTTESGGSSISPEDAAKAYIEHITKFRDGESGERKWKISHPSV TGSGNGLEWLKSFNASCYDIDSESGCPTDFIAAHWYGAFDGLASWLGTLDEFYNTNGS REGDDRLKIWVTELALPQQDAQTTVQMMNATLPYLDGLDYVEKYAWFGAFREGDANEW TGDGVALFDDDGGLTELGALYLGGEQNGFAEGQKGEGEGTAGQLLVEWRLMLVLSLTA MWFSNYW CC84DRAFT_1262436 MKLTEKPPEIYWVNALLSAVAVGYPPHITMVRYAATSIDNAKSA RARGSYLRTSFKNTRETAQAINGWRLERALTFLGNVIEHKEAVPMRRYAGSTGRTAQG KAFGVSKARWPVKSAEFVLGLLKNAEANADTKGLDTSNLVVKHIQVNQAPKQRRRTYR AHGRINPYMSNPCHIELILTEANEAVQKAEPIAHRLNSRQQGRATRRAITAA CC84DRAFT_1126902 MAFTPRGRGGFGGDRGRGGSRGGFGDRGGRGGRGGGRGGFGDRG GRGGGRGAGRGAPRGRGAPRGGGRGGAGARGGAKVIVEPHRHAGVFVGRGKEDLLLTK NLTPGESVYGEKRISIANSANPANGDDAPATTTEYRVWNPFRSKLAAGILGGVDDIYM KPGSKVLYLGAASGTSVSHVADIVGPEGTVYAVEFSHRSGRDLINMATHRTNVIPIVE DARHPLKYRMLLPMVDCIFADVAQPDQARIVGLNASLFLKVGGGVLISIKANCIDSTA APEAVFASEVNKMREMGIKPKEQLTLEPFERDHALVAGIYQRAA CC84DRAFT_1046881 APAPVLKNTHLYLCSEAGFEGACENVQVDLGKCYNADDKLNDKI SSTGPDKGYFCTAYPDFDCSGKAFPFVNPGIWDLANYGFGDIISSWRCDELGGLDD CC84DRAFT_1228343 MYRPGDIVLMLQTCALVYQKYQIQGASSGGPFTLAVAAIAPKRE VLATRIIAGAVPIEAGRRCRNFRRLLGKYLLLAFPWCSKLQARSQLQPEVRNIRSGQI AIFDEENETTGSVECYRALNSY CC84DRAFT_1153032 MHFTSTLIFATTLLAPFAAADLHWSGLCVDNVGGQNVYNDAATK AACTAYAARNTGSEQWDTCPDCVMQTVGGLPSCNSPAKHIGGDELSYYCEQNGAGGSI AD CC84DRAFT_186491 MLASQLLAIVAMGTTAMAAPSTIKLRADDVVLYGNGRYQIIKRT ELNELEALRKNGTVPPMPGNLNPNLVTSSGPSTSVTQRQTRSIQKRGSSTIVIPNPDS RFLGWDVQMSQVVSGAPTTITVSTGYEISNSISVGTSATLGIVEDFLSASVSIDYSQS WTSQQLQQFEGDVPAGKYGAFVSNPWTNRKSGNVFEGTVGSEGSLTGYQADSFEEKAF GQMQWVDGVISLCVGDVFPLPRCLGEGTL CC84DRAFT_1067190 SASKSTATTKDLSSKKLQAYFTNAGQSPFPTFKHPTRDEAKLAH RILASMHGERTRPKEVIASKDRAGCGDSPSVLDALVRTILSQNTSDKNSTRAKQSMDE VYGGSDQWDAIVAGGESKLQKAIQSGGLSVVKSKVIISILKQAKAKYGEYTLDHLHRA SNEDAMREMLNFQGVGPKTASCVLLFCLQRESFAVDTHVHRITGLLGWRPKTASRDET HSHLDVMIPDEDKYGLHILLVKHGKVCDECKAGGKNSGKCELRKAFRQGKIKGE CC84DRAFT_1167571 MQAIQSLNTERRVILSGTPLQNDLGEFFTAIDFVNPGLLGQRSA FKRTFETPIVRSRQPDASESELEKGEARWKELVSLTSRFMIRRTAEVLTKYLPPKTEH IVFVRPTAAQAAAYRAILTSPIFAVALGNTDMALQLINVLKKICNSPALLKSKKDADD TPSEMLQSLLPLIPPNVLNSNASSAKLRVLDSLVHRIYTTTEEKIVIVSNYTQTLDMI ERLLVSLSYTYCRLDGSTPAKNRQGLVEKFNRSSQKNHFAFLLSAKSGGVGLNLVGAS RIVLFDIDWNPATDLQAMARIHRDGQKLPCKIYRFMIQGGLDEKIYQRQIMKMGLANA VVDNKASASSFSREELKDLFRLDERETCQTHDLLGCDCDCRGSLETQIEPPVKVEEEE EDSDDEPLRGFGSASQVDIDGQEERIKRRRKAKQPKLTLLMEYRHIDPKHLREEKTEE VDLVEKESTRGNSVDADAIALAVDDDVFLDMLKEKDSRVGFVLTKSSS CC84DRAFT_186505 MATSTETVTATAPPTTTTEPDANDTVTHASNPYAAARAALDRDG FVVLPASLFPTFDLPTLRSAASDLTASARAGTWPYIRTLPKQFPPWPSTPGEHGIWGV QHLMHPANPSHAVFARSYFDGELLKYVAALIGCEQGQLTMELYNMLVRPEEKFELRWH RDDIPASASDEDEVERLGKPGFHAQWNLALYDDASLVVVPGSHKRARTAAERAAAPYE PHIPGQLVVQLKAGEVVFYNNNILHRGVYDPSKERMTLHGSIGTTLGSAERARNVLQH GVGDWAKEYDFEDFESEMRERALKMRAALVEMGKKSGEVGFYSKDE CC84DRAFT_1167573 MASNRPPPSQGNRGKSAGLAPPAPSTPSKSGKELYALIDSFNEQ WKLGLVRDPDWTPTKSTKDVPETVYNHLKRLYWQGDFHDVVGRFVLQAPSLSGTAQVV RTKRLGLLCDIVRDVDVAKGRSPGSRAGTPVGGSNLIPGLRALRMDQSSTSKSRPSAA SSRHYTSYTSNGESHGAFFDPGSPTDEDEPPPSPIASSKSAQRRIQASPRYQMGASAR KRPSDSSGSDYGNSPKLTRTSKGKQPANNASDSTPPVFKKPWLPESFTGVPNHGSSSR SAQPEVVDSFASTVENSFHTTSSSQQTLVDTPGTSFASDAYDADVRYPKLTRTSSTSL GSLKDQDLFDIEDPSEAQIQREQRVRMEELNYSHSSQDPPPHSSSAYGSVDEEVMWEK SFEVDTEEYDSPAVARQSLSRLPSASSSGKRPLGSKRLPKPSAESSPFNRPSPHDDHS ERSLKPSVFDRSKQLGHKVSGNSPARASFNKSPSKLPHYIRNIPDQNFFNEPLTGDLK EFPYFVLFICSKLASEHSIPLPTLLQGVNARRAFADPSKFLEQVCEKLSLPRDALRDQ QKYWSAWKRDFEGYTFKARIDYNDLKFKVSSNPVFKMILLPIQADRSCRLQRKFGSDR FLYVNVPSFDLTSSRHTKDDLKNIEHQFKLWCGRNHSFLGRTWRAFHVEPIKKKKGRV TDDLSAQRIVLFAIEGIGIEKPTIAGNMIDWFFPFEENKDQIYPKAFARLDLGLSRTI PSLTFKPHQVIYVEDILADSTPENLDFNDNRIGPWPNDHNVQKRRDGDHIIQKSRDYP KNAVMNDGCSLISVGAALLIWQEVRKITNSDEPMPSAFQARVGGAKGVWMISAEPSSA TDDDRKIWIKVSKSQLKFEPRGEDRSDELESDPLHLTFEYVNHSRRPVPSELHISFVP ILVDRGVPSSVIADLMEKQLDSKRDELIQLLLDTERMYHWVHQEGPSNTGFESPPWQG AMYQSLPEKIKHLLESGFTPAQEPYLADCLYRFIQNRQLWMESKLRVPLSKSTFVLGV ADPFGILEPGEVHMEFSTPFTDELTGGRLRSLENIEILVSRQPACRRSDIQKARTVRH PELSHLIDVVVFSTKGQYPLAGKLQGGDYDGDIFWLCWDQAIVQPFKNAPAPVGPPDP VQYGIQQDIRKLHQVMDSTDLSTVNNFLAEAFEFRMAPSMLGVVTSHLEKMAYHENQV FSNRLDALCDMHDLLVDAPKQGYKFTKKDFHHQTINVLRCDAPRKPAYKEAMEDCEKK DDTDKTRNKDYKPKNENILDYLYFEVIRKHDVATLKQVKDLFPKEFGEDPDAVLQYPY LQLCKHVSPEVQKELKDLLEKLELVDRKWRELFHDPKKEEKLDWYSRAVETCFTKYRA ILPTQVDHPDIKPWVHPFSRPQYSVWEEIRASALYTKFTKRRPKLVWAVAGKELAELK AASCAGSTRVVPNIKAIMKPRAPKAPKLEDSESEDEFEDAVEEL CC84DRAFT_1167574 MIIFKDSLTGDEIISDSYNLKEVDGIAYEADCSKITVGVDNIDI GANASAEGGDEDADDQAQTVIDVVHSFRLNETSFDKKQYLTHLKGYMKAVKAKLQEKG ASADEVAAFEKGAQGFAKKIVANFKDYEFLIGESMDPDGMVILLNYREDGVTPYVTVW KHGLDEMKV CC84DRAFT_1167575 MAAANTSYELPPLPEYTLRPQPPLLSWISDEALAAALPVVAYWA VSLVYHLIDVYDLFSKYRLHTPAEVLKRNHVTRYEVFRDVIIQQIIQTFAALALSWYD EVAHIGKADYDIAWYAQKLRLAQRAVPVVLSGVGVNSAALAAKVAASQPALAGVLRGG HYFHESVAPAFAGWELAVASALYWYAIPALQFTAGIIIIDTWEYMLHRAMHMNKWLYV TFHSRHHRLYVPYAYGALYNHPFEGFLLDTLGAGLAYLVTGMTVRQSWFFFSGSTIKT VLDHSGYEFPYDPLHWIFPNNAAYHDIHHQSWGIKTNFSQPFFVFWDRIGGTMYTGNV EEKYERARRIADQKVAQEEENKAKAMPNGSAVASAIELDENVRPQQISAPRSTRRKAS SISQSPADNFKGLRNKVNESLHGKRANVLGVESSH CC84DRAFT_1126927 MSPPMSASPTTMDNFIRTKLQPSGSCIICTDPFSASHQPVALPC HHIFGHACIKNWLHNGRGATNNCPICRAEIYPSDGGAFTSASIWTALCAASPDRIHDF LSALWPRVAALFADDPTGTFTTRDLLSQAVLPALRSMHNSDATGPFTDAHSLIASTWN SLGRPNSASGLAIPLVRLARLMAQTSSILPKWITTVPRTSLLFWRANACLGTSSCEIS WAPLAEAAGLANARYFPFLHLYTVLLSQHIVHARPTHTGPSHSSIIERCCTKIGGEWA GKPGDGFKESVVGVYEELRRHQLEEKRISLRGHEEEKGVVTGLWAMAGWRREDARKPA CC84DRAFT_186605 MATKSFRDLLGVPPSTASTSDSALIIIDAQNEYASGALTVTNAE SSGKAIADLLEKYRKAGGKIIHVLHKTPEGAPIFTPGTELAEEFKALKAKDGETTIWK QFPGAFKETDLNETLQGWGVKKVVLTGYMAHVCVSTTAREAYQSGYDVILVEDGIGDR DIPGVKGDEVTRVALAELGDVFGTVVKSSDIK CC84DRAFT_1100597 MPSLSIVRSLMAIVALLETASAACTREGLLASAQSYIDAQKAGN TTALKLSPTFKYQQNNKERALSAGLLATPFKLDLVRSTADTVACASYTMWISSAGSKP YVVGTQIRHDGNDTSTITLIDTIAATTGDLFFNAPKTLGYIQKEDWSPINNTASMPSR ELLKKVGNGYLDMWTDPKAADSIPWGTDCERVEGSQYTNPCGKNLPHGGSSKPNGMRR YVIDEVQGSVDVLCQFDSLGTMPDSHEIRVIDGKVKYVHTITALKIG CC84DRAFT_187536 MIPGVSTCISSAPILSMFASGMVVIVALQYAPDKVTIVEEPELW ILCKDTLHDNGIMRLIHVVVVISERIYNGSRSFTRQG CC84DRAFT_1189713 MKAGIATLGLLAGLLDGVQAQYVATDHIRVFLFGQTSYFSEVAV DVRNNTCLSLDNNLIDGKVQSVLVGGHNIWDVYQRNDEWYCILYDNFDCTGSEASMIT VAGGTNNLATAGWGNRVHGLRCINDDPA CC84DRAFT_1100348 MASTPPTATAPNASYTEMKRDVEDIGAHCQMPYCHVLDFLPFRC ESCRGTFCLDHRSELAHKCPKEGEWARRRNGRDGSVPLSSLSGKPSLYSHDQQCYEAA CKTLINTSRMPANQCATCNRSYCLPHRFPEDHDCKNVKAAGARLGALQQHRDRGLSAL AKLKVWAEEKRAKDAAKQTTKKSGFLGLGSKSSGAREALAAANELKRSAKGDASVPAD KRVYLYVEASADTTKAKFPTGKFYYNKEWTVGRVLDMAAKALQVQNVNNRGGGEEEKL RVFHVEGGRLLKFSEKIGEPCQTGNMIVLLRGVGSGEPDLIDL CC84DRAFT_1189715 MANQASWIPAATKQVSVGPAETYTPGPNELLVKINSVAFTPIEA KIQRFGTHPIPYPNILGTSYSGTVEALGDGVTGFQKGDHVAAIRSGKTLADPRFGGYQ KFALAPVNSTSKIPSSVPFNSSSATIINLAAITSALSIHLGLERPPLTGTATPKNKKV LIYGGSSSCGGLAVKYAASAGYEVITTSSARNKDFVASLGAATIIDHEQDASAVVADL TKYGPYDAVLDTIGLPAPTAILVEYFESLGGGSYNTMIPPIPGTRDIPDNVKRIFAPY SFAFDEEAHKPLARWLYEEYLPKGLESGLIVPTRQHVVDGGLEKAQEVLDLMNKGGVS GRKLVLDPWQTTAP CC84DRAFT_1189716 MALSAAPPKTLEGKVALVTGSGRGIGAGVARELGARGASVVVNY RGSAEAAEALSREIEAAGSKSIAIQGDIANVEDIKTLFEKTKAHFGKIDIVVSNSGIE HFGKLDEVTPEEFDKVFAVNTRGQFFVAQQAYKHLEDGGRLVLMSSISAHNSIKEHAL YAGSKNAVEAFAKNFAKDFGPRRITVNTIAPGGVKTDMANDVGWKYLPNADETWTWEQ VEAVVSKWTPLGRIGLPQDIGRVVAFLVGPDGYWINGR CC84DRAFT_1179443 MYAKSLLALVPFFTTSVVAAPKHQRDAASDLLACAKKVLPAANI VSPSDASYTTARTGVILLNEGQFPALVTYPTQQSQVGPLVKCAVKNGFKVSPRTGAHH FENWSALNGSLVIDIENISYVLPAKDLKSATVGGGARLGALYSILEGYGITFTAGICA SVGIGGYLGVGGYNMQMRTHGFAVDHVKSAKVITAAGDLVTISPTSNPELWWAARGGG TFGIVVEAVLETAVLPRSAMFVANFNNATTRFEALKKYNAWAPKQDPNFESQFNFYSD RTQILGWYLGKNKAQLEAILASSGLSSIPNAEIKVTGNCSTANSRNFWTYTQDECTDD ATANAAFYGQYNVAPDALTPLPGQVAVSAISSQQAVPSIPRAALWQRGNIYTKTFVET KDRLLGDADLQWIVDNTANLPSGMGFWIEVTTFNVSTPASDSAFPWSDKAKTLWRMQV ENVEPYKAQSKALAALFEAQFRPRVGPYTYAGYIDQAITGDIVTANYGPNVCRLVKAR QKFDPNFVFYNPGAVPPKAKASWKC CC84DRAFT_1262451 MTASATPAAPAVVLGTASFGTGTPQAKFNSRETAGPLLDVCQQR GVHLLDSARAYPVGNPGSAEKLLGELGAGSWATISTKVTSWAPGSHSAAKIAESVPLS LDALGVDSVDIMYLHSPDRTTPWAVTAEAMDEQFRLGRFKRFGLSNYTAEEVEAICQV CEENGWNRPSVYQGRYNAIIRSGEEQLWPTLRKWGMSFYAYSPSAAGMFTGNINSSSV NVAGSRWDKTTRLGQAYESAYLKPSLIEAAARVADMAKAAGIGGHDVALRWVMYHSIL DGRRGDAVILGCSSVRQMSANLDAIAAGPLSGELVEVINGVWDVVREDAASYHL CC84DRAFT_189398 MRTTTDTHQHPVALMNSIAPTTQARLRNSCDACNTAKVKCSKER PCCRRCNRKELFCVYSVSLRCSKRPVDNRSTSTTKKPSGVNTTPNPRPTNHINTESSI SRLPPLSTGLEGGLDATIDSAISTSLYDFDSLSALSDVNFDLDNLDDYFSTDAYLPPT NFSAYANTTASTPPAPHKPLPRPASQACGCQQHILSKLSELSLSSSSSGSAPMPFDRA LSENRVSVDEAPSDSTPTTNVPPTDHPRPLHHYPLLPYMHFRSPLHTQLTNPPPHPLR SPLPRPASLRIPLS CC84DRAFT_1153070 MSALTELAAIASTEAQKLTNYITSNNLPLPSFEVGAPGELPIPS TDEDLQASRIRLLKAAQDLQALALGPAEDLRWKAWNQYNDNISLHAIMHFRLPEAVPV NGTATFAEISQKTGLKEPLVMRFVRHAAIYHVFKEVSSGVVAHTAGSVALLDGSSVRD WLDMTFEEWGPASVNAVKQLIQSPDSGEQSDAGFALAFGGQTIFEFLAQRPDRARVFG LSMSNFSKGASHKVEHLITNYDWPALGESTVVDLGGSHGHISLAIAAAHPKLKFVVED LPGTTAQGEEMLPAEYKDRISFIGHNLNDPQPYHGADLYMFRSVLLNWPDQYVVKFIK NLVPALKPGAKVLINEGVLPQPGEVSDWDYKVLTSLDLCMLAMFNSKERTVEEWEGLF KEADPRFAFQYARKPEGSLLWIIEAVWQPTPSP CC84DRAFT_1167581 MKAFKKLLGRSSKSSKSTTQPTAAPSKAQSTPTTATKPPPATAT TPATTTMAKQTIVVFGATGKQGGSVVKTILADPKTAAKYHVKAVTRDTTKESAQKLRD LGAEVVSADLNAPETLAPVLEGAYGVFAVTNFLEKMDAAPEITQGKAVADAAKAAGVQ HLVWSSLLNVTKLTEGKLTNVHHFDSKAQVEEYIREIGVPATFFLAGYFMSNLPGMSI SEQTGWTLNLPTTKNAPIPLFDAEDDTGKFVKGIFENREKLLGQRVLGATAYYTPAQL IEELKEVYPKTSASAAYNELPGDVYKGILGSFGLPESFQQEMLENHQLFDVAGYFGGE KLDASHSIVDEPLTTWKEYISKSPVFAAVKN CC84DRAFT_187614 MASKAPKAPYTPIPKGEALTIVLAAYVPALLLCLYGRLPESVAS FYAAHPVELIAGTFYLPMLGIMYLHPRSPLRLAIIPIVALGYFAYRTAVPRFIANRSR ASFPEGPFYLLFLTAVDALVLRRIYLGQDGKEKSERLVSRESTKTKANAQDTSGILSW RALGWAHKVVFSYRAIGLPKQTPNIPKWSNKDPSYVPSRTAFLVRRGLAVVGAFLVID FLSQQPKPDPEDFSAEKALLFPPAHTLNGATIGTRIFSTVLWWTILRLNIGLFYNLFS WFGVATFLTKPADWPPYFGSVFDSYNLRRFWSVFWHTGLRNPLSSTANFFAYDVLRLP KGSLFGRYTSAYLTFALSGFVHTLMDNTAGIPLARNTAWKLFVTQAVGITIEDFVEST YHRVAGPSNSKSTALWKKAIGFVWVLAWMSWTTPSWSYQNMTHDGEPLYPFSAFASFK ALTAKAS CC84DRAFT_187615 MPPLSPPIAKRCHETGVLPRKGTVILPTRFSGASFEFSRSTYVV DNSNRGLDVRVQIHLACGRCLFYLRICKRYTRCLLRTVDCPAIKNCNITGTLSEASGG KNRVFGAHGRGFWCCTPTFKILVFRRQWYVLGIEEVQPDACTLAPLFQTGYTEILRKF VESGGV CC84DRAFT_1153079 MAASNVTGLATKLRSTLKNSTVLTPDSEGYAQAIIRWSDEMEKR AGIVVYPETAEDISTAVLLSKEYSVPLAVCGGKHGSSGAASIEGGLQIDMGHMRDVSI DLSNNTAKVQGGCIWKDVDEAAAPHNLAMIGGTINHTGVGGLTLGGGYGWLTGRHGLT IDEVRAVTMVLADGRIVTASSDENQDLFWAVRGAGHCFGIAVDFTFQLHPQENPVWSG QMIFPASKALTTVVNFANNLVATTDGASAMVMGITQPPFMQEPAVVATVFHDGPEDEA REIFKPLLDLNPRINTTKVRPYVEQNSLMNGPVDYGNRKLSKGVPYTLPLSPDFVHSL VGELKKLHAQVDGSTKSIMLFEFFHMGKVLRPWQDMAHAIRGEHNQVMIGPFWLKPED DATVRSWATNTAAVVRGELERVNGKEVADSIGEYGNYDGLLVDPQRIFGKNLPRLAEL KQKYDPENVFNRGYQLVAAP CC84DRAFT_1167583 MPFADCWTCSHHEQDNSSVDTTDDLLVGTGLGQLVAAAVACAAN IIDLPDIAVESVRLAFRTGAVVENFSIPARRAGEADASWSLVVPGTPEEIQTELQSLQ EAANLPVTSRAFVSTVSESASTINGSPARLDWLRRSSRLFQSEAVQELESVGVWHAAG IYSEEQVLEVTQSRSDALSQIPAPRRATISASTGKRSDATTASALFTEAVTDILRRPV QWSSITESVASDPLLSQATTLASFEASQASKSLQAAIQSGGKSIALQDLGQWSTADTG SKPVQNTSNVAIVGMSGRFPGAADVEALWQVLVQGKDMHKRIPKDRFDPETHHDPNGK VNNTSHTPFGAFIEEPGLFDARFFHMSPTEATQTDPMHRLALVTAYEALEQSGFVLNS TPSSQQDRVGTFYGQTSDDWREVNAAQNIGTYFIPGGVRAFAPGRISYYFGFRGPSYS VDTACSSSMAAIQIACSSLRSGECDTAIAGGANILTAPDIFAGLSRGQFLSKTGSCKT WDSKADGYCRADGVGSVVLKRLDDAINDKNNILGVILGTATNHSADAISITHPHAGNQ AYLYQSVLHEAGVNPLDVSYVEMHGTGTQAGDMAEIGSVTTVFAPEHARGTEPEKALH IGAVKSNIGHGEAAAGIGALIKVLLMLQKNTIPKHVGIKNELNPSFPDLVVRNIKVPF ENTPYPRPEGKSRTVFLNNFSAAGGNTAMLIRDGPARTKPLGTDPRTFLPFVTSAKSL SSLSRQAKTLAAFVASASAEDFASLSYTLTARRMHHNYRIGVTASSLNELKTLLSAKA DEGNFSPVPSATPKAAFVFTGQGAYYAGLGKTLFEQSKIFRSTILHLDQLAQAQGFSS FIPALDGTPTEQLTALETQLALVSVQIGLLNLWKSWGVKPSIVTGHSLGEYAALYAAG VLTMEDTIYLVGARARLLLEKCTENTHAMLVIKGSASDVEATLQGSQYEIACLNSPTE TVVAGSVKQIDSAIATLTKNGMKTTRLNLPYAFHSSQVDPILDTFEELASGVVFSTPT IPVISPLLGEVVEDQGVFNASYLCRHARETVNFGEALETAKHEGFISEKSIFVEVGPH PICSGMVKSTLSTSINTVGSLNKNEDSWKSLSKSLVSLHTSGVPVDFGEFHRDFDSAH QLLSLPTYSFDNKNYWIQYVNDWCLHKVEPRTTVQKIENVKPAAPARSKLTTSSVHRI VSETYDGPTGKIVTESDVSDQVFRAALSGHQVNNTSLCPSSIYADMALTIGDYAWKEI NPGTAVPGVSCNDMEVFKPLILKNQAEGRILEVTADVDLTKGEAKLRFQSIDTNANNQ VTLHANCVVTYEDPTTWTNSWNSISYLLEGRIDTLKERLAKNQADKMSRGLAYRLFST FVDYKTPFQGMEEVIIDGANFEGTSTVKFQTTEKDGNYHVSPFWIDSLAHLGGFILNG TTAIDTSKFVHISHGWKAIKFAEPLSKDKTYNAYVKMQQTGEANVIAGDVYFFESGKI IGVCAGLKFQRIPRTVLNTFLPPQGVSAIATKPVAATAPKPAAAPRAIVAAPRPVVVA QAAVAPKPAPVAVDAGKLNKAITAKLVKPAAASSSASAIIARVMDVISAESELPQEEL GDDCEFSSLGIDSLLSLQILGKLREAADLDLPAHVFTDCETIGELKDYLNKTYASESA SSDEEPVLVTDDDESSADEAATPESVATPADYELVNAPTAEGDLLQLFRETISEQMGV PLEEVVGSNDLLSLGIDSLMNLTLCGVLREKAEIELESDFFLTHSSVDAIAEFLNPKK EAPKPAKVAPKKSSSNSSSNAPASGPARRAVSILMQGKPRTASKTLFLLPDGSGSATS YASIPAIDPSVAVFGLNCPYMTYPTEWTNGIKGVAGMYLEEVRRRQPQGPYHLGGWSA GGVIAYEMTLQLLAAGEKVSSLVLLDSPCPIDLEPLPSRLHDFFAEIGLIGSDDGAKI PDWLLSHFSSSIKALDEYKPTPIPASAASRAPKVLAIWARHGVCRYPDSPRPEVRGDE SKSMQWLLNNRTDFGTNGWDRLLVSSEFVFEKVDGNHFTLMKAKDQLQVMANAIKTFV CC84DRAFT_187622 MRLLKYGEDGRITISSFDDDALPRYAILSHTWGADADEVTFADL AKGNSKHKPGDIEMSGYKKMFGYKKVLGYKKTTGYKKIRFCAEQAQQDGLQYFWVDTC CIDKSDKAELSLAIQSMFRWYQNATKCYVYLSDVSTKKGIAGSFSTKFTWEPAFKSSR WFTRGWTLQELVAPSIVEFFSQEWEKLGDKTSLKSLIKRITGIPHEALEGAPLSQFSI DERLRWKEGRVTKREEDGAYSLQGILDVELAPVYGEGAAGAFRRLMDEIDGLKRCVQD LHSTDPRNDKQRIEDTKGGLLADSYRWVLDNTTFQQWQQDPHSRLLWVKGDPGKGKTM LLCGLINELQKSIPKSAHLSYFFYQATDARINSATAALRGLLHMLVTQKPSLASHIRK KYDFAGKALFEDANAWVVLEEIFGDLIQNPSLTMTYLIIDALDECVVDRTKLLEFVAK QSSASPRVKWIVSSRNWPDIEAQLERAGHKVKLSLELNAHSVAAAVDVFIQRKVDQLA QEKGYKAEVRLAVLQHLRLNANDTFLWVALVCQDLKTTPKWNVLNKLALFPPELDSLY KRMMDQISESDGAEICRQVLASTAILYRPVAVPELVALVEQLEDLDDLESVREIVGLC GSFLTLRKDIVYFVHQSAKDFLFAKAYNEAFPDGSEAIHQTIFLRSLAVLSRTLRRDM YNLEAPGYPIKNVKLPKADPLVVSRYPCVYWVDHLWESKPKSLGSSVGGLEALDVVDN FLRKKYLYWLEGLSLCRGVENGVVSMTKLWLLVQEMRDQDRLALLVQDAWRFIMYHKG AIEGYPLQTYASALLFSPTSGLIRQLFQHEEPKTISIRPALSDGWSACLQTLEGHS CC84DRAFT_190173 MARTMSRTSSTESVIGLNTEAMGAPETSKPSRRPLGSNLPGAPS LTSYVTARSTTSSYKTARSHFTEAGSIESSSHHRRRVDRIMYAFARSKGGAEMLERTI MHRDSNVAQKSQVPQPLLVVDSEWTEYLRERGILLDPLYELDWSGWGQHLEYKSSEET KIPLDTEKALGYSGTALVESVMCRRIRLARKTVRCSRRVTREEAIVEVEHLQRLLYSH IFRVVGTYTLRKDLAILLYPAAEYNLEQYMDHVTDTSSTYPTKPRSEYLSTFMGCLAR TVRFLHESNVKHMDIKPRNILIRRKFDSIDQEWKVRTKTSIRFLTHGSFRYRLTLSGI SGRLWNRTRLLECC CC84DRAFT_1100482 MPASATREKRPAPPQPKKRIIICCDGTWQSSAHGTQTIPSNVAK MSRSIASWYVDENGLKAPQLVYYDAGVGTAMGWLEAKWTGAFGGGLDENVCEAYNFLV NNYSPGDELFFFGFSRGAYTVRACAGLVCRAGICKPRAMGQFWEMYAMYKSIDPSTAL EKSAWGERWEAEGSPPKFTIKVKGEDWEFTKGAGADWLDSSEKNVKIKVVGVWDTVGS LGYPDNVWVETKEKNKPYGFHNTDIHSRRWIIPASYVKTDA CC84DRAFT_190189 MKDIKQGSDNPDGPKDVTKPRLLGSIVSKAPAKSKGDLEIMSIT TFFWMVDRCVPFLRFQIEDHIMNDYYKALDKIMRRAKEHPNTHGHYGGWGIAPIIDSY EGIMTAAGSEARTPGHYFLNHDPEAKDAAEHEENPAHRHKHRHKQTNEYMHPVVYHTF EQVWEPSEKIPEALQGFRREPLGPGLGHHWIKTYKANQPGILKRGWSYLWGKATPSDS ENDLVSIPEFVIPQQGWHKDGFYWVPYERELITAASNRSMRQGGYMPPEEREKLVKEK VDEMKVDNEGLEFLQKLDEDNKHTKEFLDWRNKEFTATNPDYQEW CC84DRAFT_1228381 MLHSSTVTPRQCFITSLPSDSFLGLLIKLGLSTLQETARLYAIM LADIRAKASGSWRISCVHPIRSTLWAANSTARGGHVLGLERYYENFDMYKFTFRSQRR RTLPHPGPRVNQRHPQFC CC84DRAFT_1167586 MRSTLVYAILAMGAGAATIQKRTDPGCPDLMPFQASPPWIFLCT AYDLTYENACDRDGRTDRGYRTCASIGPLAS CC84DRAFT_1179454 MTEAEKPDHINSANIKISPKYGLEKGAPETDCEIPSARRIGQRP RLCLRNGNDGFAPINADKTAYTIDGLGGLPSYPGRWIHVKMADDLAEGKNTARVRMDA TFFISIEKRYDSFNPYPCFQFALPIIGVSRRSFMLAHLDPWEVFKRLQSQQSSTL CC84DRAFT_1167588 MTDATSHPLSGLWASTQISKLYYGPSTVSKHLLDCLPSKSSKAF IITGNSLATKTPLVKQVEELLGAQHAGTFSNIGEHAPVAQLDEATEMVQKDEKIDTVL SIGGGSPIDSAKAISYRVHEKSGKWMFHIAIPTTLSASECTMMAGFTQADGVKTGVRA VELVPNVVLYDASFASHTPERLWLSTGMRALDHAVELLYHPTAPEMPARWTCLQAAAS LFEGLKAYKGGSRDEDTLTKLQLAAFASLGFLGTNLKGGLGLSHGLGYALGSPYGIPH GITSCLTLGHVVKLKAAAPEAAEQIARLLPFLGESKSGDDKKDAEKVGQRILDLVQDL GLDSDLRNYKVDKDQIPVITKRATGQEEGGELYGKVQKLVEGLF CC84DRAFT_1126968 MHISKMLAQSKEEGKPTFSFEFFPPKTAQGVQNLYDRMDRMHGL GPKFIDVTWGAGGSLSSLTCEMVKVAQSVYGLETCMHLTCTDMERSKIDEALHTAYEA GCTNILALRGDPPRESEKWQAVQGGFKYAKDLVKYIREKYGDHFDIGVAGYSEGSDDN DNVDELIDHLKEKVDAGGTFIVTQMFYDTDIFIDWVHKVRAKGINVPIIPGIMPISTH ASFLRRAKWSKIKVPEPWTQALDPIKNDDSEVREVGKGLVADMCRKLIDAGILHLHFY TMNLAQSSRMVLEELDLLPDVESPLQKPLPWRPSLGLKRREENVRPIFWRNRNRSYIA RTQDWDEFPNGRWGDARSPAFGEFDAYGIGLKGSNEQNIKLWGKPTSIRDISEIFVKY MSGNLESLPWSESAISKEADTLQQNLIDLNRRGFFTINSQPPVNGAKSNHPVYGWGPA HGYVYQKAYLEVLVSPEYIAELITRIERDPEITYYAVNKDGDLKTNSPEGPNAVTWGV FPGKEIVQPTIVEAVSFLAWKDEFYRLGQQWANCHASTSPSRYVIEDVMDTWYLINIV HNNFQEENGLFPLFNGLEVTDMEKELHSTGIINGDVTNGESTADASPTNGVKTTLNDL VEAAKSATSTVTNGITA CC84DRAFT_190244 MPPLTRPRRIVGVSLKMYFDLPSTLSYVRGMLQLDGLAWNARLD LFVIPDFVALVSAAEILQPSSILLGAQDTHWEDKGAFTGEVSPVVLQQAGAKLVELGH AERRALFGETDESVAKKAGAAARNGLVPLVCIGERTHNTTSPSAAVGAAISECTPQVT SVLAAVPDDSEVILAYEPVWAIGAKEPADADHVVNVTKELRRLAGGRKGVTRILYGGS AGPGTFAKIAEGVDGLFLGRFAHDMQNLKKVIEEVGGAK CC84DRAFT_1220884 MGDLCRKPDCSWLALPSLKDLKEPPELVPNPELSGVGVILGFSI TAYLTVFLLLFHYLTVYNPRMIGEVARPYINPVDKGLLNFVRGKVTSWTPSRRFEYAM EKSVLILSDTQLVTGLAILIAGYSQLSCGIASYHWQILVYVAWFSSFTFLSAMAFLEG FFLANNSLRLIRVFFMCIMGTLQIAALLPTGSRNWLDAAREAGPLYVGLSAECFYQQL PMASYSRGGPRMWSMVFSVLVVGVSYIHTGIRLFDPTAKFSRKHFRAIPGSYVKSFLQ FLEHRAACRGIRATIWTIPYLFAFAGYASVRAAYDIAESMLGEIIWLSFAIAWGSLKV WDTRSLVWFTQLDDGDITFKNTYNDDDTWSFGQILPMVLLLLPILSMIQSYLDNDAKA QDAVRRESNLLITHDGKPFQTVGPAAVGSGTGTDDKQISSTHDATSDGITRCNRRQPP PNTLCGNATPPDENRTAPAKESSPPDSESQTSPCLPPKAVLACVPRHPYPHLFAYHWY RDHVYLLVCQLLMIGCVILWFQGVIVSILGISYILRSRLFLIWLFGFIPLSSLVHLVA WYLAGCVVNRIDGAEEWLYGTGRYGCAGGERSRRWWRRMTRGRCVYYLLVTFLKGGLL TMTFLGSAVIAGPQNIDLGTG CC84DRAFT_1179459 MSKTQWKDIPVVPTSQEFLDIVLSRTQRRLPTQIRAGFKISRIR AFYTRKVKYTSETFTERLSATINGFPRLADIHPFHRDLLNTLYDADHFRIALGQLNTA KSLIEAVARDYVRLIKYGQSLFQCKNLKRAALGRMATICKRLKDPLVYLEQVRQHLGR LPAIDPNTRTLVICGYPNVGKSSFLKGISRADVDVQPYAFTTKSLFVGHFDYKMLRFQ AIDTPGILDHPLEEMNTIEHQSICAIAHLRAAVLYFMDLSEQCGYSVSAQVSLFNSIR PLFANKLVFVVINKIDVTRPEDLDPESQALIQGMLKSGEVELLQLSCTTTEGLMEVRN QACDRLLAARNAEKLKAGTNSSGEPTGRLGDLLRRIHVAQPMGGVTRETTIPDAVKNR KKYDPADPDRITLERDLEEADGGAGVYNFNMRKNYILENPEWKEDRIPEVYDGKNVYD FIDPDIEAKLAALEEEEEKLEAEGFYESDDDVEDADEADIRYKAELIREKRQLIRNEA KMRKSLKNRAVIPRSAKAVKMSKMESHLESLGYDTSKVAERARSQSRGRSLARARSEG VDGDAMDIDTPKAALARALSRGRSQSTNRRTDGVTNEESRSNAERLAKLSQKKMNRMA RQGEADRHQTGSLIKHLVAGKRGNGKTNRR CC84DRAFT_190285 MLGFSKNVSLAALLLASRTLATPLLESLIGRGLSLFPRADSKCV TSFTSGNGLNFTNVQCNQNNMYNDALAPFTVDTMTGCMEYCSRFWGSGEGCFGVVWRE SDSQCWMRNSTTSELSNNKTIYTKTLIDEDGIHSALVDLNQMKPLDTDCPADDKSRHN LDGFDGISYTVQCNKDIGGSYDTHWSYEFHDNPFQAYYHATSLEDCLKDCVNEHPLCR GVVYVPGLTGGYANCWPKTGFSSPLSASNTLLKITHSATIDSIKTPDTECKNDTYTST QDDSKNFAVHCGQTNQGTNMTMVQSQNFTACMEECAGNKQGCVGVVYDSTLQGGFNNC YLQNTSSIFNDVGSSMYAVLTGAKPKESGTGSSGSNSNSTDNSNNSNSNSSSSGGSKA WIAGAVVGPILGLALIGAAIFFLRRRKASGALANVSEVDGGDGKHNPYAHQGPTPAYA PVPQYSPQPTPSELGSGHGHQMVEMEGQTAKYAQKGAGAGGVVHEMG CC84DRAFT_190306 MTTVASNIVLGLSGLFLSCVQYLEVFLRLHYIGLKLSRWGVSKS LIGTNRHGYVKAAEMELEKLRSCLESIMSRFAEAQNEKQKFRTKDRKEQQRFGHSTPV DAQRRRHATHRARLQKNHQRLRDQVRDKI CC84DRAFT_190328 MTIWDTLTGRKSASTQTATPAAEPHDNFSPAPFDPSTAIQQPSD FILDPTQLHPLAGLNQDTLDYLQLEDSVLSDLPGSQSALPSRGWSDDLCYGTGISYLS ALTVGGAWGLAEGLQKNPASMPPRLRINGVLNAVTRRGPFLGNSAGVVAMVYNGINST IGYYRGKHDMTNSVLAGALSGAIFKSTRGVRPMAISSGIVASVAGSWALFRKVYFESE CC84DRAFT_1179462 MSLPEYKQAFLKDCVEAGALKFGTFTLKSKRISPYFFNAGLFHR ADLLRSISSAYAHTLAAHSKADPSFQFDVLFGPAYKGIPLAATAVDKLADVDVAKFGK KSYSFNRKEAKDHGEGGNIVGASLKGQKIVIVDDVITAGTAIREAIDIIKREGGELVG IIVAFDRLEKTPSATDDDGQPRPSAIGEVRKQYGIPVLAILTLDDVVEYLRGLGSAED LQRLDEYREKYRASD CC84DRAFT_190371 MMRPRIKMPRRTAPNQPLSRRHKRNLSYAFVVAFVFAVVYVFNG PGDPMAVPFDQHQAYMNDRANTRSILVGDSYDWRTAPFVHTISEYIRLPAGTPKKLPK VQFDFPPETSSQKAKREARRVAVRQEFQRSWENYKTFAWGQDELAPITGEGAESFGGW GATLVDALDTLWIMGLKDEFYEAVEAVAAIDFGVSDSVTISVFETTIRYLGGLLSAYD LSHEKVLLDKAIQLGEMLYRAFDTKPNNFPLDRLMLENAKNKGGFNADSSICFAALGS LTMEFTRLAQVTQKDKYYHVVANVTNFLARTQNETNIPGLFPIWINAYHETTVGTSFT AGAMADSSYEYFPKMVALLGGLEPTYEKLWKDSAAMIDKHMLYRPMLPDTEPGKDLLF SGDVSISGEDIKLEAEMQHLTCFIGGMFALGGRLMPDQHHVDLGAKLTEGCIYAYAAM PSGVMPEIFNIAPCADRTSCSWNATFWEEEVLRRSGYNKEHADFAGILEKEKLPLGFT SLRDKRYLLRPEAIESVMIMYRITGHEEYLDHAWDMFTSVVRATQTPFANGQVFDVTA DPPAEPENKMESFWFGETLKYFYLVFSPPDMISLDEWVFNTEAHPFRRPVPQKTGTRG N CC84DRAFT_1167595 MDLPPGPLPLSEADFLDFIFNYFKAEYAPIRWIFASTADAVPLQ AKRFLAMGDHLSPTSFRPADPRAHADLQSLEYCIRKWNLDAAFVKGIVVRYAKYRVHE RLEKKLYECLRGDLMVRKALKEKALIDRLWPSPAPANLPKELLQQGVQVRQWYGYMFF KYFRLLRAEDDFSFRPEVAQALKSAGTLMLVPFAEHISAGPVEPIRAIMANGASFVNA PKSRNREREARGFGEPEKFEIRFETPGDVQNTVARSVVRQCRCLKCGTLRDVQVAVLI DPTPGGVAPQGSQGTQRRPSNPNTSAPRRSNPSKTSQRYTPGYDMPGPQESAQRQALP PQVELHNASPGVRSPSSVQKKQCPACTFLNHPELKACEMCQSPLPETLTTKPASPVQR HTKPAHAHSVSLPANSRAEQMKKDERPGLLDKVNRYSLGLGSSLYSLAPFSVAQQQQQ QQQATDQAPPPASNEPRVNGPLPGAPSGSDVPQEAETQTAAPLVPHRDRNVSPPAPAQ AQTNEEEQAPPTTPPPLSCPEPSNFPEMTLMPLTPPPISTSSLRRPTPLGLPQNLMDD YVPVSPPVAHTAGNEDDAGWGAGWGEVSREQVRDNEQEEDEEKDKGIGMVDLDEVARE EMGVWGEREDE CC84DRAFT_1126986 MHISAPAFIALIAASLTIAAPTNTNPSHKKSYLEDCEKDLNEKW NRPTSTWKKHERLFDFDAFYIVKATPDQVINTTQTPAPGQPGAKGLFKYGINVAENTI CYNITLFGVTGPYQSAALTATHIHEARKDRSGPPRIAFPNPKGPDERRVSTGCLTGPF KTGINSTDGVDTGTGFHVKQIVENPEAFFTDTHTKAYVPGAVRGQLG CC84DRAFT_1153115 MAHHHESLRERTLNNENLAGPEINRAGIGGNHGDLMDRTQNNEN LTAPTPDLSAPLEKDFSNSPYSNGYHQHLDASHDSETALRKMRTAGSISITPELFEKL YLSPKTRVHGDLRTTVGNPTPLALLGFLLSLTPLSMDLMGWRGAGGNGAAGTGTYYFF GGLLMILGSLGEFLIGNTFPFVVFGSFGAFWLGWAATLQPFYNAYGAYSTTGVAADGL ATVGFRSSYAFFFIAMAILCLVYLVCSLRTNVCFFMIFLTLVLAFCMLAGSFFEANNG NMTRSVNLQIAGGAFAFVTTLFGWWIFIAIMLASLDFPFELPVGDLSGFIKGASDKKA RQESV CC84DRAFT_1100137 MPQHLLSLPRELRDAIYDQLLLSTAPIPAIPDEKFMAYNPSPSR TFRKIYDAPSTLRGEFGCAYSLAPIPSTCAGFIACNRQVNQEMEEARERLGRKEGVWV RLDCLAVEESWHYFTLVSIPTVSTSLPPAQSRSVLPPWLRTYTALFLGSLLPWGIVSP PQTSTTRIPRLWIDVRLAGNRKDKYRRTSSPAGRTGWAVCAALKRLLYHGPELAADHE RPSASVTYIGELVLNVVPPPPPVDGAPATLLDEDFPLDGDGEGTVHPRTVARELVGVW GKIWAGDEFKGILYGGLLERIGWVRVCVDGKTWRVRELRGVLERGQRERRRIAERGPW CC84DRAFT_190551 MPAAVVSPPSQRSEQLSTAGILDVVAARATPRATARPSTASGTK VLTVTLASRMRTLGREPFFPFGSWACLTREQLHSNACTGEEAGLCGMRFFHSPTHGRM AGDMAFRGHGAVVSRCDDHPTKPSPTAARRSGSVRPPHRKFPGFSTVLWIRWLSRTRW DI CC84DRAFT_190492 MRASVVQPPLAAVSLAAGGAFFSPERAPTALFGAEQHHLRRLCA AWPPRWGGRATKPAADARSSGVRGGARSRSRGLDKCVPKNRGSKTASERQDDVCASAR RGGDVTPEGRMPWMGRGTQRTASPFIKRAKASAGGSPVGHSL CC84DRAFT_1179469 MASSMSTEGSKTLKPGTIEIPTSRGSNDVPMSPRSWRHRTATYQ PPSRQHTAASLDAEDYFVGPRDMAKHSKWPFFMRMHGSVLPKMILPLIVVALWSTLIT CLCEFVYQLKVSSLLLTVLGFVVGMAISFRTSSAYERYTEGRKYWSQLLLVGQNFSRT VWVHVAERDGELGKEDLLAKISALNLVVAFSRSLLHKLRFEPGIDYPDLKSRVEYLET FAKAAEAEIPKPKKSGKIKAVGESLGVTFAESNPRKRIKRSKRPLGNLPLEILSHMSS YVEYVNQNETLKNGLYHNQLITSIVAFNDVLVGVDRVLNTPLPIAYSIAISQITWVYV LMLPFQMWDDLRWVTIPGSIFAAYIILGIAAIGREIENPFGEDVNDLPLDAFCRELEA DIDYIMSNPRPHVAEFFRSPLNKPLGPLSEKSFDSWMGRTKKDIRDALMTKTKAEMRI RASFHVARDQDEDNEKSVQLQHGQQQEH CC84DRAFT_1167600 MVTTLCRKASLHLLVSKKVGDVSRRDFKEHLLVAATHLKELPVI VELLRENPDLNRMHSDFFGFPVHAAIIADDADLVRRLLLHGAAFEHAVWLKDVVRARH APWLLAVLTSQKKVVDVLCASIRDVTDAIAVAVELEKEDVAIALLRTQEHAFGHGSMS FYLRKAVSEACKKGMQKLLLLLIEAGAFAKTRAWTGPSLRHLLVAACKADEPAVLRML LEKTKELKTCVPLEDVLVQAVRTGTVDALRVLLDHGVRLSAVRAFKLLASVAPWPAVS TPTLTDATTALHHAVFLLEHKAVNLEALLAVEEHTGFGAAHLMIIAAQTGNTTLVSAL AQFGVPLDDVEFYAKSGCAVPIAAAESYGRNETAAKLKALVVERAVVEMGRETEQAVV RIRKGRKRMDEEHPASNQDIDLSVFLATPITCKNRSRVELDDADDW CC84DRAFT_1228406 MQGVVPPPLGVSQALGIERTELQKQSIVAYTTLTTVATIFVFLR LYTRLYLRASLGWDDALVLLSWIGCVAWIAICMSALQYGFGEHMWNVTPLQFAQYLQL LIGISIVYVWTPALSKLSLLALYHRILPDIRSRVGVYTLFVLILGYNLGITITIVGPC NLLKHSDPTCLMNANLVMAILNIMTDVCIIVMPTQILYRLQMDRKQKWFIGIIFFVAS GVIIISIVRIIYVYKYVGEVDVTYYQAAAALFSTAELNVGIICTSMVGLKPFIDACRA FIYEWWKTIKDSRVSEKPLIDDGRTGLDVHDAASCDEETSRLGAKHQCSTR CC84DRAFT_1208550 MAPLTMAVLLQPLLLAIALHELVLRRVEVDHLVLHGIAIYTAGF WILVQCIGFSDAFCLSVGFWSSLSIWTLLYRALWHPLKRFPGPFGAKLTKWWTTKKTW TTQWHMHRVHQAMHEKYGDYVRTGPREISIYDPAAIAPILGLSSKSPKGPFYDIMEDT LHMTRNQTFHKQRRRVWDNAMKSSLSHYAPRIEEFTDQFVTRLRNANGEPVQLLKCCS HYSYDVMSDLAFGKPMGFIKGEQSDVAESILKTLSDGLDAMGLIYHIPWFMNAISVLT SVAGPLKAWRDWSVLNMNERLALKSTRSDLVEHLIQNTPQTPEGKELLYGESRLIISA GSETTATALTFILVQLATHPSVMRSVRKEYRESKATYSCQRALPLLDAVVHESLRLWP SLFFLGQRVTPPEGVRIGSTFIPGNTIVQIQPFVINRDPRNFLDPDEFIPERWTTRPD LVINKDAFIPFSTGPYDCVGKRLAYMEMRSVIARIVDEFDIHLPDGFIQEDYWKKVKD HITAAAPPSQEMMFLKVVE CC84DRAFT_190634 MKSHQFYYLHIGLYALHYACPGSWLVNTSTKVADGAIQLCHLNY HYGFLASALAFIALYWAFPSAKVDEFVTQE CC84DRAFT_190654 MTLTLPTGSIDKDIYKGFWINQSLGTVRGATLTLDRQAGGLVIA FLALFIAATARSLWKIVRFLVHATSSTCNKQDGVYHQRQAILRNQPLALNAVLDFCRL GYAWRNRATDAPHRILPVSLLASAIAVASIAAGLLSSRILTTSRDEVLIDGRNCGVQV NDLNIQASTIETIMNRQSQKCLEDFAYSTQCYREGDTSQNELCETFATSTLPFTSDRN ASCPFAGEICKSATGNILLDSGVLDSHLHLGLNRGPRFTLRHQTHCAPLNTKGFTDTV TTAKSSQSRQVYRYGNRYGPNGNETYVFAIDIKNEKPVFDGINIGNYKVSVLMTDQER KVEFLKELRKPNAFVSLLFLDATEVVYAVRTEDPWFSATTRVSKSNSSASGSHFVADE PVGVLGCVTERSYCNPALPVNVGCIDGFSIIGGDPFDSLARAWPDTTDQSTIRAFIAA LSNQGAGLLDIYYAIPNSPSLLSRNTIMGNMQTAAIPKHRWQEEREHLYKASLAAIQS MMVDHARGFALGKNLYCIDQKICHRLCQSQKVRSAKHYSFNAWMLGVILLVGSTLVLI STFIEEIFALFLRYPRLRSEKLVYSYAEWQAGSTLQLQRLAHENLGTGNWTRTNEAIP VTEPGDTLAILDVTDAKHARMVVPSTELDVFGLAEGSLAHAKRPARYSRLPSTEVI CC84DRAFT_1167601 MAIAVVGAHLRGFPLNKDLTCRGALFLQLTKTSANYRLFALQNT EPRKPGLRRAIAGESGRPIEVEVWRLPKAQFGEFMDTVKFPLGIGQVELQDHSWIHGF VCEYAALAGTLDITEFGGWRAYTNNLTLPASSGAFRTCD CC84DRAFT_1198636 MHPRIRSQAACDTCRLRKTRCVKKNNEELCVLCSFHGLRCTFER GPARKPRQRRRPPDRAGHISEAHEQPAKTRDAEDAAPQSPDSVRTSYSYEEDEVRVST CPAGAYPSILNDTLGLDPVNNAEYIGSSDYRDPILLDLRSPHPASANFVRRMDDRTLF VVHPDTSEDRRTSDLDAIEAAVQPMGHRLVRLYFQFVHPSFPILHKDVFIAKHQTSHR QFAPSLLAAVYLLALDWQIHDSVLENWSAKQTVDVALLERMANVALQEEMHSPKLSTL EAGLLLLQRSRLDSSGRPASSVWKLHAQLVAISYDLGLHVNCESWSIPVWEAGLRRRL SWALYMQDRWTAFVHGRPMLLSEDDWDLSPCSSSDFPEHDKSEGLGLGAVAAHIGWQL FLSHIELSQILDDVNRTYYVATAQRRDGTLDKMGVLAAVDLAQPILRRLSQWKTSLPD VLAFSPTRDRSVCASASLHLAYHTVVVLLYRALVRILTPQAPPSLHTAVRSAARKNLE AAIHLLMSLGPEHTAAFWGGVASYQVGMIGSFAGLLWATAEDGEEMTWCVMRVDDLKW ALQIRGSAAPFARKALQLLESEVGSLVKPNPES CC84DRAFT_1228437 MANLLRRLHWFAVVSLVGATVAQTTTSDATTEAPRSSSTEDWTP PTTPPPTHAPFPSASAIPLPTEFSDIPKSIVECWDSLISYGVTRDFLVQQLASGAVYS WSDYDTPTVTTNTYEWPVVSCSTSYPGLTTLCDGYPRANDCYEQCTTIRSSSSTLQFT QTGTGGWLTPTWSTELQQLPIPTCTVAEDLSPECSRLAEAYTWRTSHIDPTASISAPP CAVSLPPTIEPSPPAKARCSMHAESYEAYYWPTPSQSNSEAFCNNGTNPTDTPTIPGR PNTAVVSGLTFTSPYIYHILHNATVYKYAGQSSSVAYGIGSAIYSISSELPKLTFAQA TNSILAQSKECHRSNHHGAVHCTISYKPDFAIQDLFTANAKAYYGEELATPTTATICQ ASYAPTIGLPLGEVASQNRIGEDCEWTFAHSGRTTLLDDNMFSVARFGSNDYHAITTT PGASRSGMTAVASPTPTN CC84DRAFT_190674 MPTFSFDLFGSHHLLFFGLQSVLAAMALFYLRWNSSCRDCSYVL SDPPTPLD CC84DRAFT_1228438 MATTDQYFRLPSARPYAYEFPFATTALIIIDIQRDFVDPGGFGS VQCGNDTVFSKARSIVPAVQKVLDIFRSVNGHVIHTREGHQADLADLPASKKLRQISA PSGHHTLGIGDNGPMGRLLVRGEYGHDIIDELTPFPGESVIDKPGKGSFWGTGLHREL LARGITHLLFAGVTTECCVATTVRECNDRGFQCCVLQDCTAGFDAQQVTTALDTICGQ DGLFGFVGSSTDFLAATSGLVPACDIGGVPLLAGDRLPSIGALLHHYRKGTLKPAEVI HAIYDRIDRCNSSSNKAVWITLKSRAQTLAAAEELSAKYASKPLPPLFCIPFSVKDNI DVACLPTTSALPSLSVIPCSSAPAVQHVLDAGALVIGKVNLDQLATGLAGTRSPYGLV HSVFSNEHLSGGSSSGSAVSVAAGLVAFSLGTDTAGSGRVPAALNHVVGLKPTLGTVS ARGVVPAVRSLDTISVMANSIDDARMVWRTIARYDPDDAFAKPPSSLAVWHSDFRGIH AAGFTFAVPPVSALQVCSPTYRAQFDAAVSALEARGGRRVSDTDFDYTPFERAGALLY NGALLYERVDSIGVDVLSKHAAALHPTTQKVLLPTLNNPPSAFTIFADQLLRRTLTHA AQRTFDKLRGGIDVLVVPSVPKHPRIADMEAAPLALNAEMGTFTHFGNVLDLCGVSVP FSMYEEDGVKLPFGITLLGGSGMDARVLGIAEAVEGGLGSRQP CC84DRAFT_1127008 MGLVQRKKLESIKANLKAKRKLDGWVLPRQESSFAEPGTWTNID CDVTPVERRTWTALTIFGFWFSDALNAQAWMQPAAILALGLTWREAIVCAIFGSLTCC VPLVLNGFVGARLHVPFPVAMRASWGYYASRFPVIVRAVTALFWHAIQTYTGSTAMTQ MIRAIWPSYLDIPNHIPQHIGLTTQQMVSHFIFWTVQFPFLMIPPHKLKWLFVFKTVL VLVSSVAVVISMTQKAGGTGDIWNLPYGVSGSTRRWLILSCVSSYTGSWATMATNIPD FTRYLKSDKGVYWQIFILPAIQLTLGIFGIIACSSSKVVYGEYIWDPLELASRWEGAS GRCGAFFVGLTWVVAQIGTNLSANVISCANDLACLCPKYINLRRGAVLATVTAGWIMQ PWKIIYSANSLLNFMSGLGCFLAPIAALLGADYWVTHRQRIHVPDLYRSHGIYLYNEW GTNWRAVVAFLVSVTPNLPGLAASVNPSLQKSIGDAQKIYYMFYFYGFTSAFVVYCSL SHFFPPTSTIVSRVVYDDGIELPAGEEGSDFDEKTIEAKAEESHRSL CC84DRAFT_1208556 MPNMSSAASSVTLVSRPRTRRAAPRVKTGCKTCKIRRVKCDEEK PHCKRCTNTGRKCDGYPIIPDGSQTIVFSVTRTSTVQRTVKSPMAGDKDLHFLEFYTH CAAPSMSTDGFDAEFWSSACLQMAQSEPSIRHALIAIGYLNRSQTGSLKSARSGLVAA SGQETFLTHYNRAIKALAERISEASYSAEVGLVSCFIFVGIEILRGNYDTAMLHYCNG LRILQTLRAKQRSTRGKTLGSDLIENSLVPLFHRLLTTGILYGVPTELAMSLIQRPSD SPQPAFQSMLEAQSAMYELRNQGLLFIRHMGENFRPITPTPQHKLLDQQALLFALDEW WIALEELENSKVLSKDDTITAHSLKACYYSTYILGASITDPNQCACDRHLPRFKSLVE HCRHVVEHSQRLGVTSPAANFTFEITVIPYLNFTASRCRCPFTRREAVALLERNIPRE GLWDAQQQAMVSRRLIEIEEREVDPITGWPTENARVLSGIAGTIVRGNMDGNGRFAVY FAMDIWGEGRGEAPLPPIGERGRMWKEWFQL CC84DRAFT_1167604 MPPLTTDVIKFGAFVVTNQVFHLTPLSYALVNLKPLLPGHVLVS PRRVVPRIHDLSAAEVQDLFLTVQRVSRTIERVFDASALNIAIQDGEDAGQSVSHVHA HIIPRKKQDLPRPDAIYELMESEDGDLAKQLNKRQEGDARRSKFPAVDADEDRKPRSE EEMNKEAEWLAEEMARDNKTEVDSHTRATATLPLRIEDTV CC84DRAFT_190727 MDITQSSRDYFAMPSPSPSEKLSLAASSTVYTYHCLCTHLLLAT STPLHALPTRNNSADAAHIMPLPPPPAPTTKARRGSNEPVAPPTDHYGLLLSTRLENA ASMVTSDSGFEKRYLQRCGRCNLVVGYQLDWQQFGGERTGRKDDVVYLLPEGFVTTSE MIMGKTPPKTGGIGMVTVAEIKT CC84DRAFT_1167607 MASTNYREAFQLFDKRGNGRVDRAALGDLLRACGQNPTLAEIAD LERGVGADFDFDTFSKILNRPGGFREPFDIEEYIRGFQVFDKDRSGFVGKGQIKYILT NLGEKMSEEEVEELFKSTIDTGNNEVDYREFVKTIAEN CC84DRAFT_1220909 MVFCDYFWSQNFPHLLDRVLDKPTYALDNFITQEHVILHELTHA DIAGSQGHIDDIKVKLPSETNDVNIPRGITDESSTAYAWFAMSKYFRDAWVIHLNEPK GFINGSVTPLDSAHEQPNNGDWYAGDEFTDVEWTAE CC84DRAFT_1060187 LQLYEDIIASDAQTIQVQQDTMAAQQETIRAQAQMIKNMRLLLE RQDERIEELERAV CC84DRAFT_1228448 MKRLSGLFSTPKITFQILSDLYLNYEQQYLTFHIPVSAPYLILA GNVGQLADYDAYLSFLVRRCNLYEKVFLILGALEFHGLTHAEGLQLAHHMEEEVATRG RLQILHRTRADVPHTNVTLLGCTLWSNIPESAEAAVVKKAPEFDTMEGIRQWSVDNHN EEHFRDLAWLQGEINGPRTFAGAESSSAPTSQVIVVSSFTPDLHHTLAPWQVHSPWCA AYGTDLLNGTDWNIVKYWVHGTTGRTGKAKRFGLKIVSNQRGRVGEEEKGILEDNVPK KDKIDLFDVTKVIQV CC84DRAFT_1127022 MRVLTFLYNVIPFYSYALAYPGMGETLKDLQRSAKVAREEPKQL IGDLKTLKDSQLTSIGKDIKAILLNQKDARSSVIDTGAPAGTPDTAACKADLCCVWKW ISYDMTAKFNGTSGRCNKFARGAVRLGFHDAGVWSNTSSYGGADGSILLTDELSRSDN NGLTAIGDQMKTWYAKYNKYGVTMADLIQMGANIATVVCPLGPRIRSFVGRKDNAKAG PTGLLPDVNDSADKIVKLFQAKTIDPHDLAALVGAHTTSQQHFVNTARDGDPQDSTPG VWDVKFYGETVSSTPKRVFKFASDILLSKDARVKDEWQGFINDSQDHWNEDYAKAYTR LSLLGVNNINDLKECTKVLPPARNSFVSQDQPILDLWLQGQYDQLNNLVDDAIMLTGL ISTGKE CC84DRAFT_1262488 MSAYFEARQWEKNFGLLEANDGHLLVSITKKDAVNMSQSYVNDE QLLSCLSSHESTSSNWTLKLLITRKSRYSKDDRRIPYPAEILEAFITYWKIADIGFRA QARAGSCSLSCSPVPGDSSYTGLIVRLVYGLPFRGMLIITHDRNTNATYAVCFGIASE HANRLVARLEESQSYASSPIHVALLLSDIALEDLEQFSTSTYCDFVSVREAMGTNLYS LAEERVARAPDLTDMPRRLTALANALASNCSSLNGVVDIVDTMELYSKDFQKSSTCET SDIVTFADRMVLMRQTIRSALRRNEYVKASVEAQVQMVYALRAQQDNEMSHQYGADMR VISIVTLIFLPGTFVATLFSTSFWDFGPLNEGPLVSKWVWLYWVVTIVLTMCVVSVWM WWPWITKLSDMKCQPFKDAMEMIGKWRHTKRRHMRSY CC84DRAFT_1099957 GYVSVTQALLPLLLASAKTGREAVIVNKTSILSVMRTPFHAAYS ASKVAVAMFNYI CC84DRAFT_194080 MGYTHYYTVHSWDTLEWQQAWRQLLDETRLILEASDILLSGPTE DDAPITAPVVDEKEGIFVNGVADDSHEPFILSAEGGCFVKTLRKPYDLVVACVLLRAF MLAPDCVEVNSDGRWTDKEWIDAIALYKQLWPNEQIMCPWGEEFEERRPGIVLSGRQT DSSATADPLSRSIKGLELASPPLLPTTKATRSTLKDLDLDPISNWLATCDHDSEHAAC RAKPILWQQLPGVSLKMIDVERKCIVEAPEPCSFVALTYVWGNTNQPKLTAQTAPVLM QEGGLDTIWPDIPTTIRDAILLCRNLGERYLWVDALCIKQDSIREMKIQILRMRQIYA AAKCTIAAVSAESADVGLLGTTVIDPPSSGISTCETVEDLEDLLESAPWSSRAWCYQE KVLSHRILMFTSGGVYMHCQRTILTATGTPLNRRDGTPSTSSTPQARFDSVGGMLSVP LGEDLESYVSAVEFYSKRHLTNLFDKQNAFQVSASPYPPSIRPSAGARANTASSPAIR HSRAGRGLAGRAAWSSIEK CC84DRAFT_1153157 MFSVPGWNVSAKLATQVEKPKPAKEEKKGKKAKKQKQKQEEQVN EGNVGEMWNKVIEGRVEKPVKKVEVKEVVQKEAAPAGEGEQEKRGKKRKRGTRGEGRG PAGGKKAVEDTDGAQAGAEAKVEAVEEKPKPAKDGEAKRDKKKAKKEHKSEQPAADAV LPPAAVLESLLPPEPKGLTPLQKSMRQKLAGARFRHLNETLYTKPSADSLALFKEDPS MFEDYHRGFAMQTEGWPENPVDGYVDAILTRGKLRDQSASKDKKRKEQLGGRYRDPTK AEVEEPVTTGALKPLPRNLKGHCTVADLGCGTASLSYRLQPHIKALNMHIDSYDLSKP SGPSGPLVKIADISALPCADASADVAVFCLALMGTNWLDFIDEAYRILRWRGELWVAE IKSRFGRVEKAKGGSGKGKPPINSIGSLKKGGPKPKKQDREDGSDLDSADEAELATRI DGAAAKEGTDVSTFVAVLRAHGFVLDAPQEKPAAAIDLTNKMFVKMQFVKAAQPTKGK NVTASVNGLKMGMKGKKFTAVEDDDPDDGENAKVLKPCLYKIR CC84DRAFT_191095 MTGPKVQVPQLCESVGPQCPVEGTVYGYYPSLGWNAFFAAAFGL AFILQVGLGIRYKTWTYMIGVGLGCLGELIGYIGRIQLHNNPYDNNGFTIQIVMLIFS PAFLAAGIYLTLKHIVISFGEQWSRLRPNWYTYIFIACDITSLVLQSAGGALAATADD DNQKALDAGTDIMIGGIIFQVVVLAIFAAIVLEYSFRTHRRRDQLSPEAIALWSSTKF RLFAGAIVVAYFGILIRCIYRIPELLGGWANDIMRNEPEFIVLEGVMILVTVVAQTAF HPGWFFPALGGTLFSKKKGAKSVSESETEMSAMA CC84DRAFT_1153165 MPRDLLADKKTLEGMPPLSKEPAADSPEDPHPMPSLYQVPTSFV EDRFKRMTDLHPFASLLNQDDLDDCDWLEHAAFDESEAASREKVGPVFAPHSTSSTSP WLIYLQIAYRLTVCGELCSGLFSSAYPTVSGPLSKIIKARKEFPSVDSADSDRKRILM GHIIATKFKGSNVVTDDAMAYPSDWKTNYQLTPSTGHNEDGDTICLHSLCVHPDLNGK GLGGVLLRSWTQRMKDAGLGKRVALICRERFVKFYESAGFEKVGASACQYGGGGWIDM VMEFDAVNYDDDVYP CC84DRAFT_193108 MSGGSFPGSSKDKVNGAGKALTTHDLDGHDLPPSPAPSTPRNGG RKYALATELVYTESNDQYNAASVPIYQSATFKQTSATGGGMEYDYTRSGNPTRTHLER HLAKIMNAERALVVSSGMGALDVITRLLRPGDEVVTGDDLYGGTNRLLKYLSTHGGIV VHHVDTTDPESLRAVVNNKTAMVLLETPTNPLIKIVDIPSIASITHAANPAAVVAVDN TMLSPMLQNPLDLGADVVYESGTKYLSGHHDLMAGVIAINDNALGEKLYFTINASGCG LSPFDSWLLLRGIKTLGVRMEKQQANAMRIATFLESHGFKVRYPGLKSHPQYDLHWSM ARGAGAVLSFETGDVNVSERIVESARLWAISVSFGCVNSLISMPCRMSHASIDAKTRA ERALPEDIIRLCVGIEDPEDLIDDLSRALVQAGAVNLTPEGFQALKISDAAESEASSS SS CC84DRAFT_193106 MAVDDFFRDTDAFVLPPRSFYPLKIPIAHYQLRHFISSPEPDLI YYASNRDEPTGRDAGSDVYCLNATTHTQTKIAELDWEARCTTAGHGFVCVGGADDGHF AAIKVTGFPPANSTHVDALLPLDFASRPTLPRPAGLGSLERPDYQKIGDDIVNSISIH KLPVDGDKEEDVVAVLTNNDKTVRLYSLTRKQEVTVLDFPFAMNHATISPDGQYLVAV GDMHNGFFFERNKNKKSNIAKNLEGRVESTPPDWTLLHEVCLYVPTGSHIEGYFTTAW SPSGRLCALGSECGYISVFDTELLKRCEYGEDALVKLVSSTRPDITYGAGAVRTMLFS PAPWDFLIWSEDQARVCIADLRSNLKVKQVLTLDPKNEGTDRVEIANFEVEELSDLRR EEDFIRTYRHALNADGTSAAANLANDYIDSAERRRLHRRLGVVESDDDPHAGLTAHER QVLETLQNVRQRREGLGSGITPRSINYITSSAESMREPAEPARRTTAQTRLADTFTEF VSEITRQSTERAVPQRRASLVLRDLTADPHEATTGTSDAPPHRGNITGSATTRSPNPI TAQIRRDNNEMIASTDEAWRTIEEALARNARAADGGRSSAAPELRNELRRLRQLTQMR ERLRVAREAQGPLETYSLGGGYRRASRNMYDPALGLRTAGLAMSPDGRTLYCGTEEGI FEFRMNLHQRKGFPAIKPR CC84DRAFT_1099939 MFSSRISRSVMPRATAGLRRVQPSAFRSPFLARGYAEGSDEKVK GAVIGIDLGTTNSAVAVMEGKVPRIIENSEGTRTTPSVVGFTKDGERLVGVAAKRQAV VNPENTLFATKRLIGRKFTDAEVQRDIQQVPYKIVQHSNGDAWLEAQGQKYSPSQIGG FVLGKMKETAEAYMGKNIKNAVVTVPAYFNDSQRQATKDAGQIAGLNVLRVVNEPTAA ALAYGLDKSTDSVIAVYDLGGGTFDISILEIQNGVFEVKSTNGDTHLGGEDFDITLVR HFVNAFKKEQGIDLSNDRMAIQRIREAAEKAKIELSSSSQTDVNLPFITADASGPKHI NQKMTRAQLEKLVDPLISKTVEPVRKALKDANLQAKDIQEVILVGGMTRMPKVTESVK SIFGRDPAKSVNPDEAVAIGAAIQGAVLAGEVTDILLLDVTPLSLGIETLGGVFTRLI NRNTTIPTKKSQVFSTAADFQSAVEIKVFQGERELVRDNKLLGNFQLVGIPPAHRGVP QIEVTFDIDADSIVHVHAKDKSTNKDQSITIASGSGLSDSEIESMVSDAERYGDQDKQ RKAAIEAANRADSVVNDTEKALKEFEDRLDKTEAEKIKEKINDVREKIANSQSGEGDL TAEQIKELTDDLQNASLTLFDQMHKARNESQNQQQEQKPEGEGEKKQ CC84DRAFT_194195 MVPSHPECVLELINTARGGSTDRTFPAPEHCPPHDGGQVFLYCI MMLSNIATQYVSAAPDEVCYIFRTQGTLGDSYSLRFCIALLETRSLNFPSIGCCVGGL KTHLSNQIMGCALGKTKNAFGVYHEICVVENWNSCMTTLLMLSWGVMTFYNSEYYVIT ALDENDLVRCPRWRRLVLYTLETFSWELKVDLRLCDVLACQSVSKREPSTYTRVLLRR LKTLIGSLNGGARRRTGLISGLCPSPVKKFCAAGLWSTRWK CC84DRAFT_1099681 MSSPFSPTSNLRRKRTVEGSCWPCKQRRIKCDLTKPACHRCIAS GTEDCSYDKLLLRWKKRPSKSMPETTQQSLLVHRSLNDMSLATNERRAVDYFKGRLWP LFSTVHEPIPPPVALALRSQPVLQALCVFAEEHRALQEKGQAQHTLENRRLHCLTVIR GELGLEQTETASLSALLVAVLLLYFLEGYVNCADDDASTPCHASGASAILDALGGFDA AYMSSDKMTRMLLSEFASTDLTEAMMQDRRTRFPSVIWARMEPGSVWWESPLGNRSLA TVLGTMADMTSYRQDVKEGTEPCNEMIQAFERALQPSFSMLEHSAEEQKPLGLKCDLF DAVTASSLSLNRSFQHAGLIYLYSAILHIPNHHFLVQQHVHACLECIQGMDPKTKVQN CALFPLYVAGAHAISGPHKATVLEKLDVIYKNLRFESVTCVRMTLEQIWTTSSHTSTW NDLFKGVSPCALVI CC84DRAFT_191079 MSISVHNYAGTEEKSEAFHYSQAVKIGNVVKTSGQGGWDDSGKV VSDLKKQVELAFLNVEKALQAADGRLSWKNVYAVRSFHIDLDESADYVIENFRKFTPD RRPVFTCVEIRKLGIEGMQVEIEVEALIES CC84DRAFT_1167619 MDSLLQSSAVTIFGLAACVLVLSVSLLRNLRKTDKALPVPRAST PGEKEKGEIRPFKTPLPEPARSYKLELTEPKLYRPFRHGKNFVTMGIRKMDWNEWIEM DSNFVRYHDIKVKQLDKDIDAHVGYVDNEVTRLACFEVYEELTQYLTHRFPEMFSLKD GTLRNSVTKEEFPYPASTPKEAMATSAKLIQDDIALMVMNDDGQYHLDAGAVCLPGFW RLKEKFRMSLDTLHIEAGVPHYQEKLMKSMNRFFARMTPDQPVIRNNFFIQLDDGLHW SHRMGDEKNVNQVASWANANSKDLTIDEIHFRSERQSLRRLPKSGALMFTIRTYFEPI TVIAQEPHVPGRLAEAIRAWDGTVSHYKGRSHWEGLMLPYLDEMHRKQEESGALDTEE GEFPF CC84DRAFT_1189755 MGHEPRSEGEGVVQHVQLGYSTTLKKNRSFWSVLGMILAIVAVP YGFGGPMISAVYGGGQLSMFVGILVVLILDGAVAVSLAELASRYPTSSGVYYWSYRLC GQHKTMRKTLSFITGWFWLIGNWTITLSVNFGFASLIAATVTIYEPQWTASSWELLLI FYAVCTLTFVICAFGDRMLPYVDTAAALWSVVTIIAVLIGVVVQAKSGRHAASYALGH YDTSFSGWGPGFTFFIGLLPPAYAFSAIGMITSMTEECSEPEVEVSRSLVLCIPLGGI ASLFFILPLCFTLPPLEAILAAPNGQALPFILATVMGSKVGTLILMVMIFLVTLFCSV SITTGASRCTWAFSRDNALPFANVWTRTVADSPIYALALVTIIEMLLGLINLGSTAAF TAFASVGVIALAIGYLVPIAISLFSGRKEVAHARWNVGPVAGTVANVVAITWILFELV LFSMPTALPVTEVSMNYASVVLVGLISLSGIWYAISGRKHFTGPPDEIDEIY CC84DRAFT_1153190 MADSIELKAPNGATWTQPTGLFINNEFVAAKSGEMLETINPFDE SVIAKVASGGAEDIDIAVAAARKAFKSPEWSDISAAERGALLWKLGDLCEKNAHIIAT IDAWDNGKPYQQALDEDVGETISVFRYYAGWADKIYGQTIETSKTKLAYTKHEPLGVC GQIIPWNFPVMMAAWKLGPALACGNTVVLKPAEQTPLSALYLASLIKEAGFPPGVVNV VNGLGRSAGSRLAEHPRVDKIAFTGSTLTGKAIMKAAAGNLKAITLETGGKSPLLVFE DADLEQAVKWSHMGIMGGAGQVCTATSRLYVQDTIWDKFLDAFKKHTKENTNVGSQFD ADTTHPPQINKAAKEKILGYLDSAKSEGAELVHGGKQSGIPEKGYFVEPAVFTTTNND IKIVQEEIFGPFVVIQPFKTEEEAVEKANDTQYGLGAAVFTRDIVRGHRVAGNIEAGM VWINSSQDSHFGIPFGGYKQSGIGRELGQYALQSYTQVKAVHVNLGTWL CC84DRAFT_1060972 KTPITPVTMEGLTSLHKLIQRDAHALSNELGKLRLRSHLDKEAK VRRSTRSVVLGKAKVMSYEDLEEARQKRAEKE CC84DRAFT_1167621 MAQAPLLALSRRSIAWLFPYAFAPLGLPLDPVLIRFLRPHTCAY YRAFPYPQ CC84DRAFT_1127069 MSTKTRPAIPPVVMDNITQQIGNTPLVRLNKIPQSLGIAATVYA KLEMFNAGGSVKDRIALRMVEAAEKSGRIKPGDTLIEPTSGNTGIGLALVGAVKGYKV VITLPEKMSPEKVAVLKALGAEVVRTPTSAAWDSPESHIGVARRLVKELPNAHILDQY TNQDNPLAHENGTAEEIWEQTEGKVTCIVAGAGTGGTVTGIAHGIHKHNREVKIIAAD PQGSILALPESLNEEFKDKPYKVEGIGYDFIPDVLERQVVDKWYKTDDRTAFQYARRL ISEEGILCGGSSGSAMEAAVKAIQELNLGADDVVVVICPDSIRSYLSKFVDDDWLAAN SLLPPTPPITPATTPAHTRRHSLSKDAFAGATIAALRLKPITTIPTNSPCSEAIETMR EKGFDQLPVSLYSPAGKPKLVGLLTLGNVLSYLSSGRASGDTPVEDVMFDFRKLEEVV KDLGRLHLEGEESKRERQFVEITMETKLADLMKFFEWNAAAVVTERRGEELVPKAVVT KVDLLSWMVRQGKGE CC84DRAFT_1127070 MAWYDEDSWATAAFAPKGSTIAITFLLAVLLPILLHQFIYRKAT PTTLPSFLLIGPSGAGKTAFLTLTERKVVAQTHTSTAPLAVELLLPEGHTPQSSHYRS PGDPAYERSRQFQLNDTPGHGKLRHFATTQLANPTNVRGIIFVVDAAAVAEEAGLNET AEYLHDVLLALQKRYTGATSSKGPKEIPVLVAANKMDLFTALPPHLVAQQLEKAISEV RKSRAKGLKDSGPALGGEDDSLDEDREWLGEGGEGSFEFAQMEEVGTTVTVQGGNVIG GDGVDVKSWYEWIAAQL CC84DRAFT_194238 MKEARMVRGTAPCARIPAPASLKSLLRSLPRSFLGTLICSFSTY QHFYSCTSACHVTTMMTCMSDPFNSWQYPCLLVSYCLNVSSLIRVCASKGMQKVFLRW LGWLESITATYGPGISGMDVCTGCTWSIGNKNIGWTYPFYYAPSSGVGGLSRRSVNRA RRMECMNDGSRITVTVYGGRKMGRERRGG CC84DRAFT_194392 MNQVLPPSLPLASENPFSSEQECIDHIGAHAHHSPFTAGVSDQL RRDSTLGQSATGIPLSSISGRYTSHRPEAPYQSSAALSDTGSGSISASPSSNSSSQLA YTSLGASSSYFHNPLPIANYNTGYYATMSSTRRTSMMTEPSPSVMLPPARSPGMGNPV SPSMGASARDNYTTSTPGLSRHPHALSPRHSDGSRYGSLPETPRSVVAATMPLNTSYS SLPMSGYGSQGDRSEGSPPFNTQEDYCNLICDGSKITPSIDAKIEKGFFLSGDSVWTC YRRNYFAVNVHYNLHPWVNNGRLYLDQGGGKPQEQVQSMAVSLAAAVDGSGGKTIELI QHTPKRDKGPQLQMKKELLSPMPPGKNHEHGSYGLSTFHPSSQSPGFLLPLQNESDSS QAYSPTSHAQNSYQHGFERIQFKSATANNGKRRAQQQYFHLIVELWANVQSHRDNEAR WVKVAARASHPVVVRGRSPSHYSNEGPHNAGASRGAGSGGGPGQGPHGYGANGTQYTT GYRNGLAGGGSSGLGSGMYRGTTYSLDPSPLGSHSVSSASSLSGGPVENFVGDHHMAE DDDSKMIQSHNDYQYYPAPIFEALQPKVETSMPPSLDRRIKEEYPVSTGLGSSWQVGG CGRFQGMETSRGYYPDIHAQHAHAAGY CC84DRAFT_194390 MMRIARLRPVPFSSTTVALASCSRDHVPKGRIYISDVRESRFAP GPGLASFSKGRRPTQRAAFLAPAPAAREGSGSGRAARCEDWLAWAVTLPCSDAGTLAT TRRSMGRLDTAAPSSSLGPRCFIWAAPMQRTDDVAAGGASGDGAVRRGERGWVGCGPW RRLVDAIRLLRWMLAEGPDIRRRCLAGWAGVVVLAAVDTGVEQRAAGWSRCPGPWASP AAARQMQPSPSPGGSS CC84DRAFT_1262503 MRQAAWDGKGTSARVEKQGAGAFAQAVVLSAAGDGVSGRRSGAL GRMGMAREGATAGCTSPVAPSSAQRTPAPSLAAAAWESQRRRKGAAKGSLFVLTVRAA RAHSLRGLPPAALLAAGHAPAAQAASTGCPHTTARCFRSVAQ CC84DRAFT_194453 MLSSTALYGAGDDIRGYFAQISSSRSLYHGSPSNYARHHATHSP NLTKEVANVWTFFVHALRSHKTPLSVPQVLGVDFAFSVALSETFGESFFSNVRLACSR LARVLDFACNSTCNSTYANRPSAVHSAVSRAHRTQCWRRRTSGPSDQVMNDWSVRSQK TQTSKKSTTQVPAVWFWSSRLQVCFRRKTEQHS CC84DRAFT_1167628 MADQKSFATTSTDAVSLEKPVSTSSSATTTTTTSIPSKRCQPSC RDELHLSSRKPEEPGKETWRDASLSDEQRWKEWNKAKDREQKRNGATGAYTQFYRGKG PGKWIYWLA CC84DRAFT_1228490 FDETYGTNYKDLEAWQHFCADVGIEPIPESIKKCKKALKKVFIN IFDFIAVQKRLKPAPPRRFRAVHELAHYSIESIKIYPLELAKKETFHRALLQVLF CC84DRAFT_1220934 MATNTPKVPDNASQLYKTLFSTATELIRCQDRDDSQPTRMNLDR VRAIRTPNFTHSFGHNHMVSTSPMPMLQGELGIDAYLAHLNKMVPKMDTWEARITDIV VDETRRMCIVRASYFMKPFGAEKPVENDLIWWLWMEEGGEKVYKALEFLDGAATGRIG ELIMAAPN CC84DRAFT_1228492 MYKRQIKPAQIEDVETAALPSLSERALNTPFVANKQWIQDSTGK NFTYVGVNWPGAADVMIPEGLQYASIASIVSKVKSLKMNVVRLTFAIEMIDNIKDNGG DVTIQNAFNKALGTTNGPKVYQQVIKNNPQFNEKTTRLQVFDAVAAELNKQGIYVHLD NHMSKGAWCCGSGDGNTWFGDTYFNVNNWKRGLQYMAEHGKSWPNLVSIGLRNELRSG ATNGLPYNWATWYDQVIPAMDLVNKANPNILIFLSGLDYDTKLEPIATGADLGSGKKF LLSDFKYANKLVLELHNYQNDATDCGSIESGLWNNGFRATGTKAANIMPVVLTEFGFS QAGNDYTKTYATCIKKLMPQWKTGWTVWVLSGSYYIRSGTQDYEETWGLLNHDWSAWR NTAAISALQGMIDASLTG CC84DRAFT_1179508 MTDNYIVKTVAGTCVGFSFILVGNAITQSFMTVPALLVDFPKPG TPDHTSRARLLGRQWPLCWTVGNQFFRPISTLGFLGYAYAGYAVWREYKEHVVAKGDW RLFAVAAIMHLTTIVHSAVNMQPLNDKLEALAGRTSEKDLGEAEGIARKWGSWNRLRI ITPLIAGTLALVQLAQ CC84DRAFT_194567 MASAKPILITGATGKQGGAVLEQLATHASSSQFVLLAVTRNTTS TSAQKIANRFPNVLLVQGDMNDVPDLFKSAQNTLKSAGKPSKIWGVYSVQISLGPGVT FEGEIEQGKAMIDESVAHGVKHFVYSSVDRGGNAKSFENETPIPHFKSKYQIEHHLLE KAGSKGENMGWTILRPVAFMDNLEPGFKTKVFLAALRDTLQGKPLQWISTEDIGIFAA KAFRDPESWNTRAESLAGDELTLEEMAGCFERVTGSANIATYSFFGSGLMWAVKELNV MITWFATDGYGADIGKLKKEEPQLCGFERWLRERSGWKTQ CC84DRAFT_1167633 MFNLHISNSELRLATAALAALSVIVPTVYFIRSEKSQEVTPHLQ TFRKLLKAYATLRPAALGANASKEFSHTVLPLSLNLPPRSLENFQQHAAMIFSLFEDF KMEPHGQVHFSKETNTVIAHCKMGGKVNAASEMGKKLIDGGITDWWTECVLFVKMTPD GTKVVELKEFVNSSKAEELQQRLSGVLSK CC84DRAFT_1198662 MPHALTSRDSTMESKPLGTYEPSGIEVLIIGTGLAGLTAALECI RKGHSVRVLERSESINTNGDMYFMGLSATRFLKHWPDLMEEYKSISLHNAWIETFKHS GEVIITPKKVADRLRAQGLDPGTPPGEFQMRPLVYKMFVSAVEKHGVSVEFNRKVVDY FENENTGKAGCVTADGTTYEADVVIAADGVGSKSQKLVGGQVRAKPSGRAMWRAAFPI EHLDKNPEVKEAFKMMPNNEPIVRTWLGPSTYALTLTREDVMVWIMNHDVTGSEKESW SNTIDAEEVLKGMDEMPGMEVNKWAPIFKDLVKVTPPNTIVNFELLWRNPQPTWTSPG ARVIQIGDAAHSYLPASGNGATQAIEDAVSIASCLQIGGRDNIPQSVRAHIRFRFIRN SCAQKLGFSNAELLQDTDWDKVKLDPRRAAPKLPKWVWSHDPEAYVYENYEKAVASMK KGISMEDETSFEPNYPKGYKYEPWTIEKVMEDMRAGKPIELGPGDWE CC84DRAFT_194581 MRSLPLSAALVYTSPSCPISPSITTLKGDIAAWTQPRQLAVSAV IFVRSMHFSISKAGNDRHSEARRQKLRHPAGTGGASSTKAHDYDRINKR CC84DRAFT_1228506 MHPLTLYAVGIAAFGWILWRFGQALAKRDAKYNPQGLPGPTLIP WVGRVHDLPIDYMWLKFYEWGQKYGPIYRTKMLGANFIVISDEEICEEILTKKAKIYS DRPQIKSLFDAKSTHGSMEYLPLMGRNKYWARQRKFTHAYLMDSTNNRYYGIMELEAK RWMYSLLNNPDNFGFSLEDMASKVMCQLTWDDPNLSEALTPSAWGLLTQMSPAGPITN VLTPLWDWLPQPINPWKIAERKRHDEQQAWWMEQLVNTRRKLEKGKQRLCYTRTYLET SEKTAISGDYEASSVIGMMALVGIFTVAGPLYYFLVAMIHHPEWQKKCQEEVDKVCGH KPPTLADMPYLPVLRACIKETMRWRPNVPTGKRVAHELEQDDWHQGYFIPKGTRILPL DYAFLHNPVKYPDPWNFRPERWLEPGWPTYNPDLTKFPTIMGMTSFGWGQRQCLGQSI TRDETLVGCGALVWAFNLKRKVDPVTGKEIEVPTTKSNSLLIVKPDPFQMAFEPRSEK RKQQIVDEWKAAEAQDIKERTDFLRAAEEQEVVA CC84DRAFT_194756 MARTCETSRSHSSRSTTISSRRSDDTAPTEYSARPAVPRTGTCL GRVEGHSRDYFATEGTIDGRTSVDTYASTSEEDLADDFDHVPEYHVPEYTHTPLPVDA IPTTPRDFADLFPTSKRISIRHDDSTIDGNMNLRVDTQVEEHNRNKQNYTLFHLRMHD LRTREFSLRRYCRDSGREVCHSIRKYQKPPSEKRPVLQRASTALASFRHKPDSRPSTA GGLKRSDSGYESVHDVEVDEHESLPQPTGYAKGRALLPTNTLKLEFSNYAHIDVKRRG AHSGKKYAFEYWGYNYSWKRVVKQENSHESVSYYLIRSDNESEPLAHISPVRLTHEQA REEAARGGWIPPCYMRITDEHILKSNSDISDVVVATGLMALVDDCIKRRFHQKQSTQL HIPLIRNKLHLDYIGPKRLIDEMFNRTSRSGPPSRQPSALRRPATQA CC84DRAFT_194757 MHGSMQNAGSPTTWGVSDTMHTCLAARAVCTPACLLGTHGTPWM KDTDSDGTLRRVSISKCSYKYINPRRKKAPSHRSRTLFHWFYKLQYITIKFPNLLLQV LIMPALVAPQSMPSAQGHWAQRLREHCSVRKLGDPTWQDVSDRRGGRTAWSSIVLIQG AQYSARFWYSGERLEQAREDAAEMALRNLTGYTKPNQQPPPASYYLQTA CC84DRAFT_1167638 MARIAHAILGISRDSLRRPGIPTSIGLSKAMAFVVVCVWAATVR GVTGGEYDGAALLLIGRP CC84DRAFT_1167639 MPPRERLVRKAPLMERVRAYLDFHDWLLWISEELNTNDWEDFAK DYAFIIGFVFNLVYIVAQANTASGPRNDDILLDSVSGPGWFTWFSRLAVTALSIVAFL NGFLTFNKKRHYRLFEQPIETAPSTPSAHRVRVDSSPAAVTPLRYFQNLLGSASADSR AHPDAGRDVWEISVWDPNPLCLDMFCLFSPLHVVLYWLNLPVPPMDQQPSIRVVTTIA VGAFLSFSQWYLKSSFANQIRDNGVIQREVLHEYDNKFVHPSTQKLYRDVGIQAISKN RSRDSSVGVRGSSDDLASQITTYTPTTIINRTFRTNPNPVYASQYDPDNLRSTTLTPS ARPYSNANYSTTSTATGADFSSPIRPSHTPNPFRQSQAQPQFRPSNNSGDGGSLGVFS HANSPLRKSASTNFVRDRDDRGRDSLVGHGVERRTGTPARREGSPLKRVSMPGAGLKA SGGESVGSAADRFARWNGGAGSMRRESGRF CC84DRAFT_1167640 MSASTAAYKDRQFLAVIGDEDTVTGMLLAGVGHVTQPPDSQKNF LVVDAKTQNADIEAAFDRFTQERKDIAILLINQHIAERIRHRVEMYTAAFPSLLEIPS KDHPYDPDKDSVLKRVRRLFGE CC84DRAFT_1167641 MKVSAVLIAASSAVSVSAAATWMTGGNQAVINEAFKVPGDNPLY FCEDPKDYILDIENVDLDPNPPLPGQTLSITAKGDFSKEIEEGSKMHLTVRYNNLITL INQDADTCETVKKADLECPLKKGEMKLTKDVDLPQQIPPGKYNVKADVKTKDGDAITC LTAEISFHR CC84DRAFT_1179516 MGITIIGVLAFAGIAVSSPLVSGPGFLPVQKSDSSSINILPFVA SSPTPVALVDHRPRIKVTINPTSQTPNLPTWWPVDSKVHVVVPTSTSTTSTTSTSVEV SALVGVVAPSPTTTSSTTVAVVVALSTSSNSSAVVVVQPSAALTLSATFGSPTAATSG ATATPAAATSSASSSSSSGDPLVVVPPPLSPLPIVSSSSALATPVVVMTPTTVSTSTT LPSTAFSTSDDFLFTPDRLTTTVTPTSTSTTTSVTTITSTSTTTTMPTTSVSTTETSS DPAATLALTFNALAEKVKFHDEQIENLAWVAAIDNERVSDRLDEAIGALEKLANQTTD GFYKYKDSIEELANQVEWKFDVVYRAINVTNLLEGQDLETTRKGIKNSRQRVWDLELL TERVEYLETENDKWNHEGGHDPVDARLEALEEQNAYLKQKLEDLLGAEKDAPERAEDH TEEEVYE CC84DRAFT_1220947 MGSTAGTLGDKLTRSQVGVHADAEAGLVDFLREANAALSSTSHA TSNPVPQTLKRKSIKTRLVDHLSPTKKEPGLPLYDTRRPPSPVARSYQPSMSSLPLSR APSVLDTAPNMPPPGEDSPSYVPYRRPYSPDRVPGRPYSPTRTSADYARPPASSNMYE PPDLNGSPRPGTPSSRYGGERPGSPKRPLPPAPLFAGGRPISRDSNATLDMSSIPIDH DDPFTEYGDDRPELNSRDSYMTEETITDDYTDMDEKMDHYGPAPETAQPRRGARDAVM TTKEVRLINGELILECKIPTILYSFLPRRDDIEFTHMRYTAVTCDPDDFVDRGYKLRQ NIGAPRETELFICVTMYNENEIDFTRTMHGVMRNISHFCSRTKSRTWGKDGWQKIVVC IISDGRQKVHPRTLDALAAMGCFQKGIAKNHVNQREVTAHVYEYTTQVSLDSDLKFKG AEKGIVPVQMIFCMKERNQKKLNSHRWFFNAFGRALNPNVCILLDVGTKPGPKALYHL WKTFDNDSSVAGAAGEIKAGKGKGWLGLLNPLVASQNFEYKMSNILDKPLESVFGYIT VLPGALSAYRYIALQNDHTGHGPLSQYFKGETLHGQDADVFTANMYLAEDRILCWELV AKRSEQWVLKYVKAATGETDVPDTVPEFISQRRRWLNGAFFAAVYSLLHFKQVWATDH TIWRKILLHIEFVYQFVQLMFTFFSLANFYLTFFFIAGSLSDKNYDPFGHNIAKYIFV FLRYTCVLLICMQFILSMGNRPQGARKMFLWSMVAYCVIMAYTTFASILIVVKQLRDP KQPKTLGNNVFTNLIISTATTVGLYFFMSFMYLDPWHMFTSSAQYFALLPSYICTLQV YAFCNTHDITWGTKGDNVAKTDLGDAKAKKGNVVELEMPSEQLDIDSGYDEALRNLRD RIEVPEKGLDEAQAQEDYYRAVRTYMVVVWLISNAILAMAVSEAYGGQHISSNFYLKF ILWAVAGLAFFRAVGSTSFLIINSIHSIMETKLKWEDKMESKSALSSGKKRFGKGWGN GGGSWWSGSQISSKVSSWAPSSWGGSSLGK CC84DRAFT_196966 MAYAVLFCAFLAPVDPAALWCGQRSDGIVDNLEKNANCMLAMLT VVPERTLRRRVRQRGRVFGRTRFHSNHRPSSHGLCWLHAPQRHLQFSAQRATFEDDSG RHDSGPRRVPELTTSRV CC84DRAFT_1167644 MHRPMEPSPLRHSKDFLSPHGSTRTLGSVTSRRSQRSASTRHYG SHLSTIPSRSNISVFSPPFSPPLNPALHTRPLRLSSLHVLPHHPYPHGHYHQHSHITV GTVHSVQHYPRGPFKSGCPACGVDPVVLFPRHPWDQKHRQPWWEHLKEEYDFVKPYIE WQRRDKYLRTQRRRKEGKLLRQRFKLRTLKKTWKVELKRFGWKLLGWKNWEPDKERSR QRPQQQQQQPPEPTFNLLVTGGEDSNATQDDRDIQGHEARQELLGESEARTDGERADT SDAGNCLRGLAGKHESKSTSKC CC84DRAFT_1167645 MVTITGVETRDVRFPTSLDKTGSDAMNAAGDYSAAYAILKTDAE FQGHGMTFTIGRGNEIVCAAIEHLADRIKGRTLESLVENWGKTWRHLVNDSQLRWIGP EKGVIHLALGAVVNAIWDLWAKTLNKPVWRIVADMTPSEIVKLIDFRYITDALTPEEA LEILTKAEDGKASRLQEALESKAVPAYTTSAGWLGYGQDKMKALLKETLDKGYRHFKL KVGSDVAEDRTRLSIAREVIGFDKGNVLMVDANQVWSVPEAIEYMKQLAEFKPWFIEE PTSPDDVLGHKAVREALKPYGIGVATGEMCQNRVMFKQFLAAGAIDVCQIDACRLGGV NEVIAVLLMAAKFNVPIVPHSGGVGLPEYTQHLSTIDYICVSGKKSVLEYVDHLHEHF HHPSEIKEGHYVTPMSPGYSVEMKPESMDRFSYPGKEGVSWWKSEEARPILEGERI CC84DRAFT_1099668 MPNINFSAPVIRLGTSGSQRGGNLDSLAGRRDGGTPLARRGLGM DDRDGRREDARPLHPPTREEIARTIFVGNIPPALGDAGIERILETAGAFTRFTRAKDA NDKVQTFGFAEYGDAQSLRTATELFQDVQVPTKRQTPGEKKDRNEVETTKLQIVIDDA SIKYAEDWTKNEDEEQMQFRLDSAKEALDQVLTQLFNPPDTPQADAEDAMMLDIKNED NPDIVQIDIAASGEDDLSDIPAEMRETVAAEIAAFRDRSNQRDLERLRKEEEESGGRS SVAGGANGVPVGPRADRGVQGAPSGPKGSQFPRDYQGNMKFINGEAMINGGFIKREDD DDSASDSELEERRKKKKNAELDREYERELRSWLKRETQQTSALERTHNALKNKEAEEQ KAREAMGAYLKNFDDDEEEARKHELFYRDHGAYMRERQRYREREEREDDIDRDIENSE MATRQKQKADARDQANAFLDAQAEEMMRTRTTREQQGSFKISLGAAAKKLDQKAAPRR TAADVENLLEDEETADPADTTKRKLIPINFDAAVRANLTQEEVEEAQKQLARDIPADK DGLWKWPVSWEHLPDKNIDDDIKRWAGNKVLDLLGVQEDMIVDTIVEHLRSHNGAQAL VENLEPVLEDEAESLVKKLWRMVIYYSETEKRGIK CC84DRAFT_1167649 MSSRSLGELLLRPTSLLRLSCAQILPRVAPSWTAHRTISTSRTP QAAQPRAKEDLSFDGPAQPDVPASAERTSEAIDSLFSSMPGRAFARQSPAAYTRTSQE EAARKAFGSNFSNARSGSAFQRRTAGLDFDSMSMDGLPLNLPNQAPAVPLEPEKQVYP RLNPAFGRTVDLRPEAGRDLVRGITMLSSLVSRNKIRHDMMKQRFHERPGLKRKRLKS ERWRTLFKKGFQEVTGRVSELTRKGW CC84DRAFT_1220951 MSRTIYSLWLAVLAVYSFWISLAHAVSGQEPLKGATEGRGYNLG EPIPVSCLNRTVETGEHITDASGQLQYVPFPTCNETGRPLELFFGVEQSLNCTLDFIS DPFFHLLEFYIHNDAPLSCRIPSKPLPPSVLEKDFREVDETTQEGALGSQSSLYTPLV VALSGTLQLSHLHVGNDLNLLVHAKPKSEDPGTIDAATAYSIASHTRNARVTIGDSLS LHFSVRWYPSTTLPPGWSGYGGHLYKSTFLYCLLSAGASAAICVAYFRGVELPRRLKR YAGDRMGVGRGGGYGLPVANGRGNGYGGYGYGIGTGNGKRID CC84DRAFT_1220952 MPGFSQASDLSAWNALQDHHSKLGKDIVLKEYFKKDPKRFEKFS FTFKNDVDNSETLFDFSKNFITDETLKLLVELAKEAGLEKLRDDMFSGDKVNFTENRA VLHVALRNVSNQPIKVDGESVVEGVNEVLEHMKEFTEQVRSGEWKGYTGKKIKTIINV GIGGSDLGPVMVSEALKPYGDRSLTLHFVSNIDGTHIAEALRESDPETTLFLIASKTF TTAETVTNANTAKDWFLKSAKQEDIAKHFVALSTNEAEVTKFGIDKKNMFGFSDWVGG RYSVWSAIGLSVALYIGYENFHQFLAGAHAMDNHFRTTPLEKNIPVIGGLLSVWYSDF FGAQTHLVSPFDQYLHRFPAYLQQLSMESNGKAITRSGDYVKYTTGPILFGEPATNAQ HSFYQLLHQGTKLIPTDFILAAQSHNPVDNNKHQKMLASNFFAQAEALMVGKTPEEVK TEGAADNLVPHKTFLGNRPTTSILADKITPATLGALIVYYEHLTFTEGAIWNINSFDQ WGVELGKSLAKKILTELDDKSESTAHDASTSGLINAFKSKASL CC84DRAFT_194931 MSWKLTKKLKETHLAPLANTFSRSSSTSTIVGGEQTPKATSSTA LSDSKDPNGIAASEANATPPPPPLRPGILIVTLHEGKGFSLPPGAEQHFQGGGHHQGS LSQGGGFSVAGSMRPGSSSRNQVAGSYSSNRPQSAAGSINAVPTIHGRYSSKYLPYAL VDFDKQQVFINAVSGTPENPLWAGGNTQYKFDVSRTTDMSVSLYIRNPSAPPNAGRAD DIFIGKCQVRPRFEESQAADAAAKKETGTGQIGAEWLELQFGTGSMKVGVNFVETLKD KLSIDDFDLLKVVGKGSFGKVMQVMKKDTHRIYALKTIRKAHIISRSEVAHTLAERSV LAQINNPFIVPLKFSFQSPEKLYFVLAFVNGGELFHHLQKEQRFDINRARFYAAELLC ALECLHGFNVIYRDLKPENILLDYTGHIALCDFGLCKLDMKDEDRTNTFCGTPEYLAP ELLTGAGYTKTVDWWTLGVLLYEMLTGLPPFYDENTNDMYRKILTEPLHFPGPEIVPP AARDLLTRLLDRNAEKRLGAKGAAEIKAHYFFHSIDWRKLLERKYEPSFKPNVADAKD TDNFDVEFTREAPTDSYVDGPMLSQTMQQQFAGWSYNRPVAGLGDAGGSVKDPSFEDA AR CC84DRAFT_1189777 MGLIKKKTASRGTEGGVKYVCDVRIRCASDVCHEYDLCVPCFSD AKFSRDHDPKTHSYQVIEQHSIPIFTEDWGADEELALLEGAETYGLGSWADIADHIGG FRSKDEVRDHYVNTYINSSKFPLPERASPENTELSDRIPRDVFQANKKRRIEQRKEDA QNAPPATPKQKPTASVPACHEVQGYMPGRLEFETEYFNEAEEAVQHMQFEPGDGVNPR TGEIEPEMELKMTIMEIYNSKLDSRVERKKVIFEHKLLEYRKNAAADKKRTKEERDLL NKAKPFARMMKHDDFEKFCDGLIYEHSLRQAISQLQDWRNHQITDLKQGEKYEQEKQQ RQSRAPPLGQFDRLASNRMSKPTPPFETPSATSALTASELPLHLRQKNGLSTPPPDQP NGTNGVLTPQHSKTKFVPKALPNTVPLKFGKEVASDLQLLTPEETELCRLLRILPKPY FVIKENLIREAMKQGGSLKKRTAIEIAKIDERKTKQIFDFFVHSGWIARA CC84DRAFT_1127125 MPGLFSPSPHQRCHSRPRLYEPVPRFPTASSETSGLQVTDDDHA TRKRSRYDEIPLQSSAFTFTANPQHNSPWSYSQSYSRSSLRSPPPLANDRYELAGGVE VTDRFARQNGNLDDYFHLEKQREMWSTPTSPHYGIPPHLQPDERPVTPPSAKPRMLNQ LMNIVGGVAGKLYQFCSVPFRGFQAGGGQAYSFDNEEVAAKLGLHEDDTSLPNGTIQQ IAPTNCRDNDYGVESIDSVREERPGAKRQRTAESWVVVNNQGDMVSQPSTPRIAARRV PSHTRSPSQIPRPVSRVNMATPAHKRPSLIPVSRRSTMDRTSFYGSASTNPQSPGHVR SYSRQSYGSPVLSNDKSSKKKSPLPPESQRLVNKLRREEVEDDARMRRMSSQMSAMLR EAREALGSKFEIED CC84DRAFT_1220956 MSTLLDVRPPDPEPLPLYSRTDPDTASIRSAAPSYVSDTPTYRS YRTPMSLLPPLSPGQETIGLPAPRVYAPGFAPELRNRAGGSNSDFNITRTVNAKVCSA VARRRTDQARREVEGMLNSLSAVPPPSPSPSMDPSTPRSSSSPSSPDSAAYPVQIAPL SPLEDPDLVGAEAAGRARRSRVYREMCAREDETRGHESRSWDFMVGQMSDWDERRNSW NSFKSNIPSRGKRGRRFGWRS CC84DRAFT_1220957 MLAAARYNDATVSYLLEAGAQLDSTKLESTTELLYACDEKPYHV NRAAQEKSVQLLCKHGADVNVTTWDGKTPFLLAAANLNLGLMRLLVAHGTAMTAQDME GTNALTLAGRKVGYNGESRKDVIKFFGQTEY CC84DRAFT_1198677 MYTSVRNIRSGWAATGLYPFNPDRVLKDIPKPLGEIAIPGNTIA STGILDAMLQMPMIPVTPVIAEALTSLHDIIKQDSNVPDETRRRIQKLRTLLKAYNQF LFKINSEAKTRRSIRLVVLGKAKVMSFEDLEEARIKRAAKDEAAARKRRRGPKRKGPR LEAEGGLPVSESKVMRVDEALAATNAARP CC84DRAFT_1220958 MRLLYTTSDGRLNWTKNHISNKEVPNYAILSHTWKDEHEVTFDD LKSIDNAKERDSQRNEGYRKLRFCAQQAEQDGLNYFWVDTCCIDKSNSAELQEAINSM FRWYQNAGRCYVYLSDVEQDTLDGDGESAFKQSRWFSRGWTLQELLAPKSVEFFSRKG RRLGDKESLRHVIHEITKIPVKALQGSRLSEFTISERLSWAEKRQTTREEDGAYCLLG IFDCHLPLIYGEGKEKALKRLKKEIEETSEEIAGASAKNTKSRSRGQEERLGKICSWL SAPDPSTNYHKAHRQRQAETGLWLVEGEQFARWKANAASRLWLYGIPGCGKTILSSTI IKDLLQHCGDDTSMVTAYFYFDFNDAQKQDPELMIRSLLCQLLQRTVAIPRGIDALFT SCKNGQRYPSIHALLEVVRLTLQEFTQVYIVLDALDECTQRSELIDMLEIVAGWQLDN AHLLMTSRKERNIESSLETFIMQEDIVCLQMDVVDKDIQRYVQQRLSNDKGLAKWNKD DAIRQEIEGVLMHGARGMFRWAVCQLDTLGKCRNRAMLRKSLTTLPKTLDQTYDRILS TISEEDSEYAIRILRWLTFSARPLSVEEVAEVVAIDVTRDPAFDRDEMLEDAMDVLSI CSSLVTVTTNKAEGRLRSTQQIVALAHYSVQEYLVSDRIKHGQVKQYSMQEVECHDTI SRGCLKYLLQFRQPGILSQGTLNNYGLARYSAEFWSRHVQRSGKEKEGISEIAVDLLS NENPAYLAWMQLFNPDVAWRDPDFNVTLKGRATPLYYIALIGLNNIARMLIEKGSDVN AQGGEYGNALQAASYGGYEQIVKMLLDNKADINAE CC84DRAFT_1220959 QVVKMLLDSKVDVNAQGGEFGNALQAASYGGHEQVVKMLLDSKA NVNAQGGEYGNALQTASYGGYEQVVKMLLDSKADVNT CC84DRAFT_1228540 MSYDGLCFLSLDGGGVRGLSTLYILREIMAALNHAREEAGLLAV KRCDVFGLIGGTSTGGLIAIMLGRLGMDVDDCISAYNALIEAIFTEREIRTGLGFKGN VEAKFSSQKLRECIENPGVSRGCRVFVCSCDRNNTRRIIRLRSYDIPGKPRSMNRPSV VQVALATSAAPRLFDEVNIGDVVHLDGGLDANNPVNHVAHEASDILCKEGGDPNLQAR IKCFLSIGTGHPGVKAVHLDNAWKLISATLKEMVTDTQKDAHEFAHSWRRPLSQGRYF RFNVRHGLYDISLHGYKEKGKVETMTLSYLEEQDAEQSVRKCVKAMENKECVSIPDFS CC84DRAFT_1198679 MTSSEALRGLLRNTATRRTSRVSLATRAPTRRLICTDCLRTLQL QRNGWNGANAPRGAAATAHSSLRLRPGAYRGHAQEVQAAVLERESESRKSADRTPMEE YDLRVESGRLRNDEHQRGLIQFLDDLHAELSRYEQPPVQPPAIEDLKPQKRFWSFFSS TDSSKPPLSPIPDSLPKGLYMYGDVGSGKTMLMDLFYDTLPPNITKKTRIHFHAFMQS VHKDLHKIKMHHGNEVDGIPYVAAGIAERSSVLCFDEFQCTDVADAMILRRLIESLMA HGTVLVTTSNRHPNDLYKNGIQRESFIPAINLLKTKLSVINLDSQTDYRKIPRPPSGV YHHPLDKSAASHAERWFKFLGDPENDPPHRAVHHVWGREIEVPKASGRCAWFSFDEII GRATGAADYLELMRNYDAFIITDVPGMNHKSRDLARRFITFIDAIYESRAKLVMTTAV PLTSLFLSASELQDALTTEHPKGTPRSADDGADVSDVMRSLMDDLGMNMSMLKNSNLF TGDEERFAFARALSRLSEMGSVEWVERGLGMEEKGGKGEKDGWQRVKSKWREDSM CC84DRAFT_1167654 MSRVAGRTDWAEEEDEDSLVLPQQQIIKNKDGTETIITYSIRDD GKKIKTTRRIKKTVNKIVVNPRVAERKHWEKFGQEKGKPAGPQTDTVSVAENIVFRPV AGWKANTEEKSQEEKSKADALKSAKIKCRICQGDHFTTKCPFKDTMGEGEVAPADMPD DAPQAGGAGMGSGSYVPPHLRGRGAGAGEKMGGKFERDDLATLRVTNVSEFAEEQDLR DMFSRYGHVTRVFLAKDRETGRAKGFAFVSYADRTDAAKACEKMDGFGYGHLILRVEF AKKPGQ CC84DRAFT_1099633 MPRTILVTGATGRQGGAVVKALRDTDFEILALTRSSSSPSAQKL ANSSPKIKLIEGDLDNTEAMFRNAKAATNNPIWGVYSVQAQVKGDVTIEETWGKNLID SSIAAGVEFFVYSSVDRGGEKSSSTPTDVPHWMTKHNLEKYLEEKAAGTQMRFAVLRP VAFMENVTNDFAGKAMAATWQYALKNKPMQLVATEDIGNFAAEAFKHPEKYTGRYLSI AGAELTFEQANAVFKEKVGMDMPRTFGFVACIGLVAVPAVGKMFNYLKKNATGASVEE SRKIYPGLMDFGTWLEKESQFRKQ CC84DRAFT_1167657 MAPGSPDANGQPAGEHELEEMGDKKPNVPLEEDIMQLARLGEIA AIQKLFDSGKYDATYKDEQGITPLHWAAINNHYALCHFLIQNGANINAKGGDAVATPV LWAAKRCHYYIVNLLLEHGADPLLTDDQGFNLLHSATLDGNVYQLVLLLHQDIPVDIP DAQAHTALMWAAYKGYPSCVDLFLRWGANVYATDDQGFTALHWALVKGSQGSIQKLLE YGADRFAKNNDGKTPAVTAEEMNTVRQWHKALSQAGYNRDGTPNEFPVPGVKDTRWFI SRFIFFWPFLIILFALYLISSYPVYVGLPLAFGVAYGMQWSAQKLLGWAPANMRNIQH TPFLAGIFAGTLFWVGLRWITTVLPWTIRTNFFLNVLFAAFYGFTAYFYFFTMSSDPG FVPKSASRSASKAVIDELVELRRFDENHFCVNCMVRKPLRSKHCKRCERCVARTDHHC PWVNNCVANNNHRHFVLYILSLELGVLAWIRLVLSYLESDRLESPKDVECNVLSDDLC KILHKDPFTIVLTIWAAFQLTWVTMLLCVQLLQVARNLTTYESMRGHLHSNTAADALN SFVTTGDTSQDVAGGNAPAPGFGSGQDTDDAPRPPHQHHSIWDQWKRLLGIDSFWATA LQGSQADQRRRVGGNPWSRGVVTNCKDFWCDGAPILKKKESGFARLAGDRVDYTRLYE VPRMRYARGGGGGGGTYEQVSAVDEAV CC84DRAFT_1208599 MDAAITFTPHEHTPLRKLQQTPRELLEESLANLVTSWPPEKASQ VTRMGFYQGPTSIAYLFFHLSKSHSQLKIHGRTPSAWFQDYFDSAPAPDVDETSFGIA SEYYCHLALEAAHTQDAAPFLHKVQTLQLNHVQEVLLGSAGLLVLLRFVKANVPSATD KIDDAIDTVITHINTSSWLFHGREFTGVVHGNIGIIAQVCLSGGASAMAGPLSTFLDL QQEDGNWHSTTDRKHEHMQWCHGVPGCVISLLAIKKYFLGEPLAGRIQAAIEKGQRLI FEKGIVKKEPCLCHGVTGNALALDTSERNHLLSFATPSAVAKGEWEASSDPAGLYCGE AGRAWVWSMLDGGRKGFPGYTDV CC84DRAFT_1167658 MPHRMFSPEELVAIVDNGTQHGPESDFQGIQATCSNIRTRPEWH RTNDAFKQKFVDAVKCLFSRPSKGNYPGSRNRYEDFVQVHQKVALNVHSQGSLNAKFL VWHRAYLWAFEEALRGECGYSESLPWFDETLYPGRFSQSSIFSSKWLGAINLQGRCVT DGQFANLALNVGPGSGNQYHCLTRNGDASFTSQCTSANVEVCQRANDYQVFAQCEEGG LHAYGHVGTGGEMNDFFASPNDPVFWLHHGMVDRHFRIWQNDDGNRVNYVNGPGPDGK QLTMDTPIYLNGLKPDLKIRDIMNTIGGFLCYKYDY CC84DRAFT_1262532 MPQLKAATVSNQVIRKRKRKVHENESPQPDGDVIEATTTTTVPT KTHDGGKKPRRTLKNKDGTEKPSLKRAGSSTIVETTIPWPEHFTRLAQVFRALNLVYT FCCTRKHLATTLDNLKSTVEANIERELVVEDVAQMAALIPKAINFAYVDEAMLQVNLV GAEENAKGRNTRDFFVPDPELDKHDHKEVLLFEFVDGELKRQVQHAKTGEPTKAFQRL RNEDLKMPVFSQKQMLKHIEKRNIKFTSAVNAFLNQCGDEGRDPATHLQQISLAYIPE PSESRSGTPGLERSLLPPTIPSERKPIPEIIEEIKTLNWYTGQIVPDGHRVFDPQPPI FGELNFQMSQSLVNALYNTRNIEQLYAHQAEAINNLYEGHNVIVSTSTSSGKSLIYQI PVLHQLEQDINTRAMYIFPTKALAQDQRRSLKEMLHFMDGLQDLVVETFDGDTPMPDR NYIRDEARIIFTNPDMLHITILPQEEAWRSFLQNLKFVVVDELHVYNGLFGAHVAMIM RRLRRICAALGNRHVKFISCSATVANPEAHMKTIFGVEEVKLTDFDGSPSGRKEFLCW NTPFRDPGDPTSGRGNTMAESAKLFCQLILRGVRVIAFCRIRKQCEVLQAAIKTELQE LERPEVMARVMSYRGGYTPQDRRQIEREMFDGKLVGIVATSALELGVDIGSLDAVVTV GFPYTIANLRQQSGRAGRRNKDSLSVLVGDCFPTDQFYMSNPDEIFTKPNCELQVDLE NILVLEGHIQCAAYEMPINAEADEAYFGSLLLKIAQERMRKGPDGFFHCDTRFLPQPS RHVAIRDTEDTHFAIIDTTHGKNTVLEELEASRAFFTIYEGGIFLHQGNTYLIKEFSQ ERMIAKVEYVKVDWTTQQRDFTDIDPVETHAIRRIPNSRSRAFYGPIKIKQVVFGFFK VDKKRRILDAVEVDNPPIILYSKGMWLDVPKTALDILNERRLNVAAAIHAAEHAVLSL MPNFVVSMPGDVRTECKVAIKEFAKRETQRKRPARLTFYDAKGGASGSGISTKAFEFI DTLLEQARKRVEACHCLEGCLECCCDEACKQANQVMSKAGALVVIMCLLGREKDIDID ALPYGDEEVSPAGIETIVPAEEVRMVRGRFVDGIFIKNEPQDEEDQFSKSISIFGGER RFIGVHR CC84DRAFT_1167661 MPVYCLTGANRGLGLEFVRQLSQLPSATIIATTRSNDNDLTDLK AINPSDTSTKIHIMECDIASLDSINSFVKNASSLLESQSLKITHLIANAGVNSVSGQN SLTIGPADLHREIDINLIGPAKLVENLVSQSLLANDVRVLNMTSGLGSMTKSLTIEPR KCMTY CC84DRAFT_1100148 MSHIVAIAGGSGALGRTLVDALKNSAYKPLILARQSNQALEGDI GVPVLQADYSNQDLLVQLFESHKIDTVVSCITNYDDSHNIELNIIAAAERASVTRRYI PSIWSGFDYTTGQGKASPFAASRIALLDALSMTKLEWTAIFPGIFLDFYTLSIPSYTK RSALAIDMDGNAAGLPGDGNYPVYFTHTTDLAKYTTAMLGLEHWEKKYFVYGDRMTWN EAVAVAEAAKGVKFSVAYDPIEKLERGEITELPGHKATYAHLLGSHSADAKAMFQKIM AGVAVFMAEGQMVYKGPLLNEIFPAIKPLKVTDAMIPNTAA CC84DRAFT_1167662 MSSFTICARQTHCASFPRSQTRSFHASSRLRKQQSFPAAYYRGG TSRAVIFKKQDLPSEEKWPSIFRGVINSPDPNGRQLDGIGGGVSSLSKVCVVGPSARD DADVDYTFAAIGVKTSEVDFSSNCGNMSSAIGPFAVNAGLVYMEDGPCTVRIHNTNTG KIIHSKFVVEEGEAKVGGSFAIDGVAGTGAKIELAFINPAGSKTGKTLPTGNVVDTFD NIPVTCIDVGNPCAFVHASSLGVEGGILADAIDAHPDLLSRLDSIRKQAAVAMGISKS LDLVPGSIPKIAMVAAPYTHELISGETVEEKECDLLVRAISVGQPHRAVPITVAMALA VASRLDGSIVKEVTSSRPVDADGITIGHSSGKLLVGANFDKTGAVKDATVFRTARRIM EGVAYAD CC84DRAFT_1167663 MPRIRTAIIGLSTSAITSWAASAHLPYLLSTRGIQHYEVVALLN SSIHAAETARATFDLPPSVKTYSDPSALAQDPNIDLVVCCTRVDVHFRTIQPSIRAGK AVFVEWPLAENLSRALELTDDRPLQDSIIGLQGRVSPVTLRIKSLLDEGIIGKVLSSH VCSYGALLPRDALPEGIEYFAERKYGGNPIIIENGHSLDWVHEVLGDFDEFESRMQIQ RPRVKLLGKEGEVKETIVTDVPDLLTMQGTLKARDGEGGVVEGALFTHIFRHGTPFKG QPGLTWIINGEQGELLVTMGERFLFLEDPVVIQLHDHATDEVKELAWDWAGWQKDLPV KARGVAELYERYAEWIETGKNHVGRGRKWPTLDDAVLRTRQFEKIFHQFEEANGGCVG GKVSGK CC84DRAFT_1100440 MPNTIEINEHCLSITRNLSNALQYLFERNERRLWIDAICINQQN DVERGEQVGLMGRIYSWAKKVVVWLGHHADSSELAMDFLSLLASGPGDTDRLEWLLNL CEPEYSHHWKAVYTLLHRNWWKRAWVIQEAVLA CC84DRAFT_1049332 INRATLINLLILSNARTIYEFSDSGGYRGAFGSWTGQWYINWRA GQPAVVTLKPHDSHSPATDVYPPTFPARVDRCVQMMAGVVADAAAPESFSVAFPGRLA PGHYRLKHQKNGFGLSHGSRHIYNMNGGKVFEIDFLFPHPMDEFDVQESGKNARGGED AFQFLLPSLTGERNVTLHVIPQDIATLRKALDRLPWANLSWSMHRGMRDILMHVAAES MDQYRVELAQHLRDAAQTYHAKLVSSGWDSDFAKHQMGEMAASAVLAGRGNSGDAVRI VTALAEQIHSVHGGTTQQRDTTSFWGNIHESPRSSLGPSDIVALVKVFVLEWSQEFNY QMYHQLPINLLFG CC84DRAFT_1198687 MSFGKLYSYSGNPRTTSLLAVAKENGLDLEFVETTPANGVSTEY LKLNKLGKVPTFEGADGFVLSETIAIAVYLTSQNEKTALLGKTKQDYASILRWMSYAN TEILTPLGGWFRPLVGRDPYNKKNIEDSKAAATKAVHVLEEYLLTHTYLVSERLTLAD FFTASILARGFQYFYDKAWRAENPNVTRWYETVYNVPSYSAVAGKLEFIEEAIKNTPP KKEEKPKKEQPKAAAKPKETPAEEEEEAPKPKPKHPLALLDRPTFDLDEWKRQYSNND VKVSLPWFWENVKFDEYSIWKVDYKYNKENKLVFMTSNLVGGFFARLEASRKFIFGAA SVYGENNDNAIQGAFVIRGQDSTPAFDVAPDFESYEFTKLDPSKPEDRTFVEQQWADE PPAITIDGKEYKYADGKIFNGGSGGGPSEDNVTGFLVRSTAAKWAKNSVLAVDAGSHL AAITRILANDFPLASEPAPRVTPKLPNRNGNGRERDSPSPGTAPISVSDEGSDIDTPS SDIEPMPTYTTLENGPFAGVAFPNETARANALHVVREHISTYLITHPHLDHVSGFVIN TAAFHNTSRPKRLAALPFTVNAIKTHIFNNILWPNLTDEDGGVGLVTFQRLQEGGNIA LGEGSGRGYIEVCDGLGVRGFKVSHGHCMRGPGHVHRGSNANQLEPSSIGLHRASTHH ISSQHLDSLPDGREARSLSFSHPTQSAPGTPLFSGNTAPDAARNSNVSQSTLENHCVI DSTAYFIRTESTPTTPTKEVLMFGDVEPDSISLSPRTAHVWAEAAPKIAAGILTGIFI ECSYTNSQGDPVLYGHLAPRHLLAELQNLAEMVKEARREHEKEKEEARKGRKRKRASY GAGLDGGGASTPDARKSSRTRAYVPDAQSQAQPRGADDDVMTDYAPSPRPAPTGTHTP AHPSTHAALNIASVSAEHSRALLAAGLEAPLKGLKVVVMHVKDTMSDGPLVGDLILQE LREGERMAAETGKGLGCVFEVAKSGISYWF CC84DRAFT_1220977 MARGELSRTLWSAAEGLRSAPRPQCTRTMRLPYRSLQPAARFIS TTPSRSAELIKETPEPTPEPFTAAPEFQFEGEEDIEDGDMGPRGGKRSKGGNSQQSNN QLLRTLRVIPASRSYFSAKPTFNDDYLHVSALLRSVATLPTISSTEAPRVAWKTIDQY RIMTNEPVKTQRFHKMMHIVKRLNLVHPSLMPEHIKIAMRRFMKDSQPGDVVPEPQVL DQWGRARGVGRRKTSSAVVWLVEGEGEVLVNGKSLSQFFGRLHHRESAVWALKATQRL DKYNMFGLVQGGGSTGQAEALTLAVAKALLVHEPALKPALRRAGCVTRDPRKVERKKT GHVKARKMPTWVKR CC84DRAFT_1208608 MAYSDRDVTWTPPVSKDGSMCEGECNQGVLRIFQKTDTGDDPGR RAREGSIHGGCDGHMEVRWRDERVLGEPPPPDHTAGTGAGPRNGMSCQWPQATPPDDA AMVEEPFDDLARQETNHSAASPRCILFFACMKRLCDAARPMLARPTDQLLLAVLSLQS VTAQLVAPRAGRGRPPLLSSARPALGRNACQPSADPRGRSVTMAAGLHPSASPTISWS DIGDLAQVRSCSPSCHPQFAEGAQLLLSLTPPAQRHTHISGTRYPKRGLALLPHPYPH PSSPARPYYYTVWPPPWRVGPFLLRPSRLMLSHPNVAVPTLTSSQPTQPTPHASVSTP ACTRPHLHPKTIVASDAVCGQPYLILLRSWGSITPSPTLPGSSTFLLRATVLSRFGYE PRRSTITFVILKRCHIGTPPAGSAQKHPRRNGPRISLRACQASEALRRLLFPLSGSIG CASDAR CC84DRAFT_197590 MDNDRRPRQSTAGYASQQGTLLQPQASYPVVSASDRFRQAPLAA QPPTSAPSAPAAASRAGPGTSAQSYGYAYEGGSQFVGSSIQQPGVAYGTQDFADQQAP PQRGSQQQYSQYPQNVMYNVPAQGSQPGSSQYEPVAQYQQNRDSAIEVLGTNFGVAQP QYYSGVPSESGPTSAPSSAIAPQNVSSQYPSIGYTPQQSAPAYSTAAMTDPHPPTTHG GGYSQPAYPQPQPQSQPQQDGNSNEYDDFYNTYQTELKKTFEQVHDGRLSEAAPALDR LSDWLLHWAETLVRDDEAHYQMRLKLWEEFNNCWLGLFQKQFELVEEMRTTGQRPQAP QSIIEYDFIEKMGNKLVKNCDNMEKHGLVDYQMGVWEEEITAMLMKCLEQLEKLGAGS SSTQRPSASGSRRR CC84DRAFT_1153291 MEAQHGPRRFSNNSELVRPILEDGVNFKRNSYGAIPTSAGEEEA LVGGVGEEVTTAAGKETKLLIKYSVPLCVTYVLQYSFSLITIFVVGHIGTDELAAVSL ATMTANITGLAVYEGLATSLDTLCAQAYGGGRKEQVGLHLQRMVLFMLLVTIPIGAIW LCSGWILAALVPEKELAYMAGRYLQILLAGAPGYAVFEAGKRFTQAQGLFNASLFVLI VATPVNILLNYLFVFVLHWYLPGAALATVLSNTLLPILLWIYVYFIAPSSLECWAGFT RAALTNWGPMARLSLPGIIMVEAEWLAFDILTFSASYLSTEHLAAQSVVMSTAVMIYH IPFSTSVAVSTRLGNLIGAGALPAARIATRTYIFIFLIIGIVDATFLTLMRHILPRAF TSDPEVIRIAASVMPILALFQLSDAGCALANAILRGLGRQDIGGYVNLGVYYLVAIPV AFALCFGPPKLELEGLWIGCLVGSCLIWMIEGTYCKVSKWQSAVDEAQGRDGDVE CC84DRAFT_1167682 MAAPTPELILYDLASTQGVCFSPAVWRIRLLLNYKSIPYTTTFL EFPDIAPTLSALNIPPHDATTSKHPYTVPAIHHLPTNTFVMDSLAIAAFIEKTYPVPE VVLTSDLGAQIEEKARKVVGPAFYVSLVPREIRILSPRSQEYFRRTREAALGHPLEDL LAREDAAWEAIGDEARAAGALMRTHKESGPFVLGEKPCFTDFFIAGALQNARVVDVGV WERCMRVEGFREVYEACEEWMGKKD CC84DRAFT_197554 MRSFMILALAGASVAAPFPFAKYFADKHYHPHPTPSTPYPPGGW PSYPTSPVIPGPTGTGAPLPPIPTGTGISPPEPPVIPPGPPVIPSISTPAIPTGTGVS PPIISTGTGTPYYPTPAFDRRGLEIDYKLAPRIPDSGFEARHHPRPHPHRPHGTGYPW GTGKPTGWPFPTGTSGLGKPTALPTPPVHIY CC84DRAFT_1167685 MLEGIIWGFGSSYGIFQEYYSTHEPFAGSSNIAVVGACAMGIMY MWIIPMFVLLKIYPRLRTWAAPVGLTIMCLSLGLGSLATNVTHLIISQGIMYAIGGGL AWTPILFYIEEWWVLRRGFAYGATMAGLGLSGAIMPVVLQWLLDSYGFRTTLRVCALS VVALNLPILFFFKPRLPLSQTTQSRDFDLSFWTCSNFLIFQSGNILQGLGYFLPAIYL PTFARSLGASNLQSTATIILLNGSACIGSFCMGAAVDRFAVVNCIGITALGSTITVFL LWGLSTSLAPLYVFCLLYGAFAGSNSSSWSAIMRDTKEKRRGADTGMIFACLSAGKGV ANLCSGPLSEALLRAGSWRAGFAYGSGYGALIAFTGATALLSGWSYAAKKIGWF CC84DRAFT_1127175 MDGSSRASKRRKLDTPTRNASSPLAKPSTVRKSARSVAPTTYKA DGSPKEQEAPPKAPKSSKKKANGAARQPPVVEDADVWDDIEGALGESRTKRAPPAPSS TKKRVTRKTVVPDTGNETTSTDELQKATPSTRRAKKATNGNREDLPEEVATPSRRVSK RAAPAKSNDTPNDHIDPKPGSLRKPRSTARAQRKLQFEDAMLVETPSSRLKRQPRFRD DDMIPETDDEPVGNGATAATPAKAKQRMRQADKDNDQSAHIPGTAQDQVDADVQDEDD DAMSVDGNSALGSVLDPTEPSPFNKPSSAQKEIVHQEKLDIPLLSSTVEPGRELDLLK TIVLDRITGKRPVPLVGLDAAYKSVHQLIEHTVTAGEGNSMLLIGARGSGKTALVNRA LSEVSKDNSQLYHVIRLNGFIHTDDKIAVRDIWRQLGKEMMLAEENGGVGKSYADALQ TLLALLQHPSERIGEWTEETSTSVIFVMDEFDLFAQHPRQTLLYNLFDIAQSRKAPIA VLGLTTNLEVVNFLEKRVKSRFSQRYVHIPLAKTFTAFQEMCKANLLVQPDLLTVEER SILESAPKKKTSKQAKTSTPENALSQWNSSINTLFASKSFLTTHLARLYYRSKSIPAT LTSFLLPTASLTTALPLPSLALSHPPDNKLALVRHLSTLALSLLIAACRLDIIHDSDT CNFHMAYDEYVTLASKARIQSAAGGNSASGGVSKVWGKDVARREWEGLLELELILPVV GGLTGGFGMVKCDVSLEEVGGVIVGVKEVDKGLERWCRQI CC84DRAFT_1167689 MAPAELRYDGQTVVVTGAGGGLGREYAIFFGSRGANVVVNDLGG SFKGEGAGSAAADKVVNEIRAAGGKAVANYDSVENGEAIIKTAIDNFGRIDVLINNAG ILRDVSFKNMKQADWDLIYKVHVKGAFKCARAAWPYFRKQKYGRLISTASAAGLFGSF GQTNYSAAKLALVGFTETLAKEGFKYNILCNVIAPIAASRMTETVMPPDVLEKLKPEW IVPLVAVLTHKSNTETGAIFEAGGGHIARLRWERSKGALFKPDDSFTPGAVAAKWKQI VDFTDAEHPEGPIDALGVLEAATQQAPSPKTEQPNFKGKVVLVTGAGGGLGRAYALLF SKLGAKVVVNDLVNPDAVVQEIQKLGGEAVGNKANVCDGEAVIKTAIDTYGRIDVLVN NAGILRDKAFTNMSDEQWEVIHQVHLFGTYSCSKAAWPYFLKQKYGRVINTTSTSGIY GNFGQANYASAKCGILGFSKSLALEGKKNNIFVNTIAPSAGTGMTRTIMPEEMVQALK PDYVAPLVVLLSSDKAPEPTGGLYEAGQGWIAATRWQRTGGNGFPIDVKLTPEAVLEK WDRINNFADGRADNPYDNASGLKSIMANMENTSKGSKDDGEKVDYLKNIEQAKAAKAP GTEFQYDEKDVILYNLGIGAKRTDLPFVFENNENFQVYPTFGVIPPFNVVLPYNLDDI VPNFSPMMLLHGEQFLEIRKYPIPTEAKLITYPKLVEVMDKGAAGVVVQSTTTVDANT GEEIFYNESTIFIRGSGKFGGNPKGGNRGAATAIHKPPQRAPDAVVEEATTEEQAAIY RLSGDRNPLHIDPEFSKVGGFKVPILHGLCFFGISGKHVLQTYGQFKNIKVRFAGTVL PGQTLQTEMWKVGNTVVFQTKVKETGKLCISGAGAELLGGGSKL CC84DRAFT_1220985 MANREEIRLEVQHDIIYRFFGERLFFPSIPNPRSILECGYGRGQ WAVQVAEDYEQCEVTGLDIYPEPLPDQPDNLCLYGYNLNDRFDVPDVFEYKPYDLIHS RFVAQGIKSTRWPTYVQDMKRLLKPTGWVQMTEYYLNIQSNSGRLGIDSALRKWWGKY AEAMESSRRDPRVGPQRLGRLLSDAGYKHINLNTFQLPIGGWRSEPHMIRIGRDSVEM VSELLESASLWLFTDRLGMSAAEVETLNNDAKRELRDTTLQLYLPIYVAWARRG CC84DRAFT_1208616 MRWFTFSSIALGAASAEYITNSWQTQDFKTLVTFGDSYTDENRL GYFINHNGSAPPVGVDLGVSYKASTGGLVWPRYASIYANSTLYNYAVSGAVCSNQITP RTFAAINAPFPDILGYELPAYLADSKYVSPNGTAFFTGTPDTTVYAIWIGTNDVGNNA FLTDSQVAGKVLTDYVDCVYDVVDGLYASGARYFVLLNLAPLNLVPQYATPAQGGLNS SQFFTDKQNFSGGNITEISYRMLETVDTVNGIYQYRTPFETRVNNTWPGANIANFDVN ALITDMYAHPAEYLNGTAPLNTTGYVIGAGNISRSDRDSFLWYDELHPSEQLDRVVAR EFVGVLGGESKWAKYW CC84DRAFT_1153312 MQYSLTLLAAGLVAQTGLTWAAPQAKNFIYIVPDGYGQASQTMA RDYVSLQKTGSNASAPIIQELAGDRLVLGTVRTHASDNLVTDSAASGTAFSCGHKTYN DAISVTPDGQPVGSILEAAKLDGFKTALVVTSTINHATPAVYSAHVANRDSYEAIAAQ QIGYSHPFGPVVDILLGGGRCYFKPQSDPTSCRSDDLDLFSFAQKKGYHVMQDRAAFD AYLGDYTTNASLPYIGLFNDDQMMYEIDRSKAPEKEPSLLEMSKAALGTLDQATRASK KGYFIMIEASRIDHAGHANDAAAHVHDTVMYNEVLGFVQEWINAHPDTMLMSAADHEC GGLTTNAFDPAPLVNVQHSFEYLKTVWEAYNGTDARGYFTSTVLPAAGLSDVTDAQID KLLGASSPWAEMKKMIATKAGVNWSTGGHTATDVTLHGYAAGKKWREFKGDMAGNHDN TELPRYIEKVLKLDMDATTAKLRAVNGSWVAGPDAARKRRDVHSH CC84DRAFT_1053142 MSASTNKTPVHKGSLVIEPTGPHRTTMIVLHGRGSTADRFAEPF LTSPVSKPTASETSEANAEDSMVFQDYFPSTKFVFPTAPLRRAVAFNRSLTHQWFDHW SPQHPELKQHLQIPGIRETSTYLHKIIQQEIDIIGAPNFVLAGLSQGCASSLVATLLW EGEPFGAVVGMCGYLPFGEAMADVVRDEEDEENPFAETEDEVKGIIEQETEEPGNDTK FNKAVAWLRTELAIDMKDVRTGPMPMQTIPIFMGHGTGD CC84DRAFT_1100427 MADTEDQTPIEETPADDIEMDEGADAGAGADEEANLTELEPETP KLVLFSDLMKSPIIEILVGSGAEQTTYSAHEAVLVKSPAFAAKVEQFAAGGPRQITMS DVDVDAMGSAVEYLYTGEYFPKKTSSGRDAPLEKDPRQPTPDDDGLGLLVHARIYTLA DRLQLPSLKSLAHSKIHRTASTAKGELAYARYVYKESNPEDHTIRKPVAAFWATRSFS LRHEAEPEFRAMCLEFPQFSYDVLQLVLDNQEKGKGRGGDGESSHRGPAVVPGSSRKR ARVSQAA CC84DRAFT_1198699 MRFTSVAIALGSICTVVAAASTHGLYDLVERRLPGRSQDFAFEL IETVHVNASIPQNDHYTISTASNGSIAISGSSVSALATGLRRYFVDIAHVDLYWFIGS RLDQISPTLPRPNSTITGASIVPWRYFFNTVTFSYTTPFWSWPDWELELDWLALHGVN LPLAWVGFEKILLDAFQEVNLTTSQILPFFSSPAFQAWNRFGNTQGSWGGQLPLQWID QQFELQQKILSRMLELGMTPILPAFTGFVPRALVDVYPNATVVNGSQWEDFPPEFTNT TFLEPSDPLFSELQNIVMTKQLDYYGNITQFYTLDQYNENDPYSGDLDYLRNVTRGTW QSLKAANPGAVWVMQGWLFTSNADFWTNERIKAYLSGVEVDDDMLVLDLFSESQPQWQ RTESYYGKPWIWNQLHDYGGNQGLYGQIDNVTINPIAALANSSSLVGFGLTPEGQEGN EIMYALLLDQAWSPSPIDTAAYFASWVRTRYTGGNNSAPPQLYTAWELLRTSAYNNTN LTSNAVSKAIFELAPNITRLTNRTGHHPTTINYDPAVVVHAWKEMYAAASTEPTLWAN AAYKHDFVDVTRQVYSDAFASSYAACIAAWNTTNGTGADFNAHADKLILLLRTLDPLL STLAQFNLAPWLASARALAPSNTTNATIADYYEYTARNQITRWGPDGEINDYASKSWG GLVGDYYLPRWLTFLDYLGNVGPDGYEHADVRARIEGVEVGFQVPGSGLVADRTN CC84DRAFT_198079 MLQVCHPTPPATVLPGHFAAPVRRTPRAMNPPSAPNPAGDAGVL PEFGSLSIRDAPSPPGEEELDVTNMSRETAMKILVRSVLALANAAGEIPATPPLSRPH TPSGKENVPGTRPHRRTASRPATPIPADRAQTSRTDLEQPEAHHDEPIIDIGAGAEPE YVQRANMARKFFSKSVPKVSLEEYCNRIQQYCPLSTGVWLAAGSYILRLSLVDKYVPL THRTMHRLVLACLTTAMKTLEDHRWPQKRLAAVGGVDEMALGRLELCVEFLLSFDLQF LAENRLKDSTVALQKAGQAAVMTARLPSSFKLSIPRTRH CC84DRAFT_1167697 MGRILVPLAMLTTLASLVSAGIEISKPAKGAVLTAGDAIEVKWD FAGSGPGETDLTTYQVFLCAGPNDPGNANTITYPITTSGNFAQGGTSVQGKIPTTVGG NTPSNAYYVKIIAAGSKGGTYTVFSDRFSYKGMTGSFSAAFTSAMSSVKDTTGPSAVD TTVKDQADVSNVADAEYEVEYTMQTGATRYAPMQPVPPTKITAKQAKPLYPTSSVKIA TAALPIPSVQTTLTQSQTHKVTSMENTVAAAPMPSDDMAKFLRRWED CC84DRAFT_1262557 MREPREPRVMQIVNADKRKWYQKPNLRFLYFILVPTCIGTEWTL GFDGSMMNNLQAVPSWVDYFDNPSSAKLGLLNAIHSLGMVCAVPIEPTVSGLCGRRWT IALGSCVMWLGAGLQAGAMSADMFIASRWLLGFGVLFVVCEASALIGELAYAKERATM TSFFSANYFIGSIIASGVTTATFAMDSTWGWRIPSLLQAVFSAIQVVFLPFCPESPRW LIANQKGEQAYKVLLKYHGEGEEGEEYVRREYEQIQASIALEKELARRFMWADVVRSR AMFHRFAVSGLTGIFGQVSGNGLITYYFAKVLRIVGVSSNVTIQRIILAHNCWALLNS VPLSILAPRYPRRHMFILGALGMAACFTAWTVASARYAITGSEAAAATSIAFIFLYNP FLNIGLNSLAYTYIVELFPFTQRSQGLAFKQLVGRLGNFFNAYVNPIALDAIGWRYYV MYCVWLVAEAGIVWAVFPETHGRTLEDLSFLLETKGD CC84DRAFT_1189810 MLTDTQDPFRWLLDSHFCWVQPLFNFVYRPAFTRDMKSGGPYFS QALLNAVLSHSVRWCRGEPGMSALLTPFENGAIFAKNAVRDLFSDVQHGHSKIPTVQA LLLLSAQQCGSGNRTQAWLYSGMAFRLIDDMGICVDGKKYASAGHLSAEDIEIRNRLF WSCYFWDKLISLYFGRSPILQNSEISPPRVLMDDTAEIEPWTPHGLPSTTYRPKQAHS ISCFIQMCALAEILNEILINLHNPSRELPRSQAYKCADAQSGKLRDWWRDLPEHLKIN VSDAELECPPSHIVTLNCLFHTINILTNRAKLKLSREPGLAGQTAEDNPLVQCMSSAT SIITLFNLYTRTFGDGHVVLSLAYSVYTAASIFLLEVQALGQAAPSTLERLAFCVGAL DRLRVTSPVIATASDLIARELTALGIHTMPMLSSPSNPSAGENPSAHTHPGLPLPMDA STTLNTFPTAHGPSNRPGPTASLDNPYLGGEMDDGLGFNLLDMSSEMYSTFSQIEPIS VTMNPGFDIF CC84DRAFT_1127209 MAPKMSPFYLLAVVILASGGIPKGYDEGGFSASVTLPAFKADYG LNKNLWVGNATGLANRTANISSFGVLGAAFGAIIAYFLNDKVGRLWSYRIAVLVWASG ILMQVFSSGIFGFLLFARIWGGLGAGGLTVISPLFLSEIAPTKSRGMVTSMYMVVLLS FLSLGFFINYGVSKHMAQSRAQWQIVQMIPLIPMGFCFFASWLLPESPRWLASRGRRE DCIAALARLRGMSHDDPALMGEYERTELEANALIEMSKTSMPQIAKECFTDPNLRNRY FLAVALHTIAQWTGGNGITYYISDIFQYAGVKGSETSLITSGAYGLVKLFVTMVFAWG LIDRLGRRRCMLAGLTLQGITHIYMAIYFGAIGQGNQPASDAAIASVFIYATGWSVGL CTIPYIYGTEIFPTKARSFAYATTMALHWFYQFAVVRVTPVMLTALDKWGAYVFWAMI CAIGVVVLGLWAPETKGVPLERMPELFDHAWYKCWKSKLDPRNDFRESYEGKAEVKYS VEQRESDPRA CC84DRAFT_1167700 MPAGAWDCHMHVTSPEYPLVANAAYVPSLHNFQHAMKFESTIGI SKIVLVQPSIYGDDNSCLLDALKEIGPANGRGVVGIDPNAVDMSTLQAWHKLGVRGVR LNLKSTNVQFTEESLNDLLKSYADVIRPLGWVLELYIGMESIPILERAAGSLGVRIVI AHCGAPKLPSVDDKAYPLDPYKLYGFRSLVNLLQDGNTWIKFSAAYRFDPDPEMRGIE VIARELLKKAGNRVVFASDWPHTRFDGLDVRPFVERCIEWTDAAALTEEVFSLNAQEL WK CC84DRAFT_1167701 MSVSTLSKADWSQWQNLFRQYIAFYKTSIPEAQYENTFNRIIDP QGDLHAFAIREEDGTLNAIAHYLFHTTSWSDKPVCYLNDLFVNPDIRGKGYGRKLIVA CGKAAQEKGCSKYYWLTQHENKVARKLYDTFGESGFAEYRIKLPSDKW CC84DRAFT_1153343 MAAQPQLPARWQFVAWQKKDEQFARIPPDWRISHDRHPAPNATN FLDIPRKCGILSDEELKITEEYDATALAEAIRARKLKSVDVARAFCKRAAVAHQLTNC LTEIFFEDALKRAKELDDHLESGKPPLGPLHGVPVSLKDTFKVKGYDASIGIAALCFK PSQDNSVLVDILLSGGAVLYCKTNVPQTLAALDSHNNIFGRTLNPLNTAVTAGGSSGG EAALLGMRGSVLGVGTDVGGSIRVPAMCEGLYGAKPSDGRVPYAGQEGGSKPAVAKLG VSASAGPMAHSMRDIELFFKVVSDQKPWELDPDVIPGPWNSLSSLAGRKLRVGVVRRD GVVEPLPPIMRLIEEVALKMRKSGIEVVELDITPIFSKCQSLINALMGVEGGNSMFDL LESFDEPLSPWIQPRMRRKEPVAFEKLRELHAQRLKLQTEALQIWKRVDAFICPVAPH PVPEIDKYNAASYTSSFVLLDYPAGTVPVRLFEKKDEQGDMTKFPVLGSWDKRNRELW SRVDRSVYIGTPLCVQVVAPKLEERRLCEAMVAIDSALKAGEMESYIAKL CC84DRAFT_1167705 MKLIIVGATGFVGTEILRQSLLRKDISSVVAITRRALTSPPSSP KLQNVVVNDYDQYSDEAKTAFKDANGCIWTIAITPSKSSNYFWEEVVRVCQTSTMVGL RTMHEAGMGKPFRFIYMSGIAGERDQTKTPSFKPKYSLMRGATESQVLAFSKEKGFEA MAAKPGLITDGGIAKRAFASVLYYTMSVPSIKVEQCAAAMLEQVVCGIEKEPLLNDDL VEVSMRMEKEGKMV CC84DRAFT_1167706 MTSFDAYTDKAAKALADSFELAKGYAHSQLTPVHLAVSLIDPPP DDKEKAGLTQPSVPFFRQVIDRANGDPQQLERSLKKALVRLPSQDPPPDRTSPSPAMA KVLRAAEELARTQKDSYIAVEHLIQCLCQDASIQKCLAESNVPNTKLVDQAIQAIRGT KRVDSKTADAEEENENLKKFTIDMTAMAREGKIDPVIGREEETRRVIRVLTRRTKNNP VLIGEPGVGKTTVVEGLARRIVDADVPANLAACKLLSLDVGALVAGSKYRGEFEERMK GVLKEIEESRDMIVLFVDEIHLLMGAGSSGEGGMDAANLLKPMLARGQLHCIGATTLG EYRKYIEKDQAFERRFQQVLVKEPSIPETISILRGLKERYETHHGVTIMDGAIVSAAT LAARYLTQRRLPDSAVDLIDEAAAAVRVTRESQPEALDNMERRLRQLEIEIHALNREK DEASQARLKEARAEAANIEEELKPLRELYEREKGRSKEIQETKIKLENLHNKVAEAER IRDMQTAADLTYYAIPDLKQRIAELEAEKKKADQEMWAHQEDGGQALLSDSVGPDQIN EIVARWTGIPVTRLKTTEKDKLLNMERHLGHIVVGQKEAVGAVSNAIRLQRSGLANPN QPPSFLFCGPSGTGKTLLTKALAEFLFDDPKSMIRFDMSEYQERHSLSRMIGAPPGYV GHDAGGQLTEALRRRPFSILLFDEVEKAAKEVLTVLLQLMDDGRITDGQGRVVDAKNC IVVMTSNLGAEYLSRPNAPDGRIDPTTREMVMGALRSYFLPEFLNRISSVVIFNRLTK KEIRKIVDVRMNEIQKRLMANGRNVHIEMTNEVRDYLGSAGYSPAYGARPLARLIEKE VLNRMAVMILRGSIKDGEAARVVLEDGHIHVLPNHTDSEEDSEMYDESDAVAELEDEA DGGMDLYE CC84DRAFT_200283 MKPSPTAFNAPLLGPVACTQVCYSQDGKGLPTYKTDVGAVETAI RVVHRTGRSQQSKMSAACAKSLQIREGVMVSYQYHACSVENASIPPVAFRVRHASSHE TIYKILELYNRPSGHYIVVSSSSKCIHSSHSAIAQ CC84DRAFT_198869 MTTVHHSPPPGTAPFAIYEDPEDLEPPSPSEEGDVSFTSDMSMF GADVAIPSIESGHELLDEPEPYNGAYTSRTSILSSSRRDSTMTDNSFVSSLPSEFSVA SKPVTPANALDSRYSPRKERPPFRNPSSVRAMQMASPAPSLALEAPRERTKGSYKLAT PSKSGRSDSVSTTSRRRSRSHRDSLHMDYPAQQARASATPQPLPLVLLHVTILPMQMP YTPEVMLKIMPEWLVENYKVLEEKLQDIVLMRRGLLIQHPRDEFDVLEERILESLELK TPRLLPCGHFVAPDSDDEDAPGCDDEHTHAADDGAGRGSRMSGGTITAEDEPELRYPT PNSEDAGVCIDCHRQVKKPGHGVGAGTKRWDIKIYAANGLMRAGAWVAAWSEMERCDV EISPWIPHEVRKTLDKRLEQEQEKAKAKELYAAELKRQMDEEAASQRKLEEEAKAKLQ SEEAELRIKTEAEAIMLQRRLEEEAAEKKKKLEESLAKKIEEAKEAIRVEFEAQALME SHSIAERFRALEDALKAERAKAAAQAPPVLQVPHILSRSRSRSRHRNRSRSRRPRLEE IPLGTLLKNYFVLQLQDSRNFFILILGALVVFLLTSFNPNSNLPRPTLDLATDVSLEH MAESVVPIVVTSTATMTATSFSTLVVTEVQILDGPRETLSLPSSDITVAEEAVSTPSP SPEDELNAVTVPSAKTKDVYESAELASFILQELAEQVVSEHDTATLKDDQIFTEEVSE SVGSAETLATRVEAGPAESFGAIESMSSSVTVEVPEAHETILGASVDEESIPEELVAE EPIAEVLTAEELHADSHLAEQPIAQEIMAGEPVVEAPLPEGFVFEQPMAAEETIVSEI KAEPSSPKEVGSPLESASPEAGNVNVEEIADAETQHEATPEWETVRVNEDPVLSEDGG LPAVHDRDEL CC84DRAFT_1179568 MSGGWNTIESDAASLLPLLLHLRKLTNSQGVFTYLIEKLGVKDV QFEELVTLDSQELKQLGKIYGVIFLFKYPTGEERSDTPKDGIFDHDAANSIFFAAQTI QNACGTQALLSVLLNKDSEVEIGKDLKEFKEFTQEFPPEFRGETLSNSDVIREVHNSF ARSSPFIDETQRTATEDDDVYHFIAYTSVNNTLYELDGLQPAPISHGPCTPQNFPDAI VPVLQRRINRYPATEIRFNLLACVQDQRIRAREIGDTEALEEQEEKRSAWLWENALRR HNFVGFVGELMKGVVKAKLAQGEGAYEKWIEDGKAKTRKRMEDKRKQGIASDGID CC84DRAFT_1100521 MASKPTIAIIGSGWGGFTISHALSLAKYNVTVISPSRTIQYTPL LASAAAGMFNFRLAEEPVRRRNKLPGLSYYNARVEDIDFSSRTLQCKATHSDMTEEHL KDVDSFKLKYDKLVIAPGCDVQTFGTPGALEHAIFLRTTDDARKIQKRILDMVDAASI PGLTDTQQRDMLRILIVGGGAIGIEATAELFDLWDHELRHVYPHLDGKVTMEIHDVAP ALLGTFDKRLGEYAARKLEGRGVQIKTSSHIEKVDAGAIWTKEIGELRYGMLIWATGN GTNPLVEKLDVKKDGKLQRIVTDKRLRVFDTNDQLIEDVYALGDSADIEGYTLPTLAE VALQKAQYLAKELNEAEEPAKAFEYNQRANIAYLGQHDGVIGGKEQWTGQSAWLAWRS GSIYHWPRSWRRTIMIGVSWFFNKIGGRDIARKW CC84DRAFT_198285 MAGPGGGPSRRSHTKSRKGCKTCKKRHIRCDETFPQCRNCTKHQ VRCDYQDSPQAMQPPESPRSPQQPNLLWTPEIDATIEQWRQTGVWPFDHLPVYPQPQW RVFPKTDLRLVHHVATISNEMFSHRTSKLTLWTDMMPKFLSIAASHPFVMHSILAFSA SHLAWISQSSETRNLAFHHAGIALKGLHDGIANFAKVNSDAVLASSLLLAWQATDWRG WASLVTGTKTVIQAMQSWRHESMFADYIAEQAPLPGKSFMNQSYSPISSETRREHLTI LSDVQNSLARLQPYLSLTRHEQEGKWVEQLRNYIERLRASGAAQTAEEQFGQLYALRK WLFWVPISLLAAKHTDATVMVVLAHFYATALALEPMFPEIGAVFCANRSLGPLEEIVL IVHGYRNPSYDDRTQSVSYLIQYPNDVANSYKARRDWMRQQAGEGSPVPQTPYGLEAL NMDLQNLAQYSYGQSLSPAFAPSPLNFFPQTTLPGLASGPTSPYLEVPQVPRTSVDLS YSSPSYTTPLGSPATGPHYSVPEQPHPFSFGMPPMGYHPSGFVTAPTQIWT CC84DRAFT_1179571 MVTSDANRRPASRCRMLIAAGQTASSTRAPDDGLAMAHERRATS RRKVPFGHVNSATAQGEGSQALARFRCVVGLLLWPLGACRNPSPMRRGRKKQRTRGTR RVEEVKGAAQPMGVLLSGRGTVGDALRLDLSKNKRRKSVVAGGGRLDMGATGTSG CC84DRAFT_1189818 MAWQPDEGPLRQLAECLRDSLSGQDPTVQKNAETLLKQAKASPD IDKYLAYVFSNGQPPPAVNMDAPGYFKARAAAAVMLKNDIKSGYKSMPEDSKSYIKSI ILMGLQDPNSQMRSYAGNVITEIVRSGGLLGWSQVLSDLVAMIANATGNVPQQAQEGG MGALLKICEDNKKALNHEHDGGQRALTFVFPKLLEFTTSPLPKVRADALSAANVFIPD KPPAVQDNMDTLLQQLFSLSSDPSNEVRKHVCRSFVHIADIAPQKITPYMEGLVEYMV TQQRNPDDSDLALDAAEFWLCAAEDEKMREHLGPYLAKIVPVLLESMVYGEDDILRLE GEKEDAELEDRDEDIKPAFATSKSARLTGANGSDAPNVNGTQSIPGMDDDDLSDGEID DFDDDDSVGDPEEQWNLRKCSAAALDVLASVFHEPVFQATLPYLTTNLSHAEWPQREA AVLALGAIADGCMSVVEPHLPMLTPYMITLLSDPEPVVRQITCWSLGRYSGWASHLDE NGKQQFFVPMMDGILQRMLDGNKRVQEAAASAFANLEEKANSELAPYCPIIVRQFVQC FAQYKYRNMFILYDCVQTLAEHVGPALAQDDLVSILMPALIQRWNKVSDQSREMFPLL ECLSYVATALGGAFQRYAAGIFSRCVNIIQRNLQEALLAATNPQLEVPDKDFLVTSLD VVSAIIQALDPSASSELVAHSENFFYLLGLCMKDDNNDVRQSAYALLGDCAIYVFDQL RPQLPELLEVLIGQLELSQVMIDGEETGFSVINNACWSVGEIAMRDKEGMQRYIDRLL QKIATILFTPNVPDSLNENAAIALGRLGLGCSQFLAPHLAQIAPPFIRAIENVMWTDE KGHALTGFMQIVLENPGAMEQSLLQFFNEMSKAERSLFNGPTPGHTALWDTFQKVIET YKAMIPDFDAFLAGLPGQHRQKFRDLYGV CC84DRAFT_1167716 MSNYSIATILFAISRLTHTRQMPCRRRTGGCGACLTAEKVKAAM GPNATAACSSSQLRSDKSRRAGGCSRRGAGQEAVTGVQTRCNAQLQCT CC84DRAFT_1179574 MLLHIILLATLFCLVFVTAAASSASSGFSLAKALAERSGRLPGR FQLEDFFEGGEAPIVAKGLGARVDLGIGVSSDGGFDVSVFEIPLLFAIVIRGIGSAGL LYAPALHFAWTLNGTLNFTTGFEIAVPDNSNIFIDLTEPNNSSTSGFDATTVTPVPFQ ASVDVDDVQLSVALRQQVQIRFNFNNGISAKVDVYLNLPKFGAGLTKKSGVDENYGAL ASSASGYKDVAQEVFKDVLSIEPSVDWGIGIEGEVSWFGATSNFEQEFANVIFSDVDN QCLVFNGLTGAYVDAKEVVSEAKRGDARQVRVIKVVYLMQALIVVVSTLL CC84DRAFT_1167717 MPPGGNTHLSSTQHRSKRPYQPPITSFFTPYDFGDSSESDNERG PGPNTHRHISASGPKHQQHPHQQFQQQLPGSVQADLLSVGMRVRKSVPEGYKTHKTMS LPSIQTTLTMTPNGTSTTMTTTQDHSVKPPRDPVPAQVLHQRELLPFCGLQKIGGYAE QPVTNVHLYGGADASGERALNIFPLPAEAFSQPFSSQSSTSSSISNSSFQAPNPANLH KRSWQDEDEAPARLSSNFLFKIPVKVSEDEVPISPLSATPPNGFPQAPLRPFAQPKTR RSGLRATDIDGMIVKEVDMDMDLGNADMTEGRVVVGSSSDFDEAEFLQPWGAGREVCM TGI CC84DRAFT_1167718 MKINPAPLHLAQTVITGLVVAFSIAILGTAAHTLDVFNKQQTSN PWWLPLWPQHFDVHGTNALVASATVTLALSGVFLVMSLIPQVNLANKHTLRALLALGS AGPSSLLTVVTVIYVHILNAKSELDTIQTWTCKYKNSAPMQQDMALASNMGNGNFTSL CHQSKFALYGTLVVFVLLCASMGLSVVGWMADKWSERQERKELEMQQS CC84DRAFT_200463 MGWECRRGARRLKQRRLWVCFKGRDLPHRETPFFSGKQATSSYI NVRRRRCVPVFLLFVQSRSLGLGVPGCVPAFSVYPLNLHYDPRPPQPLYPARVWKS CC84DRAFT_1153369 MSEACCTCAALLSSIPPTYDEKTEKPTQYERRLECCGRAICARC MTDNPRFKTYCPFCQVSIVPSPLPQGLRDPPAYSPPDGSVDELPPYSTQNTLQPQPPT EKSKDALADSAPDVLHFVDPNNDTISSLSLRYGVPADALRRTNNMFADHLLAARRTVL ISGEYYKGGVSLSPRPLEGEEEEIRKGKVRKFMVGCKVADVAPQNRYDVALIYLQQAD YNLDDAITAFKADERWEKEHPLEAARKGKAKTPQRSSRRKWTLGGSGGGLTGQMS CC84DRAFT_1167722 MVKTSVLNDALNAINNAEKSGKRQVLIRPSSKVIIKFLTVMQKH GYIGEFEEVDDHRNGKIVIQLNGRINKTGVISPRYNVQLRDLEKWVVKLLPSRQFGYI VLTTSAGIMDHEEARRKHVAGKILGFFY CC84DRAFT_1127247 MEGGEEWAGEFNPMADSEERAHLLSVLDSFRSYRHVAHYNTTHL RRRAFYSLPSAHWTLLSQPPFSVLDSLSHLDDLIDSNAELAEAIFCAGFQAFVAHNIN SEQVASIVPEKYAHDPFQVFSAVLDHLGAKATPNDMEKARSCVNQFYREWSGEGEVER GACFAPILSTLASEYSKRRERDVAIGKKDMNILVPGAGLGRLVFDVCKAGYSVEGNEI SYHEIMASSLVLNHMSKRGQFKIAPFALNCSNHLSREDQFRTYYIPDVHPASELSEGD SGEMSMSTGDFIVLYSTPEYKHAFDVVATVFFIDTAPNIIRYVETVRNCLKPGGLWIN LGPLLWHHASRIQDPKEEDADDKKGASRGEDVGIADPGSVELTNDEVVSLVQHFGFTI EEHELGVDTGYITNQWSMLKSTYQASFWVARKA CC84DRAFT_200477 MVATLEKGIGELGLLNGEASTSPISVSFPLPRAPQTNIHVQLHD NGPNILLFLATSTPESSNAAPLGSFVYAMPNRNNPSDPLSTPLFTPPTTLDFTTRIAK ILTRKLKKPVYVGNSISFASAGMGGTVEEEMEGFKRVVEVVVGLVNTEKGAES CC84DRAFT_1221009 MTTGNVVGGHKANINNPNTSEESKQHSREVLDNDYDGGNVETGS DEGKNPNNIAGGLKATINNPKVSDEAKESAKERLNNM CC84DRAFT_1198718 MATEKNRVAIVPGQGQALEVVDAELSHPGPGEILVRNEAVAVQP LDAKMLHAGYGGAGSIEKYPVILGTAGAGTVQAVGEDVSELAVGDRVVFNTRALVKFE TNKREGTWQKFVIVRSQTAAKIGDVSFEQAVLVDFPLQTAVAALNLYLGMEKPGKGTE DEKVLVWGAGGAVGSYAVQYAKSVGHTVVVTASQKDVARQTRLGASAVIDYKAADAVD QLRKLGPYKYLFSASGDPASQKALASLLPDGGRFASVLGGEVDLPSDVERVYKPFSQA AQHDENQEWRSWWYGEYLSDVLRNGLVDPVSHLKVSGGLEALQEASTDVFEGKVRGKV IVNPQE CC84DRAFT_200580 MGVIGVLPGNKHGLKVYSPSNFAYRCTASTTTTIGAIQHLIGEL KTNPSINCMEITRTAQSEMRGVGNSQNGKLPEGHRTYDDGRPLASFPTNRSTVLCYRR ISHVGGRAKMMMAQTNGRTPTGVLKMVIEMAFCNSDLAEKLRNCGGIRNSLGRTVKAS QASQVEP CC84DRAFT_1167726 MSSASTPSNTSTAGASSGSNPSYQLPYAPFPYPMPANGGSGQQG GKGGSGK CC84DRAFT_1167727 MYPPRTSQLLLALSAILPSSLAAFACNDILTKGQHFNFEKLGGP RVVHWTDSLGTEDMEARWNFTLDVCNKLQRDKGVDKQNWCHDGARVCGVRALHDIYGD ENDTISAVDIAGTYTMQNGPNAILDPTFGLLRDLKSNSDAPREGVRVELHGGRHPFDS KKEGVKQRAVIDFVCDREREGDEGAEKDTNEHEEEPKKDEDEKKGENGDKKEEKKLRR RGGEKGKCEDTEASLRFCGYEDEKTDKDDKVKTLRLEWRTKYACEDAPAPDGGKSWGF FTWFIIILFLATAAYLIFGSWLNYNRYGARGWDLLPHGDAIRDIPYMVKDLGRKVVNV VQSPGSRGGYSAV CC84DRAFT_1153384 MADQNGAASSGSRLNPFSRRDEFSSRELILYRFTTLITYIIFLV TAIYYTFQAPGQGKYERHTIWGNNTATPFAQNSIMTSIYWIVILLLQLVYAYSLYSKD PVYVNAAANIGTHYIASNLLLFGFLNLWVRSHFWLAELLIVINFFNLSFAYFRHSTTP RPIHIGTVAGPLAWNFVALYWVGAKAVHAHNLPARIVGNIFIWGILAYGCFFLVAFKD YNMGFALSYLAFSTGVGQFLSKIPIFQLQWIFAFTIGALLFILSLGVSSGKTPLEGGE VVSEDRERAPLLRDGPDVPQEGRD CC84DRAFT_1153388 MSAGGQDAKLFARGKVAELRLELNSGGKKDKNFTAKKTALKKIV ANMTMSNNDMVALFPDIVGCMHIPSLEIKKMCFLYLVNYARIKPDIALKALPIIQEDM HDNNPLVRSLALRTMSYIHVREYVEATVPHLKSLLRDNDPYVRKTAAICVAKLYDHDK AMVESSDLIDKLNAMLRDENPTVVSCALAALMDIWERSETIKLTIDYASASKIVQILH QCSEWGQTYILEALMNYVPQDTQEAAILAERIAPRLSHSNSAVVLTCIRVILYLLNYI SDQKVIKSLCNKLSPPLVTLLSKGPEIQYLALRNALLILQRRPEVLRNDIRVFFCKYN DPIYVKVTKLELIFMLATEKNIKEVLTELSEYATEIDVDFVRKSVRAIGKLAIKIPPA SQLCISTLLQLVSTKVSYIVQEATVVIRNIFRKYPNQYESIISTLCENLDSLDEPEAK AAMIWVIGQYADRIEDSDVLLEDFLDSFSEETHEVQLALLTATVKLFIQRPTRGSQLV PRVLKWATEETDNPDLRDRGYMYWRLLSSSPEEAKKIVMGEKPAITAEESEKLDPSTL EEMCLNVGTLATVYLKPVNQVFRSARPRKLQDSPALQRHELPTAKAAQQARDRAAAER SRLDTSTTNGNGSLSPTNGSGLEAAAHEADLYFAGVGRQSTFGGSPIVAEQGSLGGGW VVNQNMPQAAVMSPVGADGNQDLLL CC84DRAFT_1099919 MSAQPPPPPQWVVDLNTPPTTKPKTNVADPPGYTASLTRRDRAA ASKQSVRKQPTPDEMDTLKMKKAWEVAIAPAKQLPMNAIGMYMTGNTLQIFSIFMVFT LFKTPLIAALNLQKTFAPFETPGTSGRLIMVKIVYLLTNALMLGLGIWKVNAMGLLPT TRSDWLAWEAERTWSERAVPREWL CC84DRAFT_200703 MYDKDTSAAWLCLPRNLDTTPGFEDEEAQEEPPAHLFRPDSSAD IPRHDANVGEKLHRKARAQLVVVRMYKSLDALRNEMETLNMMHDFKRSGDFIGPLGLR ACYSIPTASWLCLRPIFGKSLEAFGQACLDTNRIPTYFVWHIFIRLLSAVEFVHAAGI AHGDLSSANVMLRCSLPDSPEREWPDVVLTGFEVEVDMDLYEDERREDVKRMAGILYT DVISQWSDSAMLMSFIDLTFPQADPLMQFAAELKALVDARLDQYVDLEDFDEWKSVAI SERARGPGYCPAWIKTAAYDVLVTEKELGKAMGPPLVLRFGPGSEKFKRWVRARRTPV ALPRTPTRGPARFGLLVIKFKIRKGEFANLAGTGQ CC84DRAFT_1198723 MTKQYYLGGEKHTFCVASFTQQIIADILISLLFNLTLLSKVSES LLALFPSYQQYADEEAQLLQREELDFEDNTAAQAGFRIPQSSGLHGRLKGPDPPRIQT ITPFFAQAQEFLPTWLNQRFPERRQRALLLSGVLGLWLIAFIISLSAQFPVTDSTGRP VTNLDCVDTLWRPKNECGIDGINCHPFSNSSFSFKCPAKCASVKVLNPRAVGPVDVNY RPLVIGDGTYRGDSFICASTIHAGVMTDNGGCGRVTLLGRRDNFSGTERHGIESIPFD SYFPLAFAVSSDSSITCSSDPRNVLLFLDILVAALLSIFGASPTVFFPIFVIIFAHVA FASDPPSASYRNTTVLPDHIASFAKRLLPALFCAVVIYRTTVRRTLHGLTAHVEKTFL WLGGFFFGALSNYTFDWIPIQRLTAHDLEQQPGAKFALAIILVVLVAIIAGQIYYFWL EGRLLRYLALYGLFISGILVCLTIPGVSLRIHHYIIGLLLLPGTSIKTRPSLVYQGIL LGLFVNGIARWDFDSVLQTTADLRGDGKFDSALPELLEPGITNKAGVLVANFQWVAPP AVVDGISVLVNDVERSRQFFSDAEDGAHGFEWTRTVEESSNEYFRFGYVKGGRTLDYT KAGTLFGNGTWSGTW CC84DRAFT_1228645 MKLLARLGPVLAAFALVSSASSDFEVVRREAGPSNATFVHDTGS GSVVFAVAAVKDVGDLYFHLEAPAEYSWVAVGSGEEMDGSLMWVAYRSENGTGVTLSS RTSDGHVEPSYNSGIDCQISTRNGTSNGIIKINNRDILAVNGVCKNITRITASDSKGA IDLTSSSQNFIFGLGPTGKTIQSDSKSAGIRRHTLYGQFSLDLSKATVANTEDVDEAQ LSSVGNWQNANAQVVGDVTSDRDWSGPAHVVLMGGAFVIVFPLGVVFLRVLEKVKWHA WMQGVGMVLAIIGLGVGIYLGREYNHSKDFNSAHQIIGLIVVLFALVQFTLGMRHHRV YVRSQRPTTFGRIHRYIGPPLLLLGAINGFLGFNLAGEDDDNIWYGVIVGVIIVALAG SLFWARRRRGGKAAKLGRGNMGRESYEGGHIPLTNMGHGG CC84DRAFT_1262580 MDNSHPHEAGIVRTASGRETYAPDGHTSNIAANHEYAAVTGERH ASPATSRTSSESSLSDRFEAVRSGDHEDGPSDSELITTTLTRRKTQGTINHEERSELR ELYTTLSRKQSTIANPGDPSVDPGSDSFQLHKFLKMFRNQIEGAGHSMMQVGIAYKNL NIYGKGEALQLQKTVGNSFMVQDMFKSKKQHKHILRNFDGIVKSGEMLIVLGRPGSGC STLLKTMCGELHGLEMDEKSIVHYNGIPQKEMMKEFKGEVVYNQEVDKHFPHLTVGQT LEFAAATRTPSSRPMEMSRKDFVQYITRVVMAVVGLSHTYNTKVGNDFIRGVSGGERK RVSIAEMMLAGSPFAAWDNSTRGLDSATAFKFVNTLRLASDFGDNAAAVAIYQASQSI YDIFDKATVLYEGRQIYFGPADAAKEFFERQGWYCPPRQTTGDFLTSVTNPQERKARE GWENKVPRTPDDFERIWRESPEYKGLMAELNQHENEYPIDHQGQSATRLREQKNFQQA KRVRPKSSILISVPMQVKLCTVRAYQRIWGDISATATQAGLNVVMALIVGSIFFGSDS STQYFFSRGSVLFLAILFNALTAIAEINSLYAQRPVVEKHASYAFYHPAAEAMAGIVS DVPVKFVQAVFFNIILYFMAGLRREPAQFFLYFLITYISTFVMSAVFRTLAACTKTVS QAMALAGVLVLALVVYTGFVITVPSMHPWFSWIRWINPIFYAFEILIANEFHGQQFQC SQYIPAYFPLQGNSWVCNAVGAVAGQTTVSGDAFIATNYEYYYSHVWRNFGILLGFLF FFMFIYFAAVELNSSTSSSAEVLVFQRGHVPAYMQDGGKNPQSDPEKGTSANPNATDG EGDVGAVEPQKDIFTWRDVTYDIHIKSEERRLLDHVSGYVKPGTLTALMGVSGAGKTT LLDVLAQRTTMGVVTGDMFVNGKALDASFQRSTGYVQQQDLHLETATVRESLRFSAML RQPKTVSKQEKYDYVEEVIKMLNMEDFANAVVGVPGQGLNVEQRKLLTIGVELAAKPK LLLFLDEPTSGLDSQSSWAICAFLRKLADAGQAVLCTIHQPSAILFQEFDRLLFLARG GRTVYFGEIGKNSRTLLDYYESNGARRCGDDENPAEYMLEIVNAGKNDQGEDWHDVWK KSELRQIVEKDIDRIHEDKKNEEVAGSDDPTATSEFAMPFGQQVTEVTYRVFQQYWRM PSYVFAKFGLGIAAGLFIGFSFYNADSSQAGMQIVLFAAFMLTTIFTTLVQQIQPLFI TQRELYEVRERPSKSYSWKAFMIANIVVEIPYQIMTGILIWACFYYPVVGANQSGQRQ GLVLLFSMQLLIYASSFAQMTIAALPDAQTASGIVTLLTFMSILFNGVLQAPSALPRF WIFMYRVSPFTYWVGGIVSTLLHGREVICTENETSRFNPPSGQTCQAYMAEYLQTAPG QLQNPDATTDCMYCPLSNADQFLAGSNIYYGERWRNFGIIWAFVIFNVFIAVLTYWAF RVAKWGKGSKKGTKSKPQETAEKIAATPAQQGAAPPNRET CC84DRAFT_1262581 MIMAANAEDGWETASDSGEELDSQTEQENTSETDATVTSRPQAK EIGQDVERIDEVLRNKPNLKFGFVIYRCCAYDNQEKWDRFMKKLRRRTRLNLRDENAE HLYERIDWCVQEDVELAECSVAKVRRRFKKWIEESGEEQYWLPTSRFLACVMVDRHDL KSVLKGPSAEEFDAEGYGSVTLISLDKNEGHMSVGMSYLIPRVYTLLESCGWENFAVG DTDVACP CC84DRAFT_1262582 MRILSSHSLVLLWASLSFLAQGLTIPNEHREVLGLPLIDHTTPD NHEIFQGEHVADEKPRSEHHMLLEARRGRGGRGGSRPSRPKPSRRRKPTKTRTNTPKP TPSKSSSKKPTSKPTSKTVSKSASRSTKNVWPTPAVSRPTTAIDPCYLFIDCSTDEAA LEDVGAVKVAKRAGNYAIDMHITDAPTPTETAAVEHHEKRDIRTQKPKMGTAGTLVIK NLDYPGSGKLFSGRTPAHHAFQFVDNSIKDARVKDLRKAPANTIDFATEHIVELQTLG KFLESVAKKNSALAKFLLNTWNTKLSVKQIKARTNKPTYGLAKLPQNSGNTLNDLVFN AFGSNTQLEDFVLCDDKINSYKARIWSGKAPMSATNFKTLVTKSLNGKLPSNGYLTAL KMVFGVFDYMDQTVVTTNMRRVIANVGKELANVELMFDKANLPANVPAASVFPAGADL QKEWTAYMKAHLSTISTKAQTWANTQITHALTELKKEIIVLEQTMKKLQALEKKATPA YKKNKQAAVKRLTTQIVKHKREVAAIAKKIVLLENQRKATPTTALTKQIKAQKKAWQR KVEAQAGAERQRAMLYSTSVKKVIAGLKQDEIILKAYKAQVTSDLVMPTP CC84DRAFT_1100175 MGQQEELNKHDVGVGVDATHAADLEASWSHGRVQEGDFVTELEN SRLQRGLHQRHIQMIAIAGAIGTGLFLGLGGSIQTGGPLGALLGYATVGLIVCAVQFA LGEVAALLPVTGSFVRHAEFLVDPAFGFAIGLNIVYGNLLSVPAEISAICVLFEFWTD INSSLFICIFIFITFVVGISFVGIYGEVEFFFACLKILLVIFLIIFGLVIDLGGIPGQ ERIGFRYWNHPGPFVEHIATGSWGKFLGYWSVMTSAVFSFAGVESLAMAAAETQNPRR NIPKACKKVFARVLLFYILAVLIVGMIVASDDPRLGNESGDASQSPFVILASAAGIKA IPSIVNAVVITSAWSSSNQALLAGTRVLYGLAIKGQAPTIFLRTTAWGVPYVCVLFNT CFMFLAFMSLSSNAMTVFWWLVDLTAAGVLISWSSILLNHTRLCLAFKKQGISRRELP FYNRWTEWTSPIALVACQVILWTGGFSVFTKGGWDTATFVSSYLDIPLVLAAFLLWKF IKGTKFVNLADVPLREALEEVAKHPEPSEPKRTGWKRIANILWD CC84DRAFT_1099960 MSTTTPQTSSVVPELPNNDNTHRAVYPQFIFFGDSITQIDGNPS LGFSCVGSLRYDYTRRIDIIARGFAGYNTSDALNVLPKFFPQPQEARVRLVTIFFGAN DACLPHTTGQHISLEHFKLNLHKLLSHPCIIAHRDVKVLLITPPPVDEWQFDDWEEPA KSARKAVIAQAYARAVVEVGAESETAVVDLWGACMREVGWDGGEQVPGDRGLGRSDLR KLLVDGLHLSGEGYTILCEEMKMVIAREYPELVPEKIPFAL CC84DRAFT_200810 MLINSSLFRGCTAPCSKASLSHCRGSRRALSVIESAQPFRRHTW IELRVQSSEEVVAKLERGFRAVNGSIVVAVGTAIIHIDSKIHGEVPVRRKPVAARVQL MADLEWNFSYFTIPPMTASIVASLKSESCKKPPRASNSHGCMSKCFKPPEPRRRRIFP VYNHFVNT CC84DRAFT_1221023 MDNPGTSEIASLLQNTHIKRHPSPRHDLNPSTAASAKQPVRLDV HPDPDASDVDEDEVPLGVLRPIPRRNAMPPLPDLRLEQTYLKSIEHAESWQAVAWITF KDHVLLCFGQGLVWTLVLSGWRHLNRSAKFSGRGVGARIRRWWWGVNNWKIPNEKLTD TKLAKNASGYYKNSF CC84DRAFT_1167738 MGNQPSAILDNIASGSNFDRDEVDRLRKRFMKLDKDNSGTVDRD EFLALPQISSNPLATRMIAIFDEDGGGDVDFQEFVSGLSAFSSKGNKEEKLRFAFRVY DIDRDGYISNGELFIVLKMMVGSNLKDQQLQQIVDKTIMEADLDRDGKISFEEFTKMV ESTDVTMSMTLDQF CC84DRAFT_1228657 MSTSRIIQLAQLIASETHTLDEHLNENKLPQPSFAAGAPTEPFP QPSPSVTKARTNVIEATIELRQLLEGPVKQLLPESNFAPLASVYRFNIAKHVPVGGTI SFSDLAVKCKLLEHDLKRIIRHTSIHHRVFVEPDEGLVAHTAASRLLVDNELIGDLMG LTFAECWPAHARAPDAIAHRSEEPNISGYSLANNTDLNMFQYLAEHPDRARRFAGAMS STSPASLDALASHLDWAAFPPGTTVVDVGGAQGHVSVHLARRFPGLNFVVQDIPEVID GAEGKVPEELGSRVSLVPHDMFSPQPARNADVYLLRYVLHDWPDKYCIRVIENIVPVL KKGGKIVLQEHVLAEHGSLGLLAEMQVRSMDAIMMSLFNSRERTVADWEDVFARAGKG GFDVSVKRVEGNAATGVVVAEWRGSA CC84DRAFT_1189836 MASILGLSALFVCFPFFSSSPFRHSFTLSSCLQSRADIPSATTW DPPSSMTTGLDQVWARTLQENPNWSDDKNWIMDQLIANNGSINYCVRWNTAAHKSTAS DRTKIEAGLQRSLKKWFDTLVGFDGFPLTTLAVKVVGYATKDKSMIEGDTSAIDVYTT VDADGVPECDPRCYRAAHLDGDLSGCPSGAAGRYDISLWLDESLEGQNAGYGYNWGEE LAPDYVLDNLDTENIHILQHEMGHGFGLLDFYDWVPEGQTSFVMMAGSAMEVTEFDAW MLRDWWRRLKAVRRW CC84DRAFT_1262589 MPRPKRTRVASTAARVMKPTKAAQPSAAQQQPESRKSAAPKPVE VASEDSDGLVIKATRARGRAPRVELQEEVELTMTGAIPVASETEISSKNHTPVSQARR TRKSMGSAQGSSSKRSLKETVPAQSWSAQKDTSHVATGEGDSSGFGDHLLSFTSLGSD SPAHGTRPPSAIKVGATPAHERSILALTNFKRRPRQPSLLRMVNQTIDVEDNNSDHSN MDLYELDDFHPNAESTPLPKTQNAAGKEGATDSGVNLSSSSSRGTKRKLSPVVQVPRS SPPYDPPSGPDIESRSPSPSLPDVVRSTEEEDDQNEGDGRADDQVFSETMAPPMSSSD YNIEDVEDPQDAPMAPKRTRRKAALAQKDVEESEGEDTDRPRPSKGRKKPDREKGIST AKLQAMLPKRRTRATRDDSESESPIASDEDELSMPLHRHARLAKKIAAPKAPKKSTRG TKKTAATTRRAPKGSRTYGRRISSDKENEGRAEADEDDDESGGDTARETNEKPSSHLE AMAKKFADIDDFDLDFESVSYVQTSSSPWR CC84DRAFT_1167741 MNPTNGSPSSKSSSTPATSTLRPRTRRLISVEDDLNPPASSART SPFGSRAVSPTPGARAPSYNAAPAPASSFERSGSQSLRVGRDAQKTLSGLWGNSWSAI QGLATNVLGNEAGAKDTSAATRKRKPLLGSHRRTSTSAPPKQWGPSASSTAHVGAGSQ EERESMVRAMKRKDLLLADSHMADSVGRLKRRNSDDRMSSSAPPGENEDRDALIYIHN VRPQDTLAGITIKFNCQPGVLRKANRMWPNDSVQTKKIIVLPVDACGVKGRPVAGPDG HQDGDLLLGDWSNDSEDSSNGLPNSWTALRPKASDTSSTLSPSVSNADSEPPWKHDSW VLLPNDTEPIEIGRMPRRELGFFPPARRKSIVFSDASTPRASVDMPRSSTSTSTHSPT TSISRPRASSNLSTVSAPYQGRPRNTSGFHLHGPGGVGTMGKNVRSPGPGQDPLNKMF AAHLPNVEPPPDQEYFTPWAPGLLEADSGRVHHGGSGALTPSGGAGLDLQEFGGAIEG WVRKVGTQASKLLTEPSTPGQGRRSAVPVIGAVGGDLGDLIELRDDAFEIGDGEEDRG RTGRSDSRTPTNEQYQSARPDFNLVLRARQPKGRSSKND CC84DRAFT_1167742 MHKAPAAKITVPMTDPNVTIRTPRDPNTLSNYHNFLTTHTVAEF EIDFEKKVLNGGVELTFESLTDAEAKELVLDTSFLDVKDVKLDGKDVEWNLGERIEPY GAPLTVTLDKGVPKGESIKIAVKVSTTDKCTALQWMTPAQTSNKKHPYMFSQCQAIHA RSVFPCQDTPDVKSTFEFKLRSPLPVLASGLPTGSHQYEAGKDDKAGTLLYTFEQRVP IPSYLFAVASGDIAAASIGPRSLINTGPEELLECQRELEGEMEPFMKAIHSIVKVPYQ WTQYNCLILPPSFPYGGMENPVWTYATPSIISGDKQNIDVIAHELSHSWSGNLVSAGS WEHFWLNEGWTTYLERRIAGYLHGEEHRHFSAIIGWKALEDSIKNYGEDHEYTKLVID LKGKDPDDAFSSIPYEKGFHALYAFELLLGKDKWDTFIPHYFDTFKFKSLDSYDFKAC LIDFFAKDAEAAKKLDEFDWDKLFYTPGFPKKPEFDDTMVKTCYELASKWEARVSKKE ASFAPKASDINGWVANQSVVFLERLQAISESFTPKDVQLLGETYGYTTTKNIEVLSRF LTVGLRAKAEETYQPTAELLGRIGRMKFVRPLFRLLEKVDRDLALKTFEKNRDFYHPI CRQMVEKDLFGEEKK CC84DRAFT_1167743 MTSSLKPLILHAHGTGPNPYKVAIALELLSLPYTVKLWDFGDAP NGVKGPTFTKINPNGRVPALEDPNTGITSWESGACLNYLVRTYDKKTVLGPKDDEKSR VEQEQWVFFLVSTLGPMMGQVNWFRHYHPETIEGALKRYEEQAYRCFGVLEEQLGRSG GKFVVGGEKPSVVDVHFYPWVYQYGFAGLSLDEYPKVKAWLEGIGGLEAVKKAYEKVP KGEKA CC84DRAFT_1167744 MLRRDPTLWPRSPIRRSSRSALCPSRSFSPTSAASARHNDDSDN TDGKKPECMSDLEWMRQRHLRRWRKRIEQGPYGFHAGASEEMQRGHGLEGYIERQREM HRRGLEWVQKAFPKWMLEDMGLRDESKSKAHEDKVGKEYPKKVKIENNEHGETKEREK LSEQPWDKDRRFRNDPGNDAVKSPSDSRWPREQPLSSSQTPGALHEDIVQGLRKDRQI AEEPTAQEQTSSTSSEASSACAEKVRQSVPAPAKQNQADTFNILGKEVLAGSMGAAPE LSEPGNNKDWRQTALERRAVWSPASGSRRKTAVPVIDVTTKDRARDRNNAGGEQRARL PAKSNDNLEATAHKAVIDFNGTASSRMGRREVEEFESRVEETSEEVKQHPGVTNVLEE SGNKPKFRFSPYPRIKTDDWVLPSSKRAAKEFDDFADIKLSSLDTDINFGQDDAETSS SPRKSTLDALKKLPKDDIDFLTADDVRASMGRTKGNREDKAAVRQKLEEEYIKDTPEI DPLLEAQVVNGQFVRRKTSQMTPAQEQPRSTETHPSESTAEQKKDDPTSKPISVLETS LDFMSRWLHNGGNVFAQHFWQDPVQLVAGQLSNADEQFLKGIGIGVLKGRRAFASIKD ELVDDVPATQELVDRLNRDEIKASAGAVRLYKDLPSALKDASDADAAKAAAHKRIGKL RQELLDTDKQYKKACEAVDGMKNDLKPSFLQRKRLRHASEVLRKNAKLTRMAIFGLQG RIEVEAGTSDGLVARELLHRLLTLQDTQLALSRLVSRAIQVLGINLEAEELVPRKSDE STVHLSDPSVVEAVAPVAAREIPPKQAIDTIAAEAKLGDEVSKQKAAMRGLSDDGYKH PQKPLFRKSFDSPAPLAHSLFRPFGLQLNSLGKDADAAENDAVKAAKKERNDRSLVEE VKKAYEDTYGAITVDHWQVSPAETPAATVEGVAKMPETEMAQGQPSIQMLKEDEVSPT ITGEVLAYKHDSVSLPNDDCATTQSTAECETELNKDVVNEPTMLEELRPLITEDPKSV EEKSLESTGIEQHISIIDKATSGTQAGSVTPDNEYSYDAPTDTYVPISYRTLIYNADT DKLSITTSQVPQPNPSITPIPMHEALATLSHPAKFVDHLPDSFHVLQVKPDVLSIRTA SPFNATAEKNTTTTVDRPGVDKTDAEEAEGWKGINPVDGTTTLSPTGFVSLGSDLDHD FAERRKKADEYHKEVNKSKKNMEAPEGKKRGGLGVGGVVRTAIWASAFCYVVGVAAEV AKAPF CC84DRAFT_1167745 MYPRTVLSGLLLGALPFLTSAQDDVTVASLPPKPYPPTGDCTGV KGISPKCSSQESAYQRDFFYIGGGYVDSGIPGQQMWSDQLYVEKLTPAQKVNKPYPMV FVSAGVNTGAEWLNTPDNRKGWASYYLDQGYQVYIVDLAANGRSGQQLLSKYPLRIGS TDIINEQGFTAPEDFDQYPQANVHTQWPGNGSRGDPVFDAFTAANVPISSSNVNVENT MRTSGCQLLSMIGQSYTVCHSAGCTYTAIWSDACPDLLRANINIEPGNIPFTSLIGNA TVAGVGRTAARPCGLTYTSLQYDPPVTNCSTITTAEVGPDDPAKRSCILQTGTIHKLT QLSKVPYIMITGEASPHITYDHCFVEYFKQMGLTNYQWIKLADIGIKGNAHFMFLEKN NQEIAAAINYGLGKLNRNPAAPGHPSLPISFR CC84DRAFT_1208661 MVSAFFNTPPATELDERIAAGLLLLIGLTPNIKDPKHGVTIPPF RPTENYHFETKGPAVIVALSVCMVILTLVTFLRLGIRIFVHGVRFGADDWLIIPAYLL SMAYPALQIAMVQYGGAGKHFYDITYQEYFHYKYLAPSAATVFYVYVGLVKMSIALFN IRLTTLTTRLWRNVNWAFFVICTAYTLAALFLNVFKCNPQYASFNLLRIAESGKVPKC LSVNSMNSILRLNLALDFTALAIPVIVLWKVQLTWKKKARIFGLLSIGLIACIASVMT LVSQYTLQKDPLWNYTTLLAWIMVELVVSLTAACAPTLAYLLPRSMFSKHYASNSASR LTGNKPATGFGSRLSRNDYALQARPVHGEDGDSEEEERHIIVKEDTKMEWQGNRRGDA HRSQVSDHSGDDGSLTSWYGDHRGKTGAVVYDGRQHEESTRAWVSTDVSGRNGPGRGT RPGHAK CC84DRAFT_1198734 MRSVLFSLLSLATFAASVAIEPRAPLKGDTKADDDWEDEVVPDT IFNGQTVPPMKELGTDVDKEISHGNWLVEYYSPSCPHCMRFKPTWQTLYEFYYTSKPI ITSQESDEDGLNSFTRWYDFKFAKVDCLAFRDTCGEHDIQNYPSIVQYKDGKEIAKKI GEQELSTLSGWVEGVLEAIKPGTRKQGGPKLPKVGANSVETGPETEDEIKENEKAAGA AKESTSAAPSKTNEPAKVAKPTPAKPTSTPNPSGVSLPLDKEKFQELVTSTTDGWFIK FYAPWCHHCQAMAPNWQQMAREMQGKLNIGEVDCDANNRLCKDAGVKGYPTVLFFRGN ERTEYNGMRGLGDFIDYANKAVAAGEGVRDVDLAQFKEMEKTEEVIFVYFYDHATTTE DFQALDRLTLSLVGKAKLVKTNDKALFERFKISTWPRMMVSRDGKPTYYGPRTPKEMR DTQKMLSWIKSVWIPIVPELKGENAREIMDGKMVVLAILDRENKAEFEVAKRELKNAA LEWIDKEDQMFQLERQELREAKELRIEEAKDKGDQRALRDAKGTVIDMKDIKRKQVGF AWVDGVFWERWIRTTFGIDVKDGERVIINDEDNNRYWDVNMNGELIRPSRSSILDTVK EVTKNPPSISPKSTSGTFMSFFHSIRNFGSNHPIFLLGLTVAGIAASYLAKRRRRTGS YFQLGEKDGLPGGLGKYD CC84DRAFT_1100223 MRAAARLLAAVRQGKYLEPGAPTGLTGLLTHPSPRSTLLYHYQA TLDKLQQIPESSVYRQSTEALTKHRLKIIEEAVPAGWAQWQETVQDKIHEDPTGFKTI QTSQGTLAEPPKYKELDPRTLEAEWDGEKHAKYPPGMRTQAQRRAHVKAFKGDVNYTP ERTMSPIKLPPEPQYTVHISQVMIVAYANRINELETRLGAGLIEEVIQVAEGEHKLVD EMIKAKVWEPLEEQAPEGQWKFFERGHSGHTKTQHP CC84DRAFT_200933 MTHPGNDAADPGSRRNGRRHLGPHQLGFACLYSRRFEKAAWKKA ALRTKPARLQGKVASLARRRVMSKTLAQRVLSRSPSHSSWYGTSEMVMSLRTPRVRRS TLPGFCRGPQSSNPPLADSRQCRIRRVASSSLAMPGSGDASRVITRICATAHYTPYTV RRDTKKSSTRLSLCHVTSERAEGTPYQQEHNIGPRGKKRFSAHHELDHHSHRQHQDLS ARRLSCSNHPPCHSRLAAHEECPARAPVAHRSERCLWPGSVGSCQTLRMFSHRLPAPL M CC84DRAFT_1228663 MDDRFRQPGAPNSNGNAQYPSMNQSYNGSQQNTLPPLGSAQPYP SLYNHHNSNPQTPITPHTPVTTTAGSGASIPPIASQHPPLRPLQPSPSYLLTSAPYSQ APLLPTSGAHSNALGQNALTAGLQDVRAGGMGMGHAALYPHPPVLSNQDSEPVHVVGQ QGRRGVLPTHPGRPSPAVGKTITNPIKNAEGKYECPHCNKTYLHLKHLKRHLLRHTGE RPYQCHLCKDTFSRSDILKRHFQKCSIRRGNPTGASHLQNAQSHLQKNRQPSNAEANS YLNHMGTSMPYSDNAYGSTTLGNMQPMASMQTDGYGDGLPPMNAHQSMSARTSRSNSL IRPGSGVEENRRSMSALDMGHSRLNFNDFRPANGMTNNISHDMSPYGNQQSQSATAVT NGQQHYNYDSAMGHQEIAPTSMSVKQEEGDPASYGRPTLPNVNGLSNGQDHSARWNGS FNGNVDGQSQDNFLMNSSMANDTPCDALLGGLYSDSGFAATDSVFAQWNFGSFDPLHK KATALVDFCFPEPSRSIPGSNAAHGYEAFKGLLTADNLKHFLELYKHYQNHWPMIHSS LDLFNAYNGLILTMVCIGAVYSDRIGVKDVRWLMEVVRASVLRSSHLYKRASERARDV VEKSMPLGGNLQEVQALVHINSLFVWHGNHKQRQQAREDFWILASIVQHVGLLRPFPT GHPNASALHQPGHMHVSDVATWSWERWLEQEMRIRVMHLVFLIDAALAIFFNAQPQLD IYDIKLPLPADDAAWEARTAEDCANALGLRGETAQANNYAGTRRPKQLILSEVLVLLH QGNNLPHRATNVYSKFILIHAIHVQIFQLQRQLSSATGVSSSGASTPQSHEGTASGGS SGAVTPTDGQGTQYSQLQGMLRSTMCALTLWKKQWDADMQLQYGIKQRPLGFCRDGIH FYFLAKIFLQNSRREDWMAAPDDRFRQVFNQLKYIRTHVASDSTSKNLDTGSVTTIND DYGLADLTLNMKLLFTPIYDTSADRR CC84DRAFT_1100589 MDCAIDLLTNSGSRYFCRWYRMGVIGATDHLMFIALVLTWGNTV VNYYQDQTSSKFRFSFFRKPDKRPQAVVALRGTLITWYVYRMLYGVALCFVKLSILFF YRNIASHRTFRRVVYGLIAFVIAYTFATTTAAAFQCQNPAGSFDVTGYLAQFDRDPNT KKKDYKCFDPTRLWLFTGAVNLFTDVLILLLPIPTLLGLRVPMNKRLALVSIFSVGIM AIVASCVRMWVMALWAESPQNSARFGCDLLLWGQVEVNSGIVSASVPFLRLFFRGRER EPDEKPIARRKVREIAPPNLAQGDAKPLRMQSMEFFEQEKIRKRDEETGNDSRSPDSP EWGGFITVPASLSSGSRGSAMLETPVKAHTTV CC84DRAFT_1167752 MAPAMYKKPPQLPPRFTATPDSLVSDTKKLIDRSRNIQDAIVKD VTAASANFKNVLLPVALDDNKMAIESHIIGFYQAVSTDKALRDASTEAEKLLDDFSIE SSMREDVFKLVDAVLKKKESLDAESQRLLEKDHKSYIRNGLNLDAGAQRDRFKEIKQR LSAISIEFQKNLNEEQGGIWFTREELDGVPEDVVDGLKVGEGENKGKLWLTFKYPDLF PTLKYATNAETRKRVFVENENKCNDNVPLFREAILLRDEAARLLGYSNHAEFRIEDKM AKTPKTVNDFLGDLRTRLAPGGQTEIKKLLELKQEDLKTRSLGDDNSKYFLWDHRYYD RLMLERDFQLDQQIIAEYFPLQTTIQGMLKIFEELFGLAFVEITGEDRTALADGGKGS DIVWHEDVQVFSVWDDEGEGAGFVGYLYLDLFPRDGKYGHAANFNLQPGYIDENGKRR YPATALVCNFSKPTPKKPSLLKHDEVVTLFHELGHGIHDLVSKTTYSRFHGTNTVRDF VEAPSQMLENWCWTPSQLRSLSHHYSHLSPEYEAAYKEASGADKKPAEQIPNSLIANL ISTKHVNDALFNLRQLHFGMFDMTVHEPKSHEDIEKLDITSTYNQLRHDISQLDGPEA ISGDWKWGNGQATFGHLIGGYDAGYYGYLSSQVYSTDMFYSVFKEDPMNGKEGRRYRH TVLERGGSKEEMDILEEFLGRKPSTEAFYKELGISK CC84DRAFT_1100231 MSNITLGNLSYISREELAEQLKTSSSAPSLPSHLAIIDVRDSDH VGGHIRGSTWVPSSELDYKTPELVRTLKDKEVVVFHCALSQQRGPSAALRYIRERERL DQGRGGEKETGDGEEKEPGKEKGQKVLVLRGGFTQWQDKYGPDKGLTEAWQKDIWEFG Y CC84DRAFT_1167754 MEKAGEEWVQRSHCKIKGIRGRDMDEDACGGEDHHRHHAPRHLL DLIIRTTNPPIARQERPRTSAMLSKSTMPLQRGSSYTSHRPHGPLLNHLLPSN CC84DRAFT_1262603 MNPSNLSSLPIELIDKIVLHVPQRSDLATLRLTSQMLNAISTPY YFATVPIFPDWDEDSAIDGLPFPNQVEYHPRYFASILDSEKLKKLVRKVEIYLCNPDC DHHPHACLHRGWMPKPVMSAEWVELYERLPELPRLESVSLVFDRHGGGDDLDDDMILH GYDFRSSELADLLSMLEKRIKDLSVRHIQLDRRSYGHGHDHGMKISFPILVGLRALRL SFVHEQPRGESGTVYKSTDCHEQWSHFTSGWLEPAKTLRHLTLYSDIPTGWFPKVDFC NVHFAQLQSLALGQFVFCDDRHFKWIVDHSETLQELYFDHCSIIYQSGASLGRNQWLD EQGYPKIGGEDEFWAHDYSILPRPDEEHVLTLESYAVRWSDAFELFSKTLTRLRTFRF GTSSQWNFDTPNRHDDGCPGHPIMPWEAERDLKTELFEERYLVYNDWGEEYIVRWKDA SEDVVLNEQVWTAEELARFEEYPQCTGKDESTLKELLGNLGVTWHAEAESKVVCLHTD SQRSYDNPLEIA CC84DRAFT_1167755 MAGEDSIWNRKKDLVYLVFFMTHIPVMLAFDLTSYYPVAVKPGW MTDVRTWYIATFGDRFFYNAPAWFSTFTLLELVYHLPFSFWAIPALIRNDPRIPLALL VFALETSITTITCLAEMLSWDELTPLQRGVQGLGGMYGAYLAVGVFMAVDCYARLDRI LSKQKGITPITKKKL CC84DRAFT_1198740 MMYESYLFTLIMPAAILAYINADLGPDPKYPWITVCWNLGAAII VTVGGRLADIFGRRWFLLTGAISAAIGALVGATGHSIAQMIVSGVLFGFGGGFQEMCF ACAQELVPNRYRFTTLGVMILANHVSSFGPLIAYAFVAYTEIGWRACYWFCFAFEMAT AVMLWVFYRPPSFETKHEDDGKGKWQLVKELDHVGLWLFTAGCLLLLLGLNWGGVTYP WSSAHVVAPIVVAFACFVALGLWEVYATLKYPILPPKLFKKWRDFTALLVVCFVAGML YYSMNVLWPRQSTLLFLNSNDTIIRGVYANMVSFGTIIAGWYCVSLMPILGHERWQLT GFITAQTALIASMASIGINDKAQAIATVVIVSACNLPPSPLSFGMVSLGLDDQADIGV AVGLISTFRLIGGAVATSIYVSIYTSRYANNISPTLQRMVDGTGFSGSFSALLKATTL NTPAAYAKVPGINPKTIQAAMLAVKTSYVGAFKVVYLVAIAFGACAIASALSTRSVKK SNKSNAQAVHLETEKDASRV CC84DRAFT_1127333 MKIVELVIDGYKSYATRTVIRDWDSSFNAITGLNGSGKSNILDS ICFVLGLTNLSSVRASNLQDLIYKRGQAGVTKASVTITFDNRDKANSPETHKNVDTIS VTRTIVIGGTTKYLINGMRAQQHLVHSLFQSVQLNINNPNFLIQQGYITKVLSMKPKE ILSLLEEAAGTRMYDDRRDKALKTLTKKELKVQELNGLLTDEIGPKLDKLRQEKRAFL DFQQTQGDLERLIRLVVAYDYVNYKEKLRQSLDDLEAKKQRADFLEQSAARMKGEIEY IQEDIEKVKATREKELRKGGQFQALDEEVKTHSHELVRLTTVLDLKKSSISEEVDRKR NIEESVQDLEKQLQEKIKAHEKLEQKYNAAHDELAKQTADVSEKEELLQTLQTGVASK EGQESGYQGKLQEARNRESAAAIEQEQSKLKIAHLEKQIKEDEPKAKKAKEQNSGLLK ELEAQKLQAKRLEAELSKLGFDAGHEAELYQQESHLQTRIQDLRREADALKRKVANID FSYENPSPNFDRSRVKGLVAQLFTLDKDHTRAGTALEICAGGRLYNVVVDTAETSKQL IQNGRLKKRYTVIPLNKIKAFKASAEKIGAAQREAPGKANLALSLIGYDDEVSVAMEY VFGNTFICEDAKTAKAVAFGGPRTKSVTLEGDVYEPQGTLSGGSAPQTSGVLVTLQKF NEITGELQAQEQQLAELQATMARERKKLDGAKRLKQELDLKTHAIQLTESQISGNSSS SIIQAVEEMKKSIGQLKDDIKAAKSRQDEASKDIKRVEKDMKEFNSNKGSKLAELQSS LDKLKKALAKNSASLKPLQAENREAKVVVDHIAGDLAAAKEQLEEAQTTLSSSQEEID ALVAEQARVKHDHDVAQANLADEQKKLTSFDDELRALEDAIKTKNSSITEAKLEQQKL GHEIERFDKERDEASGRIEALEKEHDWIELESEQFGRSGTIYDYRGQNMSDCKSRRKT MDERLRGMKNKINPKVMAMIDSVEKKEVSLKKNMSIVIKDKQKIEETIKKLDRYKHEK LEETWAKVNGYFGTIFNDLLPGAFAKLDPLEGKSVSEGLDIKVMLGKVWKQSLTELSG GQRSLAALALILALLQYKPAPMYILDEVDSALDPSHTQNIGRIIKERFTESQFIIVSL KDGMFDNANRLFRIYFADGTSKYEIMPTKGAARR CC84DRAFT_1153461 MADSGKPYDPEKLPHEVNTPGDAPGIDVDADDEAELPEDLRDLP KIVRSIVSLEDDPDAPTITFRYFLLCFLFVPPGAILFQMGIFRTTASAYPVLFVQIAS HYVGTWLAEILPKKTIRVPFTKWGFSLNPGPWSAKENVLVTVTAASGATSNAAWAPIS LAQLYYGTKIPVAACLFFMWAIVYIGYAMAALARQFLLYDPIYVWPYSLMQTAVFETL HKSVQDSWIARKQKYVFFGAFLFMTLWEFLPEYAFPMLSSLSFLCWVAPRNAVANFVG AGIGGMGFLNLTLDWANISNQSLISPMIVPYWTTVVLTVAFIFNCWVLIPAAKYGKLS SWANDQLMSNRLFLENGTRYPVTALINPDITFNETAYQEHGPIYVGTQQLWSLFFDYS SYISALTWMALFGYPKIKETIQVLRKRAKDRKSNTSVNDFYTDRLNVLMRSYEEVPLW WYVALFVASFVTIITILGCGYFFIPIWTFFVAIFSSGAMIIPFAWLYSFSSFQVPIGS FNELLYGYMVHATNGHKHPAGATAYGSIAGDIWYRAQYMLQDQKIGHYMHVPPRAIFL SQIFGELLGVPINYGIIQWILKTKRDFLLGDKTDPLHQWTGQSLSNYNTLGVQYVLVG PKRLFSQAMYKPLPYAFLYGALAPFLLFALHKAFPKSKLKFHLWNVTIFGTGMSQFYG NLSTGYISRFIVGYICMYWFYRHRFQTWKRYNYLVAAAFDAGFNIAMLLIFVIFSSGK VINMPYWWGNNETSVERCFALE CC84DRAFT_1074976 NAFKLETNHVFQKDRNNKCAPDSGQWFFHHPEYEAFRAAKGLQL LFVTAEAGGGKSTVMRTLVDKLQHCDGQPVVGYFFFKDDDDQLRSYEDALSSIIYQVF VQERSLIKHARDLYKQYGHGIRYQTEKLWPILQAAATDTHRELICILDAVDECAPAGR RQLVSDLADAFKSGVESSSTKLKFVVTSRPYQDENHPYTDLITSKTIRHLAGEYARVQ SDVQSIIRFKAEELAKKHRLAQNTLEILVEAISNQNLQTRSFMAVRMTFELLDSHELM QESAEKDMIHAILADIPQTLGDQFDKMLDRSRNREHARRLFCVILASRKTLKISELKV LYVLTQPRDPATKAPQSYEDLQLPADDEEFKRLVRAQCGLFITFVKNSVHLFHQTARE HLMASLDNTNTVVPMNEIMSTTSSSIAGREKGRQRTWRGCITKAGANLVMLIACTDLL NFNISRSWVL CC84DRAFT_201142 MLQRFHSKLDDPLYFRIIDQELTPAARQAAIVELLLKKGAPVNA KTQEGRTPLHVAVNSNPDLVRLLLEYGADPNVATTDGITPLMQVASRGDRELVEMLLL AGADPDAQLILAPLDKRQCSSFIERSHWTFHQCDAPLTALAVAAERGHYEVVELLLQH GADPNKPIEHHAHGRLPSKRDKRRRARHYADLDSSDSEVEPEQWKGYISIGIALSWAR DEVRKLLLRNGAVPEKEQSSRECDCVVIEKRKERSWVTDSEDDLPARSDGDDSDSKLR RHRLPGRRVFKWDGDTDDSD CC84DRAFT_201236 MSLRGQPPSIVDFYNPYINAKDAHGRTREQILKWNNDKLERSHD YIQILFPLPEGSMFSYTAPIIDKKTVEEFRTNGHLQTTLGQAFDRMMRFYGFEVVSAL DSADSAKQAEECAAAEEKGKGKAAEGEEHDEGRNGSAGTTASLDVDPSVPSAHDTKLP EKSVASSGVHHLRVIRGVNFEERSKNWCVQMDHNHLRISRILRSLRVLGLQRQCEAFF EALTNVYNDPKTTIGKSSMMFWRRAVCEPLHIAPDGTECKWLKALAL CC84DRAFT_1167758 MAGFGPHNPPAIHMDPALVKWNQMTVNRHKYFRWTPRTAWITFA YVIVVPAMLGTAGYMTDGKWDMRGKRRGDLISEF CC84DRAFT_1100355 MSTVAKAGQGVKLTVHWLNESRGQRIVWLLEELNLDYDIKVYFR QKDKHSPPELKQVHPLGKSPLISIVAPGLEKPLVLAESAAIVEYVSDHFGQQLIPKRY PNGNDGLIGAETKEWLRFRFLMHYAEGSLMPVLLTGLLTHNIREAPVPFFLKFITKKI ADMIDNNFTLAELKLNLSYLEALLAESPDDEFLCGANLTGADFMMIFVLEAAVLFKAL NETSYPKLYSYVRRIQSRDAYKRAGDKVSEASGVKYVPFSEKKL CC84DRAFT_1100728 MPKPQRGRTSSQDFAFQSTDQAFTQPFRGAEGAPAPALRQAFAQ QPHQRYETHPATNGSIAPTTSIRSSALDQSLRYSDFGAPQGSAPHLGASIPLPRGYGF SPGGPLGWDWGNTIDFAGYTPHYEPQGELVQELQTQQAPKDDFSIPLLVTTIDTARQS PPQPFSAQNPLPPPPRPTKRLSFQAGMKRKADSEPNSGIQATNGPFEENPAKRQNKSR ASSITSAASPVVATATAPGSCGPASLSASMTAPAILESTAQLNNEAQSRKEPSKGTGP QGRVIDVSTPRRIAESRIAVDTLPSGKVFPIQIGSELFRLSGASISSDAPSYFSHFFG EQIHSNQGRADDIRTLYIDRDPDTFRDIALHLQGYHISPRNGEHFVRLFADAQFYSLP RLTKQLFSTDIFIRIGGVPFQIPRDLFSSPGDSPNYFSLGFAQFFSTPTEVFPGLDRN ALLRPPSISPPSVPNRSGETFGELVRMLQGYPVDIRNDVHRSQLLRDARYFHLRGLEQ RLIPCETSYNLRRGQSEILIRLDDIRQSGVSFTPDTPASDPDSDSASNAPSQLGISPA PSSKPTSPSPSLGSSHFRAGTVSYARPYTDDHASTNILILELSSNESTTLHLPMEPPR ATFSMEPLTLNLRATFHGTVLARITSLFSVIASKMGLPATQPLGLMMMQSGGGVAAQP VSPANSGVSERRVRVRIDPDCYLEIDNTPAELAFDAETGRMGIRRSDGEHRSKRSKVS EGSSSSEWIWGGARDSAIIDDDGEELEETIVVKRAHWRIRVENVNGEAAKMLVVLCGV RIEGYSGERGRNQERGFLGS CC84DRAFT_1221050 MLFKMLALLALLALVVMATPITEAATNLAPTPSINDALSIVARA PMKEFTQNMDVNDPGPGGRQEKGGPKEESWIDKTANAKADCTLM CC84DRAFT_1221051 MDPKNLLKADPPPLPGGVSTGDVRDSSTTWASVNNPRDSSLNDD LIVGGTVSDSEAELRLDQNNAIHVDTSDDRVYDTDVEQDVGHYRLPAEGQAARQIMRN HLNSLLPRELVQDEFGTVVSMDKVSSQHLAKLQGKVWRELPLMVALTANGVDGEITTE SIIAVQSVCYIADLISKMNYAFVLHPRSIQPCHSALCRHVHEKLEKPYSEHEVTLEPL VDWMTLDPIADITSLWFTLFRRNKSLLHKFALSNSKFIPRNPLRFCLECLSFMADERN LVWCMIKEDPDIIYADVPMPWLMPGDNNEDETSDDKGGKNSTEDPWSSDSDSGDSGSC SSEGTGAPSKQVVKNDPQSIPNVEGAFDLIAKPCFRKLEIWRDPRPAQLPLHLHEGQP TDHLGWTIVNPPSASSSPSNETDQLSVDTERRFGAELDPNLKFQGGYPLVSLSDLETT DSDIETSDSEPEINGARNAIETTRPNAETPVWDMDSAYVLIPATRTAHNAFSHGYHTW PAPKESTSPVPARPAPGFDITPKDMYPYPNQLICSCRQPAKTDIIRIVECKKSECFIR WYHYACLKDQKEKGAARFGTFQCELCKGEEYWGKAQAQCVTDLSMPPSHKQVVEGLLG MGGASGAGDPYGFGGD CC84DRAFT_1153475 MTQPAPIDLPTKDLFRLDGRTIVISGGLGAVGSTVGKAILESGG DVFFIDLAPEPAGALWSNIEATAFANGTKAWYHPLDVTQADAFPLVFDTIREQIRHPL RGLVACTGISGVCDAASYPIDAFRKILDVNIAGTFLTAQAVGKEIHRANVAGSFVLIA SMSGWNSNKGINTAAYNASKSAVHQLGRSLAAEWGHPQNTFAYYPAGQANREVHPPIR VNTISPGHIETALTKEAQETGLVDDWAKQNMLGRISQVEEYRAAVLFLLADGSSYMTG ADLRIDGGHCSW CC84DRAFT_1127353 MRVTSALLAGLVASTADALVAKAAIDKCLTDAGVPIDVKGSDGW NEDVAPFNIRLPYLPTAISVPATTKHIQDSVKCGKKLGIKVSAKSGGHSYASFGFGGE NGHLVVELDQMYNVTYDAKKNIATVQPGARLGHVATVLYEKYGRAIAHGTCPGVGVSG HFLHGGFGFSSHMHGLALDSVQSVTVVLADGTVKEASASTNADLFWGMKGAGSNFGIV ASWKLSTFEAPKTLTKFGVALGWTKDTAVAGLEAVENYAKNIMPREVNFRIGDYSKGK PGIEGLYYGTPAQWKKDFQPLLDTLPKGYNISEPQSLTWIQAVIAYSNYDEVDWIHPS PQENFYSKSLTLKGLNGTSAQAFVDYYFDVANNVVDRFWFFQLDMHGGKNSQITKVGK DATSYPHRDKLYIIQFYDRYENNQTYPASSFGFLDGWVDAVTDTIPRSEWGAYINYAD SRLPRAEAERQYYGDHLPRLQSLKAKYDPKELFYYPQSVEP CC84DRAFT_1127356 MLLRLLADLALITSGAALVLKDSVGKLPALGWNSWNAYHCDITE DQFLTAAHKFIELGLKDAGYEYVNIDDCWSDKDNRDESTSRLLPNLTRFPDGIKGTAD KVHELGLKIGIYSSAGTQTCAGYPASIGYESIDAATWAEWGIDYLKYDNCNVPSNWTD SCKDCVPDSMHRDDLVNGTCTNTDGLCPPGYDFSTSNTAERFRIMRDALIAQNRTILY SLCEWGDAGVQTWGNTTGSSWRMSGDIDATWKSVLAYLNQNSFYLNYVDFWGHSDPDM LEVGNGLTIQEARTHFALWAAMKSPLLIGTDLATLDQDNVDVLKNKYLLAFNQDEVYG KPATPYKWGTNPDWTFNASFPAEYWSGASSNGTLVLLFNPFEDTRTKTASFAEVPRLE GGVKYRATDIWTGKDLGCVDGLQAAVEGHDTAGWLVGEECEASTFVSEV CC84DRAFT_1167762 MTREQNVHTWGNQGRSRGRTRPSPPRAQARRDSRARSPANSWSA QRKSQARQARFKLLPCTRDPQCTRPWTMASFLRSLHEYVAPPPPPAMEALREKLPVSP KHPYFPSESPIVGYLANEYNTVELVSLFAAGCAVIFSLTYVTVKRVRPSLPVSDLSTI LWFVLCGFIHLFFEGYYAYNFRTIGGHQDLFGQLWKEYALSDSRYLTADSAFVLCMES ITAAFWGPLSFVTAALIAVDHPLRHPFTIIVSLGQFYGDVLYYATSLFDEGMLGLTYS RPEAAYYWGYFVLMNAFWIVIPAILIWQSVRASSRAFAALKRAEKLLNGGLQNGHAKK AQ CC84DRAFT_201378 MKGADFGKAIGIDRPANVKDKIKKWQTELNAEPESALDAASPAA QPKPPPSPRPPSTPKAKPLDEKPSPKPAITAAKPAEATPERPKSAKKPPPVHNPLDEE VLIATAPKKRVVSDSHWRNKNSPPKNPTSKPSPKQLPTAWVRPAARKALEKAEEEAKD KDKDKDEKQKAKPKSPPLAPNPLLIFTPRITPQAQRARAQRQRRLSRPNSAGNDERPT SSGSGSGKHPKSGDEGAVSQASPSPEKDKTEMIRVRRRPRARTSPRGSLSASEVTPVK IRTFHKSETALSEDPANLITVEYEPSESEAAVRDAIRERRRKSRRGRLSDVSGESSPD VRAAEEKRKPRRKSYHNDAQETPPRPADPVVPATPPQKLGSRLEMWLQTTPDPFDDKD SQRRRKSKESISTLELPSAGERSDVSANTDTKEPPAEEERPRTTDSRRRRKKRSSPVP IETPEKEELSVVSSIDSTEPSTVFKDSELTPTPTLKRRGARRTQHSPTKERVMSLPAR ELSEKDEEAASAAPSSSVDSQALDLDKVTLGAPYESPAMRRLFPSTGKRLSTIVSMDT FATNNQQAPTSDAAESELTESVVDGGMKDTTAPEVASQLRAETSTIVSRKSTKRSRLA SHADLISVLSMPKAGTRSIVSARSIRTNRSRLATATIGDIMNELASDESKYMRELRTL VDGVIPVLLSCVLSKSDSAVAAGLFSKSSSTDPSDVTKPIVDMGVSLERLKTLHKRIP KEDSDAFLSWAQSAQRVYSDYIAAWRLGFQDVVISLASADEDPFKPARVVKGPEDGAP WDEGMPRNAEGYVVNGDGERVDVAYMLKRPLVRLKYLAKTIKGLNHLKPTERSEKMMA VFQELVSTARKRSNDEHARLEDEAAANIDPTRSRDPRSLAPLAGVRVDRNRCVRARDH FDLHLYHSSGQEVNCRVEVLLRDDAPGHGDSGDLLICEVGNAERWLLLPPIQLNRISA RNGDREGEIIVMIRGYHAGGNEWSEVMSLTIDDEQAGFEWVQMLGLTPIPPQLSELAR DILPQKTPRPTSSNASSSLVSAATGSTPPHKSRTPSPHEIEVPIGEQALVTSKRWSFD TPDRQRHSRTVSPITPPSSDVLTAAQPRPLSPSSPLGVQKRGAKATSPRREEEDPSQR TPRSLNDALQLASSGSPSSLRRAKAHRRSKTISSPSESRPSRQITLDDPIEEEPVQEM KPPRKMSKRRQSEQPHSTTSSMLSQSSKGFSVWLPTSSEIDQDYSDESEEDDHSDRSV DDDHEPPVRPLVHRRASSVPTLDMPTIPRLRKSSGPSTPVKADERSKSPEPPASAPSK FDEGRKIIDEDPAATAVDDEPPPPPPHRSKTPTTPITLKGSNTPVLTPTLGGVRNKRR SSSPLKHEYEPSTCSETSSESEEEVSETEESATSESSEDELEDDVPTPLLPLAQLPQP KQFPKVSPPGSIYTLPNGTITPSQSASNTPYRTVPQDSVQASKAIASIFTWSDAGRWD SLHPNECSIVVMPGKIEVHEISAAHSKPFLTDGDEIIPPTTKAPLIAVELTPLVPLRK STAIDISIRSPPTAESRIKSGNNIMLRSRNAQECAQLYAMINHSRINNPTYIALQNAR GPYGQSSWAEVMDRQNASRPNPGDKTSFWTGTLGRRSSYRKTTTRAASISAATESSVG TMNTALRSALGRFSFAKNGRFNIRGSTLGSRSLTSFDTGSTGSGPGSGSSTPNPGRAP GAPAGITNTKVRLYERETLTKWRDMGAARLTIMLPSLDPTASPSNPLSRSPGTRNPSQ EKRIVVTGKKDQSTLLDVTLSESCFERVARSGIAVSVWEDIIGADGVAGRAAQTGGVM GSRARVFMVQMKNERECAFCFSLLGKMRY CC84DRAFT_1221057 MAPIDLLETVHSLAKRSDDNIAGIPKGLLVILIMISGGALVVVI YGLARFMFPEKEGMRTVGAEQADYMREVRVRNLNNLMADYAPRGPYRQRQSNTRVVK CC84DRAFT_1153489 MSTRKPRIQSKHGCAQCRKRRIKCDEGRPDCKNCARRRAECSFR TYDPVPRSFLARAPQANGPSSSPEGSVASISSPTFLDYLPQDKLIVHFPDALRPRIRH LLQHFAEETSFTITHNDAARAAWCAAVPQLTAKHIFVLQGTVAISALHVSKHAETESE KKHFRDIATYQMNMGLIKYREAIARVSETNAESLLAFSVTATAWVLYTTTDDFQALLH PNEKRRGLNRDQTVKALVATTSKILRTLRGVLVILVPCWHLIVSGVFKDVAKRDWWPY AMPATSDAIEDDKRLKDIESMWMRPDRPYEYCFDALRQALKTLREDFARVSQLTVSDN APKTRYGKLIDWTSVMSWPIQLPLAFLELVEARQPEAWVILAHYAVLPAQVEFVFWIK DFAPNLVSTAALVLGEQQRNSIEWPAQAVGVDLDQLYSIHRTET CC84DRAFT_1189859 MRGLNLLTSIAIPLLAAAECPDYSDYSKVVHEPLSAGKYKLAYQ RPSEDCRTFKSQGVEDTLTRFESIIKDPDLYRLFQNAYPNSLDTAVKWKGVAADNGEE ELTFLITGDINAMWLRDSSNQMQSYLPLLNASSDPNSLASLYRGVINLQARYLLTSPY CNSFQPPVESNIAPAKNPSASNDVVVPAYNNQSVFECKYELDSLAAFLEVSTNYYNAT GDAEFFGKFHWVEAIEAVLKVAQEMTTPTYGEDGRVLDSPYKFNRDTDRATETFANDG IGNPVANGTGLIRSGFRPSDDSTIYQLYIPANMMFSAYLKSAAEIMGKINNDKSASLA TQMSDLSRSIQEAIETHATVNHPKYGKVYAFEIDGFGSQNIMDDANIPSLLSAPFNTY PVNEETYANTRELVLSASNPYFMRGPIINAVGGPHQGPGMAWPMASIVRILTTDDDDE IKGELKQIVSSTDGLGLVHESINSFNESDWTRQWFSWANGLFGQMILDLEERKPDILS TSFQ CC84DRAFT_201427 MQVPAETAPCPRRQPSCPLVARKRCLRRSMQCTAADPCCVPPPS PFHRATMFLESRQETFQHTLDSRAPCNKAQLFRAVERIENQISHPCKIVRLRLIAAVI VFLLSDPLASSNAQARVFQQPVHALPTALNQEEHQFSAWTFIYLTHRPRIGDRLPGSW SSLHGFSAEAHSNVRSCRNLPRRPIYIPLADA CC84DRAFT_201441 MGSTTLFTFLFEHPTRLQSVELFGSWDNFSKPYQLQRDRRRGHG VWSGCYTFDNIICDGDFENVGQRRSGALKMGGTYWYYYSVDGIEERYNPSEPSTTACP LLPGQRLNVLDVPREAGTIHNMGDADVFTRNPKDKFLTPVPPVPQKALPSPRLGDLCS ESYRVPTVSLTTPRSATYPYTTPAYSPGPARHARSASTTPALTSTALFADFKCLKEKF AQKRSASHARAGSKGIRDLEIGAPTLISTTAEEVNLVPLSSLHRTPLPSPLPTPQTLK SLPPPPTAPHTAPSLPESVREKLRQFSPLGSHPIESNADSGPSTLAPNEYSYGARPRS RSDVAPTTAGSFCAPASVVRAKSTDTRRTKLFCNEPWISSPRLPRQHEIGPEILADEA PVLETPALALEPPSTDARPTSSHGGDRSSGLRNSALDKDKSLPPLPRYLVPAPLYACS SADSSPQIDDTPEENEYQEIQVEDARFQILSDRKGHFSIWSAESGTFSSPTSDEGELE SPTFSCLTSDCSDTGSPRRFSLFSISEYIHSPNHDSTFPEQEYEKEDQDESPAQEDVS TLPPKLEELHLSSFGPSLFDLDIQHAESAPRRQAACFGLGFQSYKLPDNETVSKTTVT ESSFQPRPAIQHARGGSIARSEALVNDFGFLGDAVN CC84DRAFT_201447 MVVLAASICTRGGKAVLSRQFREMQRSRIEALLASFPKLADGAS QHTTVEQDNVRYVYQPLDELYMVLITNLQSNILQDINSLHLFAQVVSSICKSLDEREI LKNAFELLGAFDEIVTLGYRENLTLSQIKTFLEMESHEERIQEIIARNKELEATEERK RRAKQLEMQRKEMSRSSRAMGGGGGMGSMGGMGSAPRTPQYPSYTPSTPATVPDTYDS YEAEKKKTSKPLALGKKGMQLGKKKTTSNIFDQVAGDLPPESEPLVASPKTSAPAAAP ASARQSTSNDREAVHITTNESISARLDREGLLKSFEVKGEMQLKISDPALTQVKLDLQ AGDTRGAQLMTHPKVDKAAFRNSQVIQLADTSKGFPSNMGIGVMKWKLAPKAEDVSDP PITFRVWVEDSGKMFNITVEYELAGGDALKDVTVTIPFQTDEPNVSSFDAVYEVSGDS LEWNIGTVDEEHSSGSFEFEAQAESEAEFFPMRVRFSKATPFVDVSVASVTLLSMNQD INFTTDIKSVADVYEIV CC84DRAFT_1221062 MSTAALSAPHLEVSAPDNMDLHSEDGLDFDDDDVDIDLDLRSAG GVDDDESLRDAGTDAGQDMQAVPGDLDDFMADNEDLIEEDIVDEDIEVDLHPHSADHT TALDQSPPAGPEEDLIDYSDDEDAAVDGNTVATNAPQTDTPIETVIAPENGDQAGVDN LSSHGSQAEENDVKSQYTTNAHQVQTDLDPVQAQDLHTTKQPGAASAQPDERSHSVVH DDYQTSGQETHSPERGTSDPLIFSTGAGVDNSSTEHSGQGDKDTNFHPVNVNFNGQDY WLFQHHDYEGSGDYLLEDDSFLKQPLNSVINACRKALRALDVDVPGDFELGFRLDSLH QVELFEEHSTCAFFSLNDILGVYLQLYAQDGITDPEYFCISLVSRPRVSSLLAELSRA ATEGIGYSGLDNVIASGQSLFSVQKSHSPTEHSSGEWEEEEEDDDVQEASEVQDHPEV GNPDGHEGEHQGTYELEDVHGSYEEQGSGNEDWQQESNDKHEPEREPETTADVSEEKS SAAITASGSDVPQNTTASIAEDVEQPITDNDLSEQHLQDPEENFIDYSDDEDDENAPG PQAQTSRVSSTSVTVQGDDPSHSQDYAETVAQAEEQSEHPIEGLNDAQAASGADALAE FEGNDFSYGELDGQAYGETYEEEYEPEANDDQEAESYPGYDIAQVNIEDTNHLGDLEQ QSADDADDLNLTGELLVGESDIVDDVLEGGNAFLEFGDTVAVPGPTVNHDAAEDELDY SDDEEGGVVSQALAAASAAADTVVTSSTEPRNLSPQGQKRTIDEVGNDVVDATTSTDA KRPRV CC84DRAFT_201491 MVEDKYVGLILAVSSSLAIGTSFVITKKGLNASAEKHGFDGDGF SYLRNPIWWAGIVTMVLGEIFNFAAYAFAPAILVTPLGALSVLIGAVLGSYFLQEYLG VLGKIGCAICLIGSVIIVLHAPPDEEVESVDKILDYAIQPGFLIYCVFVAAFSIFMIY NIAPKYGRRNPLIYLSICSTTGSVSIMAIKAFGIALKMTFAGNNQFSHPSTYVFVIIT VGCILTQMNYFNKALSQFSTNIVNPLYYVTFTTCTLVASFLLFRGFNTTSAVNTISLL CGFLVIFSGVYLLNLSRDDPDGSRALGNNFSDGVPTDGISGFPTRRSMQLRRSTESNF RSPALPFLGGHGNGSRQSFGERRAMMHDYDIENNQFEMGDLADDSDEAEGGIKRTSFD EADDVGLNGRRPSGSVRVSKSSVRPNAKGSGR CC84DRAFT_1262620 MDAPPGPKLLQQAEKILLHPIHPQLISYCPSMDLIALVTDEENL DVYRINGQRAFGLKRKSEYVVVEALKWQWNGAGLAVAWSDGCVDVLGVETGKVVHGNI KLPPPRDGDESTVSCLGWGLNFIDAERVKKRTGESQSGKGSAKKDLSNLTTEDWDAFK DETNLEDFLQRQPDFASLDIAPDLPDRLAVMDTESLLPKLPVIPLPPANPMMRFMRQP VDSGAFSAQAEVDGLLHSQHLRDHNSVDMFLRFSEEGSVHPSIYDSMEAVDVRLPRGW NLQSKVVMHASHPYACTHSLLMETRSPANTQKKIAWVPLTLGFIPSAGIYLHLIAAKT AQLQNLLSYLSHTLNRIHTYFKQAQDLPGKFMMNISETLEEHKEGNLVQNLYHLACTG HCPPLIHEWLVDELGEQGHKRWDNAVTSGLAIVIQLLHENFLPALDRCSIIISRLKGL AEFRDRDWIFSGPLTDFTALLDVLKTMRLLANTTLLYAADEKRYFNSFSKWLKYSFEF EATEPGSQSRTEMESQPAGVDIGIVLEYIQYGMSKSDVQPYLTSAKEIPGEATDYDDT RKAIELLRGGGKYKAEALCLEKVLLQFGGGVRNLLKQVSQWQENNISMDSGIVLGEGD VGAPLDMRMVSEPNIDAISTYIALPTATTPSQSLTIHRHTHDPRISSLPSSLRASFTT ALKAVSHGILDAKFADDSTLLLLLQSTDAAKTCSIVSLAYTPAGNESLATKADQVVAY SPIPSSTIKSAMLPAGHALSSSAHHTIELSAEMVSKHTRHVFEGRFTPLRLVVNGRKG RRVVVVLGSDKKHYRVLDLDFTEKRGGEKGEGEEESSDEDNDVEMGGA CC84DRAFT_1167767 MGRVIRNQRKGRGSIFTANTRLNKAPAQFRTLDYAERNGYIRGV VKEIVHDSGRGAPLAKVTFRNPYKFKHDTQTFIANEGMYTGQFIYAGKHAALTVGNVL PLYSVPEGTVLTNVEEKAADRGALGRTSGNYVTVIGHNPDDGKTRVKLPSGAKKVLSS QCRGMVGIVAGGGRTDKPLLKASRAKHKFAAKRNSWPKTRGVAMNPVDHPHGGGNHQH IGKASTISREAAQGQKAGLIAARRTGLLRGTQKTKD CC84DRAFT_1100045 MKKGKLEPTKPKHANEKFENVDSKHVATTLRDQEAVAVIMYRNA ACADPQANDVWPHLRDLIKLPSRYYRVDKGLKRQACPTVRRRPAKLSKIHSSSDVFTP QLWNPPPGRPHWGLPVELVEMIAGYLNRDDIKSLRLVSRELNQTVSQTLFKTVVVPFN TEIYGMLGPKQGLDVKGKKKADISNFIWKNAKGDDVYNGHGLDVFRGFGAHIIKYGMS FEVSEVALAKPPVKTLTERHESFWGTFDWPFIEYRRFEDVAGLESAADETPRMKTAFS ELAKVKELALSVDAGLGWLNGPDRSIRARILERPPEVFGLLKDIPDRRTHAQHELWSH IEACHASAESDLTLATLYKLEINRPPSDAQIANLALDPQPEMPFVDPRLVSEALPHDT TDLLVPASFDDPEVLDRFVAPQTPSSAGFLYTSKIHPVDAGQLISPVIPSTLTKAQKE WLMETEWAQKAFISSYMLSVIDNPKTFHQVHTLNISRLSDRYVSLLNRQDFWSALPNL SNVTLMVLPGWRTICKDDAGIVDTPKINPSSEINSVVTLLKRIISDRPKIHTLTVGWA TGGEHAEGVHARNKLLQPAPLVALDMITNQSSAALQMALLQFPYVEHFTLKNCWITPL LLQEFVKAHDHLKLKHLILDSVSLTGVLRPQQNVAIAAQQANNIGGNPWVPGLAQAQV LHAHTLALHGNHQHNLLNPQQLFQAQHQLLQIQLLQLQNIPGGNQVQMQALQAQLQHL ATSGPAQVNNGTNGNANQLQVHAPLLNTIQQILQNHGQWQVQHVPQGPNVVTGAPSAT ASQTLLTARPREGSWVEVIDTITPGLNLKDFGSEYSQADEERTTSLKSIDFVSCGYAR LPYSPFEQGILEDRIGAGGRRPDPVFNKRAQTLLPSMLSAKWPLLGEILQETSAEELA TLYAGWNLEAGWKDEDLAKAPQYDGLLPGGTGRFTGVVHADDRLVHEATAS CC84DRAFT_1127384 MSANYAAVANQGEVRSRRPADPPMQTGGHQPGKLVDNDAIPEFS TQTLPAGMAPALSTFTPNPVLNNQKM CC84DRAFT_201739 MSNKPIFCATHPRACSTAFERVFMTRRDTLQTVHEPFGDAFYFG PERLHDRYENDPEERKTSGFGDSTYRTIFDNIARDGAEGKRVFIKDMAYYWMPPDNKP PRIAPSLNNYKPGVGTNTTELSPVTTRTEKDAPPYPYPTEGEPGNPTVLPKALLSQFH FTFLIRHPKHSIPSYQRCTTAPLDDITGWNYVDTNEAGYAELRRLFDYLRKEGLVGPR VAGQSTNGTDGEDGKDSGIEICVLDADDVLDNPSAMIEAYCKSTGIKYEPEMLKWEEE YHQKYAKEVFEKWRGWHEDAINSSELKARTHKKTPKTDAQLYAEWKEKYGEKAATWIR DTVKKNEADYDYLKQFAIKPVSN CC84DRAFT_1228728 MRFRSSSKRYTNLESKTNAHGAKEAWYWRFIALAASWMILCGYL ILPGLYAEDPQLRISQPVLNVFVVALLTAGYSFTALLCFACRNELFQAESIFLPALTS SAVGLLTIAYNFLVSKAYVWGTAAISGTVISTASTFLYGMLLLWTHRRIVKLREQRSS RSNLYNEPGYYNNFVQNMYPAAARSPSAPPEIPLSDEDRINQQMALLLMKQDQGTSPD ASSATFRIDLPEDHEERDRIANSQELVGTPPVSHADWNRARSQSRPDSLGETQAWERW QDRGRTTDRPASIGARSNHSRAMSREERRREIEMGLGPA CC84DRAFT_202049 MCLASAPQHTPYKVSPHEPPLLLLLSITPCRCCDNRCFRPLPAR HFRIHQCSVPLWDDVHYSTTLFFQHHRDSMNFRCVSRLDYFDVASPAPIHSHPNCRPE EDCAPSRKHSPPWRPKDLNPIRRPVSLACAQCAASEP CC84DRAFT_1228730 MREQRLRLVFGAEILDLSECDGQWGMTGLPSLKSSNLEEGFKKV IHWGNISSTPLPALCVENWLGVKWLWIDSLCIIEGDDSDGRHGTCNVANIYKHATLRV AADAAKDARGGLFQFRRDFELTPLKNTFQVYRKRTWPSAMDGTVLPRYEKDTWDKACP RRPALHSEQALLGMLRQRTRYRRRNSPTNDGIKRDIFSRRASRVAAIYQTRGIPATGS TIMICSPG CC84DRAFT_1127392 MKLQLLASSLAVAAVGASWTKNINYRSPSEHHPSLGISINKVVK RNDYKTSWNPSQLNFTHGVASGDPYPDSVILWTRVSPTSDNDRSNVTVSGFVQLYDHD NEKFVRVSKAPVCVEYKVAKDKHLQDVVDGGKVYTSSDVDYTVKVEAKNLEAYTNYYY QFNVCNSHNKSPIGRTKTTPNADDDVTKVGIAIYSCSNYPFGFFNAYGNPVRKDSVDY VVHLGDYIYEYKNGDYGWGQSIGRVPQPDKEIFSLYDYRKRLATYRTDLDLVASHQQF PWIPVWDDHEVADNVYRDGSSELNNTESSFVKDGGVSVDQRKMNAVRAYFEWMPIRQV EMDDNLRIWRNFKIGKLLDLVMLDTRNYDRSITDLYWNTDYVHDISNDGGRSLMGSRQ ENWFYSQLSESASRGATWRIIGSQIVFSRLNESAAYGDANPLDYDAWDGYMSNKNRTL SHLYSNNIGNNIFLAGDSHASWVSDLVWLDEKPYNKETGEGSIGVEFAGTAVTSPCPY GANISLATANNYSSILQNSNDELQWQDLYYRGYYELHISGEEVVANFFGLPTVVERNG WEIPIANFTVKAGANKLQRPVGGGVVESGSLKGGKTVQTNATIDTNNGTWFVSHAPLE VL CC84DRAFT_1167774 MSDSRIPTSTSVSESAVIEAPFSAVWHLIKLQDFSKFWSKLEKS EVVKSSSPETDVIKWTFKDGTELDVKQEEHSSIDHFITYSVISSQPALSYTSVVSTIR AYPVTSGKHEGQTFITWTGNFSSDADAGVIEDAKFKRREALADLAKAAAK CC84DRAFT_1208693 MSTTVAAARPSQALRQRRETQRPRVVAKASMENGAPKEDTKRHV TRPEDILAKFNGRPPSLRIYLYQSHFRLNDSQETLSYASPMRELLDHVRHKTVPHNML EDLHAMGVQFYDSCLIVEVHNFRSSGIKPKEDTNSSAEGSGADPFSIHNNNNFITPSP HAMHPALKANAKVEPADVQNPGADAKADKDVDKENMPAPGQPASQKQGNKAKITTAVL FPTPQSHLADLTQLANTPLPDVATLRRNQAAARAAGNPPTPLTAVPPTPSALAPGRSP KRQKMILDENNLHEFESAVLSETCPKLYLEPTKSFGDTLALMEANTHPNNQHSPPARK TRKRTTAELAADEAEAADMQRYMLAGDEHQASNTTAAAGGDESQHAVRAGANQQTFSR FKTLAAIKTNHEEAERRKKEEEARQAQVKRQAQQDAAEVAKRRELEASHQAEQQNAAS AMLQKKQEAMARQQQQQQQQQQQQQALAIQMANANAQVSQPLSATQPQFSSPVVRQQT PMAAAASPLNQVHATHPMGGTPMVATSSNHAAGSPARPPSAISHHPNAMARTVSQQQH QNLSRTGTPQILQGTPVMNPSMPARNISSTPTPRMNNQGSPNMPVPGATPIMMHTPQP GQNMTPEQMQFLQTQNVQNQLRAQGMQQANISPSNHQQFQQAAFQRANMHIQANGVPQ GQNPQQYRQVLASRFYQQLQQQQQQRMAANMSPQGMPQAGTPNAGQTQLANLNLQQLR QQYTTRKQQLLQTFGQNVPHQHLVQMNQMEAAIRQREAQVQQQQQQQQQQQQQQQQQQ QQQQQQQQQAMTQSGQMNMQGMPNHINMQGGGNPQASVQMQQYQQMLQQQRAQQQRQN QIMQMRQQAMQQGGQMNMNNMPAGFNVNNMGNMGNEPG CC84DRAFT_1262629 MSLSSVLFLLLAGAQSSLSQNAPYMASTPATASQTSSGPSPLVT QVQVSVDDLWDLYVGPVSTFSINTTVQPTPIPSSSLIPPPPLYYPSFPTGQQVPLQVK NESWKFPKNFWYGVAGAAYQIEGAAKAEGRAPSIWDVLSHRVPNYVVTNETGDVANNN YYQYKEDIARIAALGLNTYSFSLSWSRILPFGSGPINEQALAHYDDVIDTCIEYGVIP QATLYHWDLPLVLQNTYGGWLSPDIVEDFTNYARIVFERYHTKVHHWFTINEPIVFCG FYPLPKNYFKQTIIPDVRQKFYCGHHALLAHAAAYHVGKSLNSSLSISLKHNGGYKIQ RTNSSEDALAVDRAWDFQEGWFSDPVFLGGDYPRYLKEYVETFLDPFTDEQKAQINGT ADIYAHDAYTSDFIFAPDSGISACTKNESHPLFPSCYNSTKTYANGYWLVGPAADPGS PWLNKATDWVPAFLHYMQKRWNPQGGIAITEFGIAEPYEALRKDLPSIVTDPLRSAYY RDYLEAILIAISEGVNVVGTLAWSIYDNFEWSQGYSVKFGIQYVNLTTQERFFKASAF EYVNAFNVYLEK CC84DRAFT_202142 MRFGPSCILKMAEYGTARHQEQDYALADVFESAPTDRTLAPPRC ICQCYRALPWTETSHIKSSSASTDSLPKATRIHQKYTAAIPNQSLQPHIRIPPRAVSS ALYHSASESSLSTYSPLELSPLSSKSISRASSSTTVASLSAWDEDIDDGIDELAMPHF PIIVIWIFLLLEAAMLVLIVALTCIFVADVVEGFCTYGSLKVWEVKRVLDEIPQCT CC84DRAFT_1208696 MQFKQLAFVMPLLAIASASPVAEPKPEAADVAARAQLEKDSDDI WLWKKREAAQLEKDSDDVWLWKRADDSDDVWLWRRGQEATIKRAKLAKDSDDIWLWKK REAAKLEKDSDDIWLWKKREASEKNKKSKLVKDADDIWLCTTTPAPAPAPAPAPPAAP ASPLPGSSLRAAPMAPPPLAALSMPPNFLVELGRLDPDVPFRASQAHIHHTWARTFHA RPELYLRPQSVAEVQKIVTLARRMRRRVVTVGCGHSPSDLTCTSAWMVNLDDLASVLD VDQERKTITVQAGIRMHALNLAAREHGLTMPSLGSIDVQSLAGAVGTATHGSSMKHGL LSDYVRSLRIVLSNGQAVKCSASQSPDLFRAALVSLGALGIIVEMEYELSDHSDIEWA QTILPIDHVLSLWDKDLWTSHEFVRVWWLPYMKRAIVWKANKTDKPHLKPAYNWYGGS VGFHTYHILLWISQYVPRFLPWVEWFVFGMQYGFKDGATIRAVEEQRTGLLMNCLYSQ FVNEWSIPLSKGPEALTRLTKWLHGDEHGSAIPFSPEGLYVHSPIEVRVANTVGRHPR PFLDTSCPDEPSLYLNATLYRPYNQDPPCRERYYQAFEHLMKEYDGRPHWAKNFQSVD HNYLSKAYGDDLGDYLRVRNEVDPDGMFLGAWHRRTILPPKAELATFPLEEREVVRRQ RHTGGVDWVGEQARWYDGGVDVFEKNGSESGESFDLMAGAEAEASVLLESLRDDDEDL EVEMRRREDTEDTLTGTSVFNKM CC84DRAFT_1189874 MTETSKDYGLVGQPSEELDRKWSSIMQYFYAEIPKEYMEKLGRT QEGIRLPNGNYLANYAFIHQLHCLKRLYQSYFPDYYWPDMTEEETELQHEHSLHCLQM LVEQVMCKADETPLTMYWFNESILPGGNRTIAHECVNWDRLLEGMEKNKVDPFTPGLL VHPKFGPVLPNGRQTQLDNRIGYVKHATPLDRTQWP CC84DRAFT_1208697 MATATIPAFDLSRPRLRQTSHWIRDELDPLVAREGPGLLRADDV LTLHDVFQALKTSSSVTALDLRATGIHRAVMEISGIATRWPGRLADDCDDLIDLWRGK FGRLEDLHPFLYGRGGRLEGIASIEETSKIALLKRWQNSCPDRISPKRARRRGSLGFH PGSWWINTLFACHAGIIDVDTIDGGVCYDKYGAYAMVILEGGELDAPTESTLTYRCGK DDRGRFRLTAATPRSREPLRVLRSHSVNSMWGPKAGVRYEGLYRVTGWAVHQPRTSSS HVGQKTRLGDVYFDVHLERCDPVPVHEVAKSPTASELDDYSEYKRLRKVHRDLRNKAN AASVDATNMLPVPVKVAPAIPPPAPAPSGKVLSFKVSPVVSRSTTFTWTPGKEPPGAS QYVSGGSPTITTEGYAYVTPSQPTIVSIPAAIPFPLRAETQRSEQLRLHTPPGSKGTV SIASTSKSRQPITEVAPWIDQELPHLILPTNADLALIKRSTIRSHVVTDESDSPISAA RTSNRKDSGDEYSVLLHHTPSIRDLDLAIGLRAKESSKSKLVRSRNPVAKLFDGTEDE ASSPNDYFPLRRPRAASAQLKHPLVASRRRERANSTEHTPQPVAPIPVRHESSSPLLF KRRDALVFPYGYVVSLLAPPDQSSRSFPCYQSPETASTQEPPTACTGSLLTSLKDFIG SRLVATTSDEPTSPSRAVQTDIHSCVGHCDALPMRAQTPPRPQDLSGEVQELEITVAF CDPFQSPRRKHDTLRNRSCNVSPRTIA CC84DRAFT_1208698 MSLYYEAAAVLANSENAGGSLKSRIYKNKDLKTSPAQLFALISE ASKWSLVLKDVIERCRLLAEEKKLTPTLALLLTHDLLLAKSGVAAHANHVLKLAVLRH KARLNAEFTKARIRRGYATVDALRQAVEQGELEGSDELSKSLYRHPRWVRVNTLQTSI EQQMATTFAGYEKASNIQEIQRASASAKMYFEDPHIPNLLALPPKVDLSKSEAYARGA IILQDKASCFPAYLLDVGPDDGDAIDGCAAPGNKTTHLAAMVSQRNSHAKRPKVFAYE RDKARAVTLEKMVKRASADSVVLIKPGADFLAANPESEEFARVGAILLDPSCSGSGIV GRDDAVKMHLPVPGVGGALVATSQNNRKRKRDHETTQGSKTFSISLDDVVPEETPLEG QLSERLTALSTFQLRILTHAMRFPKAKKITYSTCSIHFQENEGVVFRALASKIARARG WRILPRDRQVQGMKDWNRRGVLETEDLDIAIGLDRLHKEEVRNACIRCEKGTEEGTMG FFVAAFVRDAEFVSGDTSSHAGVAEEEEEEEEEEEWNGFSDGGETIGATSMQQESPGA LKSPKRKRK CC84DRAFT_1127407 MYHLFKSIYLHATSKEEYSILLLGLDNAGKTTLLEQIKGTYTSL NPNLKTVPTVGQNVATISLPPPSPPIYLKIWDVGGQHSLRGLWQSYYSSCHAIVFVID SSDVGNASLAEMGGGGINDDQGRLDECRLVLESILSNDETSGVPILVLANKQDREDCV EVVRIKEGFVRKVFEGEKGGNVRDSRVLPCSALTGTGVKEAVEWLCSRVQWNKEARPP VMR CC84DRAFT_1221081 MPAPVDHSIEDWDQAGPYRPLNPPPSGTPPEGPQQSDADEHGHR RYQRRARRPASSCPDAGNRDRQPSPSPEYLDYSDSRPERAQYRERSPQSGRSRASRRE RRGREGSRLAGPSPPAGHADEGRYRSRRSSSPRWRSPSLHSSRRCDLSQTYPAHQEYD EYEYSRPRAARTHPHRQRSLTPVFVRERRISSTNVPETAYPLRAYTNYIADNHAAKRR NTPYNRHLPVFRNGDGKVNPSAYGSVLHYDFGLCYCTFRTPYMCEMGIRCPWRHHPLS SREKQWIHEIAHGKGEQFTEEADKCWATPDVPVPGHNMIEVMQQERDDQRRG CC84DRAFT_1153534 MKKFYGLTGTKLNIAIAVIAGTDFALFGYDQGVMGGLLTLGSFL KHFPEIDTAHPPTGTTASYTSTIQGITVGAYTLGCFFGAVATIWLGNMLGRKRTIFVG SAIMVVGAILQTSSFGLPQLIVGRWITGFGNGMNTSTVPTWQAETSKSHRRGQMVMIE GSLIVFGVMLSYWIDLGFSFLEPSTISWRFPIAFQIILALFILALIPGLPESPRWLVL KGRDDDALQVLCALSDLPPEDKKIQSEFQAVKDTVFEMQKGGFRDCFRMNKNRNFHRT ALAYVNQMFQQISGINIITYYAATIFEQNIGLSPFLSRLLAACNGTEYWMASWIAIFT IEKFGRRTLMIFGAAGMSVSMCVLAGTTSKVSDSMGIAAAVFLFVFNSFFAIGWLGMT WLYPAEITPLSIRAPANAISTTANWIFNFMVVMVTPPAFANIGYQTYIIFAVINAFIV PCVYFFFPETAYRSLEEMDEIFHKTTNPFNVVKIAKQMPHRYDKHGELLISYEETEEA QMYAERRRSSVVAAEARRASFAAVNGEKEDEVEHRE CC84DRAFT_1153537 MASITKFLAALAVFLPIVYGAPTQAANALHPEILAAMKRDLGLD AEQAHVRVARELKATEIIEQLKTSTGSSFGGAWLVDGELNVAVTDEALTSEVSAAGAT PVVVSNDLSKLQEAKAALDKLDIDATLGRKREESGIASYYVDVAANKLILEALSGSTS QAADLAKQVGLVESEFEVKVVDSMPTTFVTVRGGDAYLINRSARCSVGFSVTGGFVSA GHCGTTGNTASTTSGTTIGTFAGSVFPGSGDYSYIRGTSGNTYSGTVNNYSGGSIAIS GSTATAVGGSICRSGSTTGVFCGSVRALGATVRYSQGSVTGLTQTNVCAEPGDSGGSW YSGSQAQGVTSGGSGDCTSGGTTYFQPVNEILSAYGLTLARG CC84DRAFT_202637 MHLSSYLALLGACGGAWAAPVEQAPLAGNGAPRVFRAKTPYTPD HRDPYDRKVDSIGDKLNPLPWRNGDGATMLGPQNKERQRQNPDLIRPPSTDHGNMKNM RWSFADSHVRIEEGGWTRQTTIRELPTSVELAGVNMRLDEGVIRELHWHKEAEWAYVL EGKVRITALDTEGGSFVDDLEKGDLWYFPSGHPHSLQGLAPNGTEFLLIFDDGNFNEE STFLLTDWVARTPKSVLAENFRVHPEVFNAIPQKEKYIFQGRQVGSIDKEIPNGPGYK KSKLQFTHKMLAQEPKNTTGGRVRITDSTNFPLSKTVAAAHLDIEPGAIREMHWHPSA DEWSYFIKGRARVTIFAGEGNARTFDYQAGDVGIVPKNMGHFIENLSDDEPLEVLEIF RASKFQDFSLFQWLGETPKKMVVDHLFATDKKSGEKFLKAIEDAEEDPIKDTDTSGWD DEQDKEEL CC84DRAFT_1153544 MNSNAQNHRDRRTDEETPLLGGPGPAKNAHAAGLGTKLKKHFGA NVSKNWADLVLLFCYVITGLLDSSAVFIWGSFVSMQTGNTVYLGLGLVAPSEGIRWVK ALTSITSFCLGSFFFARFHRFLSPRKRWVLVASYTLQMLLIVLAALVVTYGHDEVDDK DHLHWQVLVPLAVVAFQSSGQAVTSRVLQYGGLTSVVLTSNYCDLFSDPNLIAVSNVE RNRRVAAPILLLIGAMLGGVWAHSSIGLAGALWTAAALKAAAVLAWVFWSAEPDNA CC84DRAFT_202346 MSVSIPRKQLRLEQIEEMELLSTFPRPPPGPRSPARLSLQSPGL TPRPSNYGFVPTTTAKGDTDANSLYDEPEPLPPPSAQPAQDILPSLPTPSKHDPELQI VLDHPSRVYAPGETITGYIIGWSIAEEHVRIILSGQITTTLQAPKAIYTNHTPLVLQT KDLDRDLQSSMPRFELSILHVCDLPHDLNDLTQAHELRHKYWTTKWPAQNPFENDAGH PLPPSMYMGPRSASRLSNAYGSVSTAYTLIAVRSIRDRSTNALVTNAHFQLPITLTTR RLPISKINLLEGEKHHMTSNLSIQTAALTKERKLRLREQLCDAFNTSAPTFYFSIKGI APRLSVPGANIKISVTVEVLAPPPGKLYNFPIPDITVASMKFLVRSYTGIRTFGSESV QTTSAVNASGIPCRKETFKETAFAQTQTPTNATFIPQKGRFDGQVCIATIALPKEVLP SFKTYSAWRGYRLECALRLKVAGKDAEAKFATDLDVVAGGGTNFERTRVPTDEGMSRQ TVDTIVGAYMVR CC84DRAFT_203345 MSLPVRSPTVKEPSLEPPLPVDSSHDASTATIAPISSNQTQQSL KTTPISTEPVSASNGTGAPSPSGSVASSTSIESKKRAHAEVEDRQTTMTSAKRVKKAA ASAGTKPARKGAPPAPRVGTRSSGRARKAPERFENLASPPKPATTTARKPGGRVFEPV YITTNANSRLKKIDLFHMLLKANAWTCLTSEQKLDILALLPLNPINVKLANDLRAGTA AEDARPREVSLNFNLFRTDVAKFKEDLSNGHLGKTWQASAEQAIKDRAAGAFDDWKEQ EAELWWGQN CC84DRAFT_202983 MAGLVLCASLETRELDPELNEVINKAKLVQRRLNRNSEPQGSIE SGKYTYHYSLNDRGDLAFLCICNRAYPRKLAFTYLSDLQTEFENNYKPDAYSSPTLRP YALNGFDKYIQQTKETYQDTRATQNLDKLNSELKDVTKVMTKNIEDLLYRGDSLERMG DMSSRLREDSRKYRKAAVRINWELLLKQYGPFGALGFIIIFFIWWRFL CC84DRAFT_202349 MSQPQIVLFPGAWHLPTCYSLIIPKLEAAGYTVHTTQLPSVTDK DPPKDLSADIAVARAIVDKAIGSGNDVIVVCHSWSGIVVGSALVGYSKKEREEKGKEG GVVRCGYMCAFLAPEGLSLTESIQGPPPTWWTIEGEHFARLTDSAGDILYNDLPDSHR AQWFEKVSRTHSVHSFVAKATGESWKQIPTYYLICEDDLAIPVAGQEAMTAMVKNMGG ELRTERLKSSHSPFLSHVDETVSWIRGVAGEDA CC84DRAFT_202399 MKLPAKLGLKGPRTRFDEFQKLHVLATETVHFVGAFLPFHRYLM HAHEHILRTDCNYTGAQPYWDEPLDAGNFSSSVVLDPVAGFGGNGTGPKNCITDGPFM DYVNAIGPFQQVSDHCIDRKIDECASAQAAAKNVDQCMASGNYSTFWPCLENAPHGAG HGGVGGQMTNLYSSPGDPLFYLHHTYLDKLWWEWQNLNLSARLTDISGTNKGILGGFP GFPGFPGNGSFPGFPGNGSFPGLPGNGSFPGFPGNGTIPGFPGNGTGPGFGGCPGFPG LPFPPQTSSAAGVTSSDFAKSDGDPGDVTTLGHVLTSFGMLPNATIADVMDTQGGLLC YEYV CC84DRAFT_1179654 MPDRSNHHTRDIFVSVPAKVSWTSGDVVRGSVRVKATSRPLRVN ITFRGRTKCAITRSNGQSSTTYKEKPEFFSRTLELYSSPTSGQSYDIICALRGVTEDG RVELPFEFTWPERTEILPGSKWLQTPFFEHEHGGPLPPTYYRGGSNNQLVEYFLEARL YTGGRYDASQEVRCPLTYRPSPPIPDPVPLVPKVNTIYSLGGITTRTHRLHPEYDPDE GWRARIKHSWNKDKATTPYVRYKIDVSCPSVITAGQPIILSLSLNHLERSKEIPDPPP VHLRRISVRLSSHLRVRIPSRSLFGSSDMNDNHNDKHIFLDKSFNSGEGLLIFDGMDA ITAKVPVLLAPAFKTYGLELTHKLKVELWGECAREKFRFTPVQGPILILGHRSTPVDD LSPPGPPPILNAKGADGAAEAPPAVEEDTAPPPYQSMPTPGSTMGSSQISIHVEFTQQ VCGALESVISRTGFAGQPEAIVDQGRSAPVSSANATTKEYDVRDTESVFRNDDKSFSD FKTASASSDDESWVYINCNRSGTMESGMADLATYVDKPAFETFADSDSASPLPTSPIS RGYLRSTQHLEAFMHPTDFATESSTLGDLLSFESATYSDYAPLVDPDSGAPSSAQARH ANLEVFVDRENEELAHVVETARKVPMVVCTEAAPQHDSHSKPTSQVNTSAIVAPHDAS HHEKHHRTESWMDEQRQAWCT CC84DRAFT_1179655 MKQSASFDVIGTCFDFTAPIEAIHSRLGPKLATAKTDPKTLFFS WFYAAQRDFTYVSLAGAYKPIAEILRLTFKRACRIVDLPADAVSDEDVAAIMKAFKGM GPREGLKKCFDGLREAGWDVYAVTNGGVETSLAYYHNAGIDLDENHLLSCDALQVAKP DVKVYENAQNHLSKQGLGTKDDRRRWFVAAHAWDLIAARQAGFKTAYLDFEEHDPVTE VFGEFDLYAASMDELLAKLQSVS CC84DRAFT_1228758 MASDDLVWSVIGTDFCSFKLKTTKDQVFCRNEHNVSGFCSRQFC PLANSRYATIRADPKTQNLYLYIKEAERSHLPSKWWERIKLPANYTKALELVDSHLQY FPKVIQHKNKQRLTRLTQVNLRIKKLAKEEERLGERLVPRLAPKVRRREEGRERKALA AAKVERAIERVLIDRLRSGAYGDRPLNVEPNVWKRVMKGLEKEGAQRDEDLDDGIEDE DEVENEYEMENGAAEVEYVSDIEGESDDDLEDFEDWVGGDSPDEASDDDENDEDEEGS ASDDDDDESADDTEALKRTLANLKRKRPAGPAPKPKKKPAKDGKGPRREIEYEIEREP ATRELLHA CC84DRAFT_1198774 MRAIVLSPRAIHVVRQSCPIALRYRGTCATETARSHRNAFTNKT RRSLSQSYRRPSQSSHPSTAEPTIYALSTASGRAAIAVIRVSGPACKRPLPEPRYAAL RKLYSPNAPAATSTVLDSGALVLYFPAPHTVTGEDVLELHVHGGPAIVRAVLAAIPQC AEPATATNKAIGSIRYAEPGEFTRRAFANNRMDLPQIESLGETLSATTEQQRVLSVRG TTSTLAARYEQWRKLLLYARGELEALIDFSEDQHFDESPATLCASVARQIQSLSTLIE AHVANAVRGELLRSGISVALLGAPNAGKSSLLNRIVGREAAIVSQEAGTTRDIVEVGV DLGGWLVKIGDMAGLRKAGLVGAEAVGPVEQEGIKRAKQRALQSDVLIVVQDVTADMD PEVAETTKQCVELGVNVVVAINKVDRLDDRRLADSWKTKLHASLGIPTEKIFLVSCRD AEELTREVSNDPGHIQVFLQGLLGTFAEMTAALVPEADPDPSIWQESLGATERQRALL SECLSHLGSFLATVQNPLQDQTAEDYEESDSIDIVVAAESLRLAADTLARITGRGEGG DVEEVLGVVFEK CC84DRAFT_1179658 MAERRPSQRSLFAVPAGADAWTMRGNDCTRMCACSESPWPARLR SESRAATPARVDTPRISDPFAMPSRAYSCTRRVVSAWWSGGPSAATLSPAASGDPHGR PVSHQTCPLDAGSGTMQPIPMRHALSGPGAATAISAQAAGDCAAPTSLPVHCHGPIPA AATLSHPGIVVSISAPKSTTTAIFSSH CC84DRAFT_202300 MGHEGIGWTAVSCALIEVASSAQASGCGADVFRNIYLQHPARRI DSFQPCFSQALRYRIDKPLPRALVFTRMQHTFAQRREMRCVSSRCGQFPCSRSRQAPK DRLDRYTVHCVGTGNMRKARGMGLHERGSIPTAGRSGTDCALQT CC84DRAFT_1208710 MACKICHQALTFAARGEVERIERPVTDLEESARTCKYCHVIHCI LDEVDLDTVESFYIIPLADYIAVVPWAPRGSICLTVNWKAEHPKNRLKVRSYANFVMR SLAGVVNTGSDVAIAWVTDKIKECKESHKKCNLHKDGTLPDRVIRIGQADSIIATENW YESDVRLYETSNEKLPYVALSHRWGDEQPLRLLKDNLNLFQKNIDWSKLPKTFQDAIV SARKLGIEFIWIDSLCIIQDSKEDWFEQSGKMAGIYEHAAVTLAATVADGGRAGCFVE PSPSLRGCVTDGKTKIVVDGNEEKIRQLVARASDDSPIVYVRQDTEHDSPGHWASDRL PLLKRGWVYQERLLSPRIVHFGSVDLIWECNERIHCHCGYYQPSGSRNPIGHPIKPQH AMCLVADGDIGHGLHARWVQLIEEYTALDLTFASDRVAAIAGVAKQFRRGLSNKAYLA GLWEESLLTGLTWQRACARQERARPAEPSEGPSWSWLSVTGPVTYPTSRTVTWSL CC84DRAFT_1153559 MRFKAQIHNIHTFTKLTASLNSLGPLAWLKLSDEQVCFTIIPEQ GTQVWAVLSIDTIFDTYAVQSAANNVINLEVPLGPLNRALKSALNATSAQIRLTKKDN IPLLALTIATTSSNNAYSAFPTSGSRDDDTMFDAQSGGSRETVITQEVPVRVLAPDTV SGLHEPQTREPDVHIILPPLMQLKSISDRFTKLALAGARTSSGAAATRTRLDMAANMH GCLRMSIKTDAMSISSVWTDLANPELDPSQVANGDIGIAEHPSTRMKLLGSADGRSEE GWASVRIDGKDWAKVMSVGRLGGRVIACFCHEHALILYVYLPTDGGEDESVLTYYISS YSA CC84DRAFT_1127437 MKVYILLGALHWALVTRAAYDRNRGGAVLKAPADDAFRTVSGTF TVPANLTGDNRLSIWVGIGDSLTQTYVVGGGVSFNKTVSTWSAFFPGAPTDATAEVPA AIGDNMTITINVDAGAAGLVTIENLTQNKKTTQTASAPPSAAPTALTALVADWWVQAY QVVPGELVKVPEFGTVSFTGISAITQKGITVPFSGAGAYEIQGTSGEMWSNTTISSSG ILVRRRNGPGCDASDPCGNQ CC84DRAFT_1153565 MKRSNAVFLALCVPTHALLRFQCAQLVIDRLDPLVTPGMAPSPH LHQILGGNSFNTTMDPTKDMPGESTCTSCSFSEDFSNYWTATLYFKAKNGTYKRVTQK PNAGFDGVNGGMTVYYMQDGLYNFNQVSKVTAFTPGFRMFIGDVNAKTKEEAERFRQL TFTCLQTIGTRDPQILDFPSEPCPAGIMTAVRFPTQASPTCWDGKNLDSPDHMAHMSY PETGSFESGGPCPATHPVRVPQLFYEVVWDTAPFNNRDDWPTDGSQPFVWSFGDATGY GNHGDYMFGWKDDALQRAMDSPCYVNCPTLKTQDVAAMNKCTIERRVDEDIDGWVTAL PGGHQASYVKKRWD CC84DRAFT_1208713 MPKKAKQPAADNMAKSRFYEHMSTSSIRPPPDELWKDLDLGPLI DRFNAECLATMPAPAWSVADDMAAAAAPAAVTQKRPAPASRTPSATTTSRHSATRTAL EPTSANEGPFSRLSRALTSWFNGASSLGKRKAGSETAEKAAPDTAAVDRRKEVEEAYR VAKEHGLLPTPKVFVRPALAAHRARAMNSSPAASASTSFVTANSPAVPSLAASTSTYV TATYASTPVPATPTPNGFTLATTPRTPALYKTPSKRDLNKQRKLSKRVSDLEHKLQEA RKELSLALGPHNLHPVPPLPVLPTNLPPTPTMSPHEAPSATPLDFKIVKKRKTTREGD HDGEYQPIATDTETETDFSLAQTSDSDAQSTQRSRTTPSKKLVKKKSFTLKKRSTSGK SAEKEHIVTVVPDGLAVPPIPDIPNGVKGKRAAVSDDGYGGLEHEMF CC84DRAFT_1153569 MNTLGNGAPAVGAAEVAPRQKQFEPAMMDGLSSSKVTVEYHDPS GLFPLVQEQLVARLPLKNLHWKASTRPLRSIDSLHVDLVPSADTASQAEIAASTPTST TTDQGKGVSKERRHQIPGLRQTPYLKVYILRCDDSDTYKSTARKQVREWVKTHTPPSQ SSSSASKQENHDAFEWMIVHVVLPDTPAASQPRGSATSASGEKEKSNLASRFTKGTTT LLEKLRADFDTTSKSAPERVAQVRLPKDSVPPHMLPQTPVTSPPITEGPQEQERAWND IIVKFKQLILRSFDLRVGQYEDDIREKDSQRSLPGWNFCTFFILKEGLARGFESVGLV EDALLGYDELSIGLDTVIREQAMEGSTAQGGVISDHSDDLYDQASALLELSHNGANKE NEQPPQFHDDSPINANKKDYRGQILSNKISIFDFRTYIFARQMSLLLRLGNSQSVRSD LAAKLQPRIGASVPQRSVDDSNVGMKTESSSADAEDLFSLAELCSRALNFITFAGRLL RTDLLNGAKAHETTFPEQFLDNLVRSWTFSALEQILRETTTYSLPFTRFFSEAGNRSS SKTLPFGKNAKEQKIAMSEPKSMIHPSRSSSLNHGRPQSVDTPYAQAPSSAQTIFENG QYQDRSTPHQEGSLPQIKNGQQELAGARAQLLAIQRRILERVGKALGWNVGWAAVLAT VEPKEEFNNVDLDDQNSASNEKDSSDEEDAQPEEPISEESIAGLAAPALRNAASGLDR FRQSYEALSDLIVKHYMAAGQTKAGESVLGDLAALRFELGDFAAAAMYFGRMASTFGE SGWNTVETTMLKVYARCLKKLNRKDEFVRTLLEVLAKSAASKRSMRAHKSGASSTEAF QTPKDWFNDDQVNTAGIFSELVDYSQQLPYDVNVQMAKYFNDIVVEPYVRHFDGKDGF QLRLQFRNLLEDEVEFDMAKIRLIDAVSAQGKDIWLQSTEAAHVAKGVCRVWLSSNVN TTGAYIVDKIILQTKRIAFVHEPFTKAEATTPLGIITSVSATSLKSAKKNRVLCFPRT EAFEAWLFLSHFIHIDKPRHIEVTCSSGWNEIQRTEIRLKSASAGLRLRTANATTTSG DAQIVEKPSPGVLRTGGLAANSTVTFQIPYETETMLPDLTIKMEIDYYTENGQFQYHS SFTIPVELPLDVNVHDHFKNASLFSKFNIKTASQVPLEITDVSLEGSDEYDVVAPKKT EGPFQVFPKQPVAVTYKVTKKGVEAGGRRKSQVTNNGSLALSVEYRCLDEEVIDRTRA FFGSAVENGPVRRLARLLINTFTERLRHRVLPPQFERVALLQQVDMGSFEDMGWEECI ESLPQTVREDTRSWLQKWHQNHKSVLLPKTTDSETTVPGAAPPSPNPSRRMIITVSIP QTHILHTASLSLASADSSSSHGSTIAVVGQPLMAELSIKHTRRWCTQSSLLSAANLSK PDDAIDFIYTLEANPDTWLVAGQRRAHFTAKEDDEQQFSVMLIPLRPGTALVPNVEIR AKIAPKSEDDKKKDGTGEEEALNCETDYLTYGECVVVVPDVKSSTVGVGDMSSARSAV WLEAESR CC84DRAFT_1153572 MGMGDYSPGSIWYYAPNKAAPIVFIVLFFTSGVIHTWQTIRHGS WRTTILLPWAAALMIAGFIIRELGAYHPENLTYLIASTVLIMSGPPVYALINYFILSR ILYYIPYLAPMHPGRVATTFVGLDAVCEILIGQGAWRMANSSMTPKQRKLGANLVTAS LSLQVALFGSFGLLAAQFHMRANKAKLLSRDLRIVLYVLYVSATIVTIRCIYRLVEYT EGWDSTIYKNEVFFWIFEAIIMFLNTALLNVFHPGKRLPRSNSVFLDRDGVTERRGPG WADDRPWIVTVFDPFDVWGLFTGRDEKTQFWDMSGEELERLRAEKKLNKRNVLAGAVD PFHLWGSRGYIGKHFKSKRAGAGSRATQTTEEAGKPPA CC84DRAFT_1221103 MAKAESSKAAASNGIKANPNAQGGANYELPWVEKYRPVYLDDVV GNTETIERLKIIAKDGNMPHVIISGMPGIGKTTSILCLARQLLGDAYKEAVLELNASD ERGIDVVRNRIKGFAQKKVTLPPGRQKLVILDEADSMTSGAQQALRRTMEIYSSTTRF AFACNQSNKIIEPLQSRCAILRYARLTDAQIVRRLMQVCEAEQVQFSDDGVAALVFSA EGDMRQAINNLQSTHAGFGFVNGDNVFRVVDSPHPIKVQAMIKACHECRVDDALTTLK ELWDLGYSCHDIISTMFKVTKTIDSLSEHAKLEFIKEIGFTHMRILEGVQTLLQLSGC IARLCKINMQPQLFALPSK CC84DRAFT_1167796 MKGSMLALAAQLVTSTSAFRLVQRENPKVVKLDISRRDVNPIEH DRLRRRDTVEVTLDNLETLYFMNASLGTPAQNVRLHVDTGSSDLWVNAANSRLCSSIS EPCSTAGTYSANDSSTYTYVNSVFNISYVDGSGASGDYATDTFHLSNPDLTVKDLQFG IGYTSSSYEGILGIGYQVNEVQVNRAGLDPYPNFPQKLVDDKTINTNAYSLYLNDLDA STGSILFGGVDTGKFNGTLSTLPIITEANTYAEFIIALTAMGENGNKGSIFDNENVAV LLDSGSSLIYLPDNVAQALYTKYDATYDSTQGAAICNCDLANQEGSLEFTFSSDGPTI SVPLNELVLVMGYQRGQPICILGIGPSGDSISVLGDTFLRSAYVVYDLENNKIGLAQT VFNSTESNIQEIQSGSTGIPSATGVPNAVSSLNVQGGGGRIGGPSVTGSIGGGSSSGL GSPAVTPMPWHAAGAALAGAGLMMSFN CC84DRAFT_1198783 MKSTLVLATLASSALAQYDQNSKPFRLFINVLFDKVTALGTCHQ GAAIEGLCPTGNTHANSSFSYDTFYHATQLDPPSPGIDGDPDGLLLWNLTVNGGEIVP SGMQFSSDLLSDVATPIFYPGNDTASSISFSSDGSMYIGRYRDDTVTPPVQLDPPQKI ENWYICLTRWSYLYYTLIWKIGIKGVPQNPTCQKVQVYREFI CC84DRAFT_1100606 MYLFLDVANVHDQFVEGSSSATYEVFNPATDESVGKINCARETE VDAAVAAARAAFEPWAATPAAKRAALLNKFADLIDANNAELVKAEVKAMGQPATVAGG FIVPATAQTFRYYAGWADKIEGQAFPAEGDRLNIVQYEPIGVCAGIGPWNVTISTLSW KVAPALAAGNTVLYKTSEKSPFSVLVLARLVKEAGFPAGVFNIISGDGVTGALLASHM DIDKISFTGSGPSGRKIIDAAAKSNMKKVTLELGGKSPSLVFEDADIESALTGNSQGF LFNSSQVCIAASRLFVQKSIADKFIDGLKARFQSLDGAVGDPNSPNTFIGPLADKAQL ERVLGYIETGKSEAKLLVGGERKGDKGSFVQPTIFLNPARDARIYKEEIFGPVLSVLT FETEEEAVKLANDTSYGLSATVYTGNTARAIRVASKIKAGTVGVNAPFLPSMGSPFGG YKQSGSGRELGKEGLMAYLQAKSISISLAG CC84DRAFT_1167798 MAPSEALTVPTESGASANQPKKRRQNRVPFASPKSEQGRSRPTP RGSKKKDAWWKTKVFAGMYNDIRRRAPFYWSDFRDAWDYRVVPATVYMYFANILPALA FSLDMFAKTDQSFGVNEVLLSSVLASVVFSLAACQPLVIVGVTGPITVFNYTVYDIIT PRGTNYFAFMAWIGIWSLIFHWILAITNSCNALRYVTRFSCDIFGFYVAFIYLQKGIQ VLTRQWEISDASAYLSISIALLVTVVAYICGILGTSSLFQRHIRKFVEDYGTPLTVVF FTGFVHIGKMANIELLKLPTSKAFFPTTDRGWFIHFWEISVGDIFLAIPFAVLLTILF WFDHNVSSLIAQGTEFPLRKPAGFHWDLFLLGLTTGVAGLLGIPFPNGLIPQAPFHTT SLCVTRTVSDADDEANKGYTRRVVDHVVEQRVSNLAQGLLTLGTMTGPLLIVLHLIPQ AVLAGLFFVMGIQALEGNGITLKMLFLARDRHLTARSDPLMRIERRSAIWVFVALELV GFGATFAITQTIAAIGFPVFILLYIPMRTWGMPRWFTEAELRILDAPTASPFTMESVG GNHGEVIEEETSDVFDEAERGERSGRRLSRHESIVEEGRRNAEGASRRRGSFQTAEGM ELDNIEKV CC84DRAFT_1221108 MRFSNIIFTLVSAATILAAPAPEQDESLSDSVLVCTYTEQYFKG ELVKIRATRAVDDYPDQDGGTAVCSSVKPNFASSISLANLQHPGWDGLRPSACKLYSQ VAAPCHRVPI CC84DRAFT_1127462 MSYTQRETPILAELCTFLVEDMYGELAARVFSVLARFGRQRRVE ISKASYLSSSQISLGLVILTQQHLVFHSPSTEATVFYDIDWQQSYALVRHAKIAHFVE ERFNKKSANVISNLLTLGHTSLADLRDAYFQPSQQEEGAVNGKKPNGIKANGDTTING QTSLVNGTEHDDHDDETLASPEELYGIIQTLMEHGWVIQVAEAQYLSAEDMHNIARQQ ALNDQWGGSVPTGTKDKDRLAQLIMANKRRTRDAWLEVPNFSSRKRKANESDYGSSKR TKLNGEGQYGSAGSYHHSPEDDLVIRVNPEKAAVAMRNEYLVSLVEQRISYTTARVYE ILLRSLEKNIPRCFEEHPDPPPANIEDQPQWEPNPDHVVNTKDIAQRAKILGLDICNG LDPAAVARVTKTTSNDKGVLAQPVDHSSLSFHERSEIVEAHLRLLVDHPLHFVNWHAR GQYRVDFDLMAKSLIQQEIENTVLARKSTVAMKLLRALKKKGKLDERQLCNTMMASAN DIRGIVNDLTVQGFVQTQEIPKVDRREAKLSLHLIWYDMQRAREKLLHDTYKGQVRIL QRIAFEREKIQELLTKANRTDVAGNETKYLKQTELDDLKKWKEVQEKLLLQLARQDDL VAVLRDYCGPLLTA CC84DRAFT_1153589 MFSRSTACRGAQRAARQAVRPSQRRGLAAPASGSFQYQAGDAKG VKFASRDYAGATTTLALVAKAGTRYQPLPGLTEALQHFAFRSTDRRSTLRIVRESELL GAQLSAYHTREALVLEAKFLRDDLPYYVELFGEIASSTKYQPHVYFEEVLPMLQFSHK RFLANPLDMAINSVHGLAFHRGLGNPIASSSSHPYTKYLEADTVEWYSKVAYAKPNVA LVANGAEHSDLSKWTNEFFDGLPASPIEQVTTGTEQTKYHGGEERIAHASANAFVVAF PGSSSPSGKFYKPEIAVLASLLGGQSSIKWSSGFSLLGNAKASPSTSVKTTSAIYSDA GLLYTAITGNAEYVAQTAKTTVELIQKIAAGDIPQELFQKAKAAAKFTELEYGQDTTA GLVYTGSGLISNNQSYQIDEVAKAIDGVTEEAVKSAAKSLLEQKASVSAVGDLHVLPF AEDLGLKV CC84DRAFT_1167802 MPQTLYPRGTVKKIVKAHANRPLSKNADILIFLKYALFMQEVLK EAGIASKRSGERGVSARSVRKVREGCLRKFKG CC84DRAFT_1153595 MADPSLLHNDATARDADTLTASNGTGSLHAHCCTSFLCIDEALG PAFASADSSRFAQTSLPLRQAPRRGAPPQIHDVTEKFTRACQGKSLDVGQLVKDEYFT LFESIGAIEIMDPKMDSGFLQPGETLEDDFDPLAPLLPQELIGIMDQLLSYEMAWHTG YPLSQTLFTSVYIDRLLWPEPKTIPQAQFYRGDISDDKRPGTLLDVLRAYCLALIKGC DYVIAKIMARDYFEEEDFATHTYNRVLFVQVPIDVFLRELDASVEMVEDRDLEIDGGI RSAIIARLQFRKSFLCALDPECPLEILSNFWPPVLPYIDQVNDTHTLGKTVPGSFSTK IQRRLASTVPPRPIVQVEFNDALQTLKQLCADCEEATRFKDLPTDPLEYQSFLWTFAS RQPAPLTYSRSYLSNILFDPYILNAPVSLPLEDIKSFVFHESPVLDPSNWALSPPRNP LIPKPPRLQFALLIDEYVERVGQPYLDLWVALGQNRCRLRRMLTHVISAWDILQQDAV FVDTDLIACAFQLNISEEVMDGPLQTWVYIKKLWMIERVIILGFEQDIYLPDEHSGMY YFLSLMATKRKGALQRCVEHNNDRTVQFLKGSRFEDAQNTSDKLSFIDSELQQATGIS AFAKALYGIYTIASYLRLLPSPNRPFSTEKLRYELRMKSFLSLQPPEVPPFEEFQAAL QPYGTISDPLPSLYDDLKQADSKVWSEIDASLKAAKDAFAKVKRYGAKESKAGGVEQA WNKETQGMLASCIALGVAIAGLKNAVKDIEPSSGVQVKVEIPPAGADKRYVEGWIVPK IVKE CC84DRAFT_1167804 MAFAWKAAGLSYNRYIAVASRAVRRSLKEDKRIAAERRGESELR FAKWSNGKQGEAKNLNEANAAMAEAAATSS CC84DRAFT_1208724 MFRNNYDNDSVTFSPQGRIFQVEYASEAVKQGSVVVGVVSKTHA VLAAIKRNAEELSSYQKKIIPIDSHFGIALAGLASDARVLSNFMKQQSLSSRLTYDRA IPLSEITSRIADRAQINTQQYGRRPYGVGLLIAGVDAKGPHLFEFQPSGVTQEMIACG IGARSQMARTYLERHLDEFEGSSREDLIKHALRALKESLSQDKELTVDNTSVGIGGIG EDFKHFEGQDIAEWLDATFENREGGAEEGGEAMETDS CC84DRAFT_1198791 MAGIKTIIGLSFVLAIGFLLVILSAALFHNYLTLLVVATYVIAP LPNWICGRAANPDDFMESAGSGVVDFGRFLTGFFVVMGIALPALLYHSATIQAGAAAM SVVGGLLIYGTIISFTLFFQEDQEF CC84DRAFT_1127479 MSSHRLFRFPKPAWLNSANTRTAGVYAAGALFSLGFFLLIDVAS WSKSPMNPSDPAVHVTFVDWIPGICSALGMLVINSIDKSRLSADSFSYSGNGVAWKAR LVLFLGFALLAGGLAGSVVVLVLKYIVAEYVWPTIWMGVGNVVANGLVMLSSAVLWVA QNMEDEYTYNLAL CC84DRAFT_1167807 MAPIKSVKGTKSKGGKSGGSKSGGPAKKAKAGPAPEGISKKRQK SLALAKPGGVQKTKSKVVNGKRKKRVYKESELDLPKLNGIIPAGIAKPKGQKKGKTFV DDSASMMAIMSIVNAEKDKDVESKIMRARQLEEVREAKRKEMEARAEDKASKFEETKK GLKKKRKSRNPELAKEMAKLDDVDDETPKKATKGKKKRVSFG CC84DRAFT_1221118 MAFLFGHAKTSYTHHQSSISLPTKSGSKTSFADLIKSATPPCRL NPFLFNGHLQTMWTAVKDDGPPVYYKRKIYESTHSVYPGQFTVDFVVSKDEGLASKND ETLPERTTFYSENEWTDVGSQDTKPMLVCLHGLSGGSHEVYLRQCVAPVTSTGWEACV VNGRGCAMSKITTPRLFNARATWDVRQLVDHLRELFPNRPLYAVGFSLGANILTNYVA EEGEGCVLKAAVACSNPWNLEIANVALQRSWLGLEVYSSVMGGNLRRLYELHRTELLK AEELDDEKIMKCKYLHEFDRAVQAPSWGYPTETAYYRDAQSADAVVAIKIPFLAINAE DDPISSMEAIPYEEFKQNPYTVLCCTNWGGHLSWFQFGGRRWFADAVVAFLTKLHEEV DVDELPAGKKVEANDKVPTKKYPIFDPCNRRLVLPPK CC84DRAFT_1167808 MDPRYNWPPQARQGYSNGQYVPSSQPNGYAPNGYSMPYGTQPPP MQPGFPASQQGQAHPRIAIPPRPGQQSPPQTAPQQRYMQPQVHIPQRNPNAMPQPQNQ QMRQPQVHGYGDAQRPVQRADGGAAVDRRAQQQRAQTPAKPSHRPQSFQENTPRSQQR PVDTPIQNQNQYRAPLQPQGTPAHARTPTAQSRSPSITQSSSQVRSHPQVVIKTKPST QLQTPTRSQHAPARPLPADLMVLILSAAEEYIGAARSLGSTVAMTLKPADLDQYYRLM ATAMGCMETVLKKYNQTPRDEAILRLRYASLLVEETDNTQDIEEILAKGITLCNRSKL IDLKYAMLHLQARYQFKSNHRAALKMLDQPISEAETFKQIVWVYAFRFLKVSLALQVP GRPETSSALQQLHAIAAHAEPKGDKAIYVTCCALEAMIHLRSSASDRLEQAQRAIASA RSLQLELSVKQLGSITILIDYIDVACSIQQGQPSQEKLTALQSKVDQNVKPDNGAFSV LIERSSAPNLTFSTGGVFRKADDGRDELVFAWLPRSDFGMLAYYLSGLVVVTKEKGTK YLQEGHALTQAALQRSSTVAVSVPKSLGQRNWIKVLDWHIKYTLGIAACYHEDKSTAQ LAVSMLRERASERPFKGLETYARALSYLSGMVDQANGMLDSAIAIYSGSELNLPGVES GTNFNTDIALLAAMNRMLIIRDPSHPQHYLAQILYAQLQPLCLNHPNQYIDCAFRIIQ AITQEESIKGHKTLIHTTTNRAQRLGNAQFVSMCLNYMASKFFANQVGEQPIKCARAA RNVSSQGPVLWRAVAWGACIDTFQRNGLLEDAHNCQIAFEAIRDKLPPALRGEAPDAD GDIDVM CC84DRAFT_1167809 MPLRISNTTFLVSRFRPFKRSFTTSPLHADEKRMGSNLQANQPD SISANVRSDTPNTDQQSSASSEERKSGDDHPAKQPDYQAEPTRKTGIGGDEKVKGGKA GLEKTGDKQ CC84DRAFT_1167810 MAPFDIHVAGTGTNRHPAERSILTLHTQTKCFPTIPEAETSVVS IINSLLDAIAPYCHQTATTGSTKAEDNAPISHYSLKSFETSQGRDTSMSFSKSSSHKS TYASADLIIEFRDFALLARLATTIGAMENVKINCLEWILTPATRATMEAQARKEAAKH AIRKARDYAEVFGGLTEEEAQSKVRAVLVKESFQYTKFTKPKLHLGKANGGRMQKSEW DYEPQDVGVEVKVDGTFTVEIE CC84DRAFT_1189908 MSHANIDSVRWEDSQYPYAVDRLAKLSRDSWHDPGLQDLIAGFP EETRPNAETLLAHVQDLTRRDIKAVYLKQLQGKLWKTGYEDGDLVTPLFDDVIPTLES WKSAGKTLAIFSSGSVQAQLQFFSFVRDGTTTRDLKPLFKAHFDPTIAGSKLEKDSYE KICSNMGQKGAQVTFLTDNIKEAEAAKDAGVYAVLVDRPGNAPLAEQDRQRFPVIKQL TDLP CC84DRAFT_1179681 MASKGLEDEDARIGGFHWQQTTTMLASNVWPRSLGVDLEAETGV ASGGRGRRASSEVVGDGPELLPLSHQKPAAVDGPLNGCGGVVRMSRDGETERSRGRCR VELSEAGAGCEGSLQREASSGRRTPSSGCASASAPPGPFHAWWLHLSSGRTLSLSSVA VDASRGHDAALGAGRSRSHLAKGGGAPHRTTQNWARHRVPAKPMRRSVENCLFVPKPA TFHTLVHTTSPGRR CC84DRAFT_1179682 MECMRDQLHEGVVLNGRYETISPLNHGSFGMVFQAKDLVTDELV AIKVITKSTAAVNCPTAFAVDERSEELGIHLRLGSHPNIVNLLQSFETTNHVYLVLEF CANGDLYEAIRLDKGPLETEHVRDFMHQLVSAVESMHSKGIYHRDIKPENIFLTQSGL MKLGDFGLATTDAWSWEVAVGSDRYMAPEQYDPTNAGYSTAKADIWAIGICLLNVLFS RNPFAVPSASDPLYADFALDRQSLFDVFPNMSQDTFEVLIHCLAIDPEKRDLSRVKEA LDRVISFTIDDETLDDFCTEELVDPVVASANREPLRTPSISTPQLEQGGSFPWAKALA MSPPQPIRQLSVIPDTEIYPDDLYAGHGGRSYYDVKPANPAGSFADSGLGLSIDSGLG LSFKPLDIPAAAPMNIARSKPMPIAASLPTKAFNSMASVFGKKRDVISKSWSDLWDEE DDDITIEEIENSFTPKKEKSFVQSEAGSGVSTPRGGLSELKNPAMVNNSRSRSPKPNQ MSTSHVSEQTGFIFEEHNTAPARYSPPSKRTTADKWAALGDRRRAPQSPQHTPQKPVK TPAKTIKQQQPTPNSARKRSRTGSWRRPIHWGPSPQPENADLFSKPKSKDIDPWSLSK DWRRNHAPLHDDIGDLEWVGGWNDLHL CC84DRAFT_1051004 SNTILWYFYNSPWFDQGSNNNSLLRQVGGTPQQELLFNNRVAFE ERMRTDYPYGTQYVVAYEPKNEGEPWVIQRQEKSVDQETGRTAKTEVTATYYTQGTRI FMAKSLLDVLQTRLLSVSTQLQDLMELSQGLSHFSPATGHTYVPPSFDLAKASSASRG GSRAGSRTGSPVPDQSSQSQSQTQPGAQPTAADPFETFSDAFFLRSLDRTEAYFEEYV DENPLQGEPGSFVLTHSWRNTVTRREEDKKRAEQAAAQQAAAAQAAAQPTQLSISTSQ TQSGLQSSTSTPKPATPATESFSRKASIASQPKPGKEKRRKSKGPGSLASPVTPTGP CC84DRAFT_1051686 MDLLQTVRKEGSRGGRADFKWEDVKADAQRENYLGHSLMAPVGR WQQGRDLNWYAKGDQDKEGEMSAAEKLAEEKRKIKEAEEDAMLRAMGLPVPERASTNA NLTPLGEKAHEADVEKALEEKTKDSDEGDKTKERKERSRRHRDDDEPDRKRRHRSRSR SRDRKEKRRDRSRSRDRRRDRDR CC84DRAFT_1228804 MSEMPIADWQGLAASKREANFKKIPEKWRLSESLTSQFAETSAI SVIDVPATCGLLTPRELDLTSNHDATALVELMTSGKATSVEVTTAFCKRAAIAQQCVN CLTEIFFEEAIARARECDDYLRKNGRPMGPLHGLPISLKDSFNVRGTQATIGYISFLS RPPATSDSNLVTLLSDNGAVYYCKTNLPQTMMTADSHNNVFGRTLNPHNLSLTAGGST GGEGALLAMRGSVLGLATDVAGSCRIPALCCGLTSFKPSAGRVPFGGGVPPGRLGSPG SIIPVIGPIGHSIRDAELTMRTICNSNTWVFDENVLGVPWRSVQLPTRPLRFGLIRGI PKRPLHPPIARGLHSCTTKLKSKGHQIILLDDKVPDIWDSAILAWKFFLLDPRKTPMS YLQQAGEPLVPSIGRTMVKELREFEPSLDGLWDMNLERFKILHAWHKVMVENELDAVL MPGNSATAVAHDTYGLTPFTVLQNLLNYPSGILPHLRAEEELDRQFFKRDAAYEPPYE PKTFEGLPAHVQVMGKPMQDEELMEILRVVEKTLAE CC84DRAFT_205174 MEQQGNMKDGVTDSANATIVNDPRTPGPVRNRSFSEASTVISSD AVPSIKTLSLGDDSSTTNATTALTADEATIAANFAPTKADKPKLPLMRAALIAKAQAA KNGAVFAAPSATAKHSARLKTSNYKPILAAGKGSPSLSRLKQARKEAGQKKANAQEEA AAREKQSQNRPFLENTTKGLLQELPDEAVERLPNEFYELSSKEKLRVLIEAHVKYGKE GLGDMAGEIVGKVKGEESGCILGARYDHNLRIRRRADCW CC84DRAFT_1221128 MMIYDADKPVKLGVLYGIVDITYLAPYLVAAAATALVYDFGREF VTITVLVLYGADLTLHPAEISGLHAEKFTARAKGSGHKVYALCAAMASVTSSSFAIPT TKPSNWKIC CC84DRAFT_1049689 YFPLDISRREIRLLVVQPAVAELRDSPLEEQLSGSGQGDSLKYM ALSYTWGDPEPTFSILLEGMDFRIRENLYEALLHFRPTRGKLVIWIDAVCINQEDEQE RESQVAMMRDIYKEAEGVWAWLGPGDASRSRAAFAFLSDLAHREYSDVRGGYPNVLES PWFSRMWIIQEVVMGK CC84DRAFT_1100047 MDPKSEVNNVEDATPHAPKSRHVNARVVPMQQEAQEDLVHIDLT WRSWMVVFVSCFAIMAQVYVVVAAGSVIAFIVRDLGQPAISGWIIQGPLLMQTVLSPL VGRLSDVLDRKLFASIPPLIAVAGSIICAKATSMQMLIGGGILVGITLATISIVQSIP SEILPLKYRALANGFAFLGGAVGGLVGGLGAGAVTNVNPAGWRNIFWIMAGLHGATSL GFFAFYWPKKRTEYPRMSFKEYVWACDPIGSVLFISGATLMLLALNWAGGAYHWSDPH VCANLVVGIVLVLAFCVYEWKGRTDGMVAHVFFSTGPNFWLATFAFAVEGWIFYSAVN SVTPQIILNLGFETNAWDIAVRQLSYQIPSLTFSLVVTWWATRFKDLSKYNFQTKFLH PSSCVFAESPLVFTYVVFLITTICYANIRPSWNVPQLVFAVLAGIGTSGPLTLLVACI QFTAPHAFLSTATGLAFSARAIGGAFGSAVINAIINGRLNSHYASDVGSAATTAGLPT SSLPALLEGMEAGSFADVPGVNDMIIRAALEASHWSYARAYRLGWWSVVPFVALAIAS VACMSGVKDLMTEHVEATVERDVVDDQGKEKGLEGK CC84DRAFT_205064 MAADTAPAAPAVGQLVPLRTKDEVRASLETHTAYVVEIPARFAN AVKDAIQDAAPDFKAAEISHLRRVVQFKYLPAHLQKQFYPPARLQRGICSNGDDEPSP ASLFTPLPPSDDESCAKAEDTVRFFLLCPTRCFKPADLVAILRKNPPFSVMNIQAKLL YVTVPALAPTSAEQATQWSEQYWPIAYKNTNPYGPHPSLVARNTVEIEPESSSWLALA STAAAEISQLGIGEKVGCVVIDRTRGTSEVIAVAGDCRWRSPHGDAEAHTGPGNVMAH CVQRAIAMVAKKRLRMAGTNPTLLDRSLFCDVPLTEAEKAPYDKDNIPASGYLCVDLD IYLTHEPCVMCSMAILHSRFKRCIFSKRMPLTGGMTADTAGNPLNPGKGLQHGIFWRP SELNWKFLAWECPGAADSDASIADTVHV CC84DRAFT_205672 MLPDEILEQLNSEYPCRESQIQQLAALYNAHLPSPSLLVAHGLT ATGKSSIIRSYLELSSLPYAFANSRECITGRHLLERTVASCLDALDGYYDETIDRRPY SRTENLSSLIVALGRMLEGRGKFVLVLDGIDKQREAPYTLLPALARFGESIPNLSIIL ITTLPIPLELHRPGTPHLHFPTYNRNSLLTILGKSPPKIFLQPPNEEQFPDYTPDLAA EDDAWLWGRFIATVYDALSRHTGRDFVSFRLAAMRLWRPFVEPIVQGTFGTRDYSRLM VNRRALFQVEDSVLDRIIAGNSNLGANINGTNGSVVPMTPSTRRKTRTIAHDLPYYTT HLLIAAYLASYNPSRTDVTYFMKHTDKRKNKRRAPSVTAGVTKSKHRKISRHLLTPSP FSLDRLLAIFRSLLVESVPQVADLYTQIATLTSMRLLVRTGGVGSSDVLDPAAKWRVN FGWEYARSLGRGVNLEVGEYLVGGVD CC84DRAFT_1167817 MAHLNGSSNGTNGVDGNSRPAGSYTLQSLPKSNVFTSNLPPDPA FPTPAKSHSAPRETLGPRLVKEALYTFVRPEPTQEPELLAVSSRALQDIGLKEEEAQS EEFKEVVAGNKILTWDEKTNAEEGGIYPWAQCYGGYQFGQWAGQLGDGRAISLFETTN EKTGTRYEIQLKGAGKTPYSRFADGKAVLRSSIREFVVSEYLNAIGIPTTRALSLTHC KGSKVFRERMEPGAIVARFAQSWIRFGTFDLARMRGDRKLLRELADYTAEHVYGGWDK LPSKVPSGDPKSKHSSTFTGIPKDTVEGEGLEQENRYTRLYRAILRRNAETVAKWQAY GFMNGVLNTDNTSILGLSIDFGPFAFLDTFDPTYTPNHDDHMLRYSYRNQPTIIWWNL VRLGEALGELMGAGSNVDDAVFISDGVKEEDADELVKRAEGIIENCGEEYKAVFLSHY KELMTARLGLTSQKESDFEELFSELLDFLEAHELDFHHAFRKLSYFTLSELETEQSRK DVAGRFFRFNEAPAQDAESRSRIARWLEKWAARVKEDNPNDEERQKAMLAVNPKFVPR SWVLDEVIDRVEKKGERDILPAIMKLNLDPFNENWGWNESEEERFCGDVPKYKGMMQC SCSS CC84DRAFT_1189916 MSQPRVIPGYYFDAEKGKYFKITTTHSAPPSQAKYTLQNVRKEQ KKAAAEKVARKQQEKRDRETVVRPHTRKNWGLQLAGLDREIGGRRKTYYTHGIWPSAC VAGIEKMKTVVEQPVHGVIRFFDRDPHTKTIYAVHGDNAIRRRRRNPPNGMPFGSSFA ESLNEYSFEDWDMLARLTSSISSLTYLPSSGALAATTYGSDRAPTVYLSDPDVDGPYV NQQFTPKNCSTIWGAAAPPFSSSPDSVPQSGTESLAVAAASSMMLFERSPAGDWNSST ALQSGSDMLALDWLSPTTVVLGERSGKILLYDTRSKGHSHILTNPFPITKLKRADDPT RLVCAGLQDGLFVYDIRSRDTFSRHAQGHYNDKFFNDQYPGTGNRQKRKKMKFTVAHN WSQPILSFPYDNADDHYLDMAVQPDLGLIAAAQDINSSAAIKIYNMWTGKLLREIPQP SIDKVSIRCLRFMEDSNGDPELWSSWGGSIIKMSLG CC84DRAFT_1221136 MSDNENEGHPGARVDQVALFARNDVAFKPNLTLINAGTNDVIRE ASEYPIDKIGERMYKLIEFLFGEIPDERQARIILADLHPVIKAEELVDGTLPNDKDYE KLAEAWVQAIAEAGRKGILLKPQDPGDPNINVPPKDASLVSSASSRTSGYTHKATLAT PPVALAPISKALSTNMHTKAFPTPEVACSLPMNSEPLEAGVGSRLDCTVLGKAPAYLS QTLIPVLPQSHAARSNKPF CC84DRAFT_205807 MFRRFFTSQELKNTGSLARDLLASERTFLAWTRTGLGFIALGVA LEKVEAFAAISPTLLHLEDSRTKLAAGVLVGSGSLCVAHGTARYFGVMKQLERGVFRP NVAGITMMATMSIGIAVAGTLLVLENEDTKKRRKNIDLQSG CC84DRAFT_210101 MVIRLFPGLRAADEELGWRPPNQNWLSTGILDAAGGFAIVGALF SAPVLRLFRRARPGAWTFRFGALSMLAVGTCAIVDTRITREILVKKDVQVSTSPSRLC ARSNGFTADDGAILGGLSALALRIKVSPLGMLPPWQRFVGYAGITLAGAILGSQGAAH AILNRSRSMKAQNEMCKAEQKKDDDLMQLANSPDFLGKLDHIFLGKPFYQTTLFIRLF LRRAGISGTSTLSPFPEKTPVSWLPQPIYPVLLYAGIDEPRIDWKLAARSNPRPSGNT VDTMTREAEYIAFQINERIQTLGLASPGPKAGTDSERNPTLLSSTMNLIRLQRIILED IEEEKSRHGESFDVSAFLSSLNIEPKHNRSYDPQASVSCLRGSLANWDKGFESALAEG TVTMEQLQPMKTGLKRLINDLEQESHAVQNLQYGGSKGSQEA CC84DRAFT_1221139 MRFHHLDRLKFSFHHQLQAPHSVMRGAFRDKFAFELFIEKTWNA IQSCITELATGTWLEYFVLDPVGQYVAVAAIALILSLCVGRFHILLNAWKMVVNKAFA RIKDDNANHSGYGACDSDKPTAAGDEDSPNDVQNKQEAAKLANRLENVHIATRKTTSS LAPHFRPQQNPNMLIEPDANTVSVLKYQTPPRRPDLMTTEGDPETIKKRKDQLDRLRM WS CC84DRAFT_1167826 MSSSKIQVAALVGALASSVSAHGHLNAITVDGTPYTAYDPSFQY QSPAPEVIEWSCPECLDNGFVEPSMYGDATKIACHKDATAGGKVASVKAGSKIDIQWT TWPESHKGPVLDYLAKVDDATSAKSTDLQFFKIDEAGYESDQWATDKLIANNQTWTVT IPSDIADGQYVLRHEIIALHSAGQENGAQNYPKCINIEVTGGGSTSPTATAANKLYTE DDAGIKVNIYSDASSYKIPGPAVYSASAKRFVSFVRKSIFGLGREHPREF CC84DRAFT_1262688 MFCLRSWIPVLFFLLRTNASPVYLVLFISATYFLNRPCVYCSLL LFILVVALFDFHTPWFEAPLSTESAEFALNGTSPFRDTIFETAGVLAQAANNTAQALL KGAMDSVRNKTADAVGASGSGNYEWVKGLVGKKEWKIEAKPSHYDISIHDIEFGGKFT YQGTVAITTNINKDDGFNDLVLNTHQLKVNSAELKTSTGSHEVKNISYEEKRHRVTLD FGEKIQYSGEATLTLKFEGTINNIMAGFYRSKYKPQGDVPASVAKDDEFHYMFSTQFE SCDARRAFPCFDEPNLKATFTVSLEVPKDLTALSNMPEKETKPSKRDGFHTVVFEPTP VMSTYLLAWAIGDFEYVEAFTKRQYNGKNLPVRVYTTRGLKEQGRFALENCHKIVDYF SETFQVDYPLPKVDLLAVHEFSHGAMENWGLITYRTTAVLFDPKTSADSYRNRVAYVV AHELAHQWFGNLVTMDWWSELWLNEGFATWVGWFAIDHLYPDWNVWGQFVTESVQTAF QLDALRTSHAIEVPVRDGPEVDQIFDHISYLKGSSVIRMLSSHLGEKVFLQGVADYLK KHQYSNATTNDLWSALSKASGQDVNSFMDNWVRKIGFPVVTVAEEPGQIGLRQQRFLL AGDAKPEEDETVWWIPLGLQTGDSITAASTHKTTALTEKEGTIRDVNDSYYQVNKNLT GFYRTNYPPARLEKLGEDRKHLTVEDKIGIIGDAYANAVAGYGSTAGLLALVKRFQDE QEYLVWAQIINNIGTVRNTFSSSPDISDALKKFELELIGPATEKVGWEFPEGESYLVG QLRAQLTLTAGLLGHKATVDEALRRFDLYISGEDKSAINPSLRRTVFAVAVKERGESA FEAIKNEFLTTSSIDGKEICLRSLGHVQTPELANKVLDFIFSDKVAMQDKHSGTIVLA NNAKARPEVWNFVRNNWDSKIYPTLAGNLVVLERFLRFGLNKFADEKVADEIAAFFKG KDNRGYDKGLDVIDDTIRSHAKYRNRDEGVVREWLKANGYLQ CC84DRAFT_1221142 MAYQYIISRTLDPIFAVSIGLAAAATRINREEKDKGRSTAQTVD VFKRRVALWWDGGAKN CC84DRAFT_1100059 MRSKFKDEHPFEKRKAEAERIRQKYNDRIPVICEKVEKSDIATI DKKKYLVPADLTVGQFVYVIRKRIKLSPEKAIFIFVDEVLPPTAALMSSIYEEHKDED GFLYITYSGENTFGESS CC84DRAFT_206147 MPPFRPSCRAFRALELPQIGASKRALHPTFFTSRQSAFARRCMS DGAPKPKPDPLEEWRNPKPSKWPNRIRLLMLPFIGAIVYSMWTDTSIYETEPPSIAEK DSILKRENGINEQSPMRLRMEQFIKQHQKEIVAELEKVDGKKFVADSWQRPEGGGGIS CVLQDGNVFEKAGVNISVVYGRLPKPAIAKMRANHKALDPTVESLEFFASGLSMVLHP KNPNAPTVHLNYRYFETADDHGNTNAWWYGGGCDLTPSYLYDEDAIHFHGTIKAACDK HDKSYYPRFKKWCDEYFNNKHRGETRGVGGIFFDDLDETEKDQEQLFSFVQDCLGAFL PSYIPIINKRKDMPFTEEQKRWQQLRRGRYVEFNLVHDRGTAFGLNTPGSRVESILMS LPLTARWQYMHEPEKGSREERLLEVLKNPREWV CC84DRAFT_1208747 MSNSCQISGGVRRARHTPAAAMTNLLRAQSFVSACRGVRATMAA ALGSLEERSMTRCACWGSLDKTPASIDAALGALNSVRDISCHLFAAYESWTRQVGKHE QRQRRQYGSQPPTLARSSPRLRFEIRQSRRTAPHRTLHLRHLAPQRRSCPTNAGRLPP PHCLELLHRYNLLFPVFETTLHIPVHTHPAQSETLGFRHRRYQRLLREPQHPALADGA AHVEGVLPCRAQRRARGRKRKSRDPSAAVRAQGSAGIWRRCRAKSMSSTRRVDRR CC84DRAFT_1100450 MFKSFFLASLGLGLLAAATENATSRPKSFDCGTDTQHADNHFLD TLKGLHEGNNGGSPAQRAKRNELLARAKDNSTAITVDAVFHIVAKKDKKNDISNDMPQ AQVDALNKAYKDYGISFNLINVTWTQNDKWAVGEPNDDAEMKKALRQGTYRTLNLYFQ TDLSGGVLGRCSLPSNVANGKSDPTVYWNDGCNVNANTMPDGNMDGYDSGMTAVHETG HWMGLLHTFEGYSCDGPGDYIDDTPYEKESTDGCPTSPKKQTCPNQKGDDTYDPIHNY MDYSTDSCYQGFTQLQKERMHYMYNLYRDGN CC84DRAFT_205754 MSQTQQVEHTDSISSQEPNNETAKKTKSRRPPNTAFRQQRLKAW QPILTPKTVLPIFFIVGIIFAPIGALLLYASSQVKEIALDYTDCLTDSSSPNTTLPIG STSPLKEMPADKYSQTFKTKLNNDPPRWAHAKEAYTWPSGKEQDTDVCILEFSVPEEI KGPIYFYYRLTNFYQNHRRYVKSFDVDQLKGDAKTGPQIKSGECDPLDVAPDGRPYYP CGLIANSMFNDSYSNLTALNVDDVKFYNFTEKGISWSSEGDLYGETKYQPKDVVPPPN WADQYPEDGYDSTSLPDLHTWEAFQVWMRTAGLPTFSKLAMRNDTAPLLKGKYRVQIY DRFPASKYGGTKSILISTRTVVGGRNPFLGIAYVVVGGLCILLGTIFTLTHLVKPRKL GDHTYLSWNNDQPSTATTTGRARPGEGA CC84DRAFT_1221148 MANNSHHWPATPSQGIPVSSLLSPPEMKRSESFGSLAHSNSATS FGSFASMDSQQSKPPSVLPWNTFPTKSNTTATYALASPPISPYETCMENENTDRMEVD GVRDPPLFRRSETVSSIAGDEPLFPQEQVDTTSQDLITKHMNSSKFAALKHKPAREDY ELAVTFVSNVHDLYRKDPRAWFLQERKFDEQISQKRAGVHKREPLKKLAPAPSSRSQA KLPKVGIPRLPRSPRAPRVQKRTPKAQVYDSFSEAGPSSVSPKPARPAGTRDDTDYNS LPDYSPPLSTLGTGNSSALKTEWKGSALKLHDDPDRHLLHEAEIPLAGTLRLSCATYL CSKRRIFQARIEALKIGKEFRKTDAQQACKIDVNKASKLWAVYDKVGWFDPKYFVRFV CC84DRAFT_210256 MPNSLWCSGEREHSATTSRMGSVSGGVGQAANRRLDLDRNSIPA CLHQTTPHFDGGRSRRTAITIRHAPRYPSTTITARDGVTSAPRGASRWLVGQGHDSRD RACPVTRRLSRRPAETCRRHHMPIARSMAHSCERQTAIGSENQCARPCCRQLRSRCTA CFAGSVEGRGSSGCGAPIGGVGPGYQSQARRVLALGVTPFTTRRVAWGDDCAG CC84DRAFT_1189949 MLTQQLYQHASLTAPVSVLALDVKIGAWEIYDRRSREGALASPR STRAFVTQLVDALGVRAGREHGAAGREARDGSTNMLEGAGGEVKKLLLALHVAFPNEL LPALDLLDRSLVTRFRTRRDIDDQAEAAAPQGLRGQIEGTDTEGAPGNSNTDGSIIDA PTQRPPPPDGLGPTHPSDAEMLDAPPHSALPENIADGTPRDAKQATMEDTVYYVRSAQ QRSSRFSTSFDTLTSYEVRPTAWNCSCPAFAFSAFPPAHPEPPVPMYDSDDEIQVEGE VWSFGGFGLGEGIPPVCKHLLACVLAERCAGLFGGYVEERAVSVEEAAGWAAGWGD CC84DRAFT_1127542 MPATTAETLSLVTRNVSVAPLVLLSAADHYGRQAKGTRKRVVGV LLGQNDGKNVRVSNSFAVPFEEDEKDPSVWFLDHNYVESMNDMFKKVNAREKLIGWYH TGPKLRASDLEINELFKRYTPNPLLVIIDVQPKEVGVPTDAYFAVEEIKDDGTTTSKT FVHTPSMIEAEEAEEIGVEHLLRDIRDVAVGTLSTRITSQLQSLQGLHLRLRDIGQYL QKVLDGDLPVNHAILGNLQDVFNLLPNLSTPKPSPVKGATNGVGPASGAAGAADNSEL ARAMSIKTNDQLMAIYLSSLIRAITAFHDLIENKIQNKQQAEDKETKKDEGKEGDKDK KANGVNGDTKEGDKEKSKEPEKKKG CC84DRAFT_1167838 MYKQATRKTGEVLSLPPKWLGMYSDFITKNSSAVSQIESALRSL TYIIPGRFRESEIASESLHSGVQLLSLYHDSLLAKAVARIPGAQRQQPTPHSRYTKFW TDRSPTYRRIALVLQMIQYTELLWEMAAKRKGEKMRWRVVVLLEVVKAVCRLLLLRLT NSRPLVSPPLPQREVDPSTLEDSSASPNGMDTPPTEQEAAAENWIMPRTGLSMPTLPD ASDISSYLLSKVLTADDIKPPKALLHRVSGKGEIAEVLYILRPVFYALAMQHFSGDRK SWRPWLIGVGLEYGARQLAKKDFQERLAGGLRGLTGLEKEELRKRGWSLGWWMMRGAF YENITKAWIHAVTRKLQNKPLLDIVGGVIEDYEFLWDQYYFPTATL CC84DRAFT_1221152 MAASVPLPVVPIPEEHKDLFASVNAFVYGYMSGPGHDNSHDYHH ILRVLSNAHRILTQERKSKLAISFNPSVVYLAALLHDVGDHKYAKPGEDVENQVSRIL LERGADEDLATKVQVIVKHVGYTNEVKNPQSVVDVLQRYPELAVVQDADRLDAIGAVG VGRCFAFGGAKGKGRPLAGAIEHFDEKLVKLPDMMKTKTGKAMAGHRKRILEEFANEF NTEAELAFKVQT CC84DRAFT_1100462 MRFSSTLIAAAALLSSAEAAYKGFNYGAFFGNNQAKVYNDFRYE FEAAKALPGTNGQFTSARLYTMIQHGTTKDVITAIQAAIDTNTQLLLGIWTSAGQTIV DNEISALKTAITKFGTKFTNLVVGISVGSEDLYRITPTAIANGEKNPGVQPAQLVKYI NQVRTAIKGTGLASKPIGHVDTWTAYVNASNSAVVSALDFLGVDAYPYWETQHANSIG NANATFYDAYKQTVKAGKGKPVWVTETGWPVSGPKQNQAVASKANARIYWEDVSCSLI KGNVNLFYYTLQDIQYTTPSPSFGIKPGGDLKAVSPNFDLSCPKVSYTAPFLLSSLLS PLLLLLMYNLFIVSWVVHAMLSALSTEEPLLALPSHSPQPVAPSNWIARANGM CC84DRAFT_210626 MATPTSGSTWSTSRSTTATASSSQTSASGSIFPTLTLRPMSSVF VWPTPRPSLAVNTPTIKSPAINGPASTPGSMFPGFPQLDQPRGLTPPFIAPNLIVPID SSDPSKVIGDSYTAQVSPTRSTLFVFYVAPSSANTCNLVFAIPPAFDPTYIAPMQINS PGGISISLLDKPATVRTSASSAAGGTTVGAVPALQPGNKYTIASAPYEAGQQVSYKAD SLNGLDLSFFQMTSPALGLFIETT CC84DRAFT_1228847 MRLSSIFSAALAATSTLAFPSAKLAAYKTNSTVGPEKGHLVIVG GNLKSESIYQRIISLAGGHNASIIIVPTAGGDPSYDQNFTTAAAFRGLGATNVTVLHT YDPAVADTDKFVAPLKSANAVFFGGGRQWRLVDAYYGTKTETAFNEVLERGGVISGSS AGASIIGSFLARGDTSGNDILVGDHTVGFGYLKNAAIDQHVLVRNRHFDMFQILEVQP ELLGIAIDEDTAVVVQGNDAEIIGSTYALIYDGGFWSRDGSGGKYDLPEKKFYFLKAG DKYDLGARKVVETETTSGDEL CC84DRAFT_1167842 MSRFTRIKGPRPLYICAAAGVGVAVYRLLKPESFNASLHPHDFA PYILVDKQSVSSTNAIFTLHDGFGVPEPDSIKEARKRGVWSVQAKQPQLQIARAYTPL PSKPDEVDDEDGHSLRFLIRKETGGEMSTYLHRLPAGSTIELRGPTVEYELPQDVKEV VFLAGGTGIAPAMQVAEALSRSPGSKMHLLWANRRREECEGGFGDEAALDASGQQPSW WKGLLGLRQHSDQSQHLGTRKTGSKGLIVRELEALKERSQAASGGLNIEYYVDEERSF IKPGDVVKRIRTEPSEGQGSRLILISGPDGFVDYWAGKKLWVEGREVQGPLGGVLAKI DLKNWQVVKL CC84DRAFT_1100332 MAVAMSAFLSGSVTVCSTFAGRDLNMSNAEITWMNAATSLSSGS LLLFFGSIADLFGRKTMFIGSMFLFAVICLGAGFSKNGVTLDVLCGILGIFSASAVPS AQGMLGTIYDKPSQRKNKAFGCFSAGNPLGFVFGIIFSGLATQLFSWRAAFWLLAIVY LVISVLAAIFVPSDHAKKVPLNRETLKRLDLPGTFMTILGIGMFCAALTLGGDAPDGW KTSYVLVLLILGALLIVAFIFWEISYPYAMIDMRIWKDRDFSLLLAIISLGFIGFPVA TFWLSLWFQRFAGYNALMTGVHMLPMFVCGVLINVIAALIQHKVSNKLLMGIGAFAYV ISFTLIAVQRSGNSYWAFSFPGLCLTVVGADFQFVVTNMYVMSSMPPDKQSIAGSLLQ TLTRLSQAVGFGIATAIFDAVQQNPSTSGYYANDPIEPYVSTFWFATGMAALGVGLVP FLRIGTQGHHGDKGRLVERNSEDVCDAAPRDEKLSGRTSARDSKEVPVEVP CC84DRAFT_1127560 MAEKDILPQDVPVATGPDDNDHRKAADRIINDARLATEKEHAMS LWQGIKLYPKAVGWSLLISTCIAMEGYDVCLLSNFFGFPQFKKKYGHQLADGTYEISA PWQAGLQNGVTCGEIIGLMINGYVSERFGYRYTVMTCLTLIIAFTSIFFTAQTVEHLL VGEILCGIPWGVFQTLTITYASEVCPVALRGYLTTYVNFCWGLGQVIGIGVIRSMVDR DDEWSYRIPYALQWMWPVPLLIGVWLAPESPWWLVRRGRIDDAKKSLLRLTSLDRETD FNADETIAMMVHTTALEEKITQGASYLDCFRGTDLRRTEIVCMAWAIQNLSGNAFSGY SSYFLQQAGLPPSTSYDFAMGQYGINMAGVFGAWWLMKIGLGRRTLYLGGLCGLCAML FVMGFLGLVPDAHREKAALATGSIMIVWAMFYQLTVGTVCYSLVAELSTRRLQIKTVV LGRNLYNIVGIVTNVVTPYMLNPEYWNWRNFTGFFWAGLCFFCIIYTYFRLPEPKGRT FAELDLLFEKKVPARKFASTQIDVFEGEALENTTVFHQYEEKTRLAPVVG CC84DRAFT_1100452 MSKLSAFGNANLDGEPPRPASALPALSTADKSKPPPPKDAAKEG CLKPLPYVQAHHGPRPALAPFSKDGVGAALSDTPAPSQPGSPRMLAAMRMNSAGSTPR IRPTTLDIPGLTKSKVSPDGKIAQRDVGSKLVIVMVGLPARGKSYITKKMTRYLNWLQ HDTRIFNVGEKRRVAAHGHGMRVSSAGLERIPSGLRQAVENGDTLPQIAAKILINGES SGGAYSPLNLPSPAGERNESAIVDDDSQEKTLSPAKTPSPAKTPRVEVNSPGPLAPTP ETNSDENDPMDQSASFFDPSNKKAAQIREQCAMETLDDLLDWVVKGNGSVGIFDATNS TLPRRKQIMKKIRERAGEELNVLFVESVCQDKNLLESNMRLKLSGPDYEGKDPVAALE DFKKRVAIYEKNYVPLGDYEEENNMSYVKMIDVGRKMISHQVKGFLAGQAVYYLLNFN LAPRMIWITRHGESMDNVAGKIGGDSELSANGEKYAKAMARFIDKQRKEWEIHRADKI AHTHWPPVAGDHTPPNPYFGSETELKNFCVWSSMLKRSIQSAQFFCDEDYEVKQMRML DELNAGYMEGLTYDEIRTKHREEFLRRRSDKISYRYPGPGGEGYLDVINRIRPVIVEL ERMTDHCLLITHRSVARVLLAYFQGLKREDVADLDCPLGMLYQLEPKPYGVEFKAWRY NPDRDDFDHLPDYKLRRAAPPAIDI CC84DRAFT_1221160 MFEGRHTADRYNLRLHRARSVVLSGPELVEIRAAQRTFEGAYIR TALGQFSFALIVLKIFTAEFYSIGALFAVYGAGILFTSLFRRQQGNKQFFSEVGEDGL QRKKFRTSGNVVSVLTALSMAAYICLMVLTLRLDT CC84DRAFT_210951 MRGVRSYGVLGGVRNEPWRCSSLVGMAPVALSRNAALRSPSRRW PHEQAMVNSCTCAPFTLLSLTPIVFALQDCRNNAAPQLTVPLARAQAMFPGAPPTHPL SSALPWVAPT CC84DRAFT_210998 MRALLKRIPAHRQLLCAALQESLWSAKPRDCSSRHVYHFTRSKP QTVSYLSPLYTRSFSEDARPIVDITFPHDLEKGEDTMAGGSSASSGSKHKRTAPAFYA VKAGFTPGIYQSWDDAKQQTSGFKNPVFKKFTMLTEAEEFMKGESVGAQKSGKSKYYG VQVGHTPGVYMDWPAVLEQVTGFKGAKQKRFDTWEEAQAFVSEAQQGGASSHTTSTPI SLNGHVDSTTPSEVESRKSTKKQKQNDGFAVPTATNGDYEPGTGPLPPDAEDGFDRRI KHGRPDGPEIEYKTQEELSLRKFQPTGDFEGVLEVYTDGASKGNGQLGAYAGFGIWFG PNDPRKDKRSRRRRWQSLRQMRRRMGQRWMNRREVVEYRNLWTFLQELGQYGSRGKRV L CC84DRAFT_1208762 MFNPDPTWVGLSGKQVDDEDSISDDEVLVLRHNRLRGSPFWKRM RRKNHFVEPECGDSDAVPSDDEDETDEMRVDTDDQVIGLTRTRNDEESDPGERISPEY RRAEEVLLPKRKPVFCLSTRADEYQSNNCGSLSHWPASRSLLLYLTSISTVVGQLIHV LDVNICTIERV CC84DRAFT_211033 MAETTARVACGLPGTAIAALSAATVSSTLVSAAEAAGSTTLSAV SSDVTPLAALVALLAAALASHGSSAVLCALTADVAGLAAAVAALLSLGSGALAAQVAL VTAVVAGRVALGGAVGRTVGRVAAY CC84DRAFT_1153697 MKRAREYDGHSELPAKARRLSKVDRFSKLPNELLIRILTFVPVP SLLLCQRLSKKFQRLAVDSELWKAAYYKNFVLPRASRIPGIRDTTATSDQLRYSSRLS KWLDDGHLVKNGRKTNWKQQYRLRHNWAQGQCAVSEIVVAERPPEPPLLVMMSHGTIF TADSISGLRAWSTTHERDLIASAALTSSTGVPQLPTSMAIETSDSETGNERVVVGFDN GVFIVYELRKDQRAFTALFEHAPSTNGMLSALAYSSPYLLTMTEDQLLSIYVFLDFQK PSVPLDAPRLLYSLRSHTVWPPVSLSIRTAATSVTAAIAYALPTYLSGWTVGLQEMRL SLDGKLLESRLATAADQNYQTLSGQRSMSSPSTRASSPFPGRSREPTLAHMPSNSKPT SMSYTHPYLLVAHPDNTLSLYLVKSNASSLSIGPGSRLWGHTSSVSGAHVGMRGKAVS VSRLGDELRVWDLEGGMASSANRRRSRNGELSVRVQPSKGLEPSSDSAEEKATQGVGL RFALQQRFDDSAVSRGWVGFDEQNVIVLREKGEGSQALVVYDFT CC84DRAFT_1099992 MDFSAWAENNKALWARVYDEVIAPDLEKEWKKRTRPWFTSNNRD LISAGIEIHDAESKKKDDRLRYLDNHISNGVVEKAQLVEENARLKTLLRKTRGNTLSA EELATSTEDTTIPVGEYRQVTEKLDELNKRYHDAVQRIKYLERKNVSVMQKNKEMKDS VKAWQEYCDRHIEKKKAKSEPKAPKVQPKSNLAIETPAPLPHIPSSPGAAVMSTPQSL MPQDRSSPAPMIDPSDATVPPPPLTIPGGRSSPLPPVATGHADAISGPKNNRATFLGQ LNSEKITSSQTTVPEVSEPEDGMTPRANAPMDDDEPEIVSERSLKRKRKPSTGANEAT PDKRVRREEARKEAEFNILAELGEEPPPSNERKKRLPPNLARAHFNERIRAAKSGPFP MKTTLTTPKTAPAKTPAAHVPTPPSTSNHPAHTPSARPARRGALEAAPRPELVPDGRP VWRMSALEARKTPNASISKPSTPRTRLRDKALSELRLQDFKPNPAYNGGYTHAFTDTV RRREDRLCLPGCTKPSCCGSTFRALALALPPLSSSQEEALLQDYLGDAYSSFGLTQMD AAEREEIVTQARTRQMAKEHGKHRRTYEGRKTPPGFWRVDFPSTQEEREDREKAVQMG LVEVRERYLEAMRRGGRFVFADE CC84DRAFT_211060 MADLLHVLPDFDTSKFQHLLPSLDKALVSTTDLVTLAPAHVAKR AQVPAGELRKLVDALVPALHRHLGFGAEEPRSTAFLAASGHASAALAISTLDDELDQA LLGGIRPGYLVEVTGESGAGKTQLLLTLLLAAQLPAPRGLRWPISAPTQSQLRRKTES CCRRIPWRSTISNAGSQAGAISARTRRIH CC84DRAFT_211085 MAARTFTQPASLNGTLATHTLTMSSSEINGSVRSEASAKESQAS FPSEARNPLGHHTIPNSRPGITFAHQDKLPKLPIPELDSSCKKYLAALKPLQTPKEHH DTIHAVQDFIKSDGPLLQDKLKKYANGKANYIEQFWYDSYLNFDNPVVLNLNPFFLLE DDPTPARNNQVTRAASLVVSALSFVRAVRREELPPDTVRGQPLCMYQYSRLFGTARIP TENGCQIGQDPTSKHVVVLCHGQFYWFDVLDDNSDLIMTEKDISLNLASIVDDASQIP IREAAKGALGVLSTENRKVWSGLRDVLHREEGSNNSDCLNIVDSALFILCLDYTEPQT GADLCMNMLCGSSKVEQGVQVGTCTNRWYDKLQIIVCKNGSAGINFEHTGVDGHTVLR FASDVYTDTILRFARTINGNAPSLWASTSPDPAKRDPDSFGDVQTTPHKLEWDMVPEL STALRFAETRLADLIQQNEFATLEFPHYGKNFMTSMGFSPDAFVQMAFQAAYYGLYGR MECVYEPAMTKFFYHGRTEAIRAVSDESAEFVKLFWGENPAAAKIEALRKACQKHTAT TKDCAKAQGPDRHMYALYCIWQRAIDEDGAEMASSDGWDSTRPSSPEAVNSTVGSPKR SSMLSETGSISSSPPAPVQHSMPALFADNGWDKLNNTILSTSNCGNPSLRQFGFGPTS GEGFGIGYIIKDGSISICASSKHRQTSRFIDALEAYFIEIRKLLRQLKRRGTSADKTV SRAREAEDHHRPRASRVKSRGRIITSDGKPQTAPPEEDTTESEDDGLGGYGFFDAGML LSALKAKEDEEPNDDQRDVIKRNIGRRLKLAEY CC84DRAFT_211132 MRRMWECVFFLQTWCPGTPISRSSHGCGFRKNAPHAAELMAFFW SQEEALHNFFRSNSMLAGEQLTRVSPGHFKSSDTPYWSNWGIAVFPRSRLIPLTVSYF SPRDRGKRRCEGPNLVRRKGCLYPRRSARASPDDGPRVGATAQGSAAMNNIITTPDSR PRDCNKTHIHCTTGLIPIQ CC84DRAFT_1167853 MSVFSLDAAQGNPVTSETVDKLCSQLGVSIQNSEKEAYTRLLAV FHDASEQLMAMDDYVPQVDQERFPRENIHFPEKLENSHGAWAWRCRVVDIHAKSGKLK GKTFAIKDNVAVKDVPMLLGTNFIRGYMPDCDATVVTRILEAGGEISGKAVCENMCHS ATSHSSGTGVVESPFAKGYSSGGSSSGSGVLVALGEVWGAIGADQGGSIRVPAANCGI VGMKPTFGLVPYTGSGSNEPTNDHLGPMTRTVLDNALFLEAIAGNDNVDDRSFAAPSP TKIPQYSAVQTLSGDKPLSGKKFAIITESLSTPATDPRVVETFKAAASRFEELGATIE EVSIPIHAKGAAIWTGISKVGGFLAKTSGSFGRRGHQMLSLNALLHPMAQENWDQAYV STKNIYLNGLYAVQHFPLLLAKATNLSRQLRDAYDAALDSYDLLLTPTLPYVASSHAA PDAAPLEQIKKQVGLTSNTAPFNQSGHPVLAIPIGLLPVVEGPGVKDNIKLPVSMQII GKWWDEMAIYEAAFAWEKSNDWKM CC84DRAFT_1262712 MVHVEESLQLPRDFEGFGEFGHDAQWPNGARIAVSFVLNYEEGG ERNPLDGDKTSEEYLWEKGPSGGGREYRHLNGEQDFEYGSRCGAWRIHRLMKEFGWNM TLWAIAVAMERNPTFAKACVRDGHEIGAHGYRWLDIWDYSLEEDKAYIKKTCQALEAA TGEFPVGAYFGRGTPNTANLLPIMWKEMGHKMLYTSEVYNEDSPYWIDLPWEKELPDE EKEGLLLVPYNYDCNDGKFHMLPGFVSSAGNTYFEYLKDTFDCLYREGGKMMTIPLHS RIAGKPGRSEGLRKFMQYISEKEGVWVATRRDIAKHYRSTFPYRPGSKTGGQ CC84DRAFT_1228891 MNNATTGSSCASHSPDLDEENPRKRQRTACDRCKTRKQRCDNEY PRCSNCAKSGATCSRPPQQDLPRSSYTRALEDQVAYLETRLTMVERQQHPGRRDYDQE PGANYSSPPDHRLVAGRGQQTSQVQTPGPRTPQIQQNVADIVGILSLGSGNTETSSYV GSSSGYALATDLGRMVQATAWNKALWVPAIASDGAEARFTGGQSTRRITLQELQSNKA EPPSEVLGAKLIKAYLSRIHPRFPFLFRSDVWEAHRCRYTLGKPATRNTDRSTPQYSS VAYETDGFTLFVLYMVYAIGALNLRLTEDYRDTSPEQFYVFAMQHVASVREASSVHNL EATVLLILYHLRSESRNGLWHLTGLAMRTVTDIGLHRAASTHGLPAFETQIRRRLFWS IYSLESILAGTLGRPVSLSDSDIDQPLPASIDDDDRLANVSPETLLTSAIPEQQALPP TNMSQFILLAQLHIFEARIQRKVYRVDKSTIALLPKMHRLMNDLESWRNNVLPTLQAC EHDRVLLHYYRNVRLLLQPFLAILDPSSEPFRKAAIAAGQICQIHKRFHQAPEYGHSF IAVHTAFVSGITLLYVLWRGKDRLWTIGISNDIRAASCVLSIMGERAPWIRRFRDTFD VLVEATMAALQGASEHAESQMGGGYAAQSQADFSLFDHLDLADPGALDMARELTGWIT CC84DRAFT_1127585 MKDRQKAAEAAVFDQTDLLPKKELLIVFSALAVSLFICFVDQNG IGVALPTIGRELNAEATVSWAGTSALIANTVFQVLYGRMSDLFGRKAVFMSALGLLTI SDLLCGLSQNATMLYVFRGLAGVANGGITSLAMMIVSDIVTLKERGKYQGILGSCVGL GNMVGPFAAAAFVQHSTWRGLFWVISPLAAVCCAVCYFTLPVPKVASKPDYRALCKKI DYWGIFAGSAAIILILIPVSGGGSYFPWDSAMVISMLVVGGCCMLAFLFIEYRVALLP MMPLSLFTSAPICVMLLQNFLFGIVYYSQLYYLPLFFQNAREMPPILSAALVLPIPCA QMTASILSGQYISRRERYGEVIWSGFFLWTLGIGLTCMFDLNTSIAAIVVILVLQGVG VGFVFQPTLVALQAHCTKAQRAVVISNRNFLRSLGGAVGLAISAAVLQNSLKKALPSD FVSLALSTYATPNFKALGASPAQKHEILLAYAHASRTVFIMNVPFIGLCLLGCLLIKD RGLQRPDETQQPFKSDDLTEDREANESQEEKGVVTGGAKEETSNPGTSTR CC84DRAFT_1262714 MSGFLRKARNAIGSPKVLRNESNIVRSDGALRYNEDLLPSPPED RRWKWQHYFAYYLTMTFSPSSYNLGATLISIGLAWWHGMIAAVIGSAILTVLVVLNSR GAIKYFIGFPVYVRAAAGVRGASLYILVRAIVAIVYFATQTYYGGRITSVFMRGIFGN GYYNIPNHLPASAGITSRDLLSFLLFWMVQMPVMFIHPKVLRHLFVVKAVYTTIALFG VLGWAISANGGTLGNFDYTTKQARLSGSALVWPMIQAINSVMGALAPVLINQPDIARY GHSYKDVTWSQGMGILISKVLVMFLGTATTSAATSVLGASYWNVWDLYDAILNKYWNH GARAGVVFASFGMMLAILITNAGSNSLPVGADLTGLFPRWLTIVRGQILCAVLAPLLV PWKIIANAASFLTFLGSYTVFLMPICACMIVDYWYVRRGNFHVPSLYVNSPKAPYTYY KGWNLRMLAPWVAGVAFTVHGVAGSLDPDSVATASKNMYKLGFVLSFLMGGLVYFVLC LIWPPPILPGGEERELRFEEMAANEGFFDHESVATITGVVEGEEVESSSYSAHGKEDK V CC84DRAFT_1167857 MAAGMTAACLPTLKPLLTTVSDFFTNLSPSRCSSKPSDHHHDQD ANSPPPSPYPQSYGTPTFLSLPRPKPARFHDHTSDLDFDLFEAPSITRSRAHSRTPSN LTVFTTFTNFTSTFTVPPFDREMQAARPRTCSKAMSEVERPAELVVGGAKSGYAVSVT SGCGSGETCEGSEEEKTIRRIGSDESCGGVVGGIMRTTEVRV CC84DRAFT_211575 MHVGVRQALHTQAVSIQASTYSKEPSYLATAATLVSFALLIFLL RVYTRIRLLRFFAIDDWLILGAAIGLVVALASLILINLISRWEGFANGNTGKAILSLW IFDLFSIFSITATKTSAIFLLLRTVKRTVYRRLLCITAAIVTSTGLTWFSNVLLQCVP VGAAWELERTEDARCMQYNTFSNMLIIYNSIDAATNLTLSLLPMSALWSSHHAVRNKL FLITRVTVTALGLISTTAAVIRLANLYNLRNTTFLFT CC84DRAFT_1167860 MAELPTEEQAKLAKENRSFEIIIIVSSFTIFAMIAVGLRLFTRL KITKMFGQEDVFIIFAMASAIALGGFQIKEAAWGNGRHQLFMDQTATEWMLIYLYCSI PTYNIALFFVRISILLQYRRIFVFSRGVWSANTFLMGVSAAFALESVFVGVFQCVPID AFWDTKKMPAARCINVIAWYYANAGLNVAVDLLIAVLPVRAIWRLHIPAKQKVAVQLI LTMGWVICIVSILRLHSLIVLGKHPEDISWHGAAPAYFSAIEVNLGIVCASLPALKPL IARVVPEFLMRQLSHLSRLTRTATSDHQSCMLSEDDHRWHAAIGDDLTPPSPLPPDQV FVTREFGRHSEINTNLGEVERTYHTG CC84DRAFT_1153721 MAVDPLSPIAPARIRALLLPVGRIKRSRFLNFVEFLQPHCMVRL GDISPDPRPDRNMFSPLAFPNGTLLYDLSTSLPPPSHLSLSPFEQFRDPLLIIGIADA SEYSWLHRSRADTETEQDTAQSKITLQDDNGDDLPSSVDDLREQFPRAYLHSLMLFDC ASQDLHPRLPQETFLVPPAPQVKMTTMKTLMCDLTSTLLAEMTTLAKSIQVLPTISSP ASQTGNTDNVPSWANPDPSSSLFSRRNSQTPVLSRPESPISANQKDLHRMSMPVLPST SGGSLSTEDPRATSPVTQGARTPPTTFDEISGLDAANTLHRTTSNSSKPKGTGRDRSA DRVSIHGFGSGGAGERARNKGRGRVGVIIGTLYLCAGQWQDALKELTEAASQARTFSD HLWHAKALENIMVCLLLFAWSGMDFQIPQICYPSYDKSSGTKSPQHTPAGSIADITSP TSTRNSTHEAALESLNTLLPDLVNMILNIYTRASNFAGESLPPLAFSECVIRFSKLLA AMNLSAGYLDYDALQHLVENSPFRQKPRLSVPRLAVNPTRSDIAAMLFRALPGPPESS GMSPTDRVVVLAGVASVLSSLELQRKKAVVMKEFITSLIPGLVQARKVGAAEMGVHPA AGLAALNMAGGSNSGAGALHLGEGEIENGIDEFLGLLGRVYGIPDTRATFNSPVPSLS NGTSDYAPGKQSQDAVKEILRIASLRSFGSLSLKLDVLRMCINFCEALPDFNGVLHFT ALLLRIAGPGTAPRPNSTDVFVSLPREEQVRLFANISRTVAQANKLGLKHVETDYWDD FLVRGLFIMEPPVPMRLTHHRPAELKVIPSKEGPFIHNPWNKNPQANAVETVLVANEE YRFIIALQNPYDFELEVDSLRIAAEGAEFVAHEELFILGPYRTQKFPISGVARAEGTL NIIGCYVKVTGCRERLFPIFPDPWRPERELKMKKIGLKACLGAPISRPTSAVGTAADV GKASSPKPETLTFNVIADQPVVVITDVSLPQGAVMVLEGERRRFTVTVKNTSNTTAVD FVHITFQDSATAAIQAAMSNRDLPSAELHELEVQLAQFPSLRWIKDEDEQVISIKPGH EAQFVIEVVGRTRLTDAIVQIDYANLGKRRSEVQERFFTRQVSVPISITVNASVQLQR PDIIPLSGDLAWSSHAKEVTLPKNTNESYFQQFLHYMKSQGSSDEYCMLLLDLRNSWP NPLHIALQVRGLSDSNESVTESWAGAYTVNEVIQPGHINRIVVILPKIYLKEPYARVP SLNPANQRQFVVSASKISPEMERANREAFWYRHELLKLIRGTWKEEGGGKAGDIELRT LRFSPRMVEAIKLSDLRIETTVETDYPSGDDDNKVRRLGHARFEVSVDDFLTLKTKVY NRSPAPITPFLRLQPHLANLPHNIALDLDKRFSWTGVLQRRLPTIEPGQWVETELGIV ALTSGVYEVGATVDEVKLHQPAGEVKGNRPRSGTATIQAEILGEPKLRSWHIMEPCTV VARRR CC84DRAFT_1100573 MADGQDSEVTVNTRALIDKVLARYSSEHTTLRELIQNASDAGAS TVVIKYETDPSLEVPTPQGSEKSILLKHIIQQHTLKRLIVTNNGQPFTAADWSRLKSI ADGNPDETKIGAFGVGFYSVFADCDEPFVVSGEKTMAFYWKGNTLSTKVASVPEEHRT NNTTFSLDYRQADLSSPSYNPAKVPNLPTLCQFLATSLTFVGLQSLELHVDNHKVASF TKKTSPPADLAVPRGLKTETDGGFMRVAKVSQQHSQIDAEWSNVIASAQNPPKRAAEM VQAEVRNAGTALKSFFSKFSAPTPKASKPALTAKASGTLSHDTNDIAGESTGVIFLQV CTVEVDTRVAKSFAAEIERATKKPPPRKTRIALLTSPYQDPTIPSSTGTGKTADLAEK LFSEVLPTKTGRIFIGFPTAQTTGVLAHISAPSLIPTVERENVDLNARYISQWNIELL RVAGLACRILYASDMADIQKRYGQEPMDNLIQRAIYVFQQFTSNASHPSTVLGEKVEE AFWSCSKERSIEMLSSKGVMASKLVRMPAETLSFLGEVPMVPQDLATKTYTFMAALHQ RGFISELTMADIREGLESRALTEDELAEFLKWSGAKLESNEIDKNGIRSLFEITVANV DTSPDENSGRILALGDVSTYINTARISPTLPVPVDTIPFAFSKSIPTKQLQMFGWLEL SMVRWLRHMTAVPQLQEFQSSEKMATQVLSLTAKCWDQLDGSSKEAVVHILSPHTIMP TKTGMHKPEASYFPTVKLFDDLPTVKPFPGSKEKFLAALGVRKTVELSVVFDRMRNQD SKSPSEKASWNHVDLIRYFASVLDEMPKKDLDRLKETPFLPGEGAPVQHGQLFKASDL YAPDQAILSMGLIQVKLPFEFGQSVRESALLLALGLKQWPDSIALANIMHKAGQANDK PLYVTALNYFIQNHYKHGYASEARALATLSLPILPTEQAPWPTLVGPFQCYTNERASC LGYAILRADLRPHAEKFGVQQNPDIQDCVQRLIKAPPKTRLDAEMQFSYFASRSTELD QRRPLLTSISSATIVPVFRKYYLEPASVGFEDRKRAQSGKAEMRLHHHDPPETVFVGQ DQEWQGILDYVQYGAEATNFLLKAGAKHEPSSTDLAYLMCQNPVRFLNKIGQEKYLDL LRKLAGQADTLWKDKELAKTLVSSRILLGYRDIRDEKKSLAAAQDDNLDDLDEPGVSR EWSLNSANEVVIIDDINFFFRFRDYIIAAPQEEVLEEFYARFGVAKISEQVHEEQRIG NLTRDQSVAHRLRSDILERTRLFLHEYERDASSKSIRHDTKWLGSNMKVECVSDISIR YSLADRAAASFRRTAFLSRKRGIGTILYVTPKYDVYEVSSQLIRILIKKPKQNDSIAL ERILTEPLRRLQEKGINVERILRKKEHEARIARQQELEREYEEQQRAIEQAKQGAVEK PALPAPPTTPAPPETPEKPKEKGMPGSFGSPDVDESGQADHAHDNTLRPPEKSLINQW AKKLGFKNAPTAPSDVGQITKENNQVTKNNIQNAIKDCRPINSQNINSKHHQDPPTEL DKGGYCTDEQWENLHKAFSIPYSGRHVDIFYGRDHAEALADIQPSLQHFLPAIFSLAS LFGVNPAAVSIFLDKQSRTIAFNQNRALFFNLGWFMQMHEKEYGTPAGRARALDSWFL TFCHELAHNLVSDHNARFIWYQSQIAIEYSQAYRGVLEKFVQDMKVD CC84DRAFT_1221178 MSDFNTTDFAAQMRGFQASDDARQKLFADMLEKYTSLLEEYNTL NNDYASEKEIRRTYQQSVIQMQREVKEIRREWQDHSFVLALIDGDGVIFQDALLRAAG SDGGSEAASKLQHAIRDHITTLYGNSGNWPIMVQIYVSLDKLAHKLASVGLIDKPSDL RTFAQGFSLNQSLFSIVDVGHGKERADHKIKEMLRTFSDNPTCRHIIFGGCHDAGYLL NLDQYKHHAHKATRITLLESTPAWRGFAELPNFRRMRFDDVFRNTELPEYGYTLPRSY APIQPNISAPMQQSVSASVQPIARAMTEPTPPPGFTNPPPGFPFGPPGFLASNSPRDT PTISSPATTASSLAATNPTPEPTDSSSWAAVGKKNGVSPTEKISIAPKSKSKSNKKWA YYNKEEQRLDEPLPPKDKAAYEAIEARMKRSGKNLCNHWHLNNGKCTNGANCHFQHEP KLSPAGLIALRYKTRSLPCKDRYCQNISCYLGHQCSFERDQGWCPFPDTCNLRYTHDM DKVKATRWDEYGDMEEL CC84DRAFT_211469 MSNANKYELLYWPGIPGRGEFVRLAFEATKTPYLDVSNQVEGGI RQILALKDEKATYDADGNPPALAPPALRIHGEGKNGKALVLSQTPAILAFLGEKLDLA GADAAEKAWIQALALTALDLNNETHDTHHPVSTLAYYEDQKEESLRRATDFRESRIPK FFGYFERVLKGNKEGKGRYLVGDKLSYADLTLWQVVHGLKFAFPKELKAREEAGEFPT IFKTFFPSVPEHGQLKEYLDSDRRKPYSKGIFRHYPELDRQ CC84DRAFT_1208778 MSNYAKIAANSPSRSSPSEIEVAINNALEDLQNNIPDLRSSLRP LQFVSAREIEVGHGRKAIVIFVPVPLLQGWHKVQQRLTRELEKKFSDRHVLLVASRRI LPRPKRSNRSRSNQTQKRPRSRTLTAVHDNILTDLVYPVEIVGKRLRTKEDGSKLLKV VLDEKERGGVDYRLDTYSEVYKRLTGKTVNFEFPQSASTDY CC84DRAFT_1221181 MPREDIEAGSLRHRTRTHSEQALPIASRAFAGRIGGNQTFTISP ADADFLSITAKTPDAAPLFTWRSSFALSAFATPDLWKEATIEGVGTCLQVYLAGLYAV GLSSSDAGIGRVAGVAMGSVANVFLISLFIFGAGPVSGGHFNPLITMATFMAKLAAFP RAVLYVLAQCGGAVVAGFVLRASFGGREGVGFVPGCSVDTEVVTVGEAYAFETMTAFA LLFIAFGVGLDPRQKGVFGPALSPILVGLALGLCTFASGVARVGYTGASLNPARCLGL MAAGGRFDYHYIHWAGPITASVLNGIMYRVIPIYKHSKIE CC84DRAFT_1100608 MVVTEEELEPAHPTNGQTEDIERRFVLLQGIESSYNLGSTSQLS ALPAHPLPPAKLRRSDLAASPEKFIPIVGLSKYPYKFCSKDCMQVIASAFFDQGKFWA REWDLYYLWDIEESKPLILVHEGQVDDLFKEINTHFNFELKITNSHREEGLILRFPDH PRCRPRYLGRSHTREEYSSMVDQVPHVSVCAPGEPSQPSLDTDTIEAFRQMIEDAWEI TKNKGKASKEKKRVDRLKKQKVFTDQLKRAQRYLGLRPSAPDGPAAPAAIPAVDIASP APFPHDLSVVFVCVDVEAYEKDHSKITEVGIATLDTRDIMRVAPGKDGKAWRKLIKAR HFRVNEYAHLVNSDYVAGCPGSFFFGESEFIPLKDLPAAVAVCFTPPFCANHDGGSDV GDSDTRNLIFLGHDTLTDVKYLQAIGFDPLALPNLLEVQDSANLYRVWQRQEQITSLG RILEGLKIDGFGLHNAGNDAVYTVQSFLAICVREASIRNTPEVQQIWNDRKESTIAFE QEELRSDIEKDAKVWDDLEANSDGGDPVPIALKKSATPKAEKAAPAQVNGGDHDEQGE GSGTSVSVNVGGCDGYGRTNGAQSHGGVECSAGIRDNSDGWGSSAAW CC84DRAFT_1167867 MAEEAAPPEETTPPPVANPDAQTTVNDFLDYTEFFPSDLVRSLR LIGDLDQTYVDATQTVHQLTVKYGKLPTVPAGERPDPVVLRKEIALALDKAIYARESS YAEASRLYEVAERHKHRIGIIKRKLQAQPEPPSRDPTPVPVSPQAARGLISKFGAPHP RLNFDGRFGSSSTARPRDRKKSRVPLPGVRIRTASFSDSDDSEVRSTADLAIAPKRLK DHKDKPPRPHKVRVRAPGSGTNVHSSFAGISTSNALARLSPPPDNARPGSKWAPWFKL TEYEMAVLRKKMKKNAVWTPSETMIKRQLEAGGRGQEAYDREKKRCEETGDEFLDEEP AAPSIRQIVPPTATESQPASAQPISAPTEHTPTDTEPTPTEPYKDLDLEEAITPKDTS GTRDTRQSKRDIRRRQALHDAQELENATLKIKKAADWMQELELGFSTASSKRSATRPS NKRKRDSTPPPAAETPAEATSESSLASQDSATKPPEAKKLRLTLPVSNAGTSTPPELT PVGPSPGVKTPASFSEMAKTTTVQVPLAPAGPGTPKNASTSELASASQPGTPADSSPA VPVSTEAAASHTEPPQSNVTAASSRPRRESVAPPKEKPSSPAPATAPPAKKQNAPTPL PEAPAPAQGARPRSSRGHVPTPKAQSEEPKPHEPGQSVRETRRHSIFSQSALATPAPA RRTRRKAPPKGEISHGEDGQMTVTNVKRAQGTKTAKKKKPEEESGPAEEIDEDEERYC ICDGISEGSMVRCDNDCEKEWFHFACVGLEGLPARRANWYCPDCRVALGTDAYGKPKV PPPLPGRRGNSH CC84DRAFT_1167868 MPIFVSFVMGPKDAYFFNSPTHWAWRNLPPDVEALFTKQPPIKD VVEFALGENGTYFVSYRDHDGEVYCRHYNLPNPLTEYLYCGHPRIMRDLATLSISIGP WESYYAHDKTSASWSNLPSSLEKALLHRLVSQDSWKTIWKEEGREAPSFVSLGADGSY FMRTVKGGGSWDLKGREKEEGLRGTNDFLEKTPDFTGVAGLYLFPQHSASYILLLTSG KAFSNLPEHTWEDYNKMAPALPPLIQTLSPIPCVPQARPTSQTRMPPPQTHQQIQQQP QLQPPQAAGWVPNPSAGHPAGTYFRGHLVQRAGAGPVPPPVTWPYITGHAPLGAFGAT QFSNPYDAQVGTFSNSDGSVGGYNASTSGGDAGGSSGGSTAMSNS CC84DRAFT_1198839 MSADKDAPADSIETSARPLHFTRVTSAPLSDAPNSLVPGNTPDF WIPPPTAARHQPSVHVSAYAAQAEAGEQATETNDLEANAGPGLQSILSPQIMPRKEHS SQAGSLAPEDPPLSQNFNVDDVFDRDASPELDSGERTKLLNHHIREVVKQETVELLEA GVARSMKILDTLQETFSEVADVSPDAQQWIDTIKKMKEQPDRSRTIIGVVGNTGAGKS SVINALLDEERLVPTNCMRACTAVVTEISWNSDNDPDHRYRAEIEFINRADWEKDLKV LMQEFLSESGTLTREASDPNTDAGIAWAKFHAVYPSIQKEKLASYTVETLMTKTAVLN VLGTTKEIYHPRAKPFYAELQELVDSKEKVTGKKDHQKQQKNQMEFWPLIKVVRLYTK SEALSTGAVVVDLPGVHDSNAARAAVAERYIQQCSGLWIVAPITRAVDDKAAKNLMGS AFRRQLKYDGNYSAVTFICSKTDDISATEAVDSLDLDQVYYELEEQVCESEEKISRMR DESANLQKTTDKQRTILGALEDEIEIWEELQKKIKTQTVYAPRSKKNRKRRQIDDEDS EIESEIDDSSDSEHESGTDDNVAAQVPLTMQDIEQKIASLKQAKKDPLKEVKKMQARI KALKPQLRELSSKIANANARKLAICIQGRNEYSKTAIQKDFAAGIKELDQEAAMEADE EAFDPDKEIRDYDEVADSLPVFCVSSRAYQKMCGRLKKDEAVPGFQTQEETQIPQLKS HCMKLTQGGRIRDCRAFLTSFCSTLTALFLWASDDGTGPQMTDAKKQEQAHYLAKRLA DLRKGLGKAVEVCLGSMTKQLQEQIFVRMEVLMRRAISSAPTIAQSWGHPKELGGLHY NTYKAIVRRGGVYQSATVGLRNMNEELLDPLIRQLATRWESAFQQRLPQAFEAYTKNS GDVLHAFHARIEERARLNGFGLAHMAMLKGSIFNYEQMFRTLNDQLKIRLTEAQRDAN REFVPTLANFMMTVYDQCAIESGAGAFMRMKNYMETFIQTHSQTMFQAATQNVEGALR NMCRDLEAEMSKKADEISNLIHRDYMHALAGVQATLEIENQPKRSMKAEVMAQLNQIH SQFERLAQGDLTEGPDSSEHADDDAVAGADTAAEQPIQAENSATNSPMDHAPANDEIP NSLPDDSQPIVTSPGALRPRKKRRITESTASSPAPSTRNRSKKPRLPADTTPAPTPSA RKSSRIADASSARKASQKSHLADGDGDEEL CC84DRAFT_1167870 MRTSYLAALVALLWTAAAASDKKPPKPCTAVSPTTDRFFDLNPL RREAPEEGKKKKEGEEGSWHARGHDYGANFTINFCGPVVEELDNVQDLDKGLWRNVSA FYERGGKQYALGLESYEPVFRGRKLVLNYTGGSLCPSSSDRRSPHAALDLSAREIIDD DDDDKKKGKDKDDDDTPSKKKPSSDGKRRKTAIISLLCESDPLAKTAFSFVAAVDDCV YFFEGRSSAACGGVHIEEQALGPGGVFGVIMLIAVLVYFVGGCVYQRTVMHQRGWRQL PNYAMWAGIARFVADAFVILTSSCARFLPSRRGYSRVSLGHDRGRGGRGRNDDENRLI DNLDEEWDD CC84DRAFT_1221187 MASKRKTDPPSEKSHGYEFFGPPGAFAISFFLPILCYAFTFLCN DISGCPAPSLLHPSTLDFEQLKKEVGWPGFSGLITWNAVLGNFAYYLLSLALYAFLPA EEPEGTELRTGGRLKYRFNAWSSGIFILVILAAGTAVEGAEFPVWTFISDNYVGLLTT NILTSYALATYVYILSFTVQHPKDPSLRELAMGGHSGNILYDWFIGRELNPRITLPIF GEIDIKTWAEIRPGMLGWVILDLAFIMQQYRNFGKVTDSIILVTFAQAFYVFDCYYME PAMLTTIDIISDGFGLMLAFGDLVWVPFTYSLQARYLATYPVELGIKGLVPIFAVQAV GYYIFRSVNNEKNRFRTNPDDPRVKHLKYIETASGSKLLITGWWGTARHINYLGDWFM SWSYVLPTAAAGYVIRNAATHPLDATSKDAVFFQNTYQKYVVPGEAKGWGMIVTYFFM VYFAVLLIHRERRDEEKCKKKYGKDWERYCELVPYRIIPYVY CC84DRAFT_211818 MQCYRLSVIKDMHRKHLGRIGAMLSLSDRRRAIAIRRSKTCIRT GATLSLGGRSKTCILVIFNIQGGLLFSLRWHG CC84DRAFT_211786 MHQEETDCPLRAHLLSSFFTPSFSSPPSICSPIMHPRKSLVLLA FAILRCHTFSFSLASSSRDVAFGAHFSPTAATRSSKSAFGRWSTRDSDSCSARSDIEG GRGLGWAEEAFAGFKLGLMEGRGAREVLLVGAVVVEGVDLSGDMVERG CC84DRAFT_1262731 MNRPTAPRGRGLPRSRGMIFNTSPNPELSRRSTAGTPHSLRSSR KWSDRRSSRTPGLALPQPNSRQELSRDATWQTVSWSSEPPLELPTLDSELQDWCTKIL EYLECESLEISPPSEDTTDIQSRETSWVTEAESSLPHLDILNWIEQQPPTRSLSLSGK GVKQQRRNATVFALPKVSLANPRASSAEYTCQTSTLFGSSREIFQIQSNNTSAPETSG EYHESPDTIGSHRIAPYHHPETVRILEGKGPFLLDARDISLSQFRGRRGDSDDTITLG SSEQLQSMLRRNRVDGNAAEGQVHPWSSGVFNKCADEIVTSLRNIQGAAAAGRSEGQP LPGVIKGAAAGANEEQVSPVVIEGATAAGDREDKPSPEEIEDNIISLLETMGTVGKNS RRRGQEKLASPGCARPAPHIESAGSGDRSSSVASPLPSLSIVDPTGTGSKQKRFGKLG RWWKGILKR CC84DRAFT_214738 MTTYFYHHPDGFEYSHVLPNVAPVYLATALVPGSALESYWQSMG TQATASSITPDDSQDEYGATGGDKWHETDESYYSNNSDNTLRASYFGDPFAGSGQHGM TGPNPPIFAQSDGEYFKYPFPELIRPYHTLHSLLPYLQPARLENSVFGPKLDIVEEDT DYKFAVAVPKKMLVLFCGRNIVNRFLRTLEREDNVNWQGLPVAQELRFPRHYTNHVGV KIMISWMHRACITPKKDMRPIRVPKNTFAALSLSRALTAFGLHRDASRVDHTIATKHF KRWLGFDNIVSIWNCLPKDSKYVYRMVEDLRNQLDQYASGDTKALPDADKVLEFLEQH PELLHRIHDKEYNDRQELRPFFGTEWCERAALRTQQMIAGLMDTRGDETSMPVEWGGG FNATNLRPNLRGGNN CC84DRAFT_214737 MPQDQQQLLRKHNVIGDVLPLATTQFTYDLKVQFRNATLETPGQ ELGREETQDEPKIFLSPAPKEKRSDYVLLVVDPDLLENNDQNFGQVRHWLSTNISVKE DGALKILSCSPNDISPYIGPAPLPNYVSARPHRYVFILARPSSNSTEQFSVTKEDLQK LQEHYRASFKGAQEADVQDLKDRWGFNAQKFLEMKGLQVEAATMMLVGGTLKSAAANM MMSGQAMVDKALGR CC84DRAFT_1189960 MNSSVSAIILLLCGIFGGVWGYTIPQGPADAVRRGVAINTTRAG FLYGPAVAGGPLYPSGPLGKAKVAADIGNEQLETTPNSLLVAEDTARASSSTEQYQGL DTFEEYLLLYQGQWAKTLPKGPAPGLLTNYTQDLFFSMERLANSAFSVRRLPRSSELP FQVDYSTVSKVTGSSLSLQKLLQDGRLFYADHRAQASWPRTTNKFAAACDAYFYMSKR GQFLPLAIRTNVGSNLIYTPIDEDADWTLAKIMFNVNDFFFAQTWHLAATHEIVQIAW MAAIRTLSIEHPIYALLDRLTYQLFAIQPLAQSFLFDNGTAFDTLFPITGTGARDFVT ELYFNGTGSFKEGYFETDLQKRGLINGDGPKLKHFPYYENASTIHKAIRSFMRTFVRS FYKSDRAVRGDPELQAWATEANGPAKAIDFPSKFDSVDELTDALTHIAHLVSTVHHSV NTNNLLSISATLPMHPASLYRPVPTTKGITSVAQYLPPLQAALAQFQVDGLFARPLIA NSTRSLSYMFDSPTFLNGTNRETRTAAAEFKEAMFTFSKQIRSRTFNRDGLSQGAPFI WRALDPTEAPFSLTI CC84DRAFT_1221194 MELLKSIDNQFMLGPSILLTPVLAPFLRESQGVFPDEVHAVPGQ NVTLEAPLEHIPVYVRGGTVIAYQTPANTTTHMKQNPWTIIAALDSNQAASGELFLHD GVTIDPEDAKVFQFSDNVFSIAVEGDYDGHATPLEMIEIVGWHGKPVQKTLVGSGGQK PNLYEDAECRSGEGANKVNVDGLHGMTEDGTFARNLIIQFE CC84DRAFT_1208790 MSPPNETSKQSPAHRRSSRNSRSRSASLQAQKPRHPIHTDHESS AFFQDYAFGLDLLRPLFPSYCPQPQASRGPGASTISRAVNTMTFPPSNAPREPRAMRQ SNPFRGGRRKLRACPELQIGNPPEPPQIKYQQAMAYIDGVVRKTTGTGAGQHIPGLSP TQGPLKMPPPSPIFQELQSNREGGYFSLKPGLRGTEGRNIAPLHEAAQVEQNACTPQQ SSQDQDSLPASSSEHELVEAMQGLHLFGNLRSTPHRSAVRTPDVAKNLIEDAGLLSSA RRGAEVAQAALSEPAREINASNPSSPDEAVDDVRVTTEHIPHEGPISHEHAEPSAELG TLQTAENLPVPPPALPFAPPHSPARSTVTHEDVSIKPELQIAPTIDSGIGTTNSEKHS FEDIPLDSPTPSSPKNSHNPSVESADSDDDFEVVDTDKYQTLRNGRARHHFQILAHQR KPFGKHTMGFWTTAKWVFTTALDHIEERPTAKQYKLHPDSAYLGNKYYPQETQVLPIS TRSIPSAEPPTSTSPPSSLTALAPARNPGSQSGIMQPHVHIGTKAVQTPMRLPEAKYT VLRQGDLVDDDRIDRVVGRERDMYWVVKGAVVLMVRERSEAEAEARMVSVRKLQQKEK TQEVGGAVEGTGSGDRNPLRSPSVEDFFADTFDAGQHAPVDTLPPNPLDKVFGASQPL NPLDTIPWSEMVVVFRRDTKELFVRRLDGKLYPVIWKVLAIHSRREEVVDDSPRVVNG LKFTSVEGEIDAEDYVNVDLV CC84DRAFT_1100560 MSDPALTPAQTHALFDILIHHQLYAEIEAFKYPQAIEQYGFPFG KADGVQTTSPLLQNMLNKFVLRLPGLKNVGRDFWQDKVRVLVKKLGDAELSESYDKGA IGARKALATAISSLLEYVARGMLGGYPAGERREKRSEYDATKPDDVHQAWDDGMRELI YGDLLDELFDTVAQSGQLEQHSSMVQAAHEYILLNLASFLHHVFVMSPDGQYLLRLLE NVHRLIPYMMVRQTLRVGNAATMINGMVRLVLAKLSVTAVTNWIGLTNNTNDGMNLMQ QIMSTVMAWDTSEFQKRAQKLENCRDPPKKDVFKAIKTYVYASKDRHDAARNISLAES KSIIGVILETSDPPIDPASLTDHQHAVAMEYYSNYLSIRDREELTKILCKLQPDVLTS AMKDLVAAFDPVIRAVHKAVDLSDTVYDAEVFLTDLIKVSKPKKATGTSSRPGSRASS PGPSGGASIKDNPSASHVPTVEDYVQLLRKHMPSAHKFLHQICKNAPDLANQYREYAQ SVLAEFRVDASPSAEPTAHGAGNMTLPLQSLFSTLSSEKQNELKKLLDAHEKHLQSLK KTSQSRLQTIMPSHTNPSPKPRSTGKGTTHGPGMYLSRWNSLLDSCYITPATLHGPVR RGWEVKGELDGRGLKTSAATGGASHRRMGSRDRNQGISIADGTGDAVERRERKRDGLE EDRVREVWKGMEMGWVGVCRGLEVMGD CC84DRAFT_1063276 RGHWVPTLSGIVKAGDENIISSSLLNVQHGTPGELSLQDVYEAF AHELRNRPVAERGTYTSEITRFLASTKKVQRAPSVSVSPPSRPELSKRASRKSVDVIR NSIDLGELGIGRSRSVKKLSSPDLIPLSYGLPFEAGGPGSIHSFWDQDLQHALIFQGH TAVPVTGHELAALSVILGSPVDISLDAQNEKCESWANTYKGALGISIAATATSDGSYH ISLTSNKRNISQLAAKGSSYSTLHAKHLASGSLPFASDRKTINSILITPETLAHLEAG EHLHLKATGADTKGAQFLARLPNARAPNFHTFATSETTNSTSRLLQAIGDLAFTGGFT PFASIPLIQTVHFVASGGVDPGRLLQRLDALVEKVHRQAPHLQLFGPLLDDANTHLRF RANERLAKLATGTVTDEPLADKVARMSRYITLLGRLMAIVPDMRPSDVLDAVREGLKS EMERSYEDAVAAHLIGRTILSTPLSSRSKRNSSMPRRDTRNRSRHSNNSSGTASPDGP ASLTSGRSSSTFPVHNLGRQVEDILKGSLPMDVQTIIHVARLVLVAWTLSVEGVAWDE GEVGFRVVDPAKLPEKMYM CC84DRAFT_1221199 MPEPSSRPLRWIESSSMASAENSDPNSTTQDESKARVRRRQRQR KGPPQLQFVTATDPSDFKGEKAKRSVRSQAMIQYRYQSAAQKKQKKEGTQGKGEPVQT AERVVPVPRNDEPYDAEDDALLLPRRDSHSSTEEWWSTSEHDADGGPLRTPYTTALAS TRPSSRGNRVMAIPLNQAAKRVVESEEERDAILLQLLARRMAASCIGSSMDPFLVIPK FKTSELDSNKLVRNCNRVFVSKQTLARWVPAMLAHPHILLSSTIMSSTWRDMLDGMNA ESRRTILVKAEIITWINERLRHADTMCRDDTIMVIIHLLMGETWSCNEKTLAIHMSGM ARLMAARGKLELPPTFDTVGLATAIVCGHVPIICESAPLPQLCRFQAPDFPVDPSMAL PESPLYSPREQMYSVVTDPACSKHTYNLLCDVRDLTDVFLDYNDNLATIVDEHDHNRL RTLSVDYDLKVAQFRTKLASMPSAYTPGLPTSNDWIYEACRITALIYMSALITGVPFS QAADPTLNAVVREAASISDEGWPTKRLTESLYEVVERTNTGDSWNNMVGVFYWVCVVG SAAARTSAIISTNSRPSVHSEAYPTWVKRCLTMFSSRTLALMIFEHPLPLLVTQKKMH KIQALVGRNASHPRVFQEYS CC84DRAFT_1221200 MLFFNLIIALLFQAVSLVSAADTAAWKSRSIYFVLTDRIARSSS DTGGSSCGNLGNYCGGTFKGLESKLDYIKGAGFDAVWITPVVANSAGGYHGYWAQDLY SVNANLGTADELKSLVSTAHSKGIYVMVDVVANHMGEGPIADNRPEPLNQQSSYHTAC TIDYSNQNSVENCEIADLPDVDTQDSNIRSLYQTWIKWLVNEYSFDGVRIDTVKHVEK DFWTPFSQAAGVYSIGEVFDGSPSYVAGYANTMPGLLNYPVYYPLNNFYQQKGSSQAL VDMINTVSSSFPDPAALGTFIDNHDNPRWLNQKNDQTLLKNALAFVILSRGIPIVYYG TEQGYSGGADPANREDLWRSGFNTQTNLYQAIAKLNSARKAAGGLAGDDHVHLYVTSQ AYAWSRASGNLVVLTTNGGGSYNAQHCFNTQKANGKWTNTYGDGATVTADGNGQVCVQ VTNGEPVILVASAKDTVISPTPTTMQTISTACPTSVSVTFTHKVTTSIGDTIRITGNT TQLGNWTPSSGPALSASSYTSSNPIWTISLPLTPGSGIQYKFVKVASGGAVTWESDPN REYTVPKCQSSASVASSWQ CC84DRAFT_1262742 MLSSLIKLLAFATSVNAHGYLSSPMSRTGLNAQAGVDTCPECTI LEPVTAWPDLDAAQVGRSGPCGYNARVSVDYNQPGPRWGSQPVITYNAGDVVDVQWCL DNNGDHGGMFTYRICQDQALVDKFLDPSYLPTDAEKQAAEDCFRAGELKCTDVPGQTC DYNADCTSGQACWRNDWFTCKGFQDTKCRGVDNAPLNSCYTSIAGGYTVSSKIKIPNY KSNHTLLSFKWNSFQTPQVYLTCADIAIVGSGSSNPASSSTQATTATATASKTSSTIA STPTSGTVAVKFNEKATTVVGQTIKIAGSISQLGSWDTSKAVALSASQYTSSNPLWST TINLPAGTSFEYKFIRVESSGSVTYESGDNRKYTVPATGSTATVETTWK CC84DRAFT_1179754 MRAPNLALFLLSLLILYTVSAPVEDNSGVTNLLEAPVFVKSILP GRSSSDHDQARGLMSKEQDIGPDLKKRRGSSGGSRIRAKRPARKRPQKTKEKKKSGRK TKPAEKKPAQSKKKSGIKKPKTPANRNPSTKKPKKQTKACKPAKSAKGKNGKLSARAG EPVDASCPPKKKVTKTRNYRIAEKAAKKAGHKGGLVLGESYLLRFKSKPGLVNHQALI VGTVQRRKANPADGALDFVASSSELVKPLRVPTGRIAKAKQECLKLHGAKCKHRGIER VYDCKQTLDRWGKKSKFIFKGNANPEFADPKKFVQAGKAILEKDTTYDVIYNNCGTHA RKLENVAQLKKGQNPLVDIELQDLENLTLEDSE CC84DRAFT_1127650 MRSSIALALSTLAATTLSTSCENRTLDEIYQAAIKEGGVVTLWH GGDEKNQQDVLKKAFEERFPGITLNVTVDLSKYHDGRLDDQIANNNVYVDSIILQTLH DYPRWKKEGALLNYKPSDFVNVASEFKDPEGAYYGYSIFGWSTSWNTAKYNGSLADFS DALLPELKDKLILTYPNDDDAVLYAFDLVVKKLGVEWFDALVAQNPTWVRGSATPGDQ LALSNTSYVAAFATGGAHGPNTNASQPTDAPFVTWPQTAAILKDAPHPEGAKLLHNYI LSDEWQFARKRWTVRTDAPADFPNIFEEKNTNVTNFLPWMLDRPNVERLRFWYEARLG TAQGLSPLEDNL CC84DRAFT_1208798 MSSDSRKSSEHTKAENQIVTPPQQYEKVELENAVIPEVDAATEA RLLRKLDWRIIPMLCWVYLMNFMDRVNIGNARLYHLEEDLGLVGSQYQIAVGILFVTY CLFETPSNLIIKRLQPARYLGGLLFAWGMIATFSSFVQNFAGLVACRLLLGAFEAGLF PGVILYLSMFYNKKSLSLRNAWFYGTSAIAGAVGGLVAYAIGELDGARGWSGWRWIIM INGVPTVLTAMVVPFVLPNSPETAKFLTEEDRANLVLLREAEVGQTRNAQVLTREDVI AGAKDWKTYAFAIGQFTGLTMLYSFSVFLPTIIDGIGGGWSRQVVQALTVPVYVSGAG VYLFCAWYSDRIQQRGIFIIGGYLVSMVGYILLIINQGVGVSFAGCFIVAFGLWTSTG LAFSWISLNNPRYGKRAFASGMQITIGNASGVSAPFLFSSAYAPTYFPGYGATIGLLC LGLCIYTTLYFWFRNANSRKLAGKEDWRIEGLSEQEAKELGEHNPGYLYTL CC84DRAFT_214892 MRFSSFIPLGLSALALAAPTPTIKKNGIFARQNGTGSTNSSGSP IGYASENGGTTGGAGGSAVSVSTLAELQAAVKGDEAAVITIASSIKGSGDNVKIGSNK SILGKDSSVVLENFTLTVKGAKNVIIRNLAIQKVVGGDAIAVQKSTNVWLDHLDVSSD RDHDKDYYDGLIDLTHAADFVTVSNSKIHDHWKASLVGHSDSNSAEDTGHLRVTYHNN HWENINSRGPSLRFGTGHFFNNYYNAVSDGINARLGAQVLVENNVWVDSKKPLYSVDN DAGAVASGNDFGGAGNTAPAGTLKSVPYKYDLIEASAVKAAVVGTAGNTLTF CC84DRAFT_1262747 MPPIQLHKDEPITAAKPSGTTPQTAHDVPQNPPPTRTTPASVPA TTTADASLPPPPQPGARPLAPTASSTIYTPSDAPPPPQPGYTATHLTTETRLAGPPPQ YNIPPPPTAQLAGRSTVPSTTASGPGPTRLDTGGGVPQASPFQQPHVGGAVPDPNRRS LEHPPGYQQAPDNSPYAAGGGSLGTGGVSGAGTQESEGVGAQAWNMLAKAGEALKKGE EAVWKAVREK CC84DRAFT_1167885 MAAQQDKSFMGMPGFVVDFLMGGVSAAVSKTAAAPIERVKLLIQ NQDEMLKTGRLDRKYDGIVECFRRTSQQEGVLSLWRGNTANVIRYFPTQALNFAFRDT YKSMFAFKKERDGYAKWMAGNLASGGAAGATSLLFVYSLDYARTRLANDAKNAKKGGD RQFNGLVDVYKKTLASDGIAGLYRGFMPSVLGIVVYRGLYFGMYDSIKPVVLVGPLEG NFLASFLLGWTVTTGAGIASYPLDTIRRRMMMTSGEAVKYKNSADAARQIIAAEGVKS LFKGAGANILRGVAGAGVLSIYDQAQLIMFGKKFKGGSG CC84DRAFT_1167887 MSAELSPTELTMSSTTQSPPQHQTPSFDPEYLQWLMSSFPTDAA TPPLTTIPLMRQNTEHMIRALYAKWPAQPSVMQTSHIVTSADGTLIEVTRFSPPQEPG KQENEAGPAILHFHGGGHVSNHVDMFAPYLSDLVVRTGIPVFSVEYRLAPEHAFPAAL DDGLAALRWLSSSAVQLGIDPTRICLMGESSGGGLAAGIALMARDKALEPRVKRMLLV YPMLDDRSISTNPAWDAENRGVKLLTMCWEAYLGPSVKVGDPQAEVSIYAAPGRAEKL EGLPEAWVEVGALDEFRDECVDFAGRLEKCEVRVWDGVPHGFDAAREIKVSRRAVEGR VGFLAGL CC84DRAFT_1099767 MQQFPIQVDPARTKQRLPIEANPTRKPRGRLPLRHARSSPRASP SERDSVLSDSDTPITGKPVQTRNPVGDLSVGHRVVATDEKPSTSKKWTGGLSEAEAHL LVFLKEVKNLSWPEITARFQEHYPDRKYGTLQTNYSQKINRRDRSQDPAHLTLPSMYA SEAHVDWARVSANLSRPNNQPRRKREVAALQEEHKPRAWSAAANSVQDQLSDAESAGR RRGRPRRAVPVQNYTWPKSNSQIEGGSFEEDDSNRTDLAEERLAVSQTPENLVPVAQK AIAVENEPLSVDFDMDDAFSALAVQDREACPERLPYLSSLQRSVLHNVPSGFEWDQLV SRDWQGTLIHVDFNSIELDTVENTITSLLGPQRDLQPQLQRKRLRRILNGVTEPKLLQ LAGVLRSKLRSRGRRSIDAFLRDAQEDKVRSAAPRIERLAASRPNKSFNSEARLSTSA VIRRRELGLQSNRGWSSATSPISYQLKNKVQDTLGPVSSYTGASSDVHAVAWSVGGEC FAAGAICVDDPHSMQYNRSNNLLYGDVSRNTIIELGKHYVERPMTEMGPNSTHAMYAS QDPKLFKTVTSVAFSPNGKYMFSGGWDQNVWIWETKYDGSQPIDAVSLHHKSEVSMMA VNASGVLATGTRKSTGNAVKVLSLCEDDLTQPPVTLNFASEKAAARPDLMMLPMALHF SPRYENLLLAGFGANARQDGRDANGDICLWDINGNKQLNIWGAGKNVFDLSFHPRERW MAVATVAGQNTNRGMRSTVRVYSEQGGAADDKFSTLMELECQALDINDVVWCPGDEYL VAAGCTSGRAYVWDIRNPNHFVRELAHGSSLMPLDDREDREVVDTGIRFLSWGNNATR LYSGSSDGVVKVWNVARSEEETFVKDLITVDSGIMSGAFSPDYSRLVLGEVNGSVNVL EVGRDDCSLKNASRMNYVPFIDDEPDFEKQLPTPTSAAADSGVATARELFATGQMTIK PMGGLPIKQAVQGPSYAGPYDTSVDAPFLREQASEMQLKFPETSESPCSACLVFGSDP VKITSEEIGDSGRSVDRIPEEIRSRWLAGTVDLKIPPTQVPCATCGRAARPSDRTNLG DGTPLPARCERCNFACLRCGDNMTLSMNIKNEICHCNACGLGWHIGVLGYEPMGVNSV KRPRRIIYNDIPKLDGFKHDLYLAELETGSPTGDEDASFGDEMNALTDYYFSLAVDRP QSPPLL CC84DRAFT_215912 MATSMEITHRKGSVSARKGSVDGAAEAQLAKMGYQSELPRNLSM LSVLGLSFAIMAVPFGLSTTLYITLTDGQSVTILWGWVLVSIISLSIAASLAEICAVY PTAGGVYYWSAMLSTRKYAPVASWVTGWLTLVGNWTVTLSINFSGGQLILSAISLWDE TFVPNQWQTILMFWAVMLVCMLTNIFGAKYLDLINKICIYWTGASVIIILITLLTMAD TKRSGDFVFAHYDASASGWPSGWAFFVGLLQAAYTLTGYGMVASMCEEVQNPEREVPK AIVLSVAAAGVTGVIYLIPILFVLPDVQVLLDVANGQPIGLLFKTVTGSAAGGFGLLF LILGILFFAGTGALTAASRCTYAFARDGAIPGSRLWKRVDKRFDIPLWALVLSTVVDC LLGLIYFGSSAAFNSFTGVATICLSTSYGMPILISVLRKRRAVKHSSFSLGRFGYFIN IATLCWICLAVVLFCMPVSLPVEAASMNYASVVFAGFAAISVIWYFIRGRKDFSGPPV PVDVAPGEEVPVVGVERADLEGASPVVGKETEKL CC84DRAFT_1221211 MANDEYDFLFKVVLIGDSGVGKSNLLSRFTRNEFNLDSKSTIGV EFATRSIQVDAKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDISKHQTYENVTR WLKELRDHADSNIVIMLVGNKSDLRHLRAVPTEEAKQFASENNLSFIETSALDASNVE LAFQNILTEIYRIVSSKALDQGESSQNVLGAGPGQKLELSSSTGAEEKKGGCC CC84DRAFT_1208805 MKKSALEVSRESAAFTSDIGSSLDDSEASSHDADSVFDVDDIIA QRTHEEGNQDVVQYLTKFTDYPLHRQVMASASEWLYSSFCGSGLLDTWNAKRRDLGEA EIERISEKNTAKFEAAVKKADTQQRKRRQKRRQLGQNVARGNKKSLKQKEEAKTAAFS PLDHSAPAKSKAKQAVSSERKSRAVVDSEEEYEFVPKTTSSDENVSIATSSDSSDNMP LVRRSARSRSGRTALGAHAKMPSKPSSPPQRRKYIPEASFPVDEPSPSAASPLVPVRG RSVPKGPRDPGPIKIVNEPRHPQRKAWDTQGKHFGTLHYRSVAEKRGRDEGTPDINDL QFVNGRPAGISTKPADTTSEAPSHNDPYGRREPGQRRLMEVETEDETHSPTIAVALQS YEEGKIPMTCYDWKHTTCIHGAEGCHFLHREHGPDGKLLPVTNWKGNIPPKYRKQPET CWTWFCEGTCRHSDADCMYAHKNTGQLRQKPIDAHIECGSTKAREVAREIGGEPKYPN ITCVHWLMDTKGCAKRYSQCDFAHRNTGMLGNIFGEPFKPIDPNLKPANAGAFPKFYD PPQTCFYWLRGFSGCDNSAQNCKFAHENTGYLAHNTQGMLKIDPNETPRFDPVLQGLS VPTGPVSTGVPARDIPSHMKTCFFWNIGRCKETEENCKFVHRYTGIVAHPPRNWVFPP GYQPGFRHNPVPAPHVDSFDSMEVDETVGELAHETVTQNLDTRTDGSRTSRHADAHAE DSRLLSPQENRSDVESAGKSYVVKHNHEKKTIQQENGNTKKSNDQPSGVKRMAEKAMP VDPVSASQALYSKHQIEKAMLLDLDEMLRCNGDQHEDVLAGPNALILYDPELYKEQSL LLERWLALNYLKVFSARRMGFDAAWNGFREVVLDGGSGIIIAPPDFEDYASLPGFGDV LRSPVRLWSLGHQPVADYNIWDPETLEERPYDRFAVFPHGGIIYITDDVFMKEPQLAL TIFEHFFAKIEAGRNVDSDVVPGMYINDGILLWRIGVRPELMKWIGDICMNHQAEIEG GDPAYISLEKLYILLHDSGHCETDGHFNPPADNRRLDFFPVISMRQDLAEAVGLYYEA REHSQHEADTDMTHHYSGLVVMERRNYRHYFVVHTNPEMVDWKETITNIDEVITPEKC IEYFEQEPKGSKFDNYEWSYPAKRTGSGTIPSSDDPIQIDQPNQPVRNSQCGDCGFNS AQCPCGYV CC84DRAFT_1221213 MDEDLVALCVMVGVLIVFPLSVVALVLGSVAYARTKAWDRARNQ RTTAQESLLPTAQDDDSEFYDTEDEAEANERKAEESRDAMMTFGQKWRKEFGKAWKGK GMKQIQKEREREERRKLAKAVAKELDRRERRAARKAEKKEGLPRYEA CC84DRAFT_1167891 MLSRRLCTPGGVVVASCPGTQAIIPRRPADDRPNTLARLPLNGF TAEAHPSISSQQSHLAKRGGPRSPHYHKSTYIGLQQVSNLLRY CC84DRAFT_1099787 MVAKGDKSAELEPYEYEPLSTLNTIRIFCLESADAEKDPLRGYL IHFDRYEELAKSDHRRRYTAVSYTWGAPDFAERIVISKPGSEQESYLKITASVRVMLE HFRTKRKKKLYLWIDAICLNQHDDAEKAQQIPLMGDIYHQAHKSYFWLGLEDGFDAAN VFTYFRLLAITDSSPDLTGNFGKDRPETNLANQIQDFLKRGWFFRRWILQEAGLSRHG LMWCGLHTIQYDLFVLACRKLLRKGIDINSDEKRLRDTFAIKTTVEIHDLVQGSRKSQ DVLDMMWKFHQSQCSDEKDRIGALYGLI CC84DRAFT_216170 MRGQSLLLEKKASSVSARLDNRLKFLEIGRSLCESERVKYLSLL AVIFLPFSLASILSMQTRFGDLTYLLYDFFGVISLLGTMTFILLGIVRLLQSAYDMLY NWMETRPPSTGYRNAQRWRVGKNAPFITISAFLAPWVLTVASFLVAMIHNVGLGLKIL GYGLGGMIVLFIANFLVVWMVRK CC84DRAFT_216198 MDPHEQPWSEHERVNLLAEVLLKAGTSPSRVLFGAIRDSGIQVR WNDLVLPNGRSLGSCHRAFDDLAAQVQPSEYRHPVPPPTAPIIYPGSREVPQKRPHPE SYTPIQPRPSQPPYMGIVNQHTYGANIPPAGEPANKKKRGRPPKAVTEMRRQEAQAKG EPYPPPRKSRPSITSRDPSQASPGGPLSAPTGAATPQGTQPPEPNTESSSGKKKQKPA PLELGSPPNLFRPATENPSSVFSNFTPNSAPFSSSPKKSSDAHIPHVQYGSQGPSPRQ SLGSDVRMEGVEDTQPRTTTPHSFKDTVGI CC84DRAFT_216205 MSDRESDQAAELGPELRGMKLEEGASPSGESAGAPARVKVEGDV RTPNPLLMPARSKPRSKSQSPVKQLPSDTSTPEPNTQEEVLGGEITLKMEPGKAPKLS RTASHKIASRPPPLYLDLPDSTQDAKATFDVLSECTYANKHIGTTEHALECDCSEEWD PKERVNNACGEDSDCINRATKMECVGDCGCGRDCQNQRFQRKEYANVTVIKTEKKGFG LRANADMKPGDFIFEYIGEVIEERAFRRRMIQYDQEGIKHFYFMSLTKGEFVDATKKG NLGRFCNHSCNPNCYVDKWVVGDKLRMGIFAERRIKAGEELVFNYNVDRYGADPQPCY CGEPNCSGFIGGKTQTDNSTKLSHATIEALGIDDGDSWETAVAKKPRKKKASEDDEEY VNDLKPKSLDARGVTKVMAALRQCTEKWIAVKLLDRIQQSNGDKEVGHRVIRMHGYEI LKTTIITWKDDPNVVLQILDILHGLPRLTRNKIQDSKIEDTVQNLRDECEDDRVKESI TTLLSEWSKLELGYRIPRMKANARVEPQFERREKGRERSRSRSKSPELKPPTTAPSAP RGFNAPKGPSAMANLPPRPPPRPPRVFNTLPSGWFQAKSENGPVYFYTATGVTQWTRP TQPANQPPSATSKAKSEGQLLQDLIASITAEASTGKRGSASSTPQPAADETKDKISKS DKWLNMPQEKKEKIYEGTLSKHVMATTSRYSKTLPKEDIKRLSKEVTKKLVRGDFKAN RVKDPTAPISSKHEKTVKNYVRDFMDKAVKKKTEREKDKGSHNGTGPNGDVTKPAATA TPETPQFGSYSAASPAGSSGTELKRKREEDGEPASPKKSRTDTQPVPPPPPPPTDDMP MNGEGSSLTPMEDDPVSIHSTSDNSVNGARPKPLGHLASPTQLATPPSKEHNGYHQHT VPTESRS CC84DRAFT_216207 MASLVRTASRARPALARSIARPTSQSAPTALQRHYASASASAVA PDHSAPALFPDEPSGPIIRTEIPGPKSKAAVERLGKVFDTRSLNMMANYNQSYGNYIA DLDGNVLLDVYAQIASIPVGYNNPTLQLAASSPEMVSAIINRPALGNFPQHDWADILE SGILAVAPKGLNQVFTAQAGSDANELAYKAAFMYRRQQERGGANVDFTEAEMTSSLTN QSPGAPDLSILSFKKGFHGRLFGSLSTTRSKPIHKLDIPAFDWPVAPFPDLKYPLDQY AAENQAEEQRCLAETERLIKEWHSPPAAVVVEPIQSEGGDNHASPAFFQGLREITKKH NVLFIVDEVQTGVGATGKFWAHHHWNLSTPPDMVTFSKKAQTAGYYFVNDDLKPNKPY RQFNTWMGDPARAILFRAIIQEIERLDLVQNTAVTGDYLYNGLQRLSEKYPAEIQNLR GQGQGTFIAWDSPRRDEVLKKAKGVGINIGGSGERAVRLRPMLIFQPKHADMLLEGLE KVFKS CC84DRAFT_1167896 MTESSFHMLSAQTAIERDIPPLPFAVDDYWQQVKQQPCDQFAVL WAIRWLLHIGTESNLARQWAEVQIMSDPWYLEEAMDQLGSFALEADERDRLDDPHVFS NSGLVTVLMTPVDEDVQILPSEPLLGRVRSPTRRDAREERCPLGYPVAGPRRRPGQSK VRRDQRPDRQQHVKTSTSSHSRWSPLVEMRSQSWNALIRSPSRARTC CC84DRAFT_1198861 MTVFDELAETDGDNEFRAWLSKVIDAKQEIVAFVASRRQGKPAG EFDGYLKGSFSLSLVIRFSDGGPKAVIRFPKPGHTATAFRDEKVSIEVQFLKFLSEKT TIPVPRVVSWGMIEDSPQHLGPFIIMDYPTETEQDEVILATDVDDMKLDYVYEQLADY MLQLSRLDFSTIGAISKSPSSNRWIASERPLTYNMNELNSVVSNYPISGYPTAPFTSA KAFLHSLAEEHLVHLRTQRNLANDREDAKKRFIARHRFKELISRYCLDDTGPFKPYCD DLQPTNMLAHPDTLRITAILDFEFTNTMPAQFAYDPPWWLLLLGPDMWLENHSMENFM IRYVPRLEQFLRALERVEARTNLEGSERNPLLSVRMRDSWATGRFWFDYGIRKSFDID AVYWAALHKDGDDVLNDKMREEMEKLVDLKMDQLKAYDAECKVRFSS CC84DRAFT_1221221 MTPNTPIDQEDEPPPVPPKDNTNDALRGVSKEDLKDDTPGSTST LQVRRRRPPPLTGPAVKPLPPPPPTGDAVRFEGAERGIEEPPPQPPVKDVRLDETKSR TTKPLPGIPWRPDYKGTILWIAALGIWFLLVVLLLPVLLEADALPGLNKILRNWSIAL LR CC84DRAFT_1100218 MNFSIPPALQQYLADLDAFIEAKIVPLQKKDDNDRFFDHRREHA RTDWDNGGLPRPEWEALLGQCRRLADEAGFYRLSLPKQYGGRSAEDGRGSNLWMAVIR EHLAAKGLGLFNDLQNEHSVVGNFPDVVMVMNYGNAQQREELIGGRLKGKVRITFGLT EPGHGSDATHMETRGRPEKRNGVDGWVLDGRKKWQTGMHAATHCFIFARTQGENGQAK GISCFVVPRETPGLEVESYEWTLNMPTDHATVSIKNVWVPSTAVLGPIHNGLGVAQAF VHENRIRQAASSLGAAIYCVQESVSYANARAPFGTPLSHNQAIQFPLVELATQCEMLR QLIRKTAVEMDSMPHVEVEKRIGDKVSMCNYWANRLCCEAADRAIQVHGGNGYSRHWP FEHIWRHHRRYRITEGSEEIQMRKVAAYLFGFGGRKSLVDATAKEAKL CC84DRAFT_1167902 MSQSNDPGHFFQTDAWHAETARRAAKSKNDKGNPVKLPSKILAV TADPYDRALVYVAEAAGTVKRISPEAEEKKVIATYTGPEAPLTSLAISHKSGKLFAGC WDKTIWSWDAKSRRPGQRFRGHADFVKTVLVLTLQGKELLLSGSQDATIIVWDAVTGQ RLYTLKGHTRGILALALDPLEYDPAKEEAIVFSAGSDREIRRWKVGITVGKEIKEEPC PILAHETSVDLIHFDSDGDLWTASADKTAKCLSRARNWDEDSVFEHPDYTRDVVVDEE GGWVVTACRDENVRVFEKGSGKLHHVFEGHFEEVTALVLLEGQKVISVSIDGSVRTWS LKAQDLAKAIKEAEDEKEGKVEEKVEEKKEGLMTLEEEEELAALLEDSD CC84DRAFT_1153816 MAAKGSFAQRMASMASSVENWDDDADFDGSADLFTHSMSTVQST SSRVSVRSESNMGDDDWQVLLAPNDEASTRNAINSAKQAGIPIPTSVPSSALLGGAIK RLGKKKSSRKIVVDEDWANDLELPTNPGEGLKLKAPMPRTPADDNDGFDDDDWGEGSL GIRFAGTSRRGPRSSSVSAMSPSMGSIVTLESEDDELNGLVLPQEPLDFASRLEKLKK HEITLPSPDTSPSGFTLPKRAPPPTSAPVLGPPVEFTSPTAPVWSSPIAPERDVRQEP EEETPKPPAQLVGVEEEDDFMDGLDIGGAQVLDTNKLTLNRNVKIKKAPAKITPPPAA RPATTLTFTDKDKPSMSKIPRPLSTSSRSRLTPVYESGAAQSGNSRQPPTTTSAQLLR AKRSAPLLRNNNNAHPARSSVPFLPAGGSTSQSHHVMAKSSSRGHLRRDSDPRRPQSP STRPYSRGPMDTPSRNGMRRELGPSALPRQPQKLTFPKGRKQNFGDGTELEIFDDLPT SATKEKQFEKAPRNVASNKTLRSQTSVSKLPMPDRMATPMPQTPRSPPKVDSTPRFAR DTAASRNAREQRLTDMRTRGNGPVPPSPSINWKVHVAARSPHTSPTAHRKKGSGAKPQ LIRGLFEPGSRTEKGMIYNPTMQRWEGNEEALAPFTHPNGSSATLNLTTVSTPTFSPM HNPFGHPHERSHSISHTALSKIQLKNVSSRAVNVVPRPAPSPPRPALISQKTMPRGVQ YEGRMVFDPVKMTWLKAPRDSNDPRSPSVADDDEEDPFAGLEDLKDNVSVVGGHGDTG TGATGVEDTSFVGEEFDLGPSFIRRQREEEAIWRRKTESWVSTMRDTGEHKHGWRWEL RRIAMRASEER CC84DRAFT_1062262 IVPVEPAAGDGDVVVVEVLQEGSHPLDVRLVGCEGESPYVAKIS HRNISKLKHKFKGTADEWEQVLSHFLLQKQPAGDNAKLLENVRMVYALKGDNIEITIQ QDVTGIKASQTSILLPRDDEFEFNPFEWAQASALAHKQTLKELADSKTQAHGEQVMIA KLNAQLDDFIKTKNETETAMLQQFMELLNEKKRKIRDQNRLLATAKVDKDTATTVQAT REETKPRKPAASRTSKRKAKAPEPEPEPEPEQLSDEDQMEVDQAKAEEQDDDSDEGGA ATPDRASETETEDEGEGGFSSKAPAPSTRATRAKPAQAPRSSSVTVGSSQMSTPAPNE DVGPPPPRRELPFGRPATRSKPPAKQPAPAAADEDD CC84DRAFT_1100729 MGFLQRVKRQQTLDSPQSDETTHQVNPDPKSGRDIESTIHTETI PTTSSSKWILSRSGDGDTAMALFASPADTHEPYTAEEEKKLVRKIDCMILPYLAVCYA FFYIDKTTLSYAAIFGIREDLDLHGDRYNWLSSIFYFGFLVWAFPTNFLMQRLPVGKY LGANIFLWGVFLMLQAAAKNFTQLAVLRAISGAAEACSDPSFMLITSMWYTRKQQPVR IGLWYTANGFGIALGGLLGYAIGHIKGALPSWKYEFLIIGALCSAWGIVMFIFLPDSP VNAPLLTPRQRRIAVERLRSNQTGVENKHLKGYQVVEALKDPKTWFFFVLGAIHNTPN GGISNFGTIIIKGFGYSTLVTTLLQVPYGILIALFILSCVYLNNHFSSQQRQTRCWFI LLYTLPNIAGTFGLRYIPQQHHIARLWCYYLTGAYNASFVLVLSLAVGNTAGHTKKVV TNAFLFLGYCVGNIAGPFFYKASQSPTYELGIWSMIFCHLAEFAIVLAFRCYLKWENG KRERVFGAQGDVDATAFGDLTDWENTNFRYVY CC84DRAFT_216454 MGLGLMEAINSGLRASQAYLPRSTSSQLENSKLPVVEMTGNGLI SALLRSHDRSGRVTIFHATSENAANIIATPMRDSAIARCTTFTGSGTTDRGNQLFNTT HIL CC84DRAFT_1189984 MEKPPKFSLHPELHGAVSLHLADDDLHFSFRQDRSDARSTKAWN SNIRGVFICYNNKCPSRSWASGMVAIRILLYAGQQYHAQVYHQRCEQCNFVSKPRLDD SYAERVARRLKIWSGVKVESVPRRGQSKRPHLTELCEGCRAGYCSNA CC84DRAFT_216390 MHAFALFFLCFVHRDGSAAQPLPAQMTTQTKPPLSKRTRCKFFV GSKACLRATGPPYSHSSHFCRHCRRHSKSYFLGLRTPNATMADFPPDLSPEQAPTTNL PFTTTRPTPTPEAQVTPALNGSLFSLARELTSYFLGQTLHIPTFISDHPLNTLFASAA VGIGARLLYNDHAARSRSRVQLAVFHILRILQANPSYWHHVSDESNWPAFRQHVVEEV RLAVEKHHISRSEGEQVKKVIEKRLINMLWDYEGSLRGEVIRKRRKLLADQMEDNKAK ESYLAKKRRETRNPLWPSQTPRPPPQPPGVVAAKKPLPSWDDDMDGGNEGDDTGGAAP VVDSATPGNPVVYPALLHSHGIAATPAPVSRPPNSSLDEAAAREAAMAPLYNRFSNSP AITGTVGLPRPPLPHGYDGLGVPYGAQPRPQPGRPDNAAVNARRPNSRNNTTLGSKPS SNVALPPMTSNANRPNVPKVDAVQPPTSGHPATPAPLSRLTMSQPAPPPTNDTVGTSA STSRPTSKVARPPAATSTNHPEDAPVMVSVRPPKMTVRYRAVPFKPIVPDERERRRLI GDEGRRWWPCAICDMMVDVAPLPWKLHLRSVPHLAKASSTQEKEKQKEIEAEAEDGDI AEEVEELVENATSRPPSPKWTCHICGKKLLLSQMSEHEAYHKKKEDEAAASKKRFEQF ASGNAVTGTLNATSSGFSGSGSISGFSGFKTTAHPSVTKALKDDENLSWRCPLCDRLV PLAEKSKHLMEHLKEGETGINDDDIWINCPGCGLSMHENDLSAHWQEGCEGNLLVGQE EDPYVRYIYCGRCNMQVLADEWDAHVERYHQDPPTSGDDDQNNGDQNGGANGNTNAFG QLIKLPKTNTPAPPSVNGPTPVPPPSAQLDDLRRLSQPAIAVSTEITAIPDGQVVIMS TPTTPAPGLPQQLQPRSAVPASASVSTDGVVTATPTPNPQHPQLSQNSTPTLPQYPHY PQLPHNALYPHIRPRPSLLEREERKAWHAVGLKTVEQYWTKVKKGLSPIIELEEEDEG YTPDSARLARLAKQGKIPWPAGLLPMGTGVAVNREVEEEIQQGKLVSKFQVMLSCADG CADNTPNGVPGGDINPADSYINNDPAAYLGATPTPAPRPPQDVDSDDPDGLYKPPSPR PQPNTRPPPTQPTRIRRPPTSPQVAVPPRRQSTSTSIPRPVSQPRLQSPAPVSQRPAV KRRSSAASATSATSQSKITKPDAKTANKKPPAKGRTSRQSPVGSQTWTGIPSVPPPTA PPATPGGRRNGSVPPTPETRRSGRANRFEGTYKE CC84DRAFT_216457 MDTSTPADDITLTLTHHGSPITFTFAQDATVADLSERVASELSI PALNQKFLVSPKTGLLKPPFKDATLPLSSLQGKKINLMGSTIAEVTSLNDSISKAAAP RRPGPIKAATPARNRDWKKVQEEAQYTFHTLRPLPYLPNPDRSLRFLERLRDDAGIKA AMRTHKFSVPLLTEMDPAMHTTHESRTLGLNRNQGEVIELRLRTDAYDGYRDYKTIRK TLCHELAHNVWGPHDRNFWELCKQIEREVDRDDWTRGGHSVGNQEYAEGYEEEVYDHG GWTGGEFVLGGSAGGGGGETVVNSAGGTVQAGNLSRREILAKAAEERMKRARQAEEGS RGSGEAPS CC84DRAFT_1189986 MRLSAYIVAIAGAALLGQTIAAPVETRGDVAQHVEATRLEKRED MTDEQREALKYFHEPGGGNQLGHYDRRYFKGIVSDEERTETQLHMVRAYLEWFREKGL DTWIAHGTLLGWWWNGKRLPWDWDMDTQVSDSTLTYLGEHYNQTTHKYRSEDGKTERE YLMDVNPMIVERVRGDGMNIIDARWIDTSNGLFIDITGLSETHPDSAPGWWSCKNYHK YQTSDLYPMRETMFEGVVAKVPYAYDKILKDEYQEKALIVTEFEGHKWDTQQLLWIKT PEQAEKDRQEEAQRAEERKKQEEEEKNRKEIEEKQV CC84DRAFT_217573 MLFPAIPMRVLFDRFPQYERRGTVGNAGLGPLQTIGRPWWVRSV TLGWFCLNTLSPSADVRLLPGAWREIKRQTGAPVAVLSPRQGATALSDTWQRRSLDAT GRKACDTRDIEAASRGPSTRVWPSERQQNRASSPEYAAASVYGTLTGLASGYVSPRRA RPWTRVLAGLVSQDTHHDKPARTARPRLGQSHKSPPATPSVPAAHATLCRK CC84DRAFT_1179781 MALPITTIAESKELRGLNLIAAHSHIRGLGVEPDTLEPKSSSQG LVGQEKARKAAAVILQMAKDGKIAGRAVLIAGPPSTGKTAIAMGMSQSLGADVPFTML ASSEIFSLEMSKTEALTQAFRKSIGVRITEESEVIEGEVVEIQIDRSVTGGNKQGKLT IKTTDMETIYDMGTKMIDAMTKEKVMAGDIISIDKASGKITKLGRSYTRSRDYDAMGI DTKFVQCPEGELQQRREVVHTVSLHEIDVINSRTQGFLALFSGDTGEIRSEVRDQINT KVAEWKEEGKAEIVPGVLFIDEVHMLDIECFSFINRALEDELAPIVIMASNRGNTQIR GTDYRSPHGLPLDFLDRVVIVSTHPYNREEMQQILSIRAQEEEVDVSPDALALLTKIG QETGLRYASNLITTSDLIRTKRQGSEVTVDDVQRSFALFYDPTRSVKYVAESEKRLIG DAGAVSLSVTNGAGADAMDVS CC84DRAFT_1221231 MANGDSGQGSGSTTPVSGAPAPSMVSQKRVPAIGGGRGVASIRQ TVLKKQIAPAVGSENVALASIQAKQIDLQKLMKNATEQIDAVQFEQRKDTAQREQAEK DLRARLSHLEQKQGKATPQQEQAESDPRLKAKLSQLEVELETEKKKRLEIEQRLKELE EKHNATQNAPADASLLKQVNELVTNMKILEAQSKSNARAKPVAQQDPAQIQSQMETRV KKSVQSDIKAHGDRIAELEKKFAAIPKNEGIPWERIDRIDQEIQPIKSQLDKLGTNNT SIMARLDAFDLDKSKDRLNKVIQEQSTMTQVFETDLDHLKDEVKIMEGSNDDRLKTLE NSMKAATKGVRGESIASIKALKEDLKALQESNLVRRVSELESGLDAQKESSTKQFQKI EGDLLNRPTVSQYQSLKKQLDVSGPIKSYVDKRVEQQVPLHINPIKSALEGDIVKSTY IIKRDIGEDMAKIRQEVEQSESTLEELGSSTYASMEQLRKDIALNAAAVEGLRSEIAD LDTSSALSNIEINFERVTSENSHDIERLRKDLNRLRTASGPSANQQFATKEMLEEFEG RLLKVEETAKNALKEAANAVQFTETEICDGMDTLSSQISALEKTVEAVQDDVKSLHEQ PSSSADRTGSAIVSLSSLSRDNESTISVREDTRAENSAVEHRLEQLDLMLKSLTSRCD NITTDYLHQSMLQWFQQYYPHAPNFLGELDQVKAQLRRIDSIANTITLLATNPDSAQR LASLARDYDAIQQLLRERSTSGNPATPEELNSLRNRIDSEAQTRVTELKAVQAALAAD RKELTDGLTSAQNTFGTYCSRVDRLEEQCGNVNRKADSFKAVVDNVESKIDDWKTKLG EVDKARELLANSVLTLHDQSASLARRMLTVESTTGEQGQTLETHQESMDDVKSAIEQQ GRVQETHQELINTISSKVAVLLITCYDLQTFTRMINKNLRGGGLPKEVFDFQYPLEKP PKPWP CC84DRAFT_1221232 MAPLPLSTAAATQPPPSTAPDTSQLPPSKKRKTASPGTLHTSTI RTQHTYFHLRLTTIPPPTNPANPASAGPKDLDPLTIRSLLTPPLQAYLGLTGAGMPID VLKSEGRDVWVRVHGLDARALQAGMAGWVGSVEGGLVPGLGEEGRVKVSWRVVGKGGR LGLGDGSELFG CC84DRAFT_1189988 MSAPTPPRPPVSPDSGPTDAALPKLPSGWIAQWDNTSRKYYYVQ ISTGVSQWDLPTSEAPIGGSNHGTPAQNTNPYNRPADGTQGPDVGDGTRGVDGPTGDR AGGLGGFAMNALMGGNKQNSGHGGGSNNLVGQLASGLLGGGKQSHGGSSSGHGGGSSG LVGQLASGLLGGGKQNHGNSQSGQSGHSSSSGGGLGGLLGGVLGGGSHNKPSDSGYGY SHNASSGNTYAGSAPPTSYQPGGSHASTPAHNAHASPPPGQYGAHDQHQQPGGYGQHQ SSGYGGQQHQSAYPPSSQSYGSPGPNQHQQSSYGGYGGAPPGQQHGAPPYGQQAGYGG TVYPPQQHGAPQGQYGHNAPYGGPQNYDQHQHNQYGGAPQQYPPPPQHGYGGQGGHQP PAPGW CC84DRAFT_216857 MSSLPYHVLLQIFVYASHPLRDENMHATPSIAWLIKMAQMCQAF TKPALTALYRNPPIFAIRQNRRKLVHHLTSPPADARQEYKVMVKRLELDATQMSALTD STSSVADLSALVSSLTTLKEIDIFDPLDRPPYREGLKRTRRWTYPPELFETLRQSELR LQSWRWHSAYCAEGLVWIKGIHADQAFQSLRDVTFVKFNFDEKRKDDSTDPTTEELLA SAVASLPNLQSLAFESCTAVNGKLLSMLPPALLSLSITNCIYLTSDSMHAFLSDHGRH LEELVLNHNQSLDLSFLTSLKHDCPRLEVLKMDLNYYSTLAMSSDNEPLYDWLLGLDE VPTWPSTLRVIDMQHLRKWGADSATNFFTSLIDSAAELPYLRELRILAMVDMNWRDRA DFRRKWAARFEKVFAWRGKAPSPHLASLRAYREWKAAEHEGGDRNDSLLDITAEDVQK DAPPKVEDGADDPAESESDSDVPLLSRRSNRNEAWNPKRLRSRAKASTNYDETSDNEN QNEEVDADEHTEDTELDDQEPTVVQGRCHTVMCRIDNLRPREEMFDEADFLDEERSGD EEWDEDRDEVEEGYAW CC84DRAFT_1167912 MAEKSVAIVGAGPAGLIAARKLQALTPFKFTIFEKSTRVGGLWD RESFIHPKMMTNFCRFTATFSDFAWESVDLGRPAPVYPQAWMVEKYLQGYGRLIPDEC YEFQTCVTRTEREGEGWKISSTKDGVEKTRYFDYLVVATGYLQHPKELRCEIEPSIKT NPLFPVPILHSTKYRTLEQIVPFNESSNDVQRTVLVIGGSHSGADIASLIAHQASDAR WGPNGNQAFRGVKVIHVGMNVLLPIPGMVWNKAATHVSMHPLEFTLCERSTREAEPLT FAFTPASIQENQVLLFYYKEIIEGGVGDLDFMEKLPANVALGDRYYQCIQDGRIQLIR GVVKGLEKGQNSETITARVNGKDGQDVVIHNITAVINATGFNSGGGLSFLADDVKEQL EFDPANTRLPAVLNASYMAQNSNVREIALLGFVPVNWGIIEMQMRAVVNRWTGRPFDE DAEHIAALGDHMRYIQAAIRDEKRKEEVPQFLFGDYLGLMEQAARELRMEQIYGKNGD FNGLFCSSRFVGPGESKSEALKTMYAIHHLQEDIDRRNPLLAYVAFTGLLGRWRSKSP ADTADTCAEAVYEVEAHPRYPTAPGYDLEHVIVLKDGDGKEKSRLVARYTEVTYEISL WAVDTSKGPCETGPLLFKVPIDRDSNGEVTAPFGTISYHVDFRGSRLDGFRVTVEKGL AIEQTFVFERLCEQVIADAALVGLKGEDSSVAEKLGVQADENGVVNDALPTAP CC84DRAFT_1208825 MVAPFLQYHEPGIIDILILVSFFTFLWISEYASNKIIRAGIIGP IAVGIIYGQPLANILHHDWQETFLYLGYIGLILIIFEGGLSTRLDLLKANFGLSLIAA ATGVLCPIGFSYLLLYLGFGYGAVETFIIAAALSATSLGTTFAVIAGASKSVDLSQTR VGAVLISAAVMDDVSGLVMSSVIHQLGTLSSSPAPNLGWLIGRPIVASAAMALLTPVL AKWVFAPLFRGWVEHHFAKLDHLSNITLMILVLSAFIAIASFAGTSVLFGAFLAGTFL TYLPSKHPSGPFVVLSREQGEKEKDKSPTFVHTFELYCLDTQTYVLAPLFFASIGFAI PFLDLWSGRAVWRGLVYTLLMLVAKFLVGIVIPISSFATCPRRSKSPAPQEKKGLSRT VHSTSLSPALLLGMAMVARGEIGLLIVEIGYNNTSYVSSDGFITAIWAILLNTILGPM AVGLLVKFRGEAIAKGPWGLVDAPEAGINGGSNVALAEGMMEEGRQ CC84DRAFT_1100329 MKTFALLLAAASAVSAHYTLPTINGAPAWSAVRQAKNWQNNGFV GDVTSSDIRCNQLYAGNETVSVAAGSSVKVNVNPNAYHPGPFQSYLAKVPEGQDVNKW DPTGAVWFRIYAEQPKFGSQLTWLSAANYDIKIPSCIPAGKYLMRNEHIGLHVAQSSG GAQFYLSCAQIEVTGGGSKTPTNLAAFPGAYKASDPGILININYPVPTSYVNPGPATF TC CC84DRAFT_1167915 MSRNILITGASGYLGGTFLKLLKDAGLPAHKIYAVVRSDKQAEA VEQYNASPLSLDVSNTDAVSTAIIDNNISIIYHLHNPLDTSTPAWIKALATVKQHTGQ DVHFLLTTGAKLFSSHAGAPTDPFSDTDPSLLSIQQSQPGKAPIDAMGLGAHCNNLVI ATAKAHGVKSYIFAPCIVYGRGLGFGNKISIQTVAVVKAAVATRRVYKVDEGRPTWPV CHVEDNSTLYIEILRGILEGRDIGSGENGYFLASPGSVAWDDIYAAMGKALKKRGVVD DETVVKADKTALEKMGEGMDCPADFVPMQLGGLCTWVPKHGKKIGWKPKYAAEHILEA ADAEVELILEHLENARSYAIPKGTPLKQ CC84DRAFT_1153844 MFPTAARHYAAQRSSVHVRSRLQGVLTRNPRLPWSAYAPPGSRY ASLQAGEDKSGHISAGPDEGLLFFDNVFPLKIQKLISPTLESDTSSSFLNRVINPLVT GTDPKTVIDKASAKANISIKATETLLRLNEGGAFVKFTHDGSTSTSKVEKVLKQYLKE QPVKPWWSPWRRMHVSVVQGRPWVEDLFRMPSPRLRVEFVPPEPGAEAVELSQEQLYS FFRQYGKLQDIVIQPPDSKVLPKFAYLDYSKLSNAIMAKNCLHGFVVPEAEGGGKTGT LLRLKYEQKIKAHWIRDWLVNHPRIVIPIVAALVAGITVAIFDPIRTFFVKAHITRTL HLQDNKYYKKLVGYATDFIPWHHKVDDDTGMDVVWDDRKDNIEQIQTWLMETADTFII VQGPRGSGKKELVLDQALKDRKHKLVIDCKPIQEARGDSATINAAAACVGYKPVFSWM NSISGMIDMAAQGATGVKTGFSETLDSQLAKIWNTTGNALRQIALDQRHKADKDAHLG DDEWLEAHPEKRPVVVIDNFLHKSQEGGVVYDKIADWAARLTTANIAHVVFLTNDVSY SKSLSKALPDRVFRNIPLADCSPQVAKKYVLRHLDADVADDPEPKDGSEKQVPSQTRD DLGELDTCLNLLGGRLTDLEFLARRIKTGETPIKAVNAIIDQSASEILKMYIFGIADE EGDKKWSPEQAWLLIKELAKNESLRYNELLLSDVFSTNGEKTVRALEQAELITIVSSP SGRPSQIRPGKPVYHPAFKRLTEDKVLKSRLDLAILKELTKVESATIEKCETELLKLS EIEGRPAMLAGRVQYLLAKLQKSQEKVENYEGEMGVLKKVLGSEH CC84DRAFT_1208830 MAGSNFNTIYNAPEFTHEHQRAYEKMFYGMNSEFANIQDGYLDK DYDRPVVFGNGNNEQLAFAPQGNFEERDLNYKAVDAYARATRKSWGRRFTQPLLSFPS RVASIAIPAASYLGSVAGKPTQIKPVLGKRRRCVCGDCDDCTTGKERWVPLGPVGQPA NNVTNPNIHDYVRPPRKLRFGGWFQGKEDNSWTVDPRTGHRIPA CC84DRAFT_1153848 MTTLLALLTLVTSAVAQTATANFTGTGTISVWNSSDWTTATPAS SLGCLSPKGKFIAPSSDAACGVFTRLDNYPYTLSTKEGNCTFSDETQERNTESIYGGT DHAWNCLEPYEANIYDDLYTIDGFPYVFLCSGDVDCYFDARLVPAPGSSDATSIWPFR WGSQQWGITPGHTMIQLVWNKMAAVQKRGDAVEPAGSRLSLEHGQAPALRGPKVLG CC84DRAFT_1100731 MDKIDIPPRHRDLFLAVNKLVRDYMYGPRYDPSHDYEHIQRVVK NTYELYTAEKAAGRLPQDLDVTTMYLAAMMHDVGETKYLEKGRTQEDVVVEKMLKCGA SPALATAVATIALNVSYTREKSAHDQTLIHAIITAHPELAFVQDADRLDALGPSGQAR CFVFGGANEGRRAQSIHTGVQLHHKRFRWYVGMMKTRAGKERAEKGWSWMTGFAQEWG VDTDVGCVL CC84DRAFT_218232 MHQSNSFAARAVMEMARQLDMAHRDLGPTPRGGGRGRHAAKRTC ERSADRRLHVLADVWLPGCRRGLATGRRRVGGRPRRWCETMSESCSRSSQAAQKTQGP RRCTARSTQHAAHSTQHTAVSSTVRVPVTATTAGQLYQARVGSRLGAYLGAARAIESR ASDFRFLPRRRRQLRARRRAVSPDFAGQAPADERPHLESASL CC84DRAFT_218177 MSTNRPFFSNFLAAFRAQSAIQKAASSQSAAAATTYSHNTSPSP QNANSSASTSRTMTTKAGPPAPGATTAAVQASGQFQPTRQHAAPYHRSTSPATTKAFP IPGASARSRRGSDSSSEGFHEVMGADKWYIGGRTATGEEKFYKLGMVKRHRSVDRLSL DRLSI CC84DRAFT_218000 MNDNLQPSDLICSADLDKCTAHAPQNVAPWPCEVFFTPIRLCIF VGESGATPKAPNFGDAPKLRLSLRRPTARNACAPAGNLHGKATL CC84DRAFT_1179796 MATPTASSSTAPTQNGPPQSADAEKQYASIVPSEREENHRLQLQ HTVSSFSNHEMTNVSYVATGDNDEVYNRFTERRKQIITAVLSFCSFLAPISSTTVLSA VPEVAETFNCDGSIINLSNAMYMLFMGISPCFYGPIGTIYGRKWVSVISATLFTAASI GTALAPNLAAFFIFRILTAFQGTAFLIIGSSVIGDIYKPTERGTALGWFLSGTLIGPA LGPFIGGIIVTFRSWRDIFWLQTALAGAATLLVFFLQPETIHYRRADELAALPRAKRV HTLWTWLNPLRIIRLYRYPNLLAVAVASSSLVWNMYSLLTPIRYVLNPRFQLTSPLQS GLFYIAPGAGYLLGTLVGGRWADRTVKKYIRLRGTRVSEDRLRSCLPFIGIVIPACML VYGWSVEKRVGGVALPVVMMFVQGVAQLFCFPSLNTYCLDVMQARSAEVVAGNYAFRY LFAAAGSAACLPAVRKIGVGWFSTISAGFLVAAAGLTWATSVWGRGWREEVEERKKAR REGKEGEGSV CC84DRAFT_1262784 MPSPHHGRAPAAFSQRSPYQAYKSPFGPQYKPAAHFHGITARHV AKYGTLAAGFGGVAGFFALFFFAEVPRVRNDIMMKIPILGDYFIVEVPPEDNPF CC84DRAFT_1221246 MQRHYNACALPVRDTFYPSFYPSVEAKDDAPYPRPFHESSTNTL NMFIARSEYDRGINTFSPEGRLFQVEYSLEAIKLGSTAIGVATGEGVILGVEKRVTST LLETSSVEKIVEIDRHIGCAMSGLQADARSMVEHARVESQNHAFNYAEPLRVESCTQA ICDLALRFGEGADGEESIMSRPFGVALLIAGYDEDGPSLYHAEPSGTFYRYDAKAIGS GSEGAQAELQNEFHKSLTLSEAEVMVLKTLKQVMEEKLDSKNVQLASVTKEKGFRIYT DTEMEEVVGRLPTN CC84DRAFT_1167922 MAAQPEDHQMEGVDSPAQVADKGKGKATEAMEESADDDSSDESG NEAEAVEEPEDEDTMAEIDPTNIVGSRTRGKNIDFAKAAQELGEDEDEEDDEDFNDPD DEMKD CC84DRAFT_1167923 MARLGDVSTYEKVSRQAEDTVAGSVRITIPRQSIASNQHGNVLA SQTGHVARRAGVCRESQQRVQCQRSSGNARVVISDG CC84DRAFT_1262787 MGSVSFAEDIKLPQETPIVEEESSVFPYQDGPENKSWAGALPLK QGLYDPELEKDACGVGFAAHIKGKASHKIVSDARSLLCNMTHRGAVGSDARDGDGAGV MTSIPHRFFVKEFGREQGFTLPPQGQYATGNLFFKPDAAALDETKAMFEDVADQLDLR VLGWRVVPRDSTLLGPAALSREPIILQPFVVLKTAFGEGKEPKPDFDKTFDTGYFERQ LYVLRKRSTHVIGLHNWFYICSLSNKNIVYKGQLAPVQVYEYYHDLLSVDYEGHFALV HSRFSTNTFPSWDRAQPLRWAAHNGEINTLRGNKNWMRSREGVMKSEMFGDELDLLYP IVEDGGSDSAAFDNVLELLTINGVLSLPEAVMLMVPEAWQGNHSMDPAKQAFYEWAAC MMEPWDGPALFTFSDGRYCGANLDRNGLRPCRYYVTDDDRIVCASEVGTISIEPERVV QKGRLQPGRMLLVDTVAGRIIDDSELKNTVAARHDFRSWVEKNLLTLPKIKQAVLDKG VDLSSALTDTRVHEDPRLKAFGYSLEQVTLLLAPMAADSKEALGSMGNDAPLACLAQQ PRLMYEYFRQLFAQVTNPPIDPIREAIVMSLEAYVGPQGNLLEMDESQCGRLLLPSPI LSLEEFKALNNAHSLYPGWTVKTIDITFPKSEGVDGYMNALDRICDAATECIDSGDNI LVLSDRATSAERVAVSACLATGMVHHHLVRSKARSRVALVIETAEAREVHHMSVLVGY GADAICPYLAIECILKMHREGLIRKKLSPEQLIDNYKHSCDGGILKVMSKMGISTLQS YKGAQIFEALGIDDSVVDRCFTGTATRIKGATFKDFAQDAFALHEKGFPSRSIVEVPG LTETGEYHWRDGGEAHVNDPTAIANIQDAVRTKNDKSYEAYSLNEYERIKDCTLRGLL DFNFEDRNPIPIDQVEPWTDIVRRFVTGAMSYGSISMESHSTLAVAMNRLGGKSNTGE GGEDPERSLRMENGDTMRSAIKQIASGRFGVTSNYLADADELQIKMAQGAKPGEGGEL PGHKVSASIAKTRHSTPGVGLISPPPHHDIYSIEDLKQLIYDLKCSNPRARVSVKLVS ETGVGIVASGVAKAKADHILISGHDGGTGASRWTGIKYAGLPWELGLAETHQTLVLND LRGRVIVQTDGQLRTGRDVAIACLLGAEEWGFATTPLIAMGCIMMRKCHLNTCPVGIA TQDPELRKKFVGTPEHVINFFYYVANELRAIMAKLGFRTINEMVGHCEVLRVRDDLRT AKTENIDLSLILTPAHTLRPGVATFNVRKQDHRLHVRLDNKLIAESELALEKGLPARI ECDIVNTDRALGATLSYQVSRRYGEAGLPQDTIHANIRGSAGQSFGAYLAPGITLELE GDANDYVGKGLSGGRIIVYPPRTAVFKAEENVLIGNVCLYGATKGTCFFRGVAAERFA VRNSGATAVVEGVGDHGCEYMTGGRVVVLGSTGRNFAAGMSGGIAYVLDIHQDFEQKV NQEMVELSGLEDPQEIAFLRGLIEDHHHYTGSELAARILLDFTRALPRFVKIMPVDYK RVLLEEAAKEAEKKRKEYPLAILPGNPVRTSHEESLKQQHEAKDKKADLLDIEESVSD AKAEKKKALVLDKTKGFMKYQRRSEKYRNPKTRTRDWSELSQRLNEDELKYQTARCMD CGVPFCQSDTGCPISNIIPKWNELVFQNQWRDALNRLLMTNNFPEFTGRVCPAPCEGA CVLGINEDPVGIKSIECAIIDRGFEMGWMIPSPPQTRTGKKVAIIGSGPAGLAASDQL NKCGHEVTVYERADRVGGLLMYGIPNMKLDKKVVQRRVDFLAAEGVKFVTGVAVGAEG QPSLESLRAEYDAVILATGATVARDLPIPNRNLDGVHFAMQFLHKNTKSLLDSELADN AYISAKGKNVVVIGGGDTGNDCIGTSVRHGAKSVVNFELLPQPPPERARDNPWPQWPR IFRTDYGHSEVKTHMGKDPREYCVMSKEFVDDGEGKVKGINTVRVEWTKSATGGWDMK QVEGSEQFFPAELVLLSMGFLGPEERVLADIVERDARKNVKTTPGHYNTNLPGVFAAG DCRRGQSLIVWGINEGRMCARDVDTFLSGVGTQLPVTGGIVKSLPYALMNKANGQPVE KLVQVAA CC84DRAFT_1262788 MKSTIILTTLFGALVAASPVTVEERQTAAIRVQFSDDATDTAVQ ANIPKNGAKISISKNFGNLGSGVPANRALVVSGSGTCNIFKDANASQKVATIKSGGND ASFTRVKLQNGVIVCK CC84DRAFT_1153865 MQDSEKKENALGYSIDVSVGGSQDSIQTVQKEPIVRQDGGTRAW LQVMGCWLLFMNTWGLTNSFSIFETYYNQKFQHISHSNISWIGSMQLFLTLFVGVFAG WLLDRGHLRIVLVTGIAFEVVGIAMTSLCTTYWHLLLAQGVCVGIGSGTLAFTSAAII PFYFAKRRMLAAGIVSTGSSVAGVVYPLMMRELFDKVGFAWAVRVLALVMLGGLSMSL VILRPHSSARKERALLRSDLLRDAPYTIFIVSYAFMVAGVYVPYFFIQSYALDLSIDE SMTFNVVAIMNAATFFGRFPYNYLADIYGGIAVLVPCCFATSIILFFWRFVHTLGGLI AISATFCFVTGGLVSLPAVTIANLTEDKSEYGTRMGMGYTIAAIGALLGNPIAGLARD SDSIDVLERWQGAWFVAGGALLVATALMIWARVLRAGVNMSVKI CC84DRAFT_1262790 MAPYDLPSADDVRNAAVVLAPIVHRTPIRTSPTLTRMANQNILG STKQPSSPQVELQFKCENLQHTGSFKFRGACHFLAKLEYQDLKNGVVAYSTGNHAQAV AHAAQLASKARNIPIPSYVVVPSNCPPKKVAAARSHGASVLLSSTDPNSRVLLAEHIQ RATGAILIPPADHLNIVLGQATAVQEFLQQASQTGNPLDAIIVPSGGGGLLCGAIAAC KPHGVAVFGCEPAVGGPGLASALEVGTRALTLQGPGSIADGLRSLTGEANWEHIRAEG NVRQVLTVSEVQIEQALKVGVKELGFAIEPSAAVPLAAALFSDAFHRWAAGAHRQVRV GVVLTGGNVSMEDLAALVPDFKMVARKS CC84DRAFT_1262791 MQAYHSQCRYLHAEYRDTSTWLVSHSSNVHDLAGRKTYVRIAPH EFGIANIQVYRTIHRIGSDFNESPWYQKQVPPQYTDETCGVLGIFNNKTTCRRRRLFE AGGTRNIVAEWKPQVTELADLTVQRIKADLEAGQGDVMKWWMFLASDVTGGFAFGGPF RNLKNGTKSGLVQDIKAAMPIIGVHTELPWLKPRSRQYSYLGRDYVECAT CC84DRAFT_1167924 MAWFTSTEKAASTPTEPKTEGGSRLGMGAEQDRYASHFQVPEGT ADRVREGLKNFASKKGNGAEQDRYASHFGLDSDPVAAAARWLANQQGGVSGAEQDRYD AWFGLGHDGWTRARHLASTQGVGAEQDRYGSHFSIDTGSVKRAALSVEEGVKQARDR CC84DRAFT_1262793 MSNRFGRPSAQSDLFSSYNRSASPSKDKKKPRSPYAASPYGGSP SYAFPSSSSAPDGPSFGAYPGANGSGAGASGTYRSATPNSRGQYSAATLDELESQNDD QAGALIGKVKMLKDLTEAIGVEIRDSTTLAEKMNDQFENSRLRLRGTMNRMLRMAEKT GVGWKVWLAFFAAVSVLFWYVWLF CC84DRAFT_1208841 MAQTHLKVVLGAMTFGPEGTRSGQVTSLSDQAIMLDAFQSGGYS EIDTARLYNNGHSESMLAQNDWQKRGLAIATKLYPTRGAANADFRRAALDFPLYDHSA AELRRGLEESLKALAAEKTGVDVFYLHAPDRNTPYEETLKEMNKLHEEGRFGRFGLSN YPAWEVAQISEICIRNNWVRPTVYQGVYNVFSRKIEDELVPCLRHYKISFYAYAPLAG GLMTGKYSRDQTNFEPGSRFAPGTMTSRTHRPRYWNDLYFDGIDEVKAAADKHGLTLA EVALRWLNWHSKLEKEIGDAVVVGASSMKHLEQNLRDLGKAQLPEEVLEAVNSAYSKV QAVAPAYYQ CC84DRAFT_1127753 MAFPQQLRGRYAHAPGPTFLAYTPNGTKLITAGVDNFCRVFTTG SDEEPSNIDDCQENNLSIAAGDGFFITGAEDGTVNKYSLGTNDGGDILWRSTLPVRDV ALSPDGSWVAVASDELVVHVINTKDIQIVKRLRDQNRSVKHVSFNKSGTQLAVSCVDG SIYIYSMDSEQPELVKKVDNMIKRLEPEADSSARVMWHPDGKAFATPTALREVQVMST NDWEKQKTFKTEHTSDITAAAWSPNGALLATTSSDLNLCLWDAKTQKRLKKYDDVKAT ILAMAWHPTENILSYTNSEGHLYIHTDFVPQEHVDVMRKPVQPAPYLHDPSEGRSGDP TAKLTNGSKHVLPERRARAGSADSLDDLLGDEFRDDDDDMPDEVDDGFVIDDDGAGYT NGHGKRTNGHLGPLESISKRRPTAPTFLPYIHEPFQPGGTPWRGNRRLLCCSLVGYVS TISQEGSHYTAEVKFYDEHAHHNFRFTDVFGYDKAALTEHGTLFSCQPKDDTPAMIYY RPHETWTNRADWRTNLPAGESVTAIALSESYVVVTTSTNYVRIYSLFGLPIRVYRQKA SPAVTCAAWRDYVLTIGNGPVGGDGTSQLLYTIENVKRDEIYQSEDIVALPPNSSLTS VFFSADGDPYIYDSDGVLLTLLHWRTNGQARWVPMLDTKCMARLAGGGKQESYWPVCV SRDADGKHVFHCMIIKGSEKYPTNPPPMLSEFPLEIPLSSAIDKSREGKDRDVDAMSD DEDVGAAKKTENKQQQHEQDFVLSSALHAQLFDSLSHTRPTAHQKQDLVNLEVQIDRS LLQLLGLECLAGEDRGMKALELVTLMRDANGKMLDLASKVAQRYGRDMLGEKIQELQE RKLLANTEEEDDF CC84DRAFT_218361 MRRQCRKLWRGIIALKVSRRFRLGFYLSWDGIGRFVRRKGGWWW RGWKRRRGVGSCYDFCSIDGTLVVYLNQTPTYAARSRKALTS CC84DRAFT_1262796 MGLVLKAVCAYVLYVISRAIYNAFFHPLARFPGPKAWSASRIPY LFTLVTGQNSFRIKALHDEYGPVVRVAPNELHINDPRAWNDIYMRKDSEIRPPQWGMR PPGIESYNVISGVGSDHGRFRKALGMAFSEEAVKEYEPTVRSYFNKFTARIDELIAKN KGSAVVDMVKWSNFTTFDIIGELAWSKSYDCLDTGAGHAIMGVLSHFQGVIIAAAITY YPWINNALMAITPRSAFEDLKMIFNDGHERLQNRIKHGPSGHPDVLGHLAEYNKQNPT AKITDDEIEQNVFSCLVAGSECLTTAFSGAFHYLLVYPSKLKRAQEEVRAAFSSEASI NATDAAKLEYLNAVIEESLRLCPPLPDMLRRQLPSEAPTTIAGRVIPPNTVVSVSCYS MFRSASHFSSPDTFAPERFLKSADESEKWSPDSPLNHNDMAAFKPWGVGPRECPAQPL ARLEMRLFLAVLLYRYDLKVAEGSYLTKWTSQQVFETWQKDPLNVEFSPAVSSSVMAA GVAEGSYLTKWTSQQVFETWQKDPLNVEFSPAVSSSVMAAGVAAP CC84DRAFT_1262797 MPHAESPEPEIPSFDGKKLYVNDGLLHPEQVGPLRQSSPDLPVE ELRKRYEEDGYVFLKGLLPREDVLKAREEYFNRLAPSGVLKPGTAPVEGIFDDGKDAA DYPGIGAGASPGNGRPGAGTAEVFVDLALQAHYDEWYKERFCKHPVLRDAIARISGWG EDTLPVRRSLLRNNTPGNKAIGVHYDQIFLRHGEPTSFTAWVPMGDISLTGGGLIYLE NGNSLGREIEADFARKAKESGLSDEEAKNAFNQNMLSTGLLADGPKEYSETFRKRWLV TSYEAGDVVLHTPYTIHASTINFDPCNVIRVGTDLRFVNGSRPWDKRWDKDYEFNDGV CC84DRAFT_1190006 MGRASSAQYVSSSHEFLDESHEIRSQYAEDGFSGPPTPPNHSPD QHSSCAITQQSPSVTSPADGLTVLSLLNSEPRVPPQSYSAPPAPTSYSLPVSPRVTDP DESGNFTYQPPPGARVLWPLESEQEAMLLQHYVDNIALFFDMVDNRCHFGVHVVQRAK TNNTLMNAILALSARQLSRISDFDPYVADAYYQRCFETLIPALNDNIAIRDESLLAAT IILRLMEEMNISIIGSDPQGHLFGTQAIIRAAEQSYVGTTGPNFRQAIYWAAFRQELW ISLMTQRAFQLHIFPADRSMGPADDSIWATRTIAHLGDVCNFAFGEDRHSVLRYSQLM DENKVWRTQRPDSFEPLFWRQDRDGSGRMFPDIRYHQKTHVMGNQYNTLAHMLLVVHD PTIPQLGPSHKQSRAVVDRMVQEDVRTLCGVALSNLKVFPCIFVACFAIALVGDRFAA RDDQEQLRDLWFACERSHGFPPTTSIRQLEESWGWPST CC84DRAFT_218426 MIKDGTILQEITILKYLSSPSHENITKLVDHFVDKKLCKVSIYL KECSMGRLEALIESRYQGGELFNELDVWEWFIQLFSALTYCHYGPDPTARFAYNRPED WENEWDRVFHWDIKVENILAHEATPKGMTTQYTLKLADFGCAVARRYIWVDTANDRKK TSWCTRRWTPPEYPQFVGRSDVWQLAAVVGCICNLMNVPFFDHAAPAPGYSVTLNNAI VESMKKDFRQRPKADEVLGHVRGKYKVKAEVLEKDPRPVPDKLDKVKKDRRERQLKRG LEKGSKEQQQQAAAAQALNRQAQVVGQWGVGNDGFGGPGPFAGAGWGGLGGRGGGPGN MQPFGRIGRGWYGSGGGMY CC84DRAFT_1179812 MGDDGDMYSATHRPGNHSPSRRNGAVSGRYQGPKAYEEAFAEIA AKPPIFQKAVTKRPHREHTPARLQELGFARMEPALVSPTALRRRPDDAWRQQGDAPAT LAHAHSAAAARLSGAQMHSSTLVVLGRVPLRKGEVDGDFVVICQGTGALPPCRLRIGP LRPLRLTPPEMFLIAPTAMRPSRRRRKEQTRLTAACSSLFVG CC84DRAFT_1100170 MPSTRRRQEQSAYQATTTRHHATRSASANQPPVPSITTTVQLDK PLPPSPESQKKKHKPGLLNLIKKKPYDHSDASHLQPAPLNTHRRTSSNGNLSPGLPPH HHQSRSMPSSPLQYSPPHPLDMQRAHSAAAHYPDIPQYQAYTPRPQVTEQQRAVSLGT PFEPIDPRPRRGSTFPESSTISSSTARESISDAPRPHTWVSPTETHAAFGDTTDFHLF AEATAGLPGGFDALSPMETPRLQGSLFARGTQNDRIPLLARETPAQSVPVPVPDYDWM PPQGPRDYSVSSSALPQISSSALPRRDSYDMNGPSSPLRPNLNTINLELERLGLSDSE RPPDDELPDYAQSQAEMAAQKRKEASARARELESRWNLARGWRNR CC84DRAFT_1221262 MFSRHSNPQIITESLDSGPGASERLFTILNRDDRFRLAEDPTVE AGNLDNDIHPIFQHARFLGESDHLKQALQLASLYLTTPTLLEFYLPLVFGDHVTIDGR FHRVLVRPHDSDREHETQTIELFLMCMSHTTKWEWVDFETGKKWGSTQHYFDESPKYR HTEQCPTLEYKGKTYFHNEDKGYLIRLNRGILDFYLDEETGYVTRSRCEQFRHDFQLA LLLGHEIAHAFGAMCHDDLAEPWLAVDHPRNELGFAWENFVFCALIDPVDREPRGKYI HVYRTWQSREFRQNYKSTEQTAVPVAWTAQWFRRKTWGTIRMYGYNAVNLPNPTLKIY FTAWGRYIVFTDDEDARADLDLARFSASLSLSYLFVKGSPSSVAMEDISGAAWMPMIA SAKQPLMATPQRRFDEELVDDTWYLQNAKAREKATWRVQEVAEKRSKRLAETSMVSIA AGLMKASRHAARLINSSALVVKPRPQRLSVAAGPMCSSSSHLPLHTSEAALSVYEMAN ISTHSCRARRLDEATDSRRIRRYSNASSFSSI CC84DRAFT_218587 MVLSCLYLFVPFIVRVSGLSLPPPTGPYNVGTKPYVLRHTTTND TVAPEGVSKSVLVNVYYPTHDEAPAQKYIWDELGTAYDVYFGVAHGTFSNITATLAMN GKPLSRREHDKLRLPTLFFGPAMAGPPTRFFTGLISEMASRGYPVVTVDHPWEAPYIQ YPNGTGFVGKSFLWNPCPEVIDDVQAYRLADNSAVLDALPKISKELGVPLDLRRFAFF GHSLGGSAAVSQLLVEKNRTTSHSKKFLGAINIDGTFFGIGGSNSSSLNTRVPTLLLS SDMHDPFAGHDPTWPLFESFQSSWTKSLRIVGHTNHSDYSDLIFLKQANGFAGGEGAI TAERFLQVSRTVVGDFFEMLVGKGEGVLKGSAEVQQAFPEVSFDYNGTGNPCTPPDIC WPPPPPPPEVVIPSCESS CC84DRAFT_1167937 MQYPPISGTSSLPYDPWPPIDLNKLPSSLSSPELPKPHSKSKSI FAFWMTGIHTLPPYLLRNVIAWHRRYNPLGWTVYIVDTVPNSPLNVSRFIDVTSPSVV PDAFREGNLDGGYAAQHTSDLVRFPLLLRYGGVYMDVGVLHFGNLDSLWTTRIANTNS PFDFAGFTMGEPPEISAVNFWLMSTPDNPLIERAHYILLKLWKGKTNTKGASKHPLVS HVPLMRVPQEVTVEEEDKDNMVIDDAAMTDYAVQIQCLGAAQRWLDEQDGWNGPQYVR EKCWFYSMIDHTYVHEQLTNWTSKKQHELFSISLPGAKEKESEDQKLARTIVEKAIAE SWCMKLGHSFSAKLFGADTLGMWWRKHDGTDCKEGTYGGWLRWAEVNCNQDHAPKPLE IPPYEPTMKGRLFEFD CC84DRAFT_218565 MTTEPPFTLFVLDENIDTKYLDRSLKLTHDWDTNARWDLWVATD SHEDMPKAPGERQPLPQATKPPLKGLFRSPWIGNIVEECAKWLQNAPDESAVQKEYFI RTDTSTLPDEFLRHLPGSILFISFVYLAKQQKLNKTRSYRSNDPRVRTRRSQQHASGH KTVGSLSAAGPSSSRIAIPS CC84DRAFT_1190009 MVFLRSFSRVARPASSLLSARAGPRLAPGASFARQPNAIRTLTA TSTQQGKVLLVLYDGGIHAEQEPQLLGTTENELGIRKWIEDQGHTLVTTSDKEGENSK FDQELVDAEVIITTPFHPGYLTAERLAKAKKLKLAVTAGIGSDHVDLNAANKTNGGIT VAEVTGSNVVSVAEHVVMTILTLVRNFVPAHEQIAAGEWDVAAVAKNEYDLENKVVGT VAVGRIGERVLRRLKPFDCKELLYFDYQPLAPEVEKEIGCRRVDTLEEMLAQCDVVTI NCPLHEKTRGLFNKDLISKMKKGSWLVNTARGAIVVKEDVAEALKSGHLRGYGGDVWF PQPAPKDHPLRYAQNPWGGGNAMVPHMSGTSIDAQKRYADGTKAILDSYFSGRFDYRP QDLIVHNGDYATKAYGQREPAKK CC84DRAFT_1167941 MLSQNLVFDERDPATRGLGYISCSLGGVPLPAYTVYDYFGWLLS VAARPRPDATSRNYYLPLLSCFANWCLEIAAHATQQSHAPAMVSIAVYEEHTVPAHGA TLPWRRGLVKETHAFLGATIPDARAFQRDSNRATVVNHGRQLWMEECGLAPKDEGQRR GAAFLDPFQEPEKGFGRCAETFFWIFARERSLAQRWIHELKGVALVVRSQGEPTIPIR GYDEQAVVKALANPCNASCRYLAEQIDPGMLWGRDAWRLSFDKAHVCKGLW CC84DRAFT_1046480 MAGFAHLVKSLLVPLVLAAILYVALAYAIIPFVRRHRTRYSQYL PMPAGVAQSTASWRTKISDALTNLFVPSSWLRGRRVIVDGSGGEHAEEDLFDDEEGEG MVGFDPVDERRREALEQRRSMMEDDRRLSRELEQGFKDDSDD CC84DRAFT_219195 MFSGTSQDKGRQSSAGKFFGRRHHKDKDKPGNETHLSTQSPPGS AHGSQSSRHSHRHSSSVASVDRPLSLGPEQGLAMQAGVYSSIPVPSFEQNSNAPRLVD SYAAPQPHHLDKGGADFHQYPVFDASKMPQNGYASAAPPRPPPHGTGTAMASSQPGDR GVSIQQWGSAGRGSNGNTYNSYTDSANNTRASSDQASVYSNDSRNRTSNVYYPQQTQS QSTFSSIGLDTGSLLPMAASTPRDSHRYLLHSNQPSAFSSTSSFGQQGQNGFAIQRPP DHVVEQEFVKLMERRGWKSLPEQARRQMEAYKIDKKWTLVHQDKLAEFKHEERKRQTQ RQTYVGGANPDVLARAEEEGSPEWYVKKVMDNSITLKQMSSLEISLRTQPIAWVRGFI EAQGQIALTNVLAKINRRKGQGPAPPPNSVLQKAENDTEREYEIIKCLKALMNNKYGA DNALQYPSIIQALASSLISSRLNTRKVVSDVLTFLCHFGGGAGHEKVLQALDALKTQY GENGRFDAWMRIVEVTVDGRGKMGSLVGASEEVRSGGIGVENLLMEYAIATLFLINMI VDGPDRDLQLRMHIRAQFTGCGIKRIFKKMEGFQYDVIDKQIERYMTNEAVDYEEYLE TENNSMADDVEGEGKDLNDPIQIADAINSKIINTREQDYFVSAMQHLMIIRDTNGEDR LKMFQLVDSMLSYVAMDRRLPDMDLKQSLNFTVQSLLDKLYTDSEARQVRDEAIEARQ IADSAIAERDEAKAQLELGADGLVHKLQKQLAEQENIIAVRSRQIEQMKAELAEISRI RAQELQRNELETRELYLMLRDAQDVAASAAKNKGKEGLGAGDPAQMQGILDRERLMNR LEIQLQRAKTQATLEGKVLGQVQPSEKLRELRERMEGQVDGDFDGVDPSSLGTSRAKS GVVRRKPVGGNLDEDEAMPDLAEDDEEEAVIEKPRLIQMHKPKLSNAGRAPEGLLDEI ASKVKQYGDSDESQGATPDAEMAGAEGQSEAPKAGAPPPPPPPPPPPPGQLGFPANIP PPPPMPGMNGAPPPPPPPPPPPPPGALGFPPGIPPPPPMPGLDGSMPPPPPPPPPMPG AKRPGFPKAPAFGPASVHLSGPRPKKKLKALHWDKVDSPSSTVWAERMTSEEKEEKYR ELKNKGVLDEVEKLFLAKEIKAIGKKAAKKDEKKQIISRDLMHTFQISMSKFSQYPAE ELTSMIIHCDTKILDDPVVMEFLQKNDLCDVPDNVAKLMAPFSKDWTGPNASESKREQ NPEDLTREDQIYLYTAYELHHYWKSRMRALSLTRTYQIEYEEISSKLLEISKVADSLR SSVSLISILGLILDIGNFMNDANKQATGFKLSTLGRLGMLKDDKNESTFADLVERIVR NQYPGWEDFTEEISGVVKAQKINVEQLQTDAKKYIDNIKNIQMSLDSGNLSDPTKFHP EDKVAIIVQRHMKEARQKAEHLQVFLEDMAKSYDDIMAFYGEDPTDDSARRDFFAKLA NFVVEWKKSKEKNLQLEELHRRNEANIRRKAAGPNPLSSASLANDTGDGAPKSPANTG AMDDLLKKLRDAKPEARDQRDRRRRARLKDRHQVRVASGQKMPEIGVNMEEEAQEEEE GGSGRGMLSPSTDGGESVVGESVRSPTDATAAAGGDVADRAAAMLEGLTGSSVAKDGS LVIRRRRENADSERERRRRRRQQASTASSARSEDGGGLMSPTIPEEGEDGVRRGSVVS GDGSEEGPQTPITIVSPPSPDGKRADEERKLPTPPPE CC84DRAFT_1167943 MYISTSLVAVLAAASTAQALQFSDATARASNTKRGLISKLLGGF IGFKTQDTTVCPDVWSEISETLTAQFLADGQCTDAARAAIRSAFHDCFNGACDGSLIL AGECSRIENTGLARLCGNLGNLATEKDVGVADLIQFAAAHAIKTCPGGPTVPVLVGRP DSSNASPAGLLPSGNTAASDLIKRFAARGFSAIDLAALIGAHTAARNRISVPDKANLT MDSTPGQWDSKYYSETINGRAPVTLPSDKSLANHPVTGILMRGFAASQGAWSAAFVPA MAKMSMLGVDKDGLVDCTSALPGGSRKRDVRRSNVFERLGW CC84DRAFT_1153902 MAETKPKYTLSSLLDTLLPTVHLTKPPPHPTHPSLTPVISSLLL HPTIEAALHLLNADLPSAHFLVRHMQAPPAIEGMLLHSILHRSEGDIPNARAWASDAV DASDGWVPKHKGEERLDLDTVQAMKGKVLGGARFVEFVYGGDKAGAERLIDDVERWRK KKGAEGGNELAERVRAELGKVLEWCRKKFGEEEWTDASAAWVKHGEEVRKMGEDMVSG AKEFRDF CC84DRAFT_221947 MSYQLRSRKRPHSVDRDALAGKRHPTPDLALEEPLQPYEYQPLD HKAQEIRLLRLLPAGNPDEEIEIQIFHARLIEPERALDTRLGFEELEKTLPPGWELHT TLENGRYIFQEEDSLETQWTHPNPEIDQGLYHCASVMDPYSDFKPAYEALSYCWGENH ITAKARVLPMKTGRTTTLELRPNLALALKHLRQDTSPRILWIDAICIDQTNVSERNHE VKKMDTIYKLAQRVVVWLGPASESSTRGLELLAALGEKIVITSDLYNLDAPIANRENS SNSFVEDVHKRFETFEADQQAPYDSDHLQAILDILSRPWWGRLCEYSYLHKDILCSIQ AQMGYESTSKHWLGIRLKLTNWIWQEICLANSRSIVQSGSNFKLWVDLRKAILFLASK LPQGLKTTEFVHFLDRGNNLAVCSGPQDDLLYILQQTRFAEHANLHDRVFALLGMADP ENAALINADYSRPVYEVFRDVCSAHLQLYRDFNFLRYCELSTHGQNSGHPSWVPNWSA WRPVHIFGSQDASGKSQALIDALNGSRLKVDGVLCGIVEEVSHVAPLEGHLRETTRIW EQLVAKNDPQRIERFVETLSCDETKEAWPNYFQYPSCEQYKVAYYNNDEEPIVSDSVR GRSVFICDDERMGICPAGAKAGDLLVAVLGCESPVILRKCPSDHEPATYVVVGVAFVS SLKHSAALLGSLPYPFRASTATDWEGCVMPEFKDLDNGTITYDDPRLGPLPKGWIYAK DFNEDQLEARVFKSRETGNVTYFDPRLTPEELRKRGTKVEEFILV CC84DRAFT_1127786 MLTGRRSTNLGTYLSLEKIIPAGFNYHLISVFGSQSTGKSTLLN YLFGTQFGVMSEQERRQTTKGIWMSKNKREGGDRGMADNILVMDVEGTDGRERGEDQD FERKSALFALATSEVLIVNIWEHQVGLYQGANMGLLKTVFEVNLQLFVKDNKNTPRSL LFFVIRDHLGTTPLANLQNTLIADLNKLWSTISKPKGLEQSRIEDYFDFAFVALPHKI LQPEKFEQEVEKLGTRFREGYNDPKKSGLIDESSLPVFLPQYHRRIPADGFSVYAEGV WDQIVNNKDLDLPTQQELLAQFRCDEISREVLVAFDEKITPLEDKQAEDARAGKPSVI PDLGAAMNAARLQILKDFETNASRYHKGVYARKSAELVSKVDSRLKALFQKQLSAAHK SGVEEFSNAVSGAVKSGQKKGASYDFAQIVESEKKKALEKFAADAQAIVVEGAAWSTY KQETDLYKKELDVVSGRLRKDEMRRLATRVERWVRSRLDESIGLEFNKLGSGRGGSGA PEHGERPASEKDLWDRVWTIFTETVQDAERRFTDRARSFDASPEEVEVGLWRLRRKSW GVLRAKIDEEVMEGNILLKLRENFEDKFRYDDSGVPRIWRPTDDIEGLYTKARESTIT LIPLLSRFKLSKTSAPPPLDAWIGDAPASVSPADEEDLAPIGGVDDDEGKSLEEEMTT ISDAKQADLLVRFKKTADGVYVEAKRSAIGGMTQVPLYFYGLLLALGWNEIVAVLRNP IYFLFLILCAIGAYVTYTLNLWGPMARMANAASAQALDIGKERLREFLESSESGRQAM AMADRQTGGSGRREEGVRLQRLDGEGKRKDEDEMELDDI CC84DRAFT_221398 MATAALPDLNPKETFKFPAFQPDLLPTHEEEPFGLTSRTPSPSR NHQPNGHTHTPDRWQPRKDQRARGHSSAAHGPTTRHGRQKSLSEAIRTIRTRKASVSQ NAHELADALKAPVSPTLVMLCGVWYMTSIFSNTSSKAILTALPKPVTLTVIQFAFVSG WCLFLAALARRYPRIKQTLPFLKYGIRPPTKELLMTTLPLAVFQIGGHILSSDATRRI PVSLVHTIKGLSPLLTVGAYRIFFGIRYSVPTYLSLIPLTLGVVMACSADFNANFMGL IMAFASAILFVTQNIISKKLFNDAAAAEKEGLPPSKFTKPDKLNLLCYSSGMALLLTL PLWLWSEGFGLLADFLSSASINLNDHPEAFDHGRLFLEFIFNGTFHFGQNIVAFVLLS MISPVTYSVASLIKRVFVIVFAIIWFGKPMTKIQAFGFFMTFLGLYLYDRTSDAAKKD RQARALQSKAQGTLLPLTTDKLPRGNFTASPATVSAGTGAYPLSNAPMESRDAKRDDN IGGVRQNAHTPPSWLPPGTRQEDTWRPGGMNGMPSGVGVAYS CC84DRAFT_1179825 MDAILEYRVDDIYYFGGDYFAFRKAPPVLHLFLGTHQIDIRLVG DDERLELVLWGSDEDALAQAARLVPMVTGVGQPDFVALGKRARWKGAEVALALGFFDH NWTVKASSVVS CC84DRAFT_1190016 MSFNLTSDGSPTPRKAVSRFPVPNDLRLDTSPEVLGFGPVGTRT RQHPSQYVWPGGAMLTSNMQGAKRPLGSSTLSNQMMPPQPNIDAYKIKIEQGNHGDSS VVDSLLQRLNEQRSSPNDLYGSSNGSFPLTPATDEYINGTPNTEHDSVMVESAELRKL KTELQEARSEVARMNQELHSTHVAKSTVEHLGQSSEADYTYNDEVTEQTLKQLQNKFN AANRTNYAWGNETRPFYNAAAQPQQGFPNMQAPARPPMLQQTYRGRNNYLNEPTHFPL DQGFRGGSNPPTRPTSAFDPPMYNQYVGPQMYTPYQPSLIETVGPVGPMGTRLSPEAS EFSVRDAMGPSPWNSQPPSDAGNGSQYVAPVEPMNYRRLLDRNMSCNWKYIVDKIICN NDQQASIFLQQKLKVGTPEQKYEIVESIIAQAYPLMVNRFGNFLVQRCFEHGTPEQVI AIAGAIRGNTLTLSMDPFGCHVVQKAFDCVPEEYKATMVHELLRRIPETVIHRYACHV WQKLFELRWSDSPPQIMRYVNEALRGMWHEVALGETGSLVVQNIFENCLEEDKRPCIN EVLASIDVISHGQFGNWCIQHICEHGAPADRSRAIDHILRFATDYSVDQYASKVIEKC LKIGGNDFLDRYLERVCEGRQDRPRMPLIDIAGDQFGNYLIQYILTNSGAHHREVVAS HIRKHMVSLRGSKYGSRVAMLCCNPALATRPGPPGGLMSRNYSGVSRSGGGSAYGGFR CC84DRAFT_1190017 MKILTKEEEQAHYNATVQGGTIGGLIGLGIGTAAVVGASRRYHS FRALSIPFRAFLVTSAGSFVAVIAADRASNAFDISHNPEKQRELERERHREELYNANK TAMTRAKDWASENRYPLLFGFWVASMAGSWIAVNRSPMSGAQKLVQARMYAQGLTLSA LLASFAFEGNDAAKGKGRWETITVLDPNDPEHKHMIEKKIHHERYAGEDQWREMVEAE EVRMNERKAAEKKRQQQHPSESKSESKKQVKETQKKDEKDAKDDREEKKSS CC84DRAFT_1221276 MFGTGDVQLQRAQEYAVPPPKGQPYGVPLPGSQTEGRSAVYRHW RFADKPLLETLDPAIKTAHDGFEAAAARYPKNRCLGHRPYDPVTKIFGAYVWQDYQTV QRRRKNFGAGLVHLHKQAGVTAQSYGVGLWCQNRPEWQITDLGCMSQRLYTVSLYETL GPDTVEYIINHAELNCVVTSLNHVVTLLKLKPRLPTLKLIVVMDPLSAGEQAGFSKSD LLNAMATDLGVSVQYIGDVEALGEKQPLPYNAPTPDDIVTINYTSGTTGDPKGVVLTH RAAQGGISSSMIMLGGTNDGVYASFLPLAHIYQRLGEHSALSTGSCIGYFHGNIAELV DDLKVLQPTLVAGVPRLYNRFGSAIKDATVQQAGARGALSRHVVSKKLAAIHDKQNPS NTHMLYDRIWSKKVAAGLGLDRVRTMVTGSAPIDPSLLQFLRIVFSNNFSQGYGLTET YAIGVVQSEGDFSAGSCGGPAPCAEVCLLDVPDMEYSSMDKPYPRGELLMRSTTQFRE YFRNPEETAKAVDADGWFHTGDICSVDELGRFKIIDRRKNVLKLAQGEYISPERIENV YLANCGWIATAYVHGDSHQAFLVAIFGVAPDMFPAFASKVLGEKIAVGDVEALKAALK HKKVEKAVLKELDRVGKKNKFNNYEKVKAVRLFLDPFTVDNQLLTPTLKLKRPQTAKA FRKELDDCYEEALAEEAAGKAKAKL CC84DRAFT_1055286 MAVNVGFPADGAENQGWKLYLTSLIMVLCAGLFVIARVLTRVRI FGLKADDYAIIASLTFSIFLSIAIQLAVVHGYGKHVRDLSKPELRTCLKFFWLAQTPY KIVVCLNKTSVILLYMRIFIGHRFRLLCSCALAIVIGSGIATVFATIFQCVPLERSWN KTVEGKCIDSSAFWIANAVINISTDVIVLALPVHEVIKLQLRLRERIMLHSVFLLGGF VTVTSILRVTAVANSVHNHKDQTWEFIRRGVWTLIEANLGIICACLIVLRQPLARLCS KVFGATKTGTS CC84DRAFT_1221278 MRGFAALAVWLCAFGASGLVRAASETSFETVDTENGPITGHRSA KVNDVWEYLGIPYATPPLGDLRFAAPQKYKGNGSYKADHFAQGYDCPQQAAVQPAFPG FTAQALRILAYFTGAANTTRSEDCLTLNIWAKPTKKSHKADKPVFILFHGGRFAGGNT NTPFANGQYLADTEDIIVVSANYRLNVFGFPGAPGADTNLGLRDQRLAVEWVHANIQS FGGNPQKIVIGGQSSGGVGVDWWSYAYTSNALVHGLVSTSGNVFSFPMNTPERQVANW FALSASLGCGNASSPASKTLACMRGLPFSTISAAVSKIAPSPGGSPVRSTPPFYPKID NETVFADYASLASAGRFAPLPYFHGHNDHEQGYYVIPAYAQGRNVSDTQAAQFLLESF VCPTSYEARRRVEAGVPTWVYRYFGDWDNTRLYPTSRAYHGTELHMLLNSSVDASGLP QTAAQENTVRLFQRALAAFVEDPKEGLEKLGWPKFDAEKASWGEVAIGSQAGVTFARP QKYDAGCGNVTLGALSTGA CC84DRAFT_222015 MYQTRKRGMPSFSVRLLQCSQSSGLSSRVQLSVLRTRLVVWTTI QALIVLRLEAQIWTWKWPPLMTATPCLRHSLWTVPSDGASLDALAWSRYVTLLPYLKA PLTCIQTRCNYVRELCRLATIAANVITGCHIAMPIPRCISNEL CC84DRAFT_1179832 MYFLERALKIGSDMPSLYNQQDCLGRTPLYIACQKKNTSVVQQL LRKGADASIATHFGLLPAHLAAATGSVGVCQTLRKHKHARIDTSSPAGKKARDYALDN FHFSAANILLDKYNPRKRDPPTNIDVALLQGILRGSTNDVDVALANGADANALFDPAI SGGRQTETAMTIALFQSPERRFEIAAILLDYGEADVDAKIERGETALHIFVKRNDISA VKWLLERNADFMARDAKGRTALMLAVKKDLVILVNLLSRFTRHSPKLLHAIDGKGHTA MDYARWYGHNHIAPLLMRNLI CC84DRAFT_1221279 MRGSVALLLPVAVSASPIIDKKVLNDLFCKVNDVVLHVLEKDAA ATKYCSSFLSIPTLTFSTTATVTPASVTTTTPLTITEDTVTSTQTETATVTDTQTITS TSTRTDYATITSTTSTSTITCLNSAYTYTAPTGVIPGDAAAPAPEGKRGAANYAKPSA IPKDWSQQYISTACSCLSIPTPSTTSTATVTLIPGTITEASTLFETPTATATTTVTST TTESATVSEEATTTSTLTAYAVATTIASNGVQYRKYTHSFDANRVDGGGFTSTYFKGL SAEFSGSISSLSFATPNWPSGSTTLTLSDGRAFASDYAALLLQGFFIAKETGTHVFTS RGNEVDNYGYAWTGDAAYSAWNDANAAIRSSRTGGGNVDGNAYVSLNAGDAIPLTFLW ANGGGVGQNVVRVTMPSGRVVTNHAGYFVQACSSSVFA CC84DRAFT_1221280 MIDFTTDRLGGTEWNDVISSVKVPDGHRCRLWSSNNCNGDSSPD IYAPGAKQLPGGMNNRATSFKCYQN CC84DRAFT_1229137 MPFCLLCSTGGRTTTSQTTEKTRQAYSGTRYDCSPSSRRHATDN PSLRFAQRTKARPISPTSLRTRASPPFVPRYSPILTPKPRSSVAHWRSAPSLNSTFTL AGSHTRLRTPTAPSNNSGSFHMRRPWPIITASHPSAPSALGPSTRVFSSAAKAVEGER QSR CC84DRAFT_222390 MYIEMFPGEAGLVPLARFSNRGFDDRISRHPTRCYRTLRELSAG GWPRAIFSRVGAELGMDLYATAREEGRALQNRPELERWRLGVSDLQRHVTDSACVVEL VLASVPSSRQLGFGSFNSKQTTNKMTCPYVLFLQSIIPQKYMHMLHHRTDRL CC84DRAFT_222389 MAISCMTSKWLPPSRPYTVQKYIQKSCSPYFAELAERPPRSISL SIHRQAQYTSMHRQAQRTGIHRQVLDRQSRQVAVAYNNPNLKTLETIQECRGTVTYPL IYGTTFEFEKSTEQVLAAVKAGANCRDDDQILALPQGEKPVWEIGVRTLRGIADKSGA CC84DRAFT_222439 MGHCTSSLCSRADKGQSQRRRDNRACSHSAHAGGDARGNGSTPA TSGRPTSRAAQHHGGTARREALTVARAALLRAAEVVVAVAEIVASKREIAPEAVGVGG AGESVARERRESSRGTGIASGPGTGKRRTARSPGAASNRPCCARRGEGAVGSLHAIWS ASEAAKSKGEWADLRVLVSPVCFPAAAESRKAGMPCPLPCVARRGCTRCHCTHSRRGQ WRMTGSDRSAAEGAQKGAVRAAPWMDRLRAA CC84DRAFT_1221282 MPGGGSGGARLLASGWDQGAGADKEHIQPALLASWLPPSTAASS PLPGLAGVPRQPPSTRPRPVFLSLDGLRHTNDTEGDGSQRTAATTPRETDSLSSPPRQ PPFLAVQLPSRRPPSTIALPARSSQPVRRPQRVDEQTRPPTGPADLLRSHLAIAS CC84DRAFT_222445 MASTIAVPNETCSSSVRTTKDGRSIRYSLQVIQQPERARACGSG AKSSADRRPVDPPPIVELKVFEGEQDITFGYNANFFLFATLENARTIAPGRAPAATTG FPVLTGTPVAGMAYLDRPSPAGYFIFPDLSVRHEGKYRLSFALYEELKDQKDMDPEDR SVNAHTQGGLDAHVSHRLEVKSAPFVVFSAKKFPGLSESTALSRMVAEQGCRVRIRRD VRMRRRENKSNKDWDDYEEDGGYDGARRASATPDNYAQQQGMPTPNPALDENNRPRSV SNASNNSFAPPRRQSMEEMQPAYPSNSTYQPMPPPQSTSYSQVPQYNAPQSAYQSQYA PPQPPAPLMQPPQTPYSQQSHQPAHSYQSQSNLHMGQSYGYMNNQGYQQPAHYEQPAP VRQEPAVEYSQPAAEYRRSSIAQSPQQYPGPSQLMPSYGSGSGSMDYGRPQQMVQPLQ SPNHIAPVSTPSSSHMSNGHALAPLRTVLADVNTQNKLDPVSPSYQSPPNNMSAPMSA TSEIAPHHAYLDSHAKYNAQPQVPSTASIGQKRSYSSTFDTRHLDDRLQQGARPNPSA PPYRYDGVMDNGSPDMEEPMDRASMSYRRADGSHRSRRVPELGV CC84DRAFT_1167961 MTPRLVPPHLFYVRARTVLCILCEVSQHTCLLADPGKTPWYHML HPPNPIITKQRKKERNKKFKERKTAAPTINHTYIRPSQQAPQFQPTRDKTNPGADPA CC84DRAFT_1167962 MKAFSSDHQGYELTEIGSSPLLEHPSPRRTGKIRNVIRRPFSDF KKDVASIQWGRDLGLFVWLGGMISGLTFLGLSTYSTSVDACSPDGEFTLWPGDFNYWH SSNFFQINFGFGRLTFAQAKAIDVVWDVVVGRAGQALLAIVSWQVFARYLTTSMGIQP VTFNTFSAIFLHNEPTIMSLFRISRDFTTRQRLRSKIAMIFMLVTMGYILAFPTIASA MTGYNGNVAAFVPDSSGNFVKFESFQPVLYVIHDGDRINKTKDFAVTPFASGHNQPYL THDSINGLENDNFYMAGSLASADAPAYYFAWNVSLYVETYGFLGLGSANSTDGKTKFL NQTLESPPLNISAYYIPAQFSHYWFGPVFYGYDYRLNDNYPFRDPRNMRWTWEQQVVN YTYVENSAQCQATKDFQWGFSFLQLFIATAWVFVWSIGMFTMWLKTHKLRSAQDQHEI AGEYKAILQLASAIHDNFQSCPEGGDTNPNRLTESDLKNRINKVLKGGVIMYQKPPDV GQVNFWEVFRQWLAREKWWIPVCLVVLTFMGTGFMLEMTFWIWSFGPGVGIIWAMSFC STLGSRLLMVVLWSLLFLVVALSLRLAISL CC84DRAFT_1229145 MLYTRAIISHFWTGLLPGSGSSPPDRAGRDPHRLDRTLRPIKRG FDGARGMLHGCINMVDVSNGVLCSQKDTKRHFEEPY CC84DRAFT_1179839 MAFLRVGYAKIKLMPQVPKIPDILSPVVARALLVPHYTQTQVLA CSLNYILQFSIRLSTQKHTLVGLFSLHPAQCKSPYLQKRPRFPCWPSSGLSSMRSGSC CPNCSRIMFLYSRISSTYSTTLVSIVSDIIDIRRPHPTRLSLSVILSASSALVNLMVI DDAMLVDLGSVFFEGIHIERASANSVYNHAVL CC84DRAFT_1127803 MAEELSKNFETLQLHAGQSPDPTTKSRAVPIYATTSYTFDDSAH GARLFGLKEFGNIYSRIMNPTVDVFEKRIAALEGGVAALAASSGQAAQFMAILTLAHA GDNIVSTSNLYGGTYNQLKVALPRLGITTKFVNGDNPDDFKAAIDENTKAVYIESIGN PKYNIPDFEKIAAIAHEAGVPVVVDNTFGAGGYFVRPIDHGADIVVHSATKWIGGHGT TIGGVIVDSGKFDWGKHAKRFPQFNDPSEGYHGLKFWDTFGPITFIIRARVEILRDYG AALNPFAAQQLILGLETLSLRAERHAYNALKLARWLESNPNVAWVSYPGLESHPSHAT AKKYLKRGFGGVLSFGVKGGGKAGSQVVDNFKLISNLANVGDSKTLAIHPWTTTHEQL SDEEKESSGVTEDLIRISVGTEHIDDIIADFEQSFAASATKPDEKGAPANAENAGTTE TQGSLAGST CC84DRAFT_1221286 MSPYQHLFSTNAVLNVWRNLRTRDQNDLLLSFHVQPDDVRAARD PRYGKGGVVETTSDESGRKNTVVDGRGAIDGRSIGFVVPWRSMQAQKKELREKEKELA DALVVLAGEVSFADLPPALQSSLTQNPLIQVRHLAAGLSRQMSRVEVQMADNEPFATG KNLYSGGELIRKYLPDIETLFQQRPTPQRMIFELLLELKDLVYAGMQACEREVIEWDL TNFSSFEDLDEALVRAITPLPSDETARAGSSAGPRKLLRRKASSMSILSRPWLHDEAE ILFHLESLDTTAAAMSHLAVPITDFAAKAAITLRRTLPRQVLNDHSLVKRKRTGRCAE YARCMKWAGTSWRQGGGCRRGCKNEDEDPESLPSWHSSSRWFDERGTYMVGGGRGSVE GRAAVPTVREM CC84DRAFT_222559 MQLPKLSPLQSRLLAFAITTCLVVVLWICFQPNYFAYAAEIPVP PEVVQPSQFAASIPPASPDEPPIEIRDGLEEEENVDALYAPLFAYFDRSLVGRQEDAL KPSELENNQMKPMEIAPNNTKTFIFKKNQLGQRSVLEEVSIARGLEDALNGSSDSDGD GESDLVKRQAQSRLWISANTCRQPTPTVKLVTDPVPQLTLWVWTSTSSAPAVPSSSGR PVGNSTFDGGHANYTLATNEDVYIAVTAPALTQGWTGSWSFEIAVSTDQGYYHNYDNS TNFIYMVDTDSDSTLFITHNMTDFNDTAKVDKWIDEHKGDNMPFDIYAFPDDAWSPMM GLERSYCGLKTQFDSSNNLSVTTSITTQFGQGLPKGMFNVQGLKTSMKYTGFLVLNGT SNMTIDGTTTNGGGRVFQSFQWRTKADDTCQVIFGLQECTTVAYAVPSSPLWKNNDTG LISFYDDLATKYMSAFRNSLAQVACDTAGTAQYSLARNCTDCEADYKSWLCSVLIPRC EDWTANATYLHPRNINTPFANGSLPAVDGLSFFPSNSFDGPDSDSTAAENATTGYNAS LRTRTAYNQSRIPAIDTTIAPGPYKELLPCEDLCFDIVRSCPAQLGFACPEPPMRALS YGRRDPYGRELRCNYPGAVVDLTVDRGAGGQHLARLSTVVLAAALAAAWGAV CC84DRAFT_1099753 MANANNFVPTNQLRNLRACMVCSIVKTENQFKSQGCPNCESFLQ LRGEDEQVRACTSQVFEGLITVSNTTKSWVARWQRLEGYVPGVYAVQVEGLLPEDVIS AAEDAGVHYIPRDGSVNEALPTDA CC84DRAFT_222663 MKPSRSRTGPLPWSGTPRPSRPTTRSPRSTQIVHRSVQSAPHAT TVLTSAQANIKLESYGLAVHDADKAIELDPNNVKAFYRRASANTAILHHREALRDWKL VVKKAPSDATAKVRLADCERIVKRDAFLKAIEVEDAPSAAEGLDLEHMAVDSSYDGAK LGDKITLEFVEDMIERFKNGKKLALKYVYQIIIAVMEIVRNEPTMVEVDVEEGQEITV CGDTHGQYFDLLNIFKLAGKPSEKHMFLFNGDFVDRGSWSTEICLLLYAYKWLYPSKF FLNRGNHETDDMNRMYGFEGECRAKYTKDRVFKLFSESFSALPLATLIGKKYFVLHGG LFSDDKVTLDDVRKLDRFKQRQPGQSGLMMEMLWTDPQTTPGRGPSKRGVGLQFGPDV TKRFCENNGLEAIIRSHEVRMDGYEVEHDGKCITVFSAPHYCDSTGNKGAFIKIGPEY KLNFTQFDAVPHPNVKPMAYASNGLQGML CC84DRAFT_1198907 MSAEFDLIIVNGVVVTDTEVGEYDIAVKDEKIAKVVKRGELGDV RAARMIDAEGGYVMPGGVDGHVHLEEPPLFGKGSSADNFETGSRSAVCGGTTTMVPFA PQKKTEDTLLDTLSLTHAKAKDNCYIDYSFHLLVGNPSPRALSEFKTLREEGITSLKI YMTYEALKLNDSQILDVLLEARKNQITTMIHAENGDLIDWMTAQLEKRKMFAPRFHGP SHPPMAEIEATHRAICLSEFMDSPILIVHVSTPQATASIAAAQKRGIPIYAETCPQYL FLTSLDLAKPGFEGAKCVCSPPPRESEADCDAIWAGLADGTFTILSSDHCPFRYDDAV TGKKTCITDDYPEGHFKYIPNGIAGIETRLSLALGEERLKLTKFVELTATNPAKLYGL YPLKGGLVPGASDADINIWYPPGKMPKFELKNEMLHHNVDYTAFEGRTLKQWPRYTVL RGRVVWDREGGGLVGEKGYGRFVRREASSLARPRFEGEWDIKEDGSFGFF CC84DRAFT_1190030 MATAHGLKINAQRLNDTLQSTCSSWGALAAPSTGMCRLTLSQED KGVRDWLVAECKSLGCEVKVDQIGNIFAVRKGEVEGAKPIAMGSHMDTQPAGGRYDGI LGVQSALEILRTLHENNIRTYLPIALVNWTNEEGARFPGAMMASGVWSTHSSTPLEAC WDLQDKDGISMKHALEDIGYLGTTPADYRENGLECHFELHIEQGPLLEIGGKTVGIVT SVQGMKWFAVRVEGVEGHAGATPMPNRADALVTASRLITVVRDAALSTALGVATVGVI KSDTSSQATIPAGVDFIIDVRCTTDEMVDQLASTIFKSFDKVIADENNGTSYAITRSW GMPQSIFHPRCIEACRNAALKVVGEAQVMEMKSRAGHDTAWTSRVCPSSMIFVPSKDG LSHNPNEYTSPEHCAVGAEVLLEAVLGYDGKVRAGEYMSS CC84DRAFT_1221293 MTEPSIEYADVLVVGGGPVGLITALQLARNLPQASFTVKIIEKY PKSSQDQYGRAITLFPRSSEMLDQLGLAEALAQQCFACRETVNYDRHGKEFPGRGWSF MENMKDTKWDFALVLRQKYQEEIFRQALKSEGVELEAPWELKSVEVLEDVDQGGHRVI AGILHPETGVKRVVKCRYLIGADGGRSSVRRLMDIPFDGSSSEDQWVRVDGVIETDLP KPRTYCAIESPTHGNVLWAALDHGATRIGYAFTPERRKAYEVFDEEAAVKEAIASVKP FSLKFKQVDWWTVYVVGQRIARNFFSKDCVFLAGDACHTHSSGAAQGMNTGMHDAVNL GWKLSLVLQGLANPDLLHTYEAERLPNVQRLINYDKDISRLMTMQLPESWQGDPNADV NEVLGQVMAEAATFSSGLGIYYESDTYLNVVQSVSHSVIQPGQRAPDVTLQKPATFEA TRLQTVTPNIARFYIVLFAGNVALTKEYLSAFTESIPQSHWLVDSKMPVSWISIFDGP GGPSAYETLSGMPCGRVFYDGDHSAHERYGVRADKGAVLVLRPDGWVGTVAELGSGGK AALERYFQRIFVFDAGLKL CC84DRAFT_222705 MVNDSECGMSCTGNVGETCGGPVRINVYQGPTDLPITSRGDDIT FKYIGCYPDAVESRLLPAEPLAGLTLTVGTCIDACRSAGFSSNERSTAYAGVEYSQGE WLLPVLPTYFQLLSASEPLFSHRHSLHLVLWQARSATTFESVLSHPSRINKCAHS CC84DRAFT_222712 MSTMVDNVRDYAQSNPLHVVAGLMIALPGTYLYISGKSLCATGI CTNATMSTQSLLESVTNSLSACEASSLNQNFFLPLSKWSDKPAPTFVVHVIRGARNNA ILVLTTIGEKLGLDVQQTWRTVEERWVPYCPLSRVVSVAITTALPGAFLAFIRRYPNS WAARHQTKIANALHVCALVTVANQRFSIQDLLVAALVVVSFEALQQVTHESERPFAVE TRLHAHGVDHSTMTGSPRPANFTRKHSSSNPEALSLSSGSKDAEIDRMNKRLAEARKN EKARDIDLKRTKAELNNARTTLNETFAEYASMRDELKTMKQRLGRDHQAEVYRKDIEL FALRKANEQKENYIKDREGKLDDVHRHHKTTLELKDAELRNLKDRIVFLERQNSPRFD DGKIDSATGSDSQAALQVKFLRVKGRNSAEIEERTLDEKDDEIAKLKADLADAVAVSK TLDNIQIELRRAWDATSEVQQSLNDERKQHAQTQEKLREAGLKLEEELNKQAQRPSPS HRLSTIEEQNAQELEAMFNAAQQDNSRLYGEVEALEKRVREANARVFMSEQGAEALRE QLRLEKAINDDMETARPSLVHRVHFQRMEGQLKESREQLEDKDEEIIKLQRLALEQDA KFDELIKANETSASAQAALQQENHRLKQSIKELESTKEQLMLDHERLARHRARNRTTS TENTSARSSGATLITEPSTIPPIPPIPTDDEDLPLPARPVSIARSSSIQGTPERLLRH EPNPNRLSMISTDVPPAELRHSRRKSLTLKGLMRKIARRDDDEPKTSDVPSPVVTSPR PKTALMPKDRNVMIRPKTAAPEVAGEKKEMDLRNEKEGERPKTAAPTKEAIGHRRFLS EGRPKTAVTGIRKGEGRAEGDAVEWPKSRGWGASRKLVRRSMA CC84DRAFT_1229165 MARLSNPFAFTPLPVIFVVTGTYIALFAALLTVHLTLPDVPHTT PAGTNLTQAWIDLEHITRRHHPYNSHANDDVRKYLLSRVEDIVTSRNLGSDRVEIIDD NVSNATFSAGNTTVYFEGTNIIVAVRGSKDEEAFYEPNGDPLSSTRASTNGGVLVNAH YDSVSTGYGATDDGVGVVTVLQLLSYFTEPENWPRRTVVLLLNNGEEDFLNGAKAFMR HPISQLPHTFLNLEGAGAGGRATLFRSTDTEVTSFYKAAKHPFGTVVSGDGFKQGFIR SQTDYVVFNGELGLRGLDVAFMEPRARYHTIEDSMRETSLNSLWHMLSASVATASGLA SDTSDRFSGSDEERQKGKVNAGSGTDAVWFDLFGKTFVLLQLHTLFALCVTLLVVAPV TLIGLTVALSKTDKNYMLARKEYVHSSDDDEPVRLYGWRGFFRFPVSFVVATSIVIGL AYLLQRVNPYIVYSSPYAVWSMMFSAWFFFAWFVLRGASAMRPSALQRHYTLLWQFIG TFIALMLVTILAHNFHLAGGYYVMFAFAGTFFALLISYVELFFLPKKSAYAVHFDQTI ETSHDQEPSSRPLSGTTNGTRSEERPAEDDDATETTSLLRNDRTSFRRNYGSRRQSIS DGTETDEHHAPADLGRPYEGEQEWSGKLPSWLWFFQFLLTVPMTLILVGQIALILTSA TYQTPADGNSTLLIYILFAVLTAILAVPAGPFLHRFTYHIPTFLFLTCIGTVIYNLVA FPFSRDHKLKVYFQQQIDLDTGANTVSLTGVDGYVQDIIKEIPSAQGKDLGCTTPDVA TRKELTKCSWAGLPANVVPTLSPYGNNTTPKSWLDYEVQKSNNSNEATIRVVGQNSRA CKLIFDTPVVDLAVAGVGAVSDPRFNVTGQHGTRELRLWHREWSQPWNVSVSWSDDAN VTFSGRVVCLWSDANAGDIPAFDEVQHYLPVWAIATKIADGLVEGSKRFKL CC84DRAFT_1167970 MRFFAFIATLAATAGLASAFCNCPDFEVECCAESGAPYIGNGNC DVTKRAEFDLYQKCCLGSGRFTACTEFPWLDEN CC84DRAFT_1190033 MAEQKSTAGQRLTQIKDFLTMNKTATTIPWDPNSTKFPMRKELP KIPGAPDDAAWVWGNDDNIGRLNLLTPSRVAAAAKEIRTGEIVPVNLPLNVPNQPAFG REIFKHEIKVLAENLAYDDIYHLNTQSGTQWDGFRHFAHMATGNFYNGAKGSDIVGPS ANEKCSIHHWADHGIAGRAVLLDYRAYARSKGITYDPFDHHAITYAQLAACGKEQGID IRPAAQGGDIHIGDILLIRSGWKEAYDSKTPEERSKAALRTHEPGNDGQRWTGVAQEE EILDWLHDSYFAAVGGDAPAFEAWPTEKPWHVHEYVLALWGMPLGEMLDLERLAEKCR ERGRWTFFFTSAPANVPGGVSSHVNGTAIF CC84DRAFT_1167972 MSLVSRVLPRLSRGLNHTAYRPITSFLLVSTPHTFHTTYMMSSP AKRKAETQASPAKAKKPKVQIPEYHLTPSRRDEDGEIVWPAPKAQIERAREIIRECAS AQKPTLILPDKDADGLSSGAILHHTLTSLGLSSDLISVYFPPKGSNVHDESTRSTVTE LSPAYIFVLDQGSRKTGPFYDASHKCLIIDHHFAEEGGFPEGAEFVTAHDCPPVATSA LLTYAICLPLHQDLEDKISWLAALGTHGDLGNTLKWQPPFPDMTATFKRNPKKAINDA VGLVNAPRRSAAYNVQAAWEAVISVEGPQPLLSNEKLRDAQFEVKQETEKWSHVPPKF SRDATIAILTIRSAAQVHPVVATRWAGFLKSDKLEIVMCANEGYLPGKVNFSCRVAKH ARARIGDDRVNIIQTLESIVADDPDLRARLGESFARGHKEASGGIINVQEWEEFKKLM GVGENSRKKAETKTPEKKKPAQKNTLQNYFVKAS CC84DRAFT_238584 MIQSAYEYSETLAKGNIPIRLDGACRPRHQADHPRNRALLPSVL RDHLRADVWGPQRRGQGLAAVWGFHCEIVRQGDTRGVQGSAGSGAGDCAEGAGRDWRG EGGFVQAGYVLVPEGCCERVVDSFGLGVQNRDGCIRSAFWNLHSMGYVLTSAHCG CC84DRAFT_1099845 MKSAIVLLAAATAVSAHSTWQDLWVGSADKATSCTRVVKDNNPI DSLSSKDMFCGRGPATQSGVCEVAAGTALTVEMHAQPGDRSCSNPAIGGNHYGPVYIY MAKVSDAKSAQSASFFKVAEDGYTGTTASWGTEILNANCGKRAFTVPKSLAAGDYLVR AEAIALHAGAGNPQPYVSCFQVKVTGGGSANPSGVSFPGAYKLSDALFTKAIYDSSFK YVSVGPAVWTG CC84DRAFT_1167975 MVARLVCDLGLFYGLRSQLRLLSPHSEGGINPALKKETGPTQEC AQRVCILSTNSECVSVPSMHGTSSQRLGTFKTPERFDYPVDRKRTQVTTYVTQTLEQN LDTLWGNTTIMSCRISQAPHISAERNAGTAFKARTKVKTRGEAEVLDTEANEAKEPDK TPSTNATPMLLFKKRAANVFSTLFYRASRGQQPGEIP CC84DRAFT_242119 MQYAGGVSHHSWTLSTPTLGPGKTNINPIVECVRHRLRMIKSAL GTECNRVPFLILERIRELYSALRLVTILLGTNCTRITLHQVTGSEYTHLVSSTGQTER LNGFSTVCETHKSQGYVARRTPQCRILTRLDCLQHPHTNASRSVPYAYGRALRRRNQP VYQARRCTDVALSVVRQLNVRSDSASVFPGGVQMPQIFSPDGPEMETSAEMAAYE CC84DRAFT_1153959 MVAWTRQSSLWPLSFGLACCAIEMMHCSAPRYDQDRLGIIFRAS PRQADVMIVAGTVTNKMAPAVRQVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGVD RIVPVDIYVPGCPPTAEALLYGVFQLQKKMRKTKVTRMWYRK CC84DRAFT_1179852 MSAVVSKLAYGATHQILIVNLDSRDPSSHCPFCERGFLRHDALR RHFKTCSKKGENDLEPSLQRGRRRHACIHCQLKKVQCDGKEPCGRCSLSQAKCSYVRG SPGAVTTVLDRTPNHEGRVSKACADQSRFPLPFLLSYTDPRFESITYAFAASGALVET VFNEEDDPMYDIYDEPSKVNSRMRSDGLSIQSRVDEIIQTLAFQHQLSTGATDTSYDQ FPVDAASQVFTPHILLRYVSAYFQYFHPHFAFIHRPTFDIQHASLPLLVAVALAGSAH SPPTDDALSAPCLYSVAEEYIFRCLQGVVYTGAKVDEVVIQTIQAAVLINALLYSSTD RAIARRGLFHRFPALSTAVRSLGLVRSKRAMPLQSMSWEQFVADESKIRTAAAVFFSG GVSTITFNNAVQLPVSEMAGDLPCADALFEASSPGKFAQLVTNSACDTRQSLSLKEWI SLYLQDTWPGYEHAMSMSIDPKVLTTHIVALHSIIYAARTSLLASSSYTALLRATNRW KELYDVVYAHSNISETQLVGFVKYSVELWWLARKLLELAHTGKDKSRYLAGTPTDSRR DLRNFVERYGSEL CC84DRAFT_1221302 MARGQSEEIERNASVATRSKSIVSLTAFEGMDEYAALQKYIQFY RDPRAAQLEHKPVEEKKPWWKFWSSGNKTAAAPDGSVVPDEMLNSDIRQGITSAEVEN RRKRFGYNELATEKTNLLKQFLSYFQGPILYIMEIAALLAAGLGDWVDFGVICGILLL NAFVGWYQEKQAADVVASLRGDIAMKAVVVRDGGEQTILAREIVPGDIIIIEEGQTVP ADVRLICNYDTPEDFERYLQMKEEDLFDDTSDPEDEKDDENIDEERPISQGHSLVATD QSAITGESLAVDKYMGEVAYYTTGCKRGKAYGIALTTAKHSFVGRTASLVQGAKDQGH FKAIMNSIGTALLVLVMFFILAAWIGGFFRHLGIATPRGGTESSVTLLHYALILLIVG VPVGLPVVTTTTLAVGAAYLAKERAIVQKLTAIESLAGVDVLCSDKTGTLTANQLSIR EPYVAEGQDVNWMMAVAALASSHNLKSLDPIDKVTLLTIRRYPKAREILNQGWKTEKF TPFDPVSKRITTVCHMGGDKYVCAKGAPKAILNLSNCDEETARLFRDKAADFARRGFR SLGVAYQKNGGDWILLGLLSMFDPPREDTAQTIVEAQQLGVPVKMLTGDAIAIAKETC KMLALGTKVYNSTKLIHGGLSGTTQHDLVERADGFAEVFPEHKYQVVEMLQQRGHLTA MTGDGVNDAPSLKKADCGIAVEGSTEAAQAAADIVFLAPGLSTIVLAIKIARQIFQRM KAYIQYRIALCLHLELYLVTSMIIINETIRAELIVFIALFADLATVAVAYDNAYSEAR PVEWQLPKIWFISVILGILLALGTWVIRGTLFLPNGGIIQNFGSIQEILFLEVALTEN WLIFVTRGGKTWPSFQLVFAIFGVDVMATIFCLFGWLSGGLYQTDPPSTYNFRADGWV DVVTVVVVWAYSIGVTIVIAMVYYLLNQITWLNDLGRKDRTRKNPAIENMLAALSKLS LEHGKDKHGVDRYVLAARAAEEEDDE CC84DRAFT_242138 MARRARVQVNQLSCAADQLQPKPLFRKHFASKPAQVNQLQRAAC HLQLEPSFTKVSYGEVQGSFDPPLYSKEWLHVIPTFRGRNRWIARGVDRATVGDVALV DASARNDLRSVAIREADYSLALQRLRVSQAHERSLNEKLASLHRGEYLVEKGLLFARL LIADIDSIAQWFSSTPPHIFAPLRELHGQILSDLFDLKHEVNALSSVLRVRLNQHLGA DTIRHMAVMHKLAIPIRKEHHKRYAMKKSIRRIWKQTRSPGDYSAAALDENDEFYDFT EASVRGDREDLHALEIHEDVQRTLALDRDPARWIATSFNMWFEHVMQQRWLSLRRVIY PLIKFANSDETTPNQKRMRKLAHDSFRQRLDEQEFRLPKPYGQDGFHGQDLFTLVREL QWIRNYRIERFPESIGKDEIRLARDFYGHMRGALYSRAHNEDVHRYWRMNYKRFARQS GHDKDQVQGMEGGGGRGEGVGHGEVVGGGIESSPRGNQTMPYNTNTARKPPEAHVSRK AESRRVISIESSEFLATAEQPQREAPALVMAFQASQAFQRAHAKPVSHASSSLSTDRP TEPQLAALRPSEPAIHDPFAARERPEAQASCPNVAPRASYKGTYKAASKVKRTGTRSA TPPIAGKISKARKVGVGTRLANLLHFQKRSQR CC84DRAFT_1190038 MLLPLLALALTGHSASTNPHKPITLSSTGGFQIGGRTMSAPFNP NLTLSCDHGYMEYFLPTRPRKTSLVMWHSSSTQVWQNTWSGGAGFKDLFLRRDYPVYL WDGPRVGRANWACEATVYTPAYMDTSNFVAWNFGPRYKQWWDGVRFPTEDEDAWQQAT SARYVEYDTKSNVELHARAAAVAADSGRIGRDIVYLTNSAGGLRAQLTATLSNSTNIK GIVTYESIGYVYPDNLNITAGKGGFGPYVVPLEDFKKLARVPGIQFVWGDHRAENYSY VQESRRVAGLINKYGGNAEVVKLGEVGVRGATHIPFADLGNEDVAGLLDEFLEGNGLD GRKKIMLCYIGLHVTVYMYRPQTLGFLACMV CC84DRAFT_242146 MSLNQFNCESVSDSETSIIYTPTATSANVTAGLVDHEDTSSGVP SDGSTVIIRSISSGHVITLLDGQVVLASPGGRGSIHWSCVETEGWLGFRNRISNKFLC HDWNGRLKCSAEQSSSWRHFTITPIPKGGYVMQMLDWWTLRPVVINPEQGLQKIGRTG NKLAEGIIWEFIKVE CC84DRAFT_1153972 MYTLLLTLTTLLAILHRYRILGRRPKDLPPGPPTLPFLGNLHQI PAAKAWHQFKAWADEYGPIYSLMLGPSTVMIVLSSDEAVKELLDRRSGNFSSRPPLYI GQMISGWMRMLLMEYGKTWRYMRSLVHDHLNIKASISYVPYQDLENRQMLLGFLDSPA RWVDHMRRYTNALTTQMVFGFRTVSIDDENMHTLFECVEDWATTFGTVQAQLLDIFPV LQRLPDFLVPVKRKAKALHAKELELYLVHWNKLKRDVEKGTANPCFAADVALAQAKAH SKNGETLTDAQASYLLGSLHEAGSDTTWSTLIGFIQALLLFPDVARTAQAEIDRVCGD RLPTMEDEPHMQYIRGCVKESLRWMPTPILGMVRSPMKEDMYMGYRIPKGATLMMNVW ALHNNEEHFPKPRVFDPSRYAHDTQSAFEAAHAADFAQRDHYTFGAGRRSCQGMHIAE RSLFLAYARLLWAFDFTPTKDFTGKPILPDADNLTDGVLVRPEEFPVQITPRSAEKAE AVRREWARVEGKLDEGGQWRRVPQGMFSKEYVPLVG CC84DRAFT_1221307 MPHVESKAAEANTEPDQKPQTIANSLCIAAMSNHNSEIQPFELL PPTVLLVAGQVSSSATSPQNSVRLPVASAEKSAYLNARIQPLAVRGNDVTIELPDVEP AALRLYVWWLQYENAPLHLHEENGPVVQPRQTLIWRECLDLIQAHLVGSKFGDVDFQR YILGQLDAWLDPQQNPDPELLNYLWEKDRDVGDELMCFVMARMFQMGMEKARMLVSWI KGLTKGKRMMNFSEGIRESRGRGPATSTRKTYQGPKSSSEKVEEVVPLEPTSRDRTPP ELYRKSRAEVPTFGGATQEDCECAVPAPSQRKPSSRRSLSSPSSGIFTVPGRELTQRA STSQAQLENLPQVLRIHPKTVASTNNTSIHAEMERQRIFSPQLPPPPSLHPSIHRAMH STPTPARSQNSLRTPQEKDHEMRGGVHSTSPAGNTATMPDAALSLLDFWVDPPANPAL QYTPPDRYIPTFKPPPTPPKVQPAWPQSTVPLTLTTKQVLHSFSVTRTPTPRRRATRS WSVVSIHPSSHYLAYSPPPHSKIGIDRPARGIIGRKPVPEGGLNFLRGFQDGEWLLRV VSINSRAGRVGLARGDEWRKEEGLGQMWKGRPGAA CC84DRAFT_1208889 MHCEVPTSSRSFHHRCTFYYNTVVLVGPFRCHIPLLFAMPLYWT NRLLRRMRRCRRGIKISLYPRPSQYQHSNSSQEPISQQQRASRQYQETSQRCPIPSRA QISLSTYPCSSTSTSTSTTARKLVKAVSTAKKRPMHGSPPLVIGGPQGFKKGTEAADL MLQLGIPILRGAGDRGNETISKPVSPDDAKGSMLSLLAFAKEYRLPPRTSSMAAPPLA HRHKSPPKMARPNPLRSHPPEPVRPPPPPPPPAVELEGSSPPSSTSRGRSRGSNNSEA LKAHRHSVIYGGFESGSPLFPIVEEVEDMPLPESGYSDSSGQVTPNAGPKHRNTAIAD TKARSSRLLAIGKLPLEMREPLKIWQKYMRTGVIDVDMCPEALDSEGNSTWMHCWGLF NLYTFSTSFMNDTEFADRVMDMLCKNLNPGKAADVDTICLLFTGESISSKLKQLVVDR CIDGELKNFRRSVTRNLPHEFAAVALEAAMERLADSEWRQRLESPCRYHRHKSADDCY LRKLANERHRRILKNRKTRKSRAATQAPLELGTMGPEKTAAECTNGEGIAVQDEVIPA PSTYRKIVNDPEDEGDQTSTPTSESVSIGSVQEADLDTDESSVIAALRPEDSNLSTAK SCEVVKTARMVSVVNVTATPRPKPENSFQCSKSSRKAKGGQTIVDKWPSLSQSVSIGS NGLLMPGAYPEVLCSVGHAV CC84DRAFT_1262843 MWLLRLLEYCLGRLLYRRRGYDSDLFFNTIPFGNLKPTLRLESP ECGPAGARLTNDHSAFGAGRIPHFTWPAAPPSVKEYLFLAEDPDAPLGHANVHGIYLG IPPTTTSLGPVDLEVVRVENGVKVLKNGWTVGQNRRGWVYIPARPPRGHGPHRYFFVL VGLGEKLDLGRMDKVPTKGEVVREIEGKVVAWGVWEGTYESTF CC84DRAFT_1100017 MAATDDFDPEYDPEPHRILLPSLSDSPTVHSACCLALSTPLLAH LASLFPPAPRLILSIGSGYGLLEALLLAPPYRFNIIGVEVQPSSNTHLPRTHHRVVAG SRFLEPLAAEAEAWMFVYPRRVGLVEEYLHEYGARKLRVVVWVGPTADWEDYKGVFGG WEVNVQGADKVGGRAWEIVAVARKDTMASEQSVA CC84DRAFT_1208892 MAEQLWRGAVAAGQFVYDYPMPAAYAYAAIVGSLAVPHYFPNIT KIRAPVDHSPINWTDFENNFPYPQPKKMDSDNKVVEIIWSGIETSTNKKMPRNVEECD RLNHDIDGYLRLRQNFKPEWINYVDCTDRYGSYLPELWTAPEISVLPSWLHDWLQGPN APEPKPKRPQSPDQPRKPEQPGKPEEPGKSEQSEEVGRPEEPESPKESEQPPVVPTRT RPSIAVTTIVTITQIDHFRGGAKHFLSIDIYPPQTTNPTPCYQTECQTWTTTDWDHTA IRSIHPTTTTDSIDNLSSRATDNPNPPPSEPPYSNRTAKRDAVVTFRSSLPSLSWVTF SRALQLLQDDPWGLIKNDISSVAFEVFNAAQAFRYSPWKFLRDGFANVVVGYIHGIPE IPGLSFRDKVEICRKVMAWVFPPDLFGDVCTHSREVHRAAAMKRALLRYPSPPLLDWI PRPVVALYQSIPQAMTVVSGLVRHYIDEAVPTWLRNFNPLWWFGTIWIPWRLAEVRWL PWSPREITRCVFIIAATIIVHILPVVHYKFHSIKDLARILLLAFTAWVGRVLYADLGG ICFFDIEAFFIGFLISRYIYWFAPYFLTKFPRREFDAYARKSGLLVLSDAFAVWVACE LSISAEQCPHPWFWVTLISILSWSAAYHFTRLAVWNHETLDLGHLRDFLPPGTLNKIQ AWRAVPLQIGLLVTALCIWRYGYMCVEWNMMIVAGYFGMRTAYLRPAPPINDASTVEE ILTRRQALAQSLYKQLKEIQNNSVGRRFLRLPWVLWLSSFLTTLFGMLLHWTWCFAAR TVNTQQCPKFDTNREPGIFILASIPIGLKLLELYCRDLPEGHGYRKLINPLKFCCWLV SLALIVVGAIWATVWGYWYQDIPLLTLTALLWSLMGWRFANLTATFHGLVELPGRGTY RLYSVPVPVDGSVIEIDVNHLPDIQHAPIPEKFDPATARIVDTASGQPVTVSTLGLPD MIIRSELVFGAENAGGGSGGPSGGRGPGDQRLDTGEPTPARPPRPPPPSPPPPPTATP PGPIQATPPAPIVPPPDPIAPPAGITAPGLTASETNTPPGPTDQFPSTPTLAQRSNGR LGLNAGGTSYVKPKNGVKGARGSAENSPASPSSHSPDLSVGTLKLSTPELESQKDPES PKPESLEAPPTPKQESPKEPENGQQESRPKSTGSSRTKASPKVPSTPPLPPHSGSPSI SSLDIYSPHSSGSDSSPRRVLKITPEDEDENLRVLLGHVPRPGTGFNPSQGTGRGTSS DSTTVSDDSSNEHIPEVPGPGQCAPAPKNQFIAPKDRFPDDKDFGVNITAGDEPKNLP PDAGEIKAPGNQGDGNTVTKSDQATFEPNGGREIQGNRTGTEQPGTESPKEVIGKSED VPLEAQSTQDTTIEVEKTPKTTPREASIDTHATPTDENTDKARVPGPSDTQENVQDAV TPAALTPDIVPETEGNVLEHTTSKETKPVKDDDPQHNHKTESKEERTDDQQPSSQDFD QFLSLTGDNTELADMIWEIADKGSQFQNATEIFLDRENWPFFVKKCDLCNSTPDWRDW PLHPTSKQHLDNIARREGKKSTGARPPTLDELNYIISRAPIEMDTEMARTLWFAAPDQ NVQAAMEGLLNKGEAIMKFCSVCEDWFDLREWRAHQATEWHLDNIRRRRENKEPLRPR DPLNLGTYGLSESWHTSDKFLERAAMGPRLPGWVRRTPTPTPSPTVAAPDRWAYCKLC DRICPKIAHGARHRHNELEQAQVLRTEYDREARQPNGIVEHGGQKSVDEEISGRRTRS GEEKLPHCKICGLHFRESNTAWQGAQASPDWETHRKLRIHLQKMIGKDTKKGQGYCCV CDERFPLQPLDFIGHTHEHEDPENDRRLDKHLDSEHHRLLEEQMRRGEIEQTNVFCEW CNRPVVIIWWGEHENSEEHRSKKGRTKSSGGGDGGGGGDNRGGRDAGGGSRGSENVKV KDKTYSDMNEANNGRKANEVRPKGKDSGGRKSGTGKPKDEGDENREIHHERPPDDAIE LYTTENSPHLLESGQIQDKGKNKGTVDKSNLETSRSQQPDVPDTPVVRPGKEKSKRED VTRALEEARRIRERENRYCTPYGGQAIIRGYPKHEESEEHRKKCGRRENARGGGDRGE DGGQGPGAGGGGGGNENQGGNGGGGTFSDWNAEEYDSDPDDLYSTPDGPMKRKVEQDG GHRPVILDQRMADEIYLPKDLQRKGSKEVYRADGGLVPATQHQSDDEPGNKADDTPED TPEDTLAVEPEDKFADEPEVKPDDESQTDVKSSPHKSTEDLLRLGPGNSRNTQDNQSA STITDRSGVDPDDGASYVGDVHEDQADKILDGRKPKFRGRNPKKGDFATDQEWEEMKR ARKLAQKLREQAMVAQMSEADQLRHRQEKALRKQRRKANKKRNKEAKGFMEELERSDQ KSSGFVFGEQNIFTAEQSTEVGVEPTVTQPEELLKEDIEEYRLSPHIEDQYNDRPHVE PEDRPEDKPKEMPDKTPEDRPAAPEIFHAKGTQISSTGGTVDADRDTHIEKGIEEKPG KKRFSRLRKRDFNSVSEWQNHKLSKAARQENKKMQMSEEERMRFEQNEADKKRKKKEY RVAKKARERMEAAGLSAENVSEMPGDTNAAERDDAEELDLIKQTRTIKETRLGDEMRS GDKTEFIEKTEQPDPAKPKKRRSKRGGYRQTKRGGGMSKQDAIDSKAHSLATQPDRPH ESQDAQDSAFFQSLCTQTANDQIWQPEREVNDVRQRLRLDLEQRRPNIEAERQSVRAV TARESAEALRRQGISERAQDHLTLQGHFNPHTLSIEQARQIWADLPTPVLSPTDFERE DILDPSVRKKIIDHEEGMKNKAAMGELEPPQERMPLRRHSVALHRNVVTDMWPIHIFA LDAETYVRPADPEAYGEDNLPVKNIRGQPRRKSAL CC84DRAFT_1179863 MTQPNGNAFSQWDGMNGMETQFSQFTMNAQEHGIENQPSQPAII AQEPLEYMPTQTISDATLAQNWIRIFEYHHPTQMHVLTPYRESDQRVAIFIRGWFAFA ELDDFLRLDAIVSDPNMGEFQNSLLKDNSSAAQPSIKIKYPDKTYTSFDDWYSDSEKP EGWMFDEETHRLVGYTYWLSTHNASTQAVEQDMHEADLSSQLEHMHIEDVNLELGVEG KANPYWFV CC84DRAFT_1262846 MRFIIEAAPIAALASLCAGQNSSTIPSEVPLYGDSPPVYPSPVG NGTSNEAWASSYSRARALVRQMTLEEKANITRGYTGKCVGNSGAIPRLDIPPLCFADA PDGIRGQEFVSAFPAGIHVAATFDRDLMYRYGHALGAEYRGKGINVALGPVAGPLGRV ARGGRNWEGLSADPYLAGIGMGAITKGIQDTGVISTPKHWLLNEQEFRRRESDLGDAV SSNVDDRTIHELYAFPFANAFREGAACTMCSYQKANNSYGCQNSKLLNGLLKTEFGFE GFVVSDWGAHHSGVASANAGLDMVMPDGGYWGKNLTEAVNNGSVSTERIDDMATRILA SWYQLKQDENFPAVGVYSNTVKHQPIEVQDDHPELIREIGSAGTVLVKNVNNTLPLHN PKFLSVYGYDATVKSTPWQNPSRFGGGYEVNFGWNTLNGTMITGGGSGSNTPSYVISP FHAISDRIAKNRGTIRWDFESENPYPPYVNSEACLVFVNAYASESFDRTTLTDEFSDN LIQNVAANCTNTIVVIHSAGIRTVDTWISHPNITAVLFAGLPGQESGNSLVDILYGDV APSGKLPYTIAKQEGDYGALLNSSVSFDYFPQDDFEEGLYIDYRAFDKNNIEPRFEFG FGLTYTTFEYADLSISPIANGTAEYPNPDVAIVQGGHPQLWEAVLTVTANITNTGNLT AAEVAQLYVGIPDAPVRQLRGFDKVYIDPGKSVTASFTLARRDLSVWDVVSQQWRLQR GSYEVWVGASSRDLRLNGTFTVG CC84DRAFT_1221313 MSLPTSPAPQNAGAIQEDTTTNSSATDCGIWNMASRLDKTKGIL RVDSDGRIIEEDTGDIVNLDVPDDEAQQYYSEVDPYATSRRFAIPEMKNGATALDDPR AHKPMLRVVKNWLTGSSKPPVQEPQWAFSTALRGWTPMNPGRKPGKIMFGNMVDTRRH EGGAKVRGLMVQVLETWYDEEVKRAFGEDWAKQAAEHDKFLVKVEGFVTKLVDDTPKI DEDDEEYDAAVPVDSVPDPLVPEAPKKRGWLW CC84DRAFT_242327 MSNKIRVFVAAYTRPTASDSSTISASKPRPTAQKYHWGLWLEPK GSNGNGTSFDLEDAVAYSSISSPFGWRLHIDEHKSLPSHMLGRIMIGKMVEGTTEADV AKILTQVQMPCEAGSPVGDAVEWIKRVIYELQEVGCAETFSIDAFMEEALSHAVTWHS KKGRTEPEKVNFTWSRTFP CC84DRAFT_242338 MNDEELEKMWTVWKVCKRYRKTRLLSRDKAERRETEVGAVKLKE VRLSRRWFLDTKRAVKQRLKSVDRGVAVADDLRSQECVGTETRERRRRDYVVDAANAG LGVCSGQLREFKASCRSDSEW CC84DRAFT_1221315 MSTMTDAVSFKAHAYVVTFISGKSDAVNRIEANLLREGGSLSYC DSALMYIEPALETPRCCKKIGAKAAGLREQVDIEKHNRMKAEALADFRQDKIEFNERT HSMFVRENRATAKLELDTRDKKIKQLEADLAAASKTAPRERVIRAPAPVLAVLKRKVS EAQEEAEAHKSSLDHITAAAFTSATELAAVKGQLAAAKEELGALKKSKSEMAIKHFGT VSKKNGEIATLKKDIQALHSEAAAHQYTATKLTVIEDIHEETKNELYKLKHTLTQLTD EKKDISEKLTVTCRHLEVCTKEAQDTASELVAVKAELGECKKMIRPQKHLSNRITAAK KELEAVKHESQMHQADALAKHMDAVAAKHELAQYQSAYDVSQHNIVSLEANINSLSST VARTTEALRTTQHELAAAKGEATVTAQDLEMEKQSNTSLAAECTRAQATITDLRSSLE IVKVELAAFKAPEPAASASASAAVPGPPSTKTAAEKLAAWSNAHAVVRVGDKEIDRAN EAKTEANKDNTAGIWTTIFRPTGSALANQTKVDAVRAVLDGIEKDLAAKDSAVAALKE ALQEEKAAGAERKRELAAARLALESTQGSLRDTRATLALALALALAAAEEKTTAGCGN CAEAERSARLAQAGTDAALMELDAALYERMSSWSLLHDLIWDVEAKLSVRSGTPGASG STSGESDGSGTTEKGEEEVLEVEIVGGDGEVAGAGWDRNTPPHLRRGGFAGRSLARHM PNLVRK CC84DRAFT_1167985 MWCPQRNVVKNVNRTKSDWSRRSLRLVGGRRGARDCWLYTPALL GDWPAPSHAPQICAFWAQRMHDPIKVRVPPEGPIFQRIRCLGSRTVVLHSSNLARSPH VRCFWAPWCDQRILGRPLGTCRSRVVAIGRACSGILEACQRSASYGGKQAEQALTKLY QTMYAGCFSTHLDAACGRGR CC84DRAFT_1167986 MHAYATLGMLALAGSAMAAPKPHFGGHGGNPHIVWETAVVTVIV GPDGQPIDAAAPTPAPEPSQGYVPAPAEPTTVVVESPPQSYQVPEQPSPTPSPEPYTP APSPSPTPEPSNPPSSGGGYIDIANQWRAKLGLPAYEQDETLQNNALKTCNDGNGEMV HELNPGTFGQTLAPGQPDEFEHVFVGAWLCERPDLPGLNGICDTMSQGWAYGGQTGHA DIITGDYKKIGCANAKGIWGCDYA CC84DRAFT_1221317 MPAAAINTAETPVPAALEAAAVVEQAPKVEFDPVVLKAKYLQER DIRIARGGGTTQYTFLDGDSSKYLKDPWTGNDTQREPLVEETEVVIVGAGYGAQLIAT ELQKVGVTDFRMIDKAGDFGGTWYWNRYPGAQCDIESYIYMPLLEETGYMPSEKYAHQ DELLEHAQRIGKHFGLYERALFETEVKEMRWNEDASTWLTTTSRGDKITSRFVIPAAG PLHKPKLPGIKGLDSFQGHTFHTSRWDFAYTGGDVRGGLENLKDKRVAIIGTGATSVQ IVPRVGEFAKELYVFQRTPSSIDVRNNQDTDAAWFQGLQPGWQKARMQNFNRLINGAE RDVDLVNDSWTSVGRLLMKDPLDWSDPVAAGTRFQMIDFEKMEEVRNRASAIVQDQKT AESLKAYYNRFCKRPCFHDEYLQTFNRPSVHLIDTRGAGVDALTPTAIVAGGKSYDVD AVIFSTGFETSNDWSHKTGISMHGRSSTITEKWKNGLSTLHGYATRGFPNAFFVQYFQ AALTFNLMHVTGEQAQHFAHIISTAKAQGIRTVEPTQEAEDGWVQTIVQGAAGMAGFF GDCTPGYYNNEGTVSEAGARMAGYPAGADAFFQLLADWRADGTFAGLEVKQWDDAP CC84DRAFT_1229213 MDIHAYVGWRHLVGSARIPRSRAHKRQPVEQGSLRDADLLSCSW ASFVDAAIYLTLQHFVLYCGPEFSLLKPRLYPWLFVGCDFASIVMQAIGGGVAAGGGI SDNIKVVNVGNSLILAGIAFQVTAMSVCGLLVLTFLWRYRKARATLYASNEKSAYDLS KASNAVSRKL CC84DRAFT_1262851 MPNEHLQDWTEMDHMFDSFGNGMSYLPERNKADIRFYCDDDDYG EGKRWTITPDDPDDPADVKNSLLPRTGPKTGPGSEGPFQQWQDTKNWIRMGRGHMGCK HPEPEDNRVMGIVHFRKFEVENMTPADINPDRCTVTLCDFKLSKTKYGVSEGEAPESE VDVADLQKYLDKDEISIQNSLEVHDALLSGTLLHELTHITKPGMDTDDHTYNYKKMIQ LTSAQALDNAETYAIYAFIARLADFKFRVDNLDEGTIRYDKDLETVGGEDLMPDPVDL PRPPIIESGLRPGAEAMRDATIAV CC84DRAFT_1221320 MHFLPLVIFVLVPQLVRGMNIPRTSTVPTRTLKSVVVRQNAPPV TTLTGPSNGHERGDVIITISEKDNNDIAQLIDQYVCPDSKRRKRFVQDCIFDISTSMY KKMEQGQLESLMNIARDEIALPMPPEWGDLTLGQMNLLGQPLQNLPGTDGARRNRLSR VVWWTLYPPLVMHTDDNMPVRVIPALLAQRTDSAPPEETSARCHLPLSIPYCANCGGA TTSEGTTCVGFGDLWKLCPCVKNTPLPPELHTMQMSSEQMSAWKLARQAASKKPNISC RTNSNVTQTMGLWDRLADKFCSENDLSDGTLRWMVERDEIYDIWPHYTYFLDYRYYFQ WTSKYTPNCQIPPCKAMMNDLHSCGDDMRNQMAVAGTTENSCGTMSYGIEEKLGDVSP KEEYTLDIAIQVNSLMFFTGIMYTVTDSNGLPVATYSQADFPIQDLKPVYMDGLPHSI KLMPRIIESTPYRLPTGERYWGGVWLQVDMDYGEELIGHPDNKDHCAYSWNTKENSRS CLEKPEKNFSCEHSNTFPRWSGGPAADESSIQSMFWRCTITGYSGIV CC84DRAFT_1167988 MMSLEPNPKTTPTSHCVFLTGVTGFVGGTILSCLLKAHPSVRVK ALIREERDAKELQSVYSNLIPIIGELSSLSLLISTAADSDFVIHAGGDNVPAVCAMID GLASRNTTGSPMPRLISLTGPRSLIDLSNPITGNLRASSRPWSDITDAHTILNVPKDR MHAGADQAIVAHSTAKGVGTMLVSPGQLLGRGKGHLKKESNAAFYYATVKSRGRAFVI GEGTATWSWSSIGDLGDAVVFLMEQSLKSGSERRGQVGVNQDGYYFVRTGDVSMMERA KAVSERLGLGEVESVSVDAAREIHPFGPIMWGCGERTRSEKLLELGWRPKETDWKALM EEGEGERA CC84DRAFT_1229218 MDETGVMLSMLSNVKVFTSKNNLQSYRGARMGRIIVTAIECISA SGRYLGSIYIWPASTHRANWTTYPTPRWIMHTLNLDIPTLKLA CC84DRAFT_1127863 MPIQDPFQLPKSAQDLPLANDTDDTYFLFFISSISPETKQPWCP DVRAALPVVGAAFSFENAPEVGFVHVGQKPEWKDVSNLHRTKWNVNAVPTLVRYQRAG AEVKETGRLVEDELLDQEKLKELVDGKRKSVI CC84DRAFT_1208900 MRIALSFAAVSASIAAAASPTNTVAPLFAITPTPPSPTCTLSIC ADYINSCGRWYGGCYAACPGYTTPSFTDPGCPTTSRAVSATPTTCTTTLCADYINNCG LMYGGCFPACSGYTIPSFADPGCPTSTPYHIGITVARK CC84DRAFT_1167990 MGLLHKLEEKILHKDPKHNQPHGNPPPMQQQYQQHIGPQGGFGG QPQGGFQGGGHHSGGHHGGGGMMGGGPQAGFGGAPGTMGIGHHGRGPGGPGGFGGGMG GPGGGMGGPGGHHGGGMGGPGGHHGGGMGGPGGHHGGGMGGPGGHHGGGGPGGFGGHG HHGGGGRGGPGGW CC84DRAFT_1153992 MHFLKLATFFLPSAASWSTDVHNQIGFMAEQFLEPHTISVVQHI LEPQYNGSIGRAAAWADGYAHTDEGRFSYQWHWIDSHDFPPQRCDLDYNRDCAKGGCV VSAIENQTSILRGCIADVKAGSLANGANLTCSYALKWVSHFLGDINQPLHASGRAAGG NFFRVKFGNASTELHAVWDHYLPYTAAAATHPFSNQTIAPFFEGLVSRIRKDLFWEAP YMWLACSDPSTPVECATRWARESNKWTCDYVYSRVNATSDLAEDGYAAGGVPIVELQI SKAALRLATWLNKLVGEENQKQVDQIEL CC84DRAFT_1190047 MTTQTKTQKNPSFILKAKNEIVYEDRPIPELPSPYDVIVKPKWT GICGSDVHYWVEGRIGHFIVEKPMVLGHESAGIVHSVGDKVTTLKVGDRVAMEPGVPC RRCIRCKEGKYNLCPDMQFAATPPFDGTLARYYALPEDYCYKLPESMSLEEGALIEPT AVAVHITRQAGIKPGDSVVVFGAGPVGLLCCAVAKAYGATKIVTVDINDERLQFALKF AATTSFKSARVSAEENAKNMIKECELGPGADIIIDASGAEPCIQTAIHALRMGGTYVQ GGMGKPDINFPIMAMCTKELNVKGSFRYGSGDYQTAVDLVATKRISIKELITNKVKFD DAEEAFRDVKAGKGIKILIEGPEE CC84DRAFT_242878 MPQLSVLDLPQLYTKPSADAILETLVLLTSAPPSWDYQSDHAAD HDSGLDTTKDNEPRAVQIDPEGVTRYLTSIVSNSLQWIQDEGVKEEIWNQASLRLSER SGRSARGDLTRRFTIPSTDGPFDLSIHEPALTGDDLGLKTWAASYLLAKRLHLFHLIP QEQNSKTRVLELGSGTGLVGLAMAGLGADVVLTDLPSIYHNLARNAEANASVLEQRGG SIRTGVLDWTNPCLCTLFPKGAVDTNSDGEVLSEKFPLILAADSLYSPDHPQMLVDSI AAWLSEDPSARVIVEFPYRDAYLPEIANFRERMSRVGLQILDEGEEKGYDDWGDASKD HDALVTCWWSCWGRKLPEQKP CC84DRAFT_1101622 MYSNRQLAYAPTPYIPRSTLSATINLDEEVKLSTTSAERDLYDS LAEIYSIVITLDALEKGYLKDSISEADYTETCSRLLKQYKSNLANETVARAFGDLDSF KREWDIDCPRATERLRVGIPATVEQGPSHKPGQQGDSADATLVINATENFITLLDAIR MSLVEKDTLHPLLVEIIQAVNKVTDIDFESKGKIVQWLIALNQMRAAEKLDDDQSREF QFDMESAYHGFKATLKKD CC84DRAFT_1101770 MPVSKRTKKFEKNELGALLKKRKDVAKIKQRKQMDLKKKQRKAL DNAPADGVENGVGKKTTNGTKDGALEEMSMDQFFQGGFELPQMKKKKSTKPKIGKRKR TPVEGGASDDSDADMAEAAGDAEDAGSDSESGDDMEAHKKELAALAEKDPEFYKHLKD HDPELLDFEDDDLDGLELSASEDEKTPRKKRKSDKGGKDDEADSGNEVTKKLIQKWKS SMEESSSLRATREVVLAFRSAAHLDDEKKDKYKYSISDSHVYHEVLITALKLLPKVLQ HHLPVKESASGRIHLSTDSKKFRNLAPLLKSHAVSVNHLLDNLSDAPTQRMTLDSLLA LIPYILSFKKIVRDVAKTVSSVWADSSNTEATRLSAFLVLRRLVVISDASIREAVLKQ TYQGLIKGARNTTVHNIQGINLMKNTASELWGIDPNVGYTTGFGFIRQLAVHLRQSIT NKTKDSYKTVYNWQYIHSLDFWSRVVSVHCESLREAESGKQSALRPLIYPIVQVTLGA MRLIPTSQYFPLRFQLVRSLLRISSATSTYIPLAPALIEVLNTSEMKKMPKPSTLKSL DFSTSIRATKPYLRTRIYQDGVGEQVVELLSEFFVLWSKNIAFPELALPVCVMLKRWV KTMAKKSSGNRNAKINSLLALLVQKLEANSKWIEERRAKVDFAPNDRVGVEGFLKDVE WEKTPLGAFVIGQRKIREQKEKMEEEARKTQDKKRREAEKAEADENAETFSDVESEEE DDEDAEEVDGVSEEEVDDSEDEE CC84DRAFT_1179883 MYHLTFAILAAMHFSIERTPRLTTSSARSQLSSELKPSASHIMS NPVPTSSAHITCLCGQISLPGTSLANPTFPLPSTICHCNPCRYTSGGLLPAFASLKSA PCPEIQAKLGKYQFTPSCVRYFCEKCGCQCFVEHPQAAEEEWFCTTGMVEVSEKDGEN AEGVKDVVQLVGHMYIDDLRDGGVISVFLPITGASASEPELYAAKYRTRKLNPMDLDS IALASGQHPPPGPEEQLEARCHCGGVDLRIKRAEYDDEPERVGSLMTKDDPHRYLARF CACRSCRLSMGFSLCPWTYVALSSVINAKTSQPVVFGADAEKEGANEGLRLQHHHSRD DVWRSFCGGCGASVFYYSSDESRSNVVDIAVGILRAKSGSLAREWVRWADGEEGAVVR ASTLEDTIGSWHRIDVYNSMILAYVDLFGSGAGNEAQWIRLLIQCLIPRAVYLDWHIP TQPPMISSTNDQEREGRELPNRNSDDLCAFSGCHRFGTNVMSFLHIKSSSAVRTPDGG ALSLSLERASSSKSPESTGFTVPSSESQPDDDLSARVPLGRSLANVLNTDLDRIESST VREVEELDDSTGEEYKPRKWTRVSSRAGGLNILSPTLSSDFNYRTPAMNNCRCRRGPN PYFRLRCEPTPSNNIDTTLGIQQRTEKCAYHIVAENYGSEHFTSGRSSSGLALTANSH ALPRPA CC84DRAFT_1154002 MRLLHFNALGKLVLTDFRGKTIPPYAILSHRWSDSEILFEDIAS GAYKDRQEGYRKLEFCAKQATQDSLQFFWIDTCCINRWDLRERSKAINSMFQWYKNST RCYVFLSDVSLSTATDSSQRSDWEAAFRESVWFTRGWTLQELIAPISVEFFTYEGQRI GDKASLDELLYEITSIPLEALRNCPLDHFPISERERWAKNRITKEEEDIVYCLLGVLG ISMPTAYGEKKDSARSRLKAELEAADNVPSIIPFSRNPRFVGRESQLAKLEAELFSNE QRTTTLAIHGPGGTGKSQLALEVAHRTRQNNKNCSVFWMDASDKDSLNQSYVSVAQKL SIPGWDDEQADMKQIIKDCVAKMSERQCLIIFDNTEDLTLRSGGSSTTERADLADCLP KSRLCSAIFTTTNSDTARALASQNVTALGELTLDTAQRMLQSRLARVLSNTEQKEADL LLGELSYLPLAIVQAAACINASGMTVQTYRAQLDKRKEEAIKQSSESSEDKLQSSGIK DPIAATLFISVEQIDHENALAVDHLSFAACLDRKDISLDLLQAVSPQAREDAIKVLDR YALVTRRPAESALDIHRLVHQALHKRLQAQGRFQQWTKRTITQLLWVFPTSDHNNRSK WRRLLPHALYALSHSRIDDDEESLILSVKCAMTLHSDGRYEEAEELEVQVMQMRKRRV LGEEHPSTLTSINSLAFTLQSQARHEEALALMEICFHHRQQVLGEQHPHTQLSLDALK SWRADHLNNVS CC84DRAFT_1179885 MGKLIKNHWARLIVLTAAAYQIAAAIEAFFWPKIFWDFLTKNLD GAVKPVPALQIINIIMGVIGLAWEWPLGFLAGSGLHRSIEARLIVYPLSSLVALLIYQ GTNAGLYYIIGMMAYFWAYSEGEIVCPEPWTLPKRGATRRTNV CC84DRAFT_242945 MRSGRWRTTRRRASTERPHAAGAAWQHLHVTPQCSRAVPREPLL ALSGCDAGCGGGPVLVAAGERAGAAPARGLAAARGQRIPARPPPAHKGSLHLRLPCPP HPSS CC84DRAFT_243006 MAGGGSVWRGFFTPQKLAFNFFFWGFHWAIFGIGWWKQAIEPRL APLNALQFSVWSSRGAGLVLSVDTMVIVMPMCRNLLRMIRPKVRWLPLDESMWFHRQV AYAMVFFTIIHTSAHYVNFFNVERTQVRPEIALQIHYTQAGGVTGHLMLLMMLLIYTT AHHRIRQQSFETFWYTHHLFIPFLLGMYTHATSCFVRDTAAPFSPFDHEGFWTHCIGY EGWRWELVGGGLYLMDRLYREIRCRRQTQIVKVVRHPYDAVEIQFTKPSMKYKPGQWL FLNCPEVSYHQWHPFTITSCPQDPYISVHVRQVGDFTRALADALGAGMSQSKLYDDLD PMGMYEIALQHGQKMPALRIDGPYGAPAEDVFENEIAVLIGTGIGVTPWASILKSIYH MRLSPNPPKRLRRVEFIWVCKDTSSFEWFQTLLSSLEAQSMGGNETDQFLRIHTYLTQ KIDSNTAQNIVLNSVGTDKDPLTELKSRTNFGRPDFQRLLCGMRDGIIDRTYIGGLES TLRTDVGVYFCGPNVAARDIKRACKAARCQEVNFKFWKEHF CC84DRAFT_1154011 MYIPRLSSQLLLAAGLASAKWIVPGARWRDTAGNLVNAHAGCVT VDEESGKFFLFGEYKVQGQVEGGGVAVYSSDDLATWESHGFALKPVEGHPYLDPKMII QRPKVVYSDATEKYHMFWHADNSTYGLLLQGFAQADNITGPYSFVDATSPLGNWSQDF GVFTDYKDGRSYALYSNGDRKEARDVYLTSYNSNKTALDTVVHRFDKYDLEAPTIIQT ESSYFALMSHKTGYRPNNVVAFRADKLSGPWSQPFIVAPLNTRTYNSQSGFALRIKGR KRTTHLYLGDQWDSNSLWESRYIWLPVAIDERKKSLSVEWHDIYDLDVKTGEYRAIQG TTYQGAAASTAGAAWKQEAAFADTGSILTGIYGNDSTVTFSNVQGAGAKDGQWVSFYY QNTDDMGFGDQPGGSPDRIGGAWQLRRISSVVVNGDTQKVETLFQRDTHKGIVLSTPL KLKLRKGKNEIRIGGLSNGFDVRGADIEKIVVYPPEG CC84DRAFT_243126 MAAIQLNFSLRTSSGCKTVHLLGSWDNYAGQLPLSKDPKKSGGW LGCFKFQGATLKQGQRYWYYYIIDGYHVSHDPAKDHTTEPTTGRKLNILDIPGKTSKT SSSSHSSSRSSGTSREQRRVSTQSIPKGRSISPSKIVAPKPNRPYQTREVIQTQYDKA TLDALSSRFARHTISPASSATSISEDDSEFDSDDSGSDVPSLTSRSTNSSSPSSISSG SSCCTCERYGITRSGDRVKLDCGGARCGYSDDSSECSSEDEYVYEQKTTRRQGVVIRS CC84DRAFT_243251 MAYPAIVCSCHGLATTVARMAGLLEPLSLRIFCELIMLQSRASQ VRYRAHLVDLHFAAHHQAYLHATSRSR CC84DRAFT_1262865 MEGTFNLKTASEYINNLLLARGLLRDGKKIQFAHPSRGEGGKEV TMAQIINLVHDLILKRDRDQEQRETVAGTLRTLRSEATRQTLAIEKLQTKNADLTRQV SLAQSQERSARAALRAAESSARSLREEMLRLKTTVQQVRTSCANDVRKREVQIQRLKG HLTTQQRGNKTGLVGASITINPGLTGAGGMTASMRDDAPDVDDPGYSLKQETTEFLTQ LSQSLSDENDNLIGLVRTAIGTLKELQGMPDERNEGEDLSAIREEDENTQQGMLHALP TSYEALATELDTLLENLKHLLTNPNFVPLEEVESREEEIIRLRAGWEKMETRLREAFM LMETWRRRMASGGDTINLDELKLGLGLGMGLESVNNEDMSMIAEDEEELEDEEGTSSV FDEEVDGAEDADELQDPPSPDVDPLPEKKAASEIFSLKLQPNPAALKETNGNPKSPAR SPRKVAFSASIPNTPSSQVEIENTEASGLELVGMEKPKRPTSRSEERVSRPTSQDERT PRQVRCDKMVSSICKMASKVTFSSSPSLSPLGSIIDLAPNEDWQANKRLSSPHAHPDE PSPKLTVQDKLKVAQAEAEAAAIAEGSKARTAKKEKDDAAAVGVERERERRQRRPSRS SPMKTRIGGRPKRRKSTLTPEELDNLLGV CC84DRAFT_1154018 MADDKASVRSDAGQLRIQYLTFYNIVFAALWAAVGITALIFVCL GSSKAEIFREVEPLARWTQTLTLIEIMHAAVGIVKSPVSTTAIQVLTRCIQVWMIWWC FPFSTASSHAFLILVLAWAAADAIRYLYLALNMHGKAPKYLVWLRYTMFYPLYPIGIG AEWWLMYRSVGPVGRVNAALPYMWYFLLALYVPGAYTMFTYMVKQRKKVFGRRAKMG CC84DRAFT_1190056 MAFEDLQEKITPNQPSREASPARGASVPQDAAIPSERMSLREWQ ASQKIDRDAQVKITKLSHMRYQHPDLAEITTFLRDFGMSVAQKAEGKRWFKGYGTDQY VYYAQEGEKRFLGGAFEVESYAELEKATRIPGASAIQDLSDAPGGGHMVTIIDPGNFP INLLYGQTPKPAGPMPSILQTNYEVQKPRVATFQRFSPGPAAVHKLGHYGLCVTNFPE QLAFYTGYFNLVPTDFLYVDDGEAGKKDVAAFLHIDVGPAYTDHHTFFMSSNPTAHVH HCSFEVHDFDSQNLGHEWLAKKGYKSVWGVGRHILGSQLFDYWWDTSGNMVEHYADGD LVNEETPVGWGEAGHESLAVWGPECPKWFLD CC84DRAFT_1168000 MTIVNPTGEIDAPFPRHSKKRKSSGYAPSSKYAHLSPLVDILEP NLICCFVGTNPGVQTATAGHAYAHPSNHFWKLLHSSGLTDRRLPPTADRSLPARYCMG NTNIVSRPSKNAAELSKEEMVAGAGDLEAKFREFRPEAVCIVGKGIWEAIWKYKMGRA IRKEEFRYGWQDEEMNMGKVDGKEDWGGSKVFVTTSTSGLAANLKPAEKEAIWKPFGE WVQKRREERGFMPRSEEGNAQQQ CC84DRAFT_1221338 MDFLKKKMKELMDDDDKPKEKSSDKPSESHGDSTGHDTRAADPH AGGYPPQQHQQYPQQGYPPQQGGYPQQHPQQGGYPPQQGGYPQQGYPQQQPYGQQGYG SPAPQGPPAPYGAPPPMPPGWVAQFDQNSQKWYYIDQATGRSQWEPPAHAPPAQGPYA PPPSGPPGAPYGSGAHDERALFGASHDQSHGGYPSGHGDPYAPGGGHGDPYGEKGKDK DKKKDKGHSTAMLAAAGVGGIAAGAWIGHELTENSDDETKVVQNNYYGAAPAAAAAPV AAAPVAAPPAEPPAFQEPPPVPTHDADGDSISSSDRESLEEKRQELIEAQEEYAEELE EAYDD CC84DRAFT_1168003 MVRCENPIHGTGSSSACGLIVSHSVPPGRTLRSNSAPRPHRPGR MHAPLSTPRLPLHEPLLSHLHFQREPSKLTAVITIHAVIRLRDNTHTLSLPFSRSKGQ SQYHVDFISNPCQHENFNPAVHTISSH CC84DRAFT_1101746 MHPPPRSIFTGSAAAPHTPNPETRRLSTPEYRESLAPAAEASKP STPLGHPSQQQHQQQQQHQQQHQHQQHQHQHQHQHQHQPLPAEPTPTLETPWTQRTPV ARSDPLCTPSSAGPSRGARRTSVAAQTPPSLPGTKRPPPLDHLPASPHAPEPASEPLL KRRRVTRTRHSLDHLSFGRPANLYDNGQPPSPLFFSHSRRSRPHLPARFSSSEAAARM LSKTREDSGIKTVTLARGTFAGLSPPGPTSAASGRSSERSSLPRTASPDARDRNDPLR LLGSVGIVELLEQDTRPTFIVDIGHAANYEPDAPGLQILFANSALRASSATWELVAGN LSSLAGDDPTAHASTQFRGWLLSTVIQGESLDVNPSPVEHGGIVWSCYTLRKRLRVVS GAVPGPPASSIPSTSASLDYAAPTPSKEPTPATGMDPSPAPPTEPQDYFGTAPVLAAG EDSDQELHLALSPEHVPKSEPIHAGKPSIVPFQRPDKLSLPNFSDSPSFTNECVLRAH AAGDIDAFHRPTNPSPPSEHDVGFFDWTRLSLSPSLPRHIQFARSIDWASTPLGPIEF WSNDLRAMCNLIMASPHPAAMYWGEELVAIYNEAYIGLAGQKHPTLMGQSYKIAWAEI WDEVKDVFANARITGQATMKDDDCLFMKRSGFLEETYFSWSIIPMVGEDGTVTGLYNP AFEKTRRKIAERRMLTLREVGERTATARDVKAFWEQVLSALTDNEFDTPFVLLYSVSD DIDSDSSSLHSSSLLGSKQCYLEGSLGVPSGHTAAPEQIDLKEGTEGFGPVLREVMKT DKPVVLSIGSGDLPYEMLDGLEWRGFGDPCRDVVICPIHPTTGESILGFLVMGINPRR PYDDDYNLFVQLLSRQLATSLASVVLFEEEIRRGQKAAKLAAEDRFHLSEQLAARTQE ARDSETRFTRMAEYSPAGLFIADHVGRITYCNDTWYEISRVPKDPEKTNNWMDYVKNE DQGLIHEKWKRLVENATAINIEFRFKTPWQDRNGNKGDTWVLFSAFPEKYEDGMLKSV FGSITNISSQKWAEGFQKRKMEEAVELKRQQENFIDITSHEMRNPLSAILQCADEIST ILSDFRTSGVQQIPPQLLTDSIDAAQTIALCAQHQKRIVDDVLTLSKLDSAMLMVTPV DAQPLQVVQRALKMFEGEVQTAGIQMQFVLSDSYKELNVDWVKLDPSRVLQVLINLTT NAIKFTTTESSRTIKVLLSASRQPPSASPAPLVSFFPSRSKRVEQTLGPDWGNGEEIY LEFAVQDTGRGLNPEEKKLLFQRFSQASPRTHVQYGGSGLGLFISRELTELQGGEIGV ASEAGKGSTFAFYVKCRKTTEPKETIEMPITLGRKISNAKERPHVHPPPPRIKDFAAV THGDTVNNKNKAQSPGLKVLIVEDNLVNQKVLRRQLENSGIKTYVANHGGEAIEKLKQ SRYWKGHGADAVDLGIVLMDKEMPVMDGLQCTSKIREFEGQGLLNGHVPIIAVTANAR SEQIATLLEAGMDDVVSKPFRIAELIPKIEELALKHADSKSVEGMVVPSRPNTPPYAI CC84DRAFT_1168005 MVYNWGDKEAECYQLYVRERRSLREVAAYWKQRGFTPSKRAFQT QFKRWCFPSKQSPAYKNPMLIARVKELWEKNTTQKDMLDVLQVEGFQISDRELVRLRS RFGWFLRENKGRKGDHDESTLQKRKGSRSVRMMADDMIDQLAAAILAGASGEEDSDEE PGEREKRENEGSPARPEVTLPLPSDPLDSAEALRRQLRQQQLQTESDEKWRARKRRRR TRGWAGLPADAPGEPPRFPSETTLDESKAYLGLDNRLYAQIRDDFQAICRSESIFKKT VAGPEKWAELLQRLVRESPHLENVFHEQAETLQQIDALWKPKNYKTLSLDVICQDVTK RLRHLETRMTLSEAKNALNLNPTQTREVKHAFHKILKEDRFASKFEAGGERWNQLKQR WVDRSEPLKTVITPYDGGKAEHAQRLRAVEVLARDVMKRLRAEITRVEKEQAGEASRA AHRGPGPGPAPPSAPVQNKAIRNTVAGNTSLRLRRPANFDSQQNGHDLGHTAVPQIEL DADLQIDPSLLLAASDAILPRPPALHHPTHDHHGDIPSAYAHTPTNNTFPALPAPTPT PSPLLIYFRLHPHSVTPLPHKTVWLSIVMTPTLEEVRRLAVREHPGSEVVALEGVVGT NGGDGEVCVRIDNDAELGAYLGHASAGAGASGGGQGKAVFVVRLGVRGQS CC84DRAFT_1229284 MRYDGVGTLMQQLNIHNTPAIQKAARASLPAGRCLRRNTQYTPP TKGFVSTLPVSWVPYAQLMRIERPAGLYAFYVPYILGLAFAACLSKETIQPSILLKHS GLLLVGCVILRGASCTWNDNMDQEYDRAVARCRNRPIARRAVSTAQGHIFTLALYLAG AGLVSIWPKECAYDAIVIAILFTIYPFGKRFTNYPQFILGFPFAAGIVFSCHLVGVDP FAGGVMGLSTAFLMAANVSWTMIYDTIYAHQDLKDDLKAGVKSMAVRFKDSTKTLTSV LAILQVLLLVKVGADLELSSLYYIITCAGTAVALAAMISLVDLEVPASCAWWFKMDFW FAGGTMIGGFLAQYLQRVFL CC84DRAFT_244995 MAQEQSGSLPQFYGQTMADGTMVESPQFPLGSQGSRDALLRLPI QPNAAHVSHQDQPLMSPISALPNSPNAMSMGPAPVDVGSRNRARPMGDSSRWMVPHVS DREREEEYHSRGHRPGRGSVSHRSAYVEDYSDEDDRPRAYRRPARRHARPPPAHGRRY SVEQGGRSSMDGGRASYEYGYESDTPTKPRIHASYGFGSEGEDNGYRRPKAAYGDGGR RGPPRRPASTEEVMRLPWTMWMNSNAKNHFVAFVGEFVGTTMFLFFAFSGTQVANVNS AGSSNTTTGADTGFSPQVLQYISLVFGFSLMVNVWIFFRISGGLFNPAVTFAMLLTKA MSPVRFFLLLVAQLAGSIFSSFLVSVMFPTPLNVRTTLSADTSLVRGVFIEAVLTAEL VFTIFMLAKEKHKATFIAPVGIGLALFVAELVGVYYTGGSLNPARSFGPCVVTGIFDR EHWIYWVGPAAGAVLAVVFYNFIKMLEYEMANPDQDADDQDIKEKQTNDE CC84DRAFT_244699 MSRPTLHFLRPYLKRGLQPAVKPELASAYCAFQRRSAQTIAADA YTGRHDVEKQKRLEQLRKMKPLGEYHPRLVNHTNGEHMSLKDFHAQYEGMTGLRGDRV SVFGRVRSVRMLGSKLMFLDIERDSQVLQIMIEVKKLDNYDEAVEAFKAFRKVVGRGD WVSFHGQPIRTSTGQLSLLALHVPSIVAPCLHHLPEKVESAETLARNPHLHQLTNDEP GDILRLRALIYDSIRQHLVHSGFLEVETPIFDVNAGGAIARPFETIANELSNTPVRLR IAPELNLKRLIVGGQDRIFEIGRVFRNEGVDNTHNPEFSTCEFYEVGATLPSLIDRTE KLVCGLKDAVEMLRPSYFPSLHKPEGVDFKAPFAQLPFIPTIEQACGRALPDLSSSTA AQDLIDMFNDLSITVPPNPTLPRLLDALAEEYIEPLCINPTFITHHPEALSPLSKSYI CPATNQRVASRVELFINSREYVNAYEEENSPFEQRRKFMQQLEFHSELEQGGREVDES YLEVLEWGMPPTGGWGCGLDRLVMLFANKKRIADVLPFGTLRNVVSIAKNK CC84DRAFT_1221344 MINTTSLNPVDRWLREKVTKFMSHRVSDKNKELWVESLTKVLLG LSDQQLVTCLAVFVVAIVKMVIGSITAGVFWDEYLARMGKLKEYDEEHDGYENSSRWS SDLGPYRASQ CC84DRAFT_245611 MRESDLSFLERGTLCKSSPKLNTARRNEPLTRNTKLLRLEHLSC PPAIMIPKMATCETAENKYITIDSTKFAHCRFGTRTGVPLCLHIQFRGARDHWDPTFI NPLAATRPILLLDNSGVGRSEGAIPRPLPAGHRSSLTY CC84DRAFT_1221345 MPGVYEGTIANGPDDLLLPTKNSIVMHRKLETANARLHLYTDSG HGFSYQYAAHFSQLFNAFLDDASLTPVTSCL CC84DRAFT_245617 MADAHKIILAACSSGDIYTLKTLFQEFHIGPDHPQSVYSLNQNL PEEEQLPVGERMFDHAIRGEQPGVIAFLSDHFSHYSLGGYSMQAAIDTCNTTVLRAVC KCDPASTSAELGDDSTINALGYAASKKNGAELVKVLLDGGADPNTTPPFKMPASWNLS AAILADLPVSTFEQFFDAGYQGNDPWAVKFAVEKKRADILEVLFARGKEFADAQFPAE KEMIAIANKDNDVTMVAAIKRGYTVLSKRRPERKSGLIASFMGKVRSRSRS CC84DRAFT_245659 MCSAAGCHLHQQPDAPCNASNLEPIQTVGPSSGTNSTAVLISET TSDTRLVLRWRWYQLFRSKSISNFPLAFSDKAGFSRVNGASQWKLQTTQEKSNRRCEG AASWAAIVSSSQRGLDIYVNASTGSCIESFGWFCRSQSLVESTGGPHSELGQSRK CC84DRAFT_1168013 MFPFSSWMFPNWSWFCIFLAAFLFRYIRLWVNLAAVWTYTPIHP VDEPSITSQDVTVIIPTVAEDIQQLKETVQTAYRLEPYELLLVTPDSRVKRLYQMVEE MGCPKKIQVLSVSQANKRRQLSRAIPEVQTKITLLLDDDVWLPEKFNKWMLAPFEDPR VGGVGTNQQLRRRDRNNIWEFLGAIYLVRRTFDCTACNWLDGGLPCLSGRAVAYRSEI LQDPNFTYGFTHETWGSDHFLNADDDNFITRWLFSHDWKIQLQNHRECEVETTLEHDP KYLRQCLRWVRSNWRSNLTSVSELRMWLNYPWSMYAVFQTTITQWAFLYDCTLVALFY CAMKEAGYYTDAGEDRLYSEYQRTRMLLWALFVGHMVFSKTIKLVPHLLRNPGDVRFV PVSILFGYFHNLIKLYGCITVTETTWGTREGADTDDNIRMLPIPPMASITPPLSPSPH GRILRERGSLIPSCVS CC84DRAFT_1229292 MLPLSTPGQLGSRCTAGVDDLSPLGMHGPPIARTISRVARTLDP DWHLASWTPARPSWGWGSEHRAHISCERLHGGTASSRGTQARRTFANAEGATRGTCSR VPHAPLAQAVGATLTTNTTAQHICGPAGPSYRPSAAPRTGNPAEPRCRDAAPSCLLAQ AGRCGGCVLSPPPASLVLMDPFHPGLTERRGPFVQTLCPSSPGSRRPATRCPRLHRSD TEPSADPSASRHSTGVQAPGTLAP CC84DRAFT_1154047 MPPTILDSHIHLWPSTATSSQDHAWMTPGLFLARRHGVHDYAAA TAAAPVQPAGFVYVETDRYLPSASLSLDAGAGDEEVEEKLSAWARAPLEELAFLRRVV EGDVQEGDGASEGDGEQMKGLVIWAPFHVQERVFGTYLRVARERLGEKAWARVVGFRY LLQGRTADEVKSIIGNEWFVSNVKLACEKGERRLAFDVGVDAHRDGIEALEAVAALIE GVEEGVNFVLNHLCKPDLSTPTWPFFPRWQACIGRLSSHPNVYMKLSGALNEFAPSAT PSSVETVLDTLRPYLDYVLQSFGAHRVLFGSDWPVCNVGGPKGEVGNWGFWVEVVERY WEEKELSEKDIEWVWAKSAAAAYGIKELR CC84DRAFT_1168015 MSNPAQLLKGKSTAITGGVTGIGRAIALAYLAHGANIAVNHFGD AKSADQYQTLLEEAASILGSKEEAEKRLAEVSGDVGNPETGKRLVAEVVKRWGRLDVV VSNAGICEFKEFLDITPQLWATTHDANLTGAFNTIHAAATQMSTQSPPGGSVIGISSI SALVGGAQQAHYTPTKAGVLSLIQSAACALGKHNIRCNALLPGTIKTQLNEKDLADDE KRKYMEGRIPLGRTGEPRDLAGPAVFLASDELSGYVTGAQLLVDGGLFVNLQ CC84DRAFT_1168016 MNVFKLLSRSSKAAHSAPAQKLPSSGAVANPQLFGHDEPAAPAP ETKKSKKRKRGKDAEDASAPLPAELDFFGLSKKDDAESTAQAAEKKQKRTSQTQPDAE FNGAHEEQETEPYDADESKRILRSHKLKVTVLEDFAPPEEEVQSEKKKKKQKKEKKVV EKKKDSKKQLFPQPLTSFSQLRSQYGISRRLAENLNEQAYTLPTEVQLGTLPLLLRSR KASAEGQNGGANASGAEYGGDIDLLSVAPTGSGKTIAFLIPIIDALLSEKAEEFESED GPRAIIIAPTRELASQIVNEARKLSKGTGLKATLMKKGMEVVERPEEEDVKDEADAAD DSEQDDESVSDSADEGKAVQPKKRKRRRADKVKAAILVTTPAALLNALKQNDGSVAEL PSVRHLVLDEADVLLDPLFREQTLSIWNACVNPLLRIGLWSATMGSNIESLAISTLNT RWTSLSSSNAILPSRRPLVRLVVGLKDTAIPNIAHQLTYAATEQGKLLGLRQLLHPTA LPTNSSGPSLRPPFLVFTQTIPRAIALHSELLYDIPPEAGGSSRIAVLHADLSGTARE SIMTKFRRGDIWVLITTDLLARGVDFRGLNGVVNYDVPNSAAAYVHRVGRTGRAGRAG GVAVTLYTQDDIPYVKLIANVIRAAEKLRGVPEEEQSVKQWLLDALPTPTKREKQELK KKGVEARRKAKTEDGKNTARISTKSGYERRIENKKRGAVKGSKQRAGQEMRKVDDVSE SEFEGF CC84DRAFT_1168018 MSLAASRQVLRQSTFAVRRAGLRNASTTSEAAGAAKEKAAEASS KASEGLTRVTSSASAAAAKVGSAATNAANKVGGRTGRLIGGVQALIPRVIYYSRVGLE LGKLVAHQRGMAPPNMATIQQYLQPVTNALKNPSSLLNVSKAAESSSAQPVNMLNRVR GASQQQWLAAGIIAAEVVGFFSVGEIIGRFKLVGYRSKEAHH CC84DRAFT_245768 MEMLRYFDNWLHGNVEIEEQVQDEPVANEPPMDIDDGSAEEELD EKQRGKQDQERNWAPFMAITDEVFSYLVHLHMEMQGKVSITPFRVEHRLHGSYNYAVI LGDGTSKLVVKIPVVGTKGHWDPGQASIMRSEAHTLMYIKHKLPRLPCPELLAKDETF DNAIGGPYLMQSFLEGMPPQYFWYEGLTVHDGDLVDREDNNNPPEELETKRITFPSSL ATDMAELRHLEFDLIGMLYLEDETNPGSFYIGPHYDFVGILKRVYYKRQVSKSSAAFY IRQHKRQCGEDTEGADFIRVEVLKATPFVASKKRQTEDQETLPSCPLCRLCNCPSVPS GGLQMVLLVP CC84DRAFT_245758 MSSWSCSYSSVTMYLFVFSPFQTVGRRELRYRPRLGCASTDDVS RPANALRDYQYTSQLPPLPSPFAARQRTEIESDFQLLANPHRQQMNTAAVGDVDTNFG DGNVPYNNEYLSLNRKPTQNPGPVREFSRKHLPRPLSWTEEEKSSRRAGYSRGLPPEM YSPSLNRMASF CC84DRAFT_1101465 MLEDVERTPTKTSYDQDYESEFTEQEQKKIIHRIDRRLVVTVGV LYCISLMDRTNLSAASVAGMTRELKLALPFPAGSTVSRYSVVTLVFFTTYIFFQPPST VLIRKLGPRLHLSVITTAWGAVMIGMGFVKNWQDLAGLRVVLGILEAGFFPSCVYLLS TWYTRFDVGKRYSVFYMLGSLASACAGILAYGLMQLGGKPSSCAAPPCPGHAGLTGWR WIFIIEGALTCALGLGSYFALVDFPDMAHKSWKFLNEREAKFIIARVDRDRGDAKPQP FSASKFFRAGLDIKIWGYAMIFFNTTSVTYALAYFLPIILNEKMKFSVAASQCLVAPP YALAAIVMYATGWAGDKYRIRGPIIIFNMLLCLIGLPIMGFHKKASVQYFGVFLTTAG ANSNIPAAMSYQANNIRGQWKRAFCSATLVGFGGIGGIAGGLVFRAQDAPNYRPGIYA CIACCILSIIIVGLLTLKFQRSNSKAERGDVELEYDDEDDQRGFRYTI CC84DRAFT_1208930 MAALPQPSRGRLAAQPTGHREDKGSGGDGKANLGTKTGGLGACP PPQNNNICGAPLSLCTNQHPLHAQCASWALSITSPSRPAWLNALGRGDKEVAADRHTS SSLAAGARSCHRTGQHHRRAGIRGVRSTKAGHALPSPRSVWSLSRVGHTADPNSHG CC84DRAFT_1221354 MVTSTRIEEMKNPSIVLYKAGHAKVQDAPVPEIVDPHDVLVRIA FVGVCGSDVHFYRHGGISRMVDPAVGITMGHEASGVISAIGSSVTRVRPGDRVAIEPG QPCRFCRPCKSGSYHLCKNMRFAADPGPPATQGTLSKYYRIAEDFVYKVPESMSLEET VLVEPTSVAVHAVRLGDVRPGETVVVMGSGTIGLLVGNVARQFGAHRVVLVDVLPRKL EFAKGFLECETFLSDREHSAEQNAAALLEALGLEEIDTVIECSGVSVSIETGIYVLRG GGKYVQTGMGRPKMEFPMTVMSEKELVVRGCFRYNTGDYDLAVSMIAKGLINVKPMIS STSEFEDATGAWEKTARGEGIKNLIRGTDWKSHKKIGAMQAAGNNIGPSLDETFADAT NSYKHKHTVASGPNIDHAETYRQENIKNLEKHTPTPSPISTKVPTCTIARREMPSIYS STLSKSLRCRQFLIPQHQQPHGATSHTPKAQKNI CC84DRAFT_245852 MADDAPVEDAQITFNVKAANDKKHVLTLPAATTVADLKTKLSSS EYADIPPERQRLIYSGRVLKDHDTLASTKIKDGHTVHLVKGAASNARQNPAATGSSTG GSTPAAAQVPTNIAAGTGNNPLAGLTGARYAGFHGLPGMDTFGPDGGMGGTDPNQLLQ MMEDPNFLQQMNEAMDNPAVIEMMQNSPMVRNNPMVAEMLRNPELRRAMFNPEMMRMQ LQMQRAMNQNGGGGSFPMPGATNTTPQNSTGAQDQSSNAASLYENQLRQLNEMGFYEF ERNVTALRRSGGNVQAAIEFLLGGGS CC84DRAFT_1154061 MKGIQIKEYVNGPDDLKVTSLPTPTPNPNEYLIAIHASATNFFD LLQIRGKYQHQPPFPWVAGSEFSGTIVAAPTSSASKYKVGDKVFGATQGGYATHVACP EERLRPVPKGWSFQDAAGLFVTAPTSYAGLVVRAGIKEGDWVLVHAAAGGVGLAAVQI AKAFGAKVIATAGTQHKLDVAKSFGADHAINYKQKDWPEQVKKLTPKGRGVDIVYDPV GLIAQSMKCTAWNGRLLVVGFAAGDIEKLATNRVLLKNVSVVGLHWGMYAVNEPEMVE KVWDGLFKLMDEGKYKGTAFTDKEYVGLESVPAALKALGGRDSWGKVVVKVPQEGQSK I CC84DRAFT_1221357 MKIGDQRPPARQMSPSISTQHSAPRNHHATEGHPHGEDVSAPRR TSPAPAGSQDATAQPEDHGFPFVPDEEPRRYIPDSPTAIMRHINTAYPPIIHYTLHIG MLVKDLHEKIGECHAWCEAAVEAGMGGEPAVRETLAALERLRFVVPRGVGANWIGVER V CC84DRAFT_1190071 MFRRGSYAQVASGNSGSSQPNTVPARSGQFSHLANSGSFPLATS SYSHSRHARSIDADGHHGSMSNSLSRSGPVPSYSSQMGHMGGYSSLQDAGAPAFFVPS YLRGSRHAEKLEEVHKARVAAYREQRSTHSSNAGSLSTSSSSVNLHKPSHSYRGLAHE IIERTPAFIEEPVAPWPTRWDEKDKFAQMEVDEDGRLARFASASKSGHDEAAAIRADF PMPRQCGIYYYEVTVVSKGKDGLIGVGFSEAKVPLNRIPGWEPGSFAYHGDDGLFFTS TTSGKPFGPKFGTLDVIGCGINFRTNTAFFTKNGQYIGAPFKDLKPNVQYYPSIGMKK PNEALRANFGQEPFAFDIDSIVQGEKATIQQEIALSKGQPREHGSTDETQFIQSLIGQ YLAHDGYVETAKAFAEEVIEEAQALAADGHVDVPYLQTEEDIDAINRQRIRAAILDGD IDKALKHTTAYYPSVLRENENIYFKLRCRKFIEMIRQCSELNAQVLPHIIPTPPSKRS TASTLNNRNSTATDEYDFEMELDEQLGVHNGAPWGDEDDEDEDDLEAKQKKLQDSTTA MIEYGMELKQEFSNDQRREVKRALEDTFALIAYENVRESSLAPLLETSGRVPVAEELN SAILVSLGKSSSAALERLVQQTEALVSELADDGGPGAFINVRKDFLQ CC84DRAFT_1168024 MSSTKTKSLLVRLPLAPEALAKFPHEPSSKSSDKLSSSPNTPAQ IVEPTPLDTPADAATPMDGVDNGLLAPPATDAKGKKKAGPRVSAGTKRSAAAVDGTPK PRGKPGPKKKQRMGDMINDPNNKAPFAATAPAQKLGPKANMGTINANLRALDRSGKPC RKWGKKSFQIRSFTGVVWQAPTWRAPPKSSAFSEDVKSDTTGSSDSKIKDESSAVSDR SGLNGDAGTPVPQARLASSPTPLAGAS CC84DRAFT_246023 MQGWPQLPATLGVRNTRAVWISLGGAMLSCQRAMASVCTTWSSM PACRPDQHTWCAPGTRRKGLAVADGLAMRREKLADGPRTQALAHEGRAWGVSPREEAV RGASGAGCDQSAGCSTKTRSLAGTKFH CC84DRAFT_1179915 MAMDPTRSPALSARSLSISSTSGPTASNHRRSGSRTEYSALTSH GMPKEPSLLSPNSLVTPHHRFSGLPPSPRPSYSEPRSPFPPMSPMPALSPSTPGPMSP PMSAKSFGTLIDSEPSTPAYSPRQGSNWDGSTLVLLPHVRAGDATPREPAWDMLVPLQ KPPKKRFSRHKSKSLISKEMLPTASPSSHPAVPIQEEPQTENHAPVEEEREKKEEEQP PQDSLGKLTSRVKNLLKRKNPTEKKERKRNYYEIERVETVHWTEL CC84DRAFT_1179916 MRFEGLPSLALFGLATSHAIQVRAPAEAAVAATAGDDDKGKNWR PHTKEPHFFNLRVNDRCDPFNKPKPEVMKSQCPFDSYAIRLEKGVLVATPYNKWWDPK LPTFFVDDDTQLYTVSKDPLQLYVDSVTGAVKYTKVGWLPPSAISTGFYHTGNNPIQL VDPSPSYLTWPSTYGITKGGNFALCPLGSTGQYQVFVNNWNFDQQGVEKRRCKYYALA ALNANPWKKEDSKLKARDDSSISDYPTSSGGDDSWGSDDDSWSSDDDSAYSSWGKSDK DDGYESKDDGYTTEKDGYETDPTWGGEEYETDPTWGGEDYETEVTWGGEDY CC84DRAFT_1229318 MHSAYAYLRVAALDMANPTNAHNDSTPALNTHTDSTKGALGHSR GKSLANCGFNVDYDTKEVERLAQEIRDGTVAEILLELFVQWIFQDDRNYVEAKKYIRE LAVDQDYPLSRIANESVRKLVEDCYELRPEYKAPITNERENTVGLLEILQESAIKPST PKNKLRSRVSLPSSLRRPSLPLPTHSPSWKEESPIKEAEEESIMFTSHLISPISSPLT PREAGSFPKLKKMLSVAASISSPSVVTAPRSRSQQYPPDTPDRPAPLTVVKSKTHSTL KSGTVPSVSTPSRTRWVVPLPPDGDSPPPSVPAIPSSSQFTPSSKPKPKPKYSLIPPR YARQPRHENPSSSQDDDYATIVGSLTTAATKSPDSPAPTVTNPLTSPAASSGYSDRDE PKRFSVMGDDGVTDSRHDTPSVDDRTPKAPRRASEYTSTSDDWYTIAHDVSELEVTAR PTSLYDSFGFLKEELYPQEEGDPVSTTIESTPDTLTQSSPRPPRVDSLSKMPRIPRLS KVPPEKRAAAKLARQEAIDGNEIWMRTFDDLPLRRFVSIFEVGSPRTRAPHPPTHTPA PILVSEELEEDDDDVAVEERERLFSL CC84DRAFT_1208938 MSVLLETSLGDITIDLLVDDAPKCCENFLKLCKLKYYNFSPVHS VQPNFSFQTGDPIGPGSNDSDGGRSIWGVLDPSDPAKKTFKPEFQHKLKHTEMGTVSM ATAPAPDDPDERFAGSQFIVTLGTDIDYLDKKAAIFGQVVEGFDVLEKINAAFIDDKG RPLKDIRILHTVVLDDPYDDPKGLVEPPESPIPSAAQLATVRVAHDETLEEETDPEAL EKVRREREARAQALTLEMVGDLPFAEVAPPENVLFVCKLNPVTQDEDLELIFSRFGKI LSCEIIRDKNSGDSLQYAFIEYSDQKECEQAYLKMDGVLIDDHRIHVDFSQSVSKIAD DWRDNANMKRRRAAGGGFGGIDNLQRKKQYRGDHGREIVSDSVVNNLVIDREAPSNGI KDRPRGPDSRQNGSRDTYEPRKDDGRRRDRREQSYHDDRWKRDRSRSPRRERRDRDGD GRYEDRARHEDRRRDRSSDRHRDSRRDRSRDRHRRDDRGDRRR CC84DRAFT_1198950 MADAYEYDVEEEELYEDDDGIASSDCWAVITSFFDTKGLVSQQL DSYDEFTRNTIQDIVSENGNVILDQNTNSYDDDHNPIIKRRYQISFGRVFLARPTHTE GDGTTIQLYPHEARLRNLTYSSAMMAHIDNKIMVAREVTDVDDDDEGVEQFGGSSIKW EREDLPSSDGHENRVFLGKLPVMLRSELCHLRNQNDEALFGLNECPYDQGGYFVINGS EKVLIAQERSAANIVQVFRKKQGPIPWIAEIRSAVEKGTRLISSFNIKWAENSLHQAR TPGPFAYGMLPYVKSDVPMGIVFRALGVVSDEDILNHICYDKSDTAMMELLKPSIEEA SVVQDKDSALNWLGSRAQGNQQGPKDKRLKFARDIMQREFLPHISQREGQDTRKAFFF GYMLHRLLQCVLGRREEDDRDHFGKKRLDLAGPLIANLFRILFLKLTKDVFRYLQRCV ENNQEFNVQMAIKASILTNGLKYSLATGNWGDQKKAASAKAGVSQVLNRYTYASTLSH LRRTNTPVGRDGKLAKPRQLHNSHWGLVCPAETPEGQACGLVKNLSLMCYVSVGSESA PIIDYMSGRNMELLEEYDPTMNPSATKVFVNGVWVGTHNNPQQLVTNVQELRRNGTLS YEMSLVRDIRDREFKIFTDAGRVMRPLFTVENDSKKPNKDQLIFNRYHLEKLLRDKEV DTSGMNEEDTDAHQYGWKGLLHDGCVEYLDAEEEESAMIVMSPEDLTDWRQVTKEGQI EPGKPDYTLEEHKPLRLAPLKPPVNRTVNAYTHCEIHPAMILGICASIIPFPDHNQSP RNTYQSAMGKQAMGVALTNYALRMETMMNVLYYPQKPLATTRSMEYLRFRELPAGQNA IVAIACYSGYNQEDSVIMNQSSIDRGLFRSLFYRAYTEQEKRIGVNVLEQFEKPTRMD TMRMKGGTYDKLDDDGIVAPGVRVSGDDIIIGKTAPIPNDDAKELGQKSANHTKRDVS TPLRSTENGIVDQVLFTTNTEGLRFVKVRTRTTKVPQIGDKFASRHGQKGTIGITYRH EDMPFTRDGLTPDLVINPHAIPSRMTIAHLVECLLSKVGAINAQEGDATPFCGVTVDH VSDLLEKADFQKRGFEIMYNGHTGRKMRAQVFLGPTYYQRLRHMVDDKIHARARGPLQ ILTRQPVEGRARDGGLRFGEMERDCMIAHGAAAFLKERLFEVSDAYRVHVCDICGLMS PIAQIKKGQYECRPCHNKTRISQIQIPYAAKLLFQELMAMNIATRMFTNRSGLSVRD CC84DRAFT_1154077 MTYLHKASNLLLFFSILITPTHAAWANLTLSSYTLLQTPFSSTI AALDAALPNVKVSTVMSDLNHANPASSPKVTHLVPSSAYAWAHTSSYDDQNTEKWYPQ GITTSADAYASGTYEGQRVQLVTWHSDHYDKGKRGARISFVSQGGKAKKYRNVLLVQP KGSDDFEAIGGLHAGGVTWYGNLVYVVDTSGGLRVFDLDHLYRVDDGIKDKVGKQGSG KYAAYGYKYVLPQVRRYNWQPKSGVKDMRFSFVSLDRTTTPDSLLVGEYHPTRTDCRL VRFALDYQTRWLKTSAGGIATAVQAVSHGNTKIQGAASVNGKFFLTQSGGNLLSFSWR GGKRTSKKVFPSVPEDLSYEKGVGLWTLMEEKGKRSVFAVNPSEL CC84DRAFT_1262896 MLHTIALLTALAATATAHCTRPLLKEAVDAYILAQTTGQPAPFT THFDNSTWLGYRENALKLNITTSILATPLPITHTRSFYDTSSCATFTEVVVNSTTTTP YVIGTQLRFTNHKINKVDSIVTKPGDWLFNVTGYAYWTAQENWDTIPEEKRDSQLTIR KAADAYLDLFNNKNVTVPWGTPCVRLEGGLYGDAGPNGTCAVGVPSGVPMTNRRYVID ETMGSVDVLLDFGASGWPDSHLFRVEGGKLRTMCV CC84DRAFT_1100798 METACATCTGLQQHLEKPHQDHESEPPNCVRWVNTTLPHLRASS GGCRSCALLLQGILLHHGRFASIKEDRIRVTAETLHSTTNASQDHLSVELQWNSHEDD CDEMSDHEHEECYPDLKLEFFTDQDGQSSFSAIGRGRHIIQNPLQDTGIKTVNNMIRQ CKGNHSLCRDSNPDFTPHRLLDLSVADPSKGICLRESGDDANAEQAAHEYFALSYRWG IGRGMPQTTSKTLKAYKKNIAWDTLPRAFQEAVLLTKSLGVRFLWIDSLCIVQDDPSD RLRASLELNDTYGNASLTIASTSAVEPTKGLFAPKMETFKVQVNDSKGSLSKVYVREQ PSHYSFKKASDAGLPMNNWELLSDASREANARTPLLLRAWAYAERLLSSRVLHFTDSE MLLECREAFQCECGRIDDAVFDPRKTDTVKQDFAQCAALSDTNGHRRMDSVVSQLAAT SLSDGTDDLSTALANPLELWSYIVTEYTSRNITYDTDRLMAIAGVAKTLSRAISTGYI AGHWMSSTLGLLWYPNEGAQCRRPKQVAGRYVPSWSWASVEGSPIFFDNSSAMDLACS ASFSINGSQNIFSPFSGDAVELRAAMATEVVFKEDAPGEYSLSRNGISVEFQPDIVPL RGEDTIKSGETLVCVLVSMSFRTSILGLILRKSRTKAPTYRRIGRFECYECLQDRSDE EPEDAEALFEHWFPDVDDMTQLDERPRHVFKIV CC84DRAFT_1179922 MASGTVIRSLRQQIASCESQLHDLKRQLAEVEHQSQQQRTNRAS EQGVHTRDDPLAHDFSHGIHDDFKSEVLAALAQSEETAPPQRRWPLEANEYKRYGRQL IMPEIGLQGQLRLKTASVLIVGVGGLGCPAAAYLVGAGVGKVGLVDGDTVEESNLHRQ ILHSTAKVNMTKVESAMIALHSLNPNVELVPHQGRLTPETALSTLQHYDIVLDCTDTP ASRYLISDTCVLLGKPLVSASALRTDGQLMVLNNPPLPPGNTSGGPCYRCVFPKPPPA ESVVTCGDGGILGPVVGTMGILQALEAIKVLTKQPSASSNDSAPADPPSLLIFSAYSN PMFRTIRLRTRKLKCAVCSAHATVTPEALNSGSMDYVQFCGTVDPVDALSSNERISAE NYAKVRLDVNPFTGLEPSKDSHILVDVREKVQFDLCNLEGSVNVPFSAVTSLPVGGRN GTANESDPEAGWVSELRQHADKPIFVVCRRGNDSQITVKKMKELGLDNGGQRWIGDIR GGLHAWRDNVDKDFPEY CC84DRAFT_1190078 MARQFAQAAMMRFQCSQLVYDRIDPLVQPGSLPSAHMHQIVGGN SFNATMTPLSYDPSTTSTCTTCDYSEDFSNYWTANLYFRAANGTYKRVPQMVNLGLSG REGVTVYYIPPYDGKSKVTAFPKGFRMLVGDAGLRTKEGQQKQLCHRCFSNKEQNPFG GAPCTGDDTYDLPKKQCGGGIRTTITFPTCWDGKNIDSPNHKEHVAYPSSGSFESGGP CPSTHPVKLPQLMYEVMWDTTVFNDKSLWPTDGSQPFVYSMGDGTGYGQHGDYLFGWK DGVLQKALDARCSGNACKTLKTQTSAVSTKCAKAQSVHEDVEGWLTELPGAPAVTFG CC84DRAFT_1154092 MASRRLALNLHTALRSKAAINAVKRSKQSPLTRGLATPVSYGSK TESTTLSNGFTIATEHSPWAQTSTVGVWIDAGSRAETDKTNGTAHFLEHLAFKGTQKR TQQQLELEIENMGGHLNAYTSRENTVYYAKAFNNDVPAAVDILSDILQNSKLEPQAIE RERDVILREQEEVDKQLEEVVFDHLHATAFQGQPLGRTILGPKENIETIKRDDLESYI KTNYTADRMVLVGAGGVPHEQLVELAEKYFSKLPAEAPNYSGKIVAEQKQKPEFIGSE VRIRDDTMASANIAIAVEGVSWNDEDYFTALVTQAIVGNWDRAMGNSAYLGSKLSSFV SQNNLANSFMSFSTSYSDTGLWGIYMVTPYLDRVDDLVHFTLREWSRLSSSVSNAEVE RAKSQLKASLLLSLDGTTAVAEDIGRQIITTGRRLAPEEIERVVGAISEKDVMDFAKR KLWDRDVAISAVGSIEGLLPYDRIRNDMSRMLS CC84DRAFT_1154093 MASVLAPGLLRQRFASPAASQRVFSTLTSAAARQSSPLIQQLRP AFARSAVPKTARIAAFHATQRHQILPPLPQKIEGSVNTAVPVPNPDYSHGSYHWSFER LLAAGLVPLTVAPFAAGSLNPVTDSILCALLVLHSHIGFEACIIDYFPKKRIPKLRAA AHWALRFATVGLGVALYSFETNDVGITELVARLWHA CC84DRAFT_246260 MSAAAPFPPLAVHDHRRPSYPDDMSSTSSSSSVSEQDQPRHVDD DPSKLSRPRLGSRKSSGTIIIPRDSPHVELKEEEYDDGDARTMSPRRSSEEIEKMGQE ARQALIDPHTWTPTLTFVRQAKALQASLMEIVDRVEIVKSEHEKLEGGNKFLQSYIGE LMQTSKITSAGAGKTKGKGKNRVIK CC84DRAFT_1208946 MLWLVKLSLQLLDPFWDAGYKGIDFRTQSSLKVGSVLKSDCQTP TATAPSSKLPDYFDTTLGWYAGPTATGPSPWLAQTNAAPFVGVSYIPNTPLETQAPIP GNKHGYNIFERLGHMSPYFPNPRGFGVHEYPVPPGANVTWLNMIHRHGSRYPEVTGNE LRLAQKLTDAKGKYQAHGALSFLNDWRNVLGAEILVPVGKQQLFDSGTLHYYQYGHLY PNDGSKIIARTTTQRRMLESAEYFMAGFFGLGWTQNATLELVIESKGFNNTLAGYKGC NRTSWDIGGKTSREWAETYLQDAHQRIRGNITGGLDWTLSDTYSAQTLCAYETVAVGF SHWCNLFTYEEWEAFEYSLDIAFAAGTGFLSPIGRAIGIGYVSEVLARMQHHVLSSPT ALINTTLDNNTVTFPTQQALNLDFSHDSNIISILVAFGLTQFAEYLPPTRILPEREFV MAYIEPFAGRLDIEVIQAPAPINPSRSVAVHELYEDGPPTSYVHFVLNQRTVPLGKSL KECGNRDDGWCEMTAFLEVQKVQVEKADFEWACFGDYEMPEYGTVSDGRPPSKE CC84DRAFT_1221374 MDISIPLLQYPIPVTFEGVIAEQEFLFAESCASLSPLKKKKKKK KKKKKKKEKKRIDLAAIKLKKCGPTFDRKCLVEGLGFRGDKRCPYHRRNVMERASARG RIDVDIGLIVVDRLGVRNEPVHTEVAASASGGAQKSGNPTNDDAQEGDDLGMNLDEDL VKCDAQHITVSIE CC84DRAFT_1262904 MLQTRWGGIAARFNARNTRLTSLTRTGTSSHHAYTPTAARRVHI GRSRVPSLTSTPPGALRPSKLPTGCRPPLGRSVPLTVGGPRLHSAFPTKTVIFVVVIA AAALYFVEIKTDDWADHVLASFAGDPSATPLHFYKDREEVDHWAHYHIPNPSDPLKDP QVMKQISDQFTKIAFGWEIEPEESIEFEIPVTHGIRFRSNEPCEDYYAIGTAPGPGSK PWNYWSIYDGHAGRHTAYHLQWTLHPSLSRALSNLSPASTSSIIHATIKDVFTSLDNE LMSRAKHAANWFPAANAAAISALTPAFSGSCALLAAFDPEQSKLRVACVGDSRAVLGR WDPLEMKYVAQPLSTDQTGFNEAEVARIKEAHPGEEDILDPKSGRLLGLAVTRAFGDH RWKWDNEFIKQLQSKYWGTAPRPQSKTPPYLTAEPEITETDIVRADPKDKSSKSDFMI MASDGLWDHISSEHAVECVERWLEAKERGNGKVSQDPRMQQPIFSNGFNVDEGVEYDA ETGKQITWKAEPQYFAIEDDNAAVCLARNAMGGTRRGLFLGLLSIAGPLCRDAVDDTT VMVIFFDHISREGKKKPGGEKKSSWWPW CC84DRAFT_246350 MYAVVISWTFAFTTGVLFQCELPRPWAVFNGKCIPMLPFWIVAC LVDVLTDIGMIILPIRIISSLQLPSRKKAAIIATFALRTLLILLCITRVILLDRAIHG DWSFDSIPYAITTQAYSTISVLVACLPGLKPFMDSVRTGMLSASLAKRNAGTTFGQDS WGAQPRIASQSTIWGSVSHGRPSFPTGCPAETSISFPGPCGSISLSRPRALIACPAGA PVQVSEGLRRSASHRTVKPSATCPAEASIYLSRALSRSASHDQLRPSATCPAEASLYV SGGLGRSVSQRKPIASPFCPAEASIFFSEGQGRMRLHDRPSAPTACPAEASITFFQNQ GRTRARAKPVTPTKPMLHIPTPARQPSPKSRRETPPRPPPPPEELRPDLTCFQPRLLG QNSTVVSCERKDNERHRAKRMGIITQTRQWEVKLEGGCI CC84DRAFT_1179929 MAPISGKKRTSARAKSSRAARPQATLPTPADDALFSSSKKDKRT IKHSAFVSKIERSSSKIQKRRRPNKKLVTNLESLADALSGLEQGDGNGELVVGQAKIQ RKSLKSRPGAMKKKEKLEKLEKERFNMNLAQMATTSTASSATGSNPAADRWAALKKHV KNTAEIKPEFLQK CC84DRAFT_1100886 MSYIERQTTRITGCERESPELARTHSAIGGTGKVPNAKKPRSRP PQSLRDKLGFLHGHLPGPSGPYSVGSMDIEVTVPSPRPVSDIKRDGKHLLRLETVLFT LYYPAAFGSGMGKAPGGYKKWSRETWLARPRPETAKGYARFAGIPDWLGQAWFGATTA FTKLQAFRNTPPATHWPPEGNSKRNGHKIKERQGQPPGGASTEPMFPLLMFSHGLGGT RTCYSSMCTEFASYGFVVCAVEHRDGSGPRSIINHAKEGEGNIESLEKKHNLEHTDEE RERGFDKVDYLFPKGNPKDTAPNNEKGVDRELRNAQIELRLCELEEAYRVLCDISAGK GEEVARKNLRKKGYAGASSRGLEGVDWERWKDRFHTDKVNMAGHSFGAATVVEVLRNT DRFKHVQAGIIYDIWGALIKPPADDPAHRIRVPILGINSEAFMYWQANFDAVMSLMHE AKEQGAPAVLCTVRGSVHINQSDFSLLYNGITSFFFKATVNPERAIDLNISASLEFLR EVTSGAGKSIIKRCLFDEELLHTQPLEHMPDDHKPNDEWIAARLKIKHEFRTRMAAGM QRKMKRQMKNGAHNAGDELWMHVKPNAEELREWRLKTRVASGDFKDDSDTTVEKEAVE DGEPDTDVGDSSLGDESDRERPVETAYVSADKSQDTWLGAHPALKKDPNPAQEHAISQ R CC84DRAFT_1221378 MNKSFLKFNEAALKSGLLQFNPILAQQLKSVTESFVSLAKSAAE GQAEEEEVDESIETNLVRNHEVQSAPPQRLDVGWGYSTTLNQSSTQHTSPSPPEESQA QSQRDLPLLPNFNAEQAKQGGLVQYRRPQIPDLFTQGASWAQASLDERPSEQPLPFGL LEILSQQEFKPPNPQNPNIFSVSIPTPRATPPMPRIPSPTYGSLTTRTPKPMWTYSHD ETTFARRLTRASLETGFHLLGSASQRPAALEYIFRLSLPYMTLNELRERFKELLARGT DEELDFWSTPFIHLGGAGTHYPRKDAHGNIIKAPNTWNVRRIGPLDKKMIRAENCDDP SQSHDLNIDLTGFEGEWFDSNDVEGYLQQEKGVRIDPKSSFVDAFVDDDEHHGDDYFT FSAGTNNSSPRRLSNDSTPTFGSSSSRAQSQSSLHTPPNVQSATSANVNHLFAPSDIP FGLDMSMPSDFARLPSVDASAFFDQPLGLDLAPGFDVGLNNGSMQPLNFPDQPRGSNM DMSFQGLETMPVVRQKRKKNVLIDVTKLVDELVKHGVCLGRAPGFRRKDVDMAFQASL ITTY CC84DRAFT_1101526 GLVYQQIIERVIQASQNDFEEFGVDQSTLDEMKQVRGELPQRAP AFLSSSQLPSAPFSLSHAVAFFQSYHFAVNRPPAILAPTGIAGSPDAHPALPFIVLHQ GWQEKLSGLKVAHFPWDPQPEPTRHPLPNLVNLKGLPTVPSNVVKPEPMLLPPLTATQ DRSPSYAEAPVKSERAYEPPLSSYPQAANGGYAGGFNGEIAQQRAHALVAQRMHNQQQ SQPQQQSQPQQQSQPQQQQQQQQQQQHQQQQQQHGGPISMQQQQQQYQYQQQQRMHAA QQQQQAQQQQQQRAPQPMQQRPPQPGHPYNPSQTDGPDDALEDWKAFVAARRAVSDED RAEADQQMRARLDALASRQDSGLMMPLNQMPKGRRRKAAVRVLQEEDAVKASSSASAV VPGPARFDGDDAKEEEHDSDAINSDLDDPEDDDNNGDDSDDETMDYMLCTYDKVQRVK NKWKCTLKDGILTTNKREYLFHKANGEFEW CC84DRAFT_246369 MDDEDELPLLTAVSSSARQLYLLLRCINFAEKAHVQINEGGLQF SVDEASVMEGSAFISKDLFTTYTFRPPTPQRPSQREIGDSDDEEDEDPEDTLPTFQLS LPALLETLQIFGLTDPNSSKPPWARDNPTSTATGGAFTNNVLGMNNMCRIAYDAPGSP LSIILTEATIRTTCNLTTYEPAFSEEIPFDRQSLALKTIMRGTWLYDAVSELSSTSPE KLTLWARTRGRKPYFALSSSGTLGSARVEFNNTPTRPPPPTNPPADPPPPPANLLETF QLSDPTSTFRASYKFSLIQRAARAMSVATKVSVRVDEQGVLSLQFMIEVEAGKVSFVD FRFVPLVEEDENEGDDTQFLGGEGEDSNGSVMEDEDELYGM CC84DRAFT_1221381 MSTPLLAVWEAAASSPYQPSISKDSQFTVGAFLLLIALFLAGVF GLNLKFINLPLLGVPASLAFGFGAVYMICAVGVYV CC84DRAFT_1101523 MSARENSDRLRTTLGYASSSPPKLPTSPPFSSPDTLRDTPPKAP EAPSPSTSPDIPLSRLRARHSRPTLPKRKAAAPLNPESPSKRPKQDSETPEAPPQPKK LIPFPEKPAVIEEREGDIEFRVVNNDGKRESTIILTGLKCIFQKQLPKMPKDYIARLV YDRTHLSIAIVKKPLEVVGGITYRPFHGRQFAEIVFCAISSDQQVKGYGAHLMSHLKD YVKATSDVMHFLTYADNYAIGYFKKQGFTKEITLEKSRWMGYIKDYEGGTIMQCSMVP KIRYLESGRMLLKQKECVNVKIRSVSKSFEVHAPPPQWKNSLTKIDPLTIAAIKRSGW SPAMDELARAPRHGPNYNQLLHLLNDLQNNSNAWPFQQPVNKDEVLDYYDVIKEPMDL ATMEEKHEKDLYPTPEDFVRDAKLIFDNCRKYNNESTPYAKAANRLEKYMWSRIREIP EWAHLEADYGGK CC84DRAFT_1168049 MSLTKSKPTILFVPGAWHLPSCYDLVSAPLSAAGYSTVSVALPS NSHNPVPSFEPDISAIRAALAPLVEAGKDVVVVAHSYGSVPANEAIKGLTRREREARG EQGGVVHYVFLSAFITPVGVSLMDALQNKDLPWFIVQEDKTLVRPDNPREIFYGDLSD DEAKKWEERLVPFSYQCYFGKTEFAAYREVESTFVFCTEDRAIPIQVQEGMVAAAEQQ RAKFGRVVLEGSSHSPMLSRPGEVVEVIKRVSEGLGV CC84DRAFT_1229341 MLELLEQLDVLEKSANLSASIRDVQNAIDVLSAARDKIAADPQT APLTLAKLQDPVKRSLEQAQKDIKPIYSGLNKYGKALDKKFKDKPIPPASNDALSSHP QLVNRAISMHLLREGEFSVASTFIQEAHRHPPRPEPTASTPNPSMNDSWEADLAEESF NSEKLQEQFQDMYLILHDLRQERNLKPAIRWARERSDLLEARGSNLEFELCRLQFVCL FVGQKLDADDDEPMEEDPQGPLAAYEYARREFGHFRGRYANEIQQLIGAMAYWQNVED SPYRRYFYNSTAWEEVATSFTREFCSLLGLSADSPLYIAATAGAIALPHLLKLQNIME KTRTEWTTQNELPVEIPLPPTYHFHSIFVCPVSKEQSTDANPPMMMPCGHVIAQESLD RISKGTRFKCPYCPMESHPRDARKVRL CC84DRAFT_1168052 MSYNLPIMTAAPRDVEREKDRRRPPTSSPIDAEPAPAAPASKHM RSNAPELSRATTAIDDEHVQLASATHQRFILTDGVAARYLEEDAATQVLSRREKIEGY EIYLVEQWACSRIHPTFIITNYTGDPKDVVYGHVLRVPRDETQWSPQLRTYFRALDQF HARRRETSLGTIMVTNLSSFPSSLTIIPIPEGDLKKNREYFFVNENLKRLGCSGRTGI KLAPPSGATQAKFHQLYRTSDKIPLNSSVIELVKLCQVALVLFGNLEPEYADGLLCDM TEKAINDWWVEFGNEYYTVEPHDGILGPTTVAALLGMLMGARNRLRAYNAPVSKDVFD IESTRRGISYFQKDQRIAKTRQLDRQTLERLRRATAKAASKESWAVPRAFKGAVAELG GKGGEMVMGMVGAGDKAGIADIETTDIDRFVELVSGERSKWLWYGKPRKTASGDMFSR LPREERSVSPDERGPTHIASLIKRESTLEAHSFSARDSRDVKRTDTQLAIDGYDREKD PNSKRAAIKRATERIETGSGFHRIKDVVGRRNHQPKPSKDDSHPQHTKSDLWPAAPQS GTGPITSKTDDPKQNQDIRREALAGRRTVSNYGPKITQVLTETPTASASTLDLQRVSA PQTDGAQEPTASTGVIDPGLSKTHTPEPSIAGSIYHGIDLNDKLPCDETQDFPPLLRR TQSNDQLDPYHRMHRNDDWWPRHLSFSIAEESVLRWEGLVAPDTVDPDQKQNLPEALA TTALISEEAKRLHHKIALLSSLDAQWATTHISTITALDSAAEQDTLQLDSLYYPALDS YQSLREDAHEIISGNRALLQEQVRDLTMLSDKLEYEIGSLRSKVDDVEDGVEELERLV EGLESRVDEVESLLGGEKEGWLGWALRIVTGF CC84DRAFT_1128002 MKHTLALALMAFSLDAATASPLNVEARQGAGSGAGPYAPGTYKT DSSLQSHTIYYPTKTPANNEKLPVLIWGNGGCSNVGTSNSALLQNIASYGFLAVAEGA PSGGGTSNSASMKAAVDWVTKTAGTGAYANVDASKIMAAGFSCGGTEAMDNVWDSRVD TIGVISSGLLSNYTAASQWRKPVLFVLGGSSDIAYQNGERDFKNLAAGVPSWKGNINV GHGGTLNNANGGTFGKAILNWLLWTFKNDTTAASYFTSGYKADNWQVETHDLDQLKPF CC84DRAFT_1229345 MEAHVQQSDELTFALDDWSQFEGAPSLILPEIDRQLFETLAQEM AVWESNIASPPQAPTPYCLQAMHVPREHSWQPAEHTVMTDEGLPQFDATSLDGSVTSQ QLIPNVQRVVAIEKGQNRCPLCNKTFRRGGSAVRAHINCVHIGKRKEHIRLNSSRAGR PKAQPSPRVSTPGQKNGRDTQRRSRVLSEITNLRSSVQDYITLAEFRTLQYELVVLKQ ERRMLLDSMGIWAQCDETFQQIIKDEAGAEFTAIERRALARDQSRRLVCTFQISFLTI MLEASRASQMQVRGRLVSRLEDMAAGKDKLLSNMEEAKVLRDFLSSVEDWVAAIQGRT TSLAFDYVVEMLVIKLICLLYPRLSKGLFQPTPEELEAQRREIQ CC84DRAFT_1179939 MRIPHHASAFRPSTSYTALSDAASLVPRATAERVQMQWTLAVVC GLIIGVISLSLAIPPCIHAFRQLREVRQQALPNTNHIQFEQVAAPDATSTQPHQAEEN AAQPLPLSDFSSPSASVVTIDHVDINVKFTGHSRGLENDGDVIALGNYRHHGYNGQDW AHQPEDADHSSPVGSNKGAALVAALLSTGVLLLNPVKEFLYPGIGG CC84DRAFT_1262915 MANVSHEHNSSPMPGVVRNPDPALDVAHEHHHEHLHHGAAAHAD VNDDKAYTKGTTLNEPSVIPAQDPNDDALHRLGHPERKDIDIEKSGGYYETEQGSLER DSHANGVTEEEDPKKHSISMYYRRYRIFVHIFIGMLFTGWWIASVVVHRHDKNWVIPF LFWLAIMLRLIFFHVPITIVTRPMHFVWNNTGVRFVALIPEKMRTYAGAALTIAVILV GTFASEESEDNTRANRAISLFGLVVFLAFFYATSRNKKKIVWHTVIVGMLTQFIIALF VLRTKAGYDIFNFISTLARELLGFAKDGVAFLTTPDIAANTFFFFSVIPAIIFFVAFV QLLYYWGIVQWFIGKFAVFFFWSMRVSGAEAVVAAASPFIGQGESAMLIRPFVAHLTQ AELHQVMTSGFATIAGSVLVAYIGMGINPQALISSCVMSIPASLAISKLRYPEEEETL TAGRVVIPDDDEHKAANALHAFANGAWLGLKIAGMIVTTLLVIIALLNLVDGLLTWWG RYINLDGDYDLTLELILGYLCFPIAFLIGVPRSKHELLLVGRLIGVKVIANEFVAFNN LQNEPAYADLSPRARLIATYAVCGFGNIGSLGTQIGVLSQISPGRSGDVSRLAVSALI SGVVSTLTSASIAGLVVNDQVKFTSLPSSS CC84DRAFT_1262916 MTDPPLSQAVGYGVVIGLGFAFAFFMILTTFVLKRYNYELQTSE MFSTAGRSVKSGLVASAVVSSWTWAATLLQSSAVAYNYGVSGPFWYASGATVQIILFA TIAIELKRRAPNAHTFLEVIRARYGAITHIVFMVFGLFTNILVTSMLLTGGSAVVTTL TGVPTAAACFLLPVGVVLYTMFGGIKATFLTDYAHTVVILVILLLFAFTAYATNENLG SPARVFDLLVEAAKKHPVEGNAGGSYLTMRSKEGAIFFVINIVGNFGTVFCDNGYYNK AIAASPVDALPGYIMGGLSWFAIPWLAATTMGLAAVALESNPVFPTYPNRLPAADVSA GLVLPNAAVAMLGSGGAVATLLLVFMAVTSAMSAELIAVSSIWTYDFYQTYINPRATG KQLIYMSHMMVVAFAVAMAAWSTGLYYIGISMGYLYLLMGVIISSAVLPATLTLMWSK QNWYAATFSPPLGFACSLIAWLVTAKKEGGELTVATTGANNPMLAGNVVALLSPLIFV PILTYAFGPQNYDWVSMKAIRKGDDHDLATAAHVDIELIPGERRHSITEEEAEQAKLL KASKIARWMTVFMTLAFLVLWPMPMYGSGYIFSKKFFTGWVVVGILWMFCSVFCVGLY PLWEGRKTSVHTVKAIFMDVTGKGRPKASVTQGEGLESPPTESVDEKAKQ CC84DRAFT_1168057 MPCLTTPKSILITSVSGGIGSHLVPLLFDQSPQPKLVLPTRNAE NLKSALLSISKAGQYVFLPQISVRDPVWVESLSKDNELNTIFLYLTGSDEMTTALILL DAIRRIPAIKKLAYPSSIGEFTSETDSRIPSARVRYPRQLAKAIIEQRLKYRPLDLEW TILGSTIFFANDNMQISNIPSKGGLSGLSRHGVSRIALSEIALRARNAMYDTNGAWHG RKFQLGKKNLYISENFTQIWSKALVKPIDNTRKSNRSGAAVEEDDAWRYWI CC84DRAFT_1229351 MISSNISAAWLCWTLVSGLRAVEAQDNGGGGSVPSTDGNANSGG GNGGFGNGSHRGGRRFGHQSGFRNGGRFGSGRNDGQQGGDQTATNDSSGEVDNKDTEG VLISSSPTTFFMPLETSAAPASITVETTALPEAKNGLHTASSRPTGSFGKPSSVVDML SMLQSSPATLVGIPYVAPSISEIVQSLSSLSVPGPHSSLRPVSSLTTTRLTVTSSKTV YVNSTPLSFPESALAEANSSASTQATQAPSLDLAPLPTSSLKPFSGGEKAGVGIGITL AILVIVGAIAYEFHRRSKMKAHESLGSETGSQHEGSPPRRSVLTSAFTSFFGPHDKRE QKGDPEWSIESAEKVSIVRAGSVKSVESQTRPITPPLPSLPLSGPRHAPSGSDTELLK VGMRVPERKPTPGLADMALRSNPPVSPGSFPSPPRAGKTGSWPLPE CC84DRAFT_1262918 MADHDFKLRLGIPKEFPRTNQIAYRKRAHLWRGADPHMKTARYC HNSDGLDNCDCMSAHSQAQENCAFFGRPIEPLAFYLPAFAYVNQQKQCPFYGILPKEI RDLVFEYALGDNGAPAPNSENNFRREPCVASDIARTDIACALLQTCKAVYLEAYRLPL LLNGYVSYCQNGPSRPDLTRLAPWQYALIQRVDCSVQQCELEYSGLQPELDKWHATLR HSGAYVAPRLYSYNNRWKRTEGVIPSHCFGLTTFGDDSDDWRPEDGDKVTLPNACPSR DYDPEIGHYYLQTKDNFIARAMVARPLTHLVIRMSRTDWWTWADKPDSTAAEEQLALD PACGLIERPLITDMLSLAAQRRAGQQTAYDGTWGAAIGKLPDLNTFELILETFFEKKR QLETVVDCAKTWKFPLEGTPYELACDGKVEDLRWTNADSDASWETTSDTGSAAMDIDE NDDSADEKSEDHETKQEATDGGRSTQDDTDDGVAAGDLMHLDAEMPDTDTNSLPSHTH LGQVLHLDTTVAGAEQSSFEPQASENSAPVLVEFSPLELGYTEIPLSRPNSPTLPRHG DSWSPAASYIYTSPHYSPQSRTSAPYSPVSPGSLNYSPTSPQYSPMSSPGQAWYESCT EFEVRIVRFRRSRVI CC84DRAFT_1179944 MSTPHLPVEIHDIICSHLARGDLHSYRSASRYLANIGAQYLFRH LTFHASYASLDRIANVGNYNHLTCLVETVTWDTSSINLDVTDFDDWKTKILSLRCQEL GELRSRWYKQIQNHDACENLVQRYFWEEYERYKAMVATERDVQALLLRNVANLVALFP KLKTILVEKKRYDYDDGYLDMEVLEPHSEKLVKRGMLHRHPLSRPVPYKHSTDMFPLV AALNAAQKSTQQVEARTLRYKIFSQLEYTQHLQHVDVSQITRLNLRFALLDPSSNPQD SSLNIMNCRHTLSQGHLREFLQKFSNLQSLGLDFEARSLGNGRAPANLQDIFLVVQDV VWPCLQHLTIYHADTPASNFTALLASHASSLRSLSLSDVCLDPPGSWEAILTDLQPHL SLSSATFSQFLFDARIDSFLRGRSALMGWYCDSEESVEAMSSLGPRLEKFMVKGGACP LNEEKKVARIVGRMEDLVGGGSASVII CC84DRAFT_246822 MTSQPKHHTKSRRRPTKTSLFTPLKPAASTSDSSSNSIFAAMKP AATTFDSTTNSIFTPAKPAAPTTKPASTGTASSEKKPSNDAAALQIPKVAVPSSWTVP TKDSADLREHIQLLEQINDIYRAKIVQLPARADWSALSRWHFQESSKLKKKIDDIKKQ AAAVKGITGEESILSTKRKTDDSAQLDSPFKKARSGEAPTTPKASAPVLPSTTPKVSP PSKSFNLFANASTQQSSNDSAQAKATEDQDSVSQVGFKPNFGTSTSSGATGFMPNFGT SSSSNAPGFKPSFGASSKTGGSGSSGFLGQFGGKTKEQLLEERMKKALEEGYESPTTS EEAEGDYETREQYMARWKKEENERQAALDAAANDTKLTFAPTTTSKNSNAPIFKLTAA SSNAASSSDGIVASQGFASGASTPGFLGSRVGSPAPSAEGARSVLDTPLGAQTPSSNL FGHLSAASSRHQDDSDDEADDEAVAIPSVEEESKKRKLGNTGNADSESSGTLEESIRR KKPATQTSNLTNSDTEQETPNKGLSLADRMTRDPSMTAPGEEAGLPSLNSVNSKLYNI NGSQTPAAKSAFKFDFDSAAAKAAPQSAPPKQNIFAGDQTFKPGAPILFGSSTNSMFK ITPPTPSPVSSSEPTTAPPKAVNSPFSFLSAAPSAATSAMSSRAATPASDADASAAEG AAVNEEEALNQVESDKSVLTTQEKDDYDVLFEAEQVIVNKQVRKEGAPKAEWQKIANG RLWILRSKADKHVILRLRMKSGAVRVNYRIPSASALKASIMGANMTQVLAREPTMKDG KAGIEIYIFAFNHKDKTTKQELAQKFTDTYNSVDFGNKPMQRRLAVTFFASFKDVVFI PVRRSGPSMECTLCRSTFNPRSQQKLIFNFSTSLAQPIQAGNVRIRTFFLLVRLRRFI ELLSFLAFHCIAKGVFDTHDNESGRTEWRHVLGGNNLCLHGFSRFEFRSQTIRCIV CC84DRAFT_246819 MGVDYASVHCPRLYMQTIVSSLWMGTKLGKNWPCPKGCCWLCPL QAAQRLCDILHAPRGSLLALLSHQRLPGFPHVVELDGSLLACLPLLCAVAPFSAALLL HLVVAPRNRSGRVFYDKNRALIDMTSFLNLLCIFNSHRIPWLSAAKTLRLSLQRHVES RLRHCRWTRLPRRLVLFQISSRNPQ CC84DRAFT_1101103 MSSSRPHHGVHGPSKHGRLHRRGHSASSVTSPLSPGQFTFSRSE TPVTYEEPWTDIAQPMPAATSHSHKIKPYLRKLSSKDNDDLDLSRPAAENERLAGLGI VDYGGYTRTVGDVNFASVKSRNHARSTSNTSQFSTSSSLQRPMPSIRQSPRPYTPPIS KSTPASTLLNADHEPADNIMTDDEFRMRQAAYDPTRKSGSLSSSTPGQAAPALRIHTT GSSSFLAGSYSQSTVSLTSPIAQSRSRGDTVKSMDTTSPSSRTSFDKAFGFIRPGRDS PIDAQSRAASIRAARQAFEEKEAAKERKYDRDVHKKVERETKKQYKRDEYERRKSESN DKKRSRSVSDATSYERASRPSVGARQYSDHREAHTSTLPNLVPLADPEKSGYAPTPKV TKSRQAKGRWLRFLIWFKTRFLRMGTKLHVQP CC84DRAFT_1221396 MARRRDGWAVQRRWKSDDGEKKQPEVPFNLQLFESVTARVQKAK EEEIRHAQLHQRTARGRFFATLFAIVVTSSIGYWFGQASMPKADSTASTASLAVVNAP KHNISEANMEAAWTDFRDIVGKENISLEKSELESHSGSSWSSHPAEPGDVPFCVVKPG STQEVSAIMKVCHERKIPVTPYSGGTSLEGHFAATKGGICIDFSRMDKILKLHKDDLD VVVQPAVGWELLNEELAKDNLFFPPDPGPGAMIGGMVGTGCSGTNAYRYGTMKDWVLS LTVVLADGTVIKTRQRPRKSSAGYDLTRMFIGSEGTLGLVTEATLKVTVKPQHTSVAV CAFPTVRHAADCVFKVVGAGVPIAAIEILDDVQMQCINDAGQTNKTWKNAPTLFFKFA GTPSSVKEQVNQVQSLAKKTGSVSFEFAKSEQEKIDLWQARKEALWSVMAKGEGSDDL GVWTTDVAVPLSKLPQIIEEAKDQISKSGLLGSIVGHVGDGNFHSIILYNKKTEYEKT KHLVHDMVKRAIELEGTATGEHGVGLVKRDYLEHELGTDTVDAMRQLKRAFDPLCILN CDKVVRASAPKPGEVAQW CC84DRAFT_1168063 MFKKFKDKLKGDDHNESHQKQEKQPQDASHQQSNLQSNNPFHPN NYTAPPPWQNQSGSHNITPNEKFQPPPGAPPGYAGASSSSGYEPPPGPPPSKDMPQQP PPSWEPPPYHDWTVIPDTALLPPPPSIGYDTSPTANATEEDGGLAYEWCIANPLWPPQ NLTPPTHGAIQNGQLALLTPPTFTGNVFPMQQAGHWKCHTQARCRDSALLTNLPMYSV FWDSPLNTHRPKTVYFELKVLGIGSGGYSLSEADAGIAIGFIAPPYPTFRLPGWQRGS LGVHGDDGRKYVNDTFGGVDFTTAFQPGETVGIGMTFALPREPPSYEQSQMGKVMDID VFFTRNGQREKAWDGNDELDERSEGGTMGLRGECDLFPAVGVFGGVDFEVFFHPSQWM YRPI CC84DRAFT_1179949 MKYFLASAIVLLAATTQGSLVFMVSTEPGNKGIQKSWVTDRWVC HNLTEPGLDKNISWAYVSSGLANGCFLYEDAGCNGTNIYVEKYKGDLCCPGDVNMTDV GFDNRASSWACY CC84DRAFT_1100859 MATEKQEDGVPVEVRKLSIHAEHAEEIAEAVAVEHTLSFWEAVK LYPKAIGWSMYFSMGVIMLSFDPQLLGNLYAMPAFQRDFGYIFEDSYIISAAWQTGLS MGNPIGQVIGALAAGYPMEWYGRKKTFNVCVVLVAGLVFIQFFARSLRVLLAGELLGG LVLGAFVVIAPSYASEVTPLAMRSVSTSYVNLCFVTGQLLGNGVTAATKDLTSHWAYS IPFALQWFWILLIIPGMYFAPESPWWLARQGRFDEAEVSLRRLSSRGVNVKAVLAQLI ETDRLETQMEAGSTYTDVFRAVNWRRTEIAIGVYATQVLCGIYLINYGTYFFSLAGLN TAQAYNMGIGFMAVGWVSTIFSWFLMQRFGRRVLYNTGLTILTIIMFLVGILDVVPTK GAVWTQSTLLLVWNFTFDWSVGPVAYAIFCEVSATRVRSKTIAVATAIQAVVGIIMTV AIPYLINPDQAALRGKLGFFFGGLSLPCLVWCWFKVPEMKGRTYEELDIMFERGVKTR EFKTYVI CC84DRAFT_1100804 MVQNKGIIFKEIPTGWPEPGKHLAIEARDFDLEQAPPEGGMTVK TYYASFDPYQRGRMRDPSIKSYSAPFQLGKPITNRTIMKVLKSNSDKYQPGDVVISAG VSAIEEYTALSKEEVQQVRKLENPLNLDPKHFLGALGMPGLTAWSSFYEIGQPKKGET IFISAASGAVGQLVGQLAKHEGLKVVGSVGSDDKLEFITKELGFDAGFNYKKEKPSEA LKRLAPNGVDIYYENVGGEQLEAAITAMNDFGRIVACGMISQYNLPPGEQYPIRNLMQ VVSKRLTMRGFIVSDANMGPKHYQDHQQKLQKWLANGEFKAKLSVTEGIDNGIDGFLG MLKGANFGKAILQLADLTKE CC84DRAFT_1058617 PADPPPSYDELRNMPTPVHASTTPNAAPEPSRSPRRPPGPPPLL PLDVPALTQLRHKRVVLASASPRRKQLLSILGLKYVEIVPSTFKEDLPKSLSPYEYVL ETATEKCREVYTREVNNEEKGEPALVIAADTIIISAKGRILEKPRNPQDHLDMLKMLR DEGAHRVSTAVVVMKPLENPIDPGYAMESHVEITSVKFDANVSDATLVAYVRTRDGND KAGGYGIQSAGSILIEKIEGAHDNVIGLPLRVTLGLIEKVMVPEEGLDDNMDNMFGD CC84DRAFT_1179952 MLDMRSLSLTGWTGAFLVCAVTAIKCAAPPLSLPYRDISVVPGI LSPGIPLQIGSPFQSIALVPSLQIDDTFIPRYTNTCVFDVPVNTTANQPQNATSAHVA TTGLFARDATPASFAEVGKNGTGNGQDWWTKCAQTYGGAYNPAFSSSFTEDKTVLNNQ DGHFFTDIWRFADYLSVYTATTAALPPKSNATSTFQAAVEGATFNNYGAALLGLTPNS TLLAALAQADMIPSTSWTLTNASLCLGCEDRSASTGTWQTFPPVDRYVNPDLPCLIKS KVEALTWRPREHGVEGATLLQESFTACVDPGVKFLVLPPNATSAFGKIVEREVRAEYS DYTVFERGAGNDTGVLTFRIQGGLEVNVTVPGAGDAGLHQSGGWTVPIGKGAWGAYGN ATWVLGKPFTDRVVLRWDGEKKEYGIANRNADDTREVDVQPLGCDAFPSVERSVASTP GTGILVGSVVGGFIGGLAFAFAGSWFFRRGRKGVRSRYAQLDEDTVPMRAMSHGRDSW MTAGTLNPPPPSIHESLRSQRLRDTQSGAQRGIGFEIADGQMFEAPEGGTAYPTKRGR NEM CC84DRAFT_1154151 MFTLCLVAFLFARRGVSTDGGAPLTGWYEPPNERGTWDIIVSCV LTLTICVWSALHLNVPTEESKLAERNYRRVRWIVLGLFAPELVVSTAFGQFLTARWLR GEIRKDFLYRKEHVRWSSEQQLQEWSITQCYYAVMGGIRIQTNSECIPSHGRLSLTAE GVRMLSFLGRLPEIDEGQIEDKSKADGLAKFLVVLQAGWMIIQTLARVHQKLPVTLLE INTMGHVVCAFALYGLWWSKPLDIKDPTMVLNEEWQDRLLSLMWMCSPICWHNDDFIS EIRCLQYTPPSERQSINTPISPIEQKPQKTHFSIGSIGAQDPIKFIGPLDQFQQGGVD GEGLDHNVSYAVHGKKSFKIAKEHTIFFQLQTPHHGLHHSAVYCRRALKDCDFHEELS PAAIKRWELACLAVDDLWTTCVRRPEFTEYFFTLSTLGSKDKDDTAMATKFVGELAYI ADHVPNFPGLGYLGSVNVHRDALKSVVAFTGAAYGGLHLAAWNDFFPTVAEKWLWISC ALATGASGVLLALFFLASQKVKAFEYLEHFIRNSKAIKWTSASIITPLFLTARIFIVV EAFISLRRQPADVYKTPEWSEYLPHL CC84DRAFT_1190105 MATQQKLTFEDVMGCQSACYEWADSYDSKDWDRLRKCIAPSLKI DYTSFLNKSWEAMPAEEFVAMASDPAVLGNPLLKTQHFIGGTRWEKTAEDEITGYHQL RVPHQRYTDETRSKVAVKGHAHSFNTHWYKKVDGEWKFAGLNPDIRWFEYDFDKVFAE GRGAFGDEEQQSEAAHASGIPTK CC84DRAFT_1100833 MSFNNDFAQQVQPSGEQQGGFAPQADLGQPMDTSAPGFPQGNMG GPPGASQDGQQDGNNKTTLWMGELEPWIDENFVRSIWYNMGEQVNVKMIRDKFSGSNA GYCFVDFSSAQAAAKALALNGQLIPNSNRPFKLNWASGGGLADRSRDDRGPEYSIFVG DLGPEVNEFVLVQLFQNKYQSCKSAKIMSDPISGMSRGYGFVRFTDEADQQKALTEMQ GVYCGNRPMRISTATPKNKSGGPGGPGMGGMPPGGGGPLGMYSMGAPPQMGGGGGYYG TPQPMNQFTDPNNTTVFVGGLSGYVTEDELRSFFQGFGEITYVKIPPGKGCGFVQFVQ RHAAEMAINQMQGYPIGNSRVRLSWGRSQNNSGPAGTPYRPAPPPPVYPQMGMAPQHP YGNFAPMKVGYALGL CC84DRAFT_1262932 MRYDNWDVILFPKDSAVPIQEFRTTFYSTQDEHGRQLPTLTCFI ASLPAATSFRISIHSWIVPAKPSTLIESRRRTSQKVVFATQLIVDGDRVFRAFYDAAS RWPQEISDEKRIAIYPQQSASQRGPVLEFPPFRQDVLTQPSWNPREHSGRIKVLLSEQ LMGKNINSGEPDLGVANDIVCFTFQHAPQEILEQAGISWPIRNPLYLASDMRGSQISQ LPGPQVARPFLSQLSPSVLMRPRNTDPFTRPSTDPPLHLPQLPRPTMGGKIRRSGLWD PSFGDSSYDDLNASMPDMLYASPIFGQTGDPWTKSEAPEDLQAGKVDLRKQTRRERGV RQVVVTLREDQLGQLIEAMSPPKKSCERTHTRTQPPMADMVAPTATRPSAVALARKGS HPELRQKKVNKTLVLKENPPSSQAQDGPRLLSGFSHAGRVPTPHPFVVPQAQINRWNS DIAMRDISSMHSNFPRPPRSKEDKTSPAPTIIGNGSITSRKEGIDLLGTSPSLNIRHD QSMLPGVTSTTKSNGAPRDTSNVTSPHAHLDIDAVGDTFVPGHKGGMSSIDSTRRLER KLYSALGEELSFHADADPMPGIESEAIAGETDLVDLGLDAPITKRRRQDTLGGEGDRS PVKKMMREQTNEDQGGESPVLPNLRGGD CC84DRAFT_1168075 MPSKHGNEFVVLGAGVIGLSSALALREAYPSSAITVVAKHFPGD RSIDYTSPWAGANWSSMATDNGPLEGYDRVTFNRFAKMVDEGLGDVVGVGRMGLRAVF DAEIEQVNVLSQGTGKIWYEELVGGMRFLEERELPQGAVFGLDFKSTFRLNTQVYLNW LQQQAIEKGIKTVRRHYPSIKAILEDYPSTTALVNCSALGSLHLEDVKDTNLYPTRGQ TILVAEPKVPIERMYLRTPKRVDPTVAYVFPRPNGGGVILGGSRQDNDWSAEPDMSLA QTIMQRCCSLCPELGKPEDLQVISHNVGLRPSRKGGPRIEVERWADGTPVVHNYGHAG AGYQSSWGTAERVVELVGKALEKKARL CC84DRAFT_264837 MPPKRTWSQANEGLDASPTTRPRLSEPSVDASGFTPSISRKVKA CAACRKQKIKCIMKDGPPCQRCAERNLSCVLNKSLQTLIEERSQWKSTVIQDLDRMHS ALQQVLGKLNLPTLPPLSTDSPDLNEASPHDYAIDKEEAGPSCDNSPRLSPRQDAVPH VPIESLYQITRLRALRSDDTEETRPPPSNSPNQPFVDFIANGLVSIDDAKRLVDFYLN RIDHFMYKIGRGRYRDFETLRRGSPLLTACICTVAALHDPMSNHLYGVCKREFQRLMA ASMFDRRVDRDHLRAMCIGAYWLHDVSWTLSGYAIRRATEANLSANYHRLLSKGGEEA LDSMRIWYVLYICDHHLSILYGRPSIVREDITISGWEKLMKVPGFAESDKRLVSQMAL LTMMSNVRELFGPDTGEPVPQAFAPQLVNYSRQIDQWMGYWTTELLKLHQQIGEFPTK GVILHHHLAKLHLHSHVFRGLKGGSVPPHFQDSAAAAVSAATSTVEMLLSDYDIREGL VGIPHYLHSMIAFACVFLLKVASQHSGQYIDDAVVYDLTTKAAQQFRATSVGKWHLVH MMAEGLEKLVASRTTNSVTSSHQQPLPTTSQAGIELNHSPHLASMTASNGYYEGDPLH NGFDDDFGLGTSNFLSIQPGELDFNFPGFGL CC84DRAFT_1056346 WTYNLKFDNMTGMRKSELLTPPNQIDLITTYLPAKNYDSLRFIG PDGNVFLWVAHAPLSSVHGARYDALRHALFMAPKGCDPLYGNIVADHAYWDGFIDYSE STCTFTLVNLPDEALYIRTSAVDPALICATLQIMRDWEFHMLRVQRHRDPNGFRISED RAREGDLGRITYWR CC84DRAFT_1100926 MFSTTFLTSLAFLSVVSTSPLDTRTSSKKGPKPDEKCAEFDYEN LSLREVGQRNTLDWRMYLLHRDEPISFWHDVPLYPDASNKQIINFVVEIPRWTDGKIE TSRPEALNPIFHDDKNGAPRYVESVWPHKGYPFLYGSIPQTWENPNVNHSFTGFPGDK DPMDLFDIGQDDGYTGQIKQVKLLGGLAVNDGDETDWKMLGIDVKDPLATVVNTHEDV EKYRPGTIQAFRDWFTYYKVARGDDVIPVVGETYQNISFVMDTVEESHKYWEDLVSGK EDPGKISTVQTSQIDLSSWVPCKDARKELGIPKKSSKPQEPAAKPEKYSWWYYLNAER QLIEVPGS CC84DRAFT_250054 MAAPKNQAAFLKEAGTPLEVADAPVPTAGAGEIVVKNAAIAINP LDWHMQEHGVFIQQWPAVFGCDVAGEVFEVGPGVERFKKGDRVIGHTVNLVSGRPQDG AYALYTTVPANKAAILPSSISFTDGVVVPFAMEAAVCVLSVTEPGPCMPGVLTPALGL PLPSLEAVPSTDKTLVIYGGSSSVGSMTTQIATAAGINVIAISGAHNLELSKRCGATD AFDHKDSSLVEKVIAAVGTSQFVGVLDAVSIPETYTNSLAILAKLGGGHLACVHPPPP DMPENVKAGMIFAVNDIATPVWENFVTPALEAGKLQCLPRPTVVGKGLEFVNEGLKRS KEGISATKLVVEL CC84DRAFT_1262938 MSRITLGSSDLLEYNPDYGVLICRECEYAIQKSAVQSHLLRHKI YRAERQTLLSEIARFELREPDDVDLPDPTTRPIAALPILDGYFCLHDGCRNLCASVKR MRRHWADVHGGAENFGSMAREIKMQTFFRGTKIRYFEVSPELTEQMLDQEEATEEEDP VGLSEEQLSDSPESDNEQASNIEGTGAPKENLMSRMLLGPEYTPGTTPIGFDFEPIKS FHRFTSVLHLTLPSPGIDAMDGYWRTTVLPLALSRHWMMSGLLAIAEYHTVAFADDQA EIKTHELELSQRVTSFNSYPFQLKNLLTNLRSFSLIERNGNVNTPEEVFARAGQIFRR RSQSGASEENEGTIALLKRLDELPSRMSEVFGRPNDIKDVMATLAAIATLVEHYSATF TPDTNLPSPNSVWHSMVQWPSKVPENFHFMVSRHKPAALVVVAHWVSALVFRAEKCGY WFLRGLARKLHAEIAGRLRDTEGALGLIEGLSYGMSENTTMTG CC84DRAFT_1053813 MGVTVSHTTLAPVSLASTLIGFISFAFTLATFLKVFWQSIITLK AAPDEITDYLSNLKQALLEERRHLRKVRRRARKRSRGDDGGSWVGGGTGGRRSRSRRR SGGGGGGGKGPRSYFERDEQAFRSQGESEALRVMRDAIRDMIRSFRVLEYPFLKPEFQ DLDSARWSTNTPREKSPAYLQSPPEGSPWEDDDGEQAQAQGLSRSNRYGSEYKKCGMR ERWLWLHRKGDVVTMSEGLSRIEVRRTAHEVGAVAMAVTDIGRDVEGMFEMIRAMEGR LN CC84DRAFT_1190112 MFAQRIFRPANQLTQLTNPQQVRRYASTDAPKSGGSNGLLYTGV ALAAVSGGYFYMRRNTPTGQAGNAPPSAEANKTPASTAGQIAKAAFTGGEQGFLSLKL DKSEIINHNTKKLTFLLPEEDMESGLHVASAVITKYKGPEMQKPVIRPYTPVSDVDQK GTVEFIIKKYPNGPMSEHLHNMEPGQRLDIKGPIPKYQWSPNKHEHVAMIAGGTGITP MWQVANAIFKNPEDKTKVTLVFGNLTEQDILMKHELERLENTYPQRFRAFYVLDNPPE QWRGGKGHVTKELLKTVLPEPKEGEKIKIFVCGPPGMYKAISGGKKSPQDQGELAGYL KDLGYSKDQVYKF CC84DRAFT_1101445 MAPSLHPSIDNGIKKGDANFAGGKLYCHCPSNKVEVTLGSNVLH NHACGCSKCWKPKSALFSVVGVIPTDKLSVTANKQKLHVIDKSAAIQRNACKECGVHL FGRIEVDHPFKGLDFVHTELSDQSGWQEPQFAAFVSSIIEQGFDPSQMDGVRSKFKSV GLETYDVLSPALMDAIATYTAQKSKTSSKL CC84DRAFT_1179967 MREWQTHSPSPTGDKCYADCEVEELKEILDSLCPTNLDRHAYRD RLSCKRNCIYVDFYYKFVREKGDTYFKGHYQPDFSATRNDEHIYRVLATGISVYRNKD CTQGNQCVKGHDYPEIRRAAKNRMAERAEKGIQDSRTRDRRIRIAPGPCISNREAKRV RGVRAGTLGKGIGQGCEWLVLKMEWYHMWTKSPNWFRERQCNACRGGEFGRAADASRE PFRYTPVS CC84DRAFT_1168081 MRSVSIAAAALIGAAVAKPLERRDGYNAGYNPHPYPTGGSSSVP APSSTPGYSVPTPSTTPGYQISSSKSGGYSVPSSSSVKPSSSAYPSGSSSASSTPCTE SSSAYPTGSSSKSEHSGYPTPSSKSEHSGYPTPSSKSEHSGYPTPSSKSEHSGYPTPS SKSEHHSWYPTGYGHSSKPTPPAYSTVTPVTDTVTSYITQTKTITTTTYSWVPHSTPV SHNGYSTFYSTYLAPTYYPTVYTTTYVTPKPSEYPVGPKPSECAPPSTVTVYVTPQYE TKTYTVTEHGYPQTITVTVVPPKPTYHTETSYGPAPPPSSYPVEASSTHGPKPPVSTP YYPTGPHGHSSVPSGTGYPVKPTSSAYPVKPSSSSKGYPIGSGSTSSYPVKPTTSSYP VKPSSASSSPCTESSTAYSTAPASSSSYPVKPTSSSSSKGYETPSSSSTVVYPTETPS SSKGYEVPSSTPVAPSSTKGYEVPSSSPVAPSSAPGYVHPSSSEAGYAVPTPATGYKT Y CC84DRAFT_1179969 MAFSVPNVVKKAPAADSKLISTKTLLERYAEYDIDAQQQQQAKG ERGIVVISLDGDEPIFAPVDEYYTCDGVKNTKKVDGLARTANQYLAEQIALAGLTAEA RIIEGKEVDGGESYEMEDLGIDKKAVKANAMREAASTATRQDVGFTAINHFLNPPERE LPVYHAVGNFNDEEFRVAEINAGPADAERVSKSIYREPPELRGPMRRPGWKPLDDTMI RIAEVMTPTTHFARFSWSNYGIIGLILNAEASFGNTVWHTDISLELLLPASEEQRIEL PHVVEVSLELLNPTTDAGGEKYSGSRKQSSRNAALELEVTAGALIGLTTD CC84DRAFT_1154179 MAFLRLLEHKPDGAIVFHETTSSDVPAYAILSHTWGKEEVLFQD IEANADMSKTVSKAGWRKIEFCMKQAAADGLRYFWIDTCCINKKNAVELSAAINSMFR WYQNAARCYVYLSDIPKADGMGREIAWKDAFRMSRWFTRGWTLQELIAPRLVDFFSSE GERLGSKLLLEPEIHEITGIAKTALRGGALSSFSIRERMAWAERRSTTVEEDEAYCLL GIFDISMALIYGERKDQAFQRLEEEINKLNKGINFEQYAVGLSLASFPEAAQFVAREK ELLEMHELLRGHGRTSRSSVVLHGLGGIGKTQLAIEYIMRHKEKHTAVFWLNANDEDS LRLSFCDIAQQILSHHPSTGVLCNVDLDGNLDRVVRSVKAWLNLWDNTRWLMIYDNYD NPRTANHAKHSIVDVRRYLPESDQGSVIITTRSASVTQWRRIHVQKLTSLEDGLKILS NMSRREHINNDPEARALVAKLDGLPLALSTAGAYLEHVTTSFAEYLRLYEVSWLKLQR TSPKLNSYEDRSLYTTWQITFDLIQNQNAASAWLLKLWAYFDKQDVWFGLLQHAHSAD NKWIQKLTEDEMSFNEAVRLLCEYGLAHPESSLGQPSVSIGYGMHSCVHSWSISVLND KWDEELENLALTCVASEVPSTDADRWWLLQQRLLQHAARHEHSITDGRVDIIGIEWVL HKIGDLYKNQGKLAHAEAMYSRALQGREEALGPKHTSTLMTVNNLGNLYADQGKLAEA EAMYSRTLQGKEEALGPKHTSTLSTVNNLGNLYADQGKLAEAEAMYSRALQGYEEALG PKLLMSYLPALNTMFSFGDLLSAIGWEDTAKIMYTRALDGYTTIQGPSSKCCKQLRDR LKTMQATPLEPKDQGKSTEVRAIKLRSLKRIIRKFRKLDL CC84DRAFT_1221420 MFSSISSFVKELLPQIPEAPRVTITAARIAADGTPPHLVRLSTR SAGDDATDSFLFRIPDVRKYWVTEDGSQFRDIHRLELQELHTFLQPYNLLPLFHPWQG IHLRQRCRVPTQRILLQERHASCAGVYYLFWSFAMDDLPRNKHVPKWISDAPNTEMTH SYYGDVFIVKIAPHEYEPEGWAAYEDVSPTFVEALVKSPSYGEEDCSFCGKSKLLRFM ERKKLPIEEE CC84DRAFT_267945 MLFSAKRYQSRLRELTCYHVVQEELIRMSSLQLRLPSMVMGVNE PGADDLIRTIDNLGSDGRCNGFRNPHNLSVLYEDVCFGWHDLVICVVDKSSSVLENDA LLSHHCQPQCSTSCKTWVISLYSTASSLLRTMHIISRHVIESRFC CC84DRAFT_1168083 MLEALAAGTTTVVDHAHIIFSPDHARLGIAATASSGIRAVYCYD ATARPKSVSPLEMGQNPLEDWVMETFTALADQAPFGDGRVTLGFAYDMWFLPPKVTRG VLDQVDAKNIRTITTHGIPQTSIARDLKKSGLLDERYLISHGGPFSSEDAEIIKQTGA HVSSTPSTELQMFIAGRPVCFNASFTEGEGRAGIQEKASLGVDCHSNQSGSIISEARI GLQDARMHFHENLRGKTARKLPESLSVEAAFNLATIKGAEAVRMESEIGRIAEGYRAD LVIFDGLSPAMVCAAQYDPVAAIILHCSPGDIDMVLVDGMVRKKDGLLLPVSVDDLAK EAVSATSLTWKDIARETMKSQQAIVKQADQVDVAEGVAALKKLWHWDESTFVN CC84DRAFT_267976 MTWKSRTSLAVAGALCIFLLIATGFIANTRPEGFYIPSLSNAQP SQEAERDEGVPTPAPSSQHAIEGIATQAPVESLQALSRFDGYGLASGECDAEFKDLFK EIGRAAAHRKDTGNVTSSDIDLHWKQDGALRAMIWRQKLFILDSKIDGEYVAPRALSI LHQIDRAITTSPEPVPDIEFSLMITDLPDDATTESHTNHTFWALSRLSDDKNTWLMPD FGYWSWPLDLVGSYEQIRVEMAQNQLPWQERISKLLWRGALKTNKLRQTMYQATRGKS WADIEEVKWQNRTEVSAGSAASAVSMADHCKYQYLLHTEGRSYSGRGKYLLNCGSVVI MHKSEWIEPHQDVYVASGAEQNIVEVERDFSDLEGKMQQLLNQPMLAESIAKNSQKTF RDRYMTPAAQTCYWRKLFHAWAEVSFRPEPFEVVNGKARLRGVPFETFVIKALSRSSA ECSFWKKLTLQC CC84DRAFT_1190116 MASNSLGRSVAKVLGINVDYRKEEPLHSSGASVSSVETYVEKEP TAFEYLSQFKPSASAFQRYLKSLFPFWNWIFHYNATWLLGDVIAGVTVGFVVIPQGMA YALLAKLPPEYGLYTSFVGFLLYWAFATSKDITIGTVAVMSTIVGNIVIRVQETNPDL AAETIARALAVIAGAVLLFIGLTRFGRIVELIPLVAITSFMTGAAISIGAGQVPGLMG ITGVNTRGATYLVIIDTLKGLPRTKLDAAIGLSALAMLYGIRMFCSWMTKKQPHRKKL WFFISTLRMAFVILLYILISWLANRNVKGDAKKAKFKILGRVPRGFQHAGAPTINKEV LSAIAPDLPVTIIVLILEHIAISKSFGRINNYVINPSQELVAVGFTNLIGPFLGAYPA TGSFSRTAIKSKAGVRTPLAGIFTAVIVLLALYALTAVFFYIPSAGLSAVIIHAVGDL ITPPNVVYQFWETSPLEVIIFFAGVFVTVFTNIENGIYVTIAASFALLLWRQLFTHGA VLGKVRIYRATPDTIANKETDGSVPSVREAYLPINHKDGSNPQIEPESPYPGIVIFRF TEAFSYLNQQGYMDELVAQAQHVARPGTLDRYSKLGDRPWNDPGPRRGQQVNTDDHRP VLRAIILDFSAVNHIDVTSVQGLIDVRNQLDRYAHPEPVEWHFASINSRWTKRALTTA GFGYIDSERFNARRSHHWNPVYSFASLDVSKPSPARDPKHPDIEAADHIGQSGGPVGF AGKLSTVHGQNRPFFHMDVQAAVESAIAGVEGRLAVIASETTSEEGARVKSE CC84DRAFT_267983 MYRWRVVGLLEGFDEAWKGNEAERKEARRLIDVWGEERMKAGRL LGIGGEEVSWLRRLKRGIKREFQWRRQLSWSLKWRLKRRSRINSLIAGTPSHQSGVQD TSTPVVADLRRCLERNPVATINRTDQRLSAKRIKLDDLATSHFRAFPHRCASLLADPL QGAYNSTSSPKRFLPCHEKIS CC84DRAFT_268068 MNTMEFKQQTPEWQPPLTPSQPIPPTSTPRPATTTPKSASDRRP KLRASCDACAASKVKCSKEHPICARCRANGSQCIYGVSRKHGKPGRTRKRNPDGTPFI KASKQRPSPDGSEFSKFRVRPEPIISSREATPSSNWSPTPSWPATPEFEFEMTPEPFY LEPSPDFNNYMGDMSAYMPLQPEFESFQPIQSELTFIDPFARKQSVRLDLPDIQAIRD FVTVDTVNTVNPMEVPFPGSMPVDNGFLPPSNTVSPHSPKSTPSYSQNPLRFSISMPT QHCCYTLAYQTLESLRIINPQNSTNVELKSLDSVLSITKEAVANVLQLLNCPCSSDPH LAMLYSSITSKILTWYQIAAGVQPTVPSTPPSLSAASSPSSSVFSSPLSTPSSENTTF NIAVQPITIGLFEFDEDMQQTLRRQVVLRELRKCGTLVEALANWGSPQKTTQAEYLYD VLGAWLKTELYKTLREVEGEQML CC84DRAFT_1168087 MATKNRIILGLMTFGPDVEWGAKTTSLEEFGTFLDHFQAAGYSE GDTARTYQNGSQEGFTAAVGYKKRNLTIATKLYPISAGKHEPKALRENFEESLRQLQT DYVDIFYLHAPDRSVPFERTLGAINELHKEGKFKQFGLSNYAAFEVAEIVMICREKGW IRPTIYQGCYNAITRGIESELVPACRRYGLDIVIYNGLAGGLFTGKYKAGKTEAPAQS SFVDRIYRTRYVKDGNLDALSIIEPVVTAHGLTLIEVAMRWLIHHSALKMRTEGGNDG LIIGASSLSQLDNNLALLEKGPLPKEVVDALDDGWMAAKATSADYWHLDLKYTYDTQE ALFGKGVQSQL CC84DRAFT_1179978 MPSATKRPVRIAGCSGGFTDRYLALTRMAGDPEVDAIIGDWLAE MTMVVHGTGKAKRQAEGPSKRQVNGDAKQQSLEERKKTAMYAETFMQCFEPCIDNLVK NKAKMCVNAGASDTELLTEVVLQTLKEHGAEHLKVSWISGDDVTPQVRKLMQKGEKFE SLMHGKTLEELGADPICAQAYLGGLCIAEAFRDGADIVLCGRVADAAPVIGVAAWWHD WRNDQFDELAGALVAGHLIECGSYVTGGFYSEFKDLIKTGKHVNMGFPIAEIEADGNF NIAKEKNTGGCVTVRSCASQLLYEIQGPLYYNCDVVADLTGIKMDQIGEEIVRVTGVK GLPPPPTTKVGITLPGGFQAEWHIWLCGLDIEEKIQITKDQILYTIGDDIKRFTKLKF QQMGSSICDGAPSQDFATIDLRIFAQTRDPELLRPDVPNGFNRWVLETFLQSAPGASL SNDLRQVVPKPFYEYWVSLMPQAELGVKVHNTFGSQNVRELPPPAVTEEYPRQQQSYE TSNPVPLDSFGETVLAPLGYIVAGRRQSGDKASDCNIGFIARHDDEYQWLQSFLTVDR IKNLLGGEYSGNPIDRFEIPGIRAVHFLLHDHLDGGYSQCYKFDTLGKNAIEFLRAKT VELPKRFLDRGKI CC84DRAFT_1154197 MRAACVQCRVRKVRCDGSAPCCRPCERLRFDCSFQHASSTSGGY AVQLPPKRRGTEACLECRSMKARCSRESPKCGNCQRRNRRCTYASSSRSNSALESPVA IDAGSRVSPQQSSVASEFAPALSVTSDVTSPLSTITSESYLPAAELIRRYFDCLAPLP SFGFLHRETVVQRCLDGSMDLSLKLAICALTSMYLGQNHTRRMEWAQEAERLMLERLE RPSIFLIQASLLIIRFRAANGQFPRAFIMAGLAGRWAVALRLNYEHTGLGPIAQEVRR RTIWSLYLLEDSFCVGLKEFELLSPEIMHLQLPCEDADFNVRRPASTGFLQSGRGIEP EILGSRAAFIKLALIRRDVMRFNRRICAKEITQAELFTCIERYQNDLLRLRTRLAPSD QYPRTLPNELPWPPQYALLHLSWHQCHCDLYRPFMPDYPELGPHAALNGISEPDRILM RDKCLSHAEEIVRILADFIHHKEEQHLLEHDVAVCTYHAARLVLFGTHNTSHGSDHQT RTALDKAQLCLDVIKQYFSFSAQLESMRTALETAIEQHKTRWKPAVSVETTPRDPDIS RDAHNRQRLAIHSLLRQSDFVDDSREAALESPNQTAPNAVPIATEVVATTELPQGRVD WNIQDTMYPPWNFMPNDPSSLYGFPFATGMLDLGGNMQQGTELVGSHDEQCMY CC84DRAFT_268276 MGKRLGAFRATYLVLLSCIGSFLFAYDTGIVGGVLTLKSFQRDM GFTAANKTQISSNSASLLQAGAFFACFLVWPFTARFGRRWSIALASLVFEIGAILQLF FPGNTVACWYAGRTISGFGVGIATTIIPMYSAEMAPKHMRGQLGSLFQGCFTLGVFTS YWVTYGATKMAPSTRQWRIPVGLQLLFGGLLGMGMLFTKESTRWLAKVGRHDEALKSL IWVRGGEYTKDIQAEMNEIDAGIAEEERLTQGVTWKEYTLPANRWRIILVITLQIGVQ LTGNTSLAYFAPQFFAAVGAGNNSVLMSGFFGLCKVVACFFFLLFLVERIGRRWALLN GAFLMAILMLIVAVITATEPPTGKAGLTRSASAAIGMIYIEAMVYNISWGPVPWLYMS EIFPTRIREGAIATGTATQWLFNFVFSQVTPHAINNLGWKTFLMFAIFNCSLVVYAWF FIRETKGRSLEEMELLFNPSGTQLDLEGARQKAYSTEEPATSTKHDKNNVTV CC84DRAFT_268278 MVSLKQVALVAFTAGAAAQSGSGKTTRYWDCCKGSCGWAGKAPV NQPIRSCDKSDNPLSDMAAKSACDNGGGVAHMCSNQSPWAVNDKLAYGFAAVKLAGSS ENAWCCSCYELTFTSGPVAGQQLIVQATNTGGDLGQNHFDLAMPGGGVGMFNACTNQW GAPPNGWGAQYGGISKRSDCDGFPEKLKAGCYWRFDWFKGADNPDVTFKKVTCPAAIT DKSGCKRNGE CC84DRAFT_1221430 MERRRFLKLLEHPGTFRGADHLSCAEVETSEASTGSSVRKGRLA EKDVAQWLNKDPIPAKDNASSYKLRVLACTSITKSDHRPSLALSRTTYELLADRWSLP HSLLYVLSTRTSCFVPFEDIENRTTGHVFQTIDKNGRPFTCALTHSPHTSETNVLLFG LLPDEFEIICDELSTPPQLFACPTFIPICLIDMVNEIIEHDLESGRIRTHNIMLELGM RKSSTEGVYVDCSLHHCDFVPITRALTGLTANLAKCELACEAHSLLLGQMDKELDAWL NSLDAEYRRKIDRAAMMLRKRSDNLREWMQAMKPRTKYLTQRSQAYVQTVYSLMAQKD NALNMQTAEASLNLSRASFRDSAAMIAIAEDSKQVALATSKDSSSMFIISALTLAFLP PTYTATLFSTQFFDLNSKTADNMTSSRFWLYWAVTVPLTMITTACARVFYYLRDKRMR EKLCLARQDSQLTKIEKGPRKGSDISSK CC84DRAFT_1101321 MGIKEMARPRWLQSFTQHGAKPPYLLKYRSSEAFIVATIALAIF TDMFLYALIVPVVPFAIETRSHVNGSRVQYWVSVLIAIYAAALLFFSPVCGWLADRSL SRRSPLVVGLIALLGATILLDIGSSIGIMIAGRILQGTSAAVVWVVGLALLADTVPQE RLAQAMGYVGAGMSIGILIGPLLGGVVFDRAGYGAVFGMAYALIGLDILLRLLLIEKK VAARWDPEAGGRKRSHNVESEEQKSNDAVPGSSNGLEKEAAVNMPTVPEQSTLGWPAQ GPRENGRTPTKRRIRDRLPPLLSLLYSRRLLAALFGAMMQAAIMTAFDSVLTIHVANT FHWTSTGAALLFLPIVIPSFLGPIFGYLSDRYGGRYLATIGFLCACPPLVCLRYVDSN TIRDKVLICALLFLVGLSLTMTFPPFMAEISAVVEAKEKKMIADGMRGYGPGGAYAQA YALFNMAFAAGCTMGPLLAGFLVEQQGWSTMAWILGLLSAVAAVPTFFWMGGFLFAKA PR CC84DRAFT_1101357 MPQRTFLCRLIFCAIFSVSIINVFNGASSPSFFLRYSKPAAHLQ HDVVCPQSELADDVLVVLRTGATESRQKVPVHFKTTLRCVPHFVILSDLDEEIEGHPV HDVLGGHGGVTEKRRNEHDDFKLYHQLQKHGRQNVDSQRVIMTESGSSKGDYLNTGNE GWKLDKWKFLPMIDRSFEEMPNAKWYVFFEADTFLGWNNLLEYLSNFDASKPYYIGKH LYINDIEFGYGGAGFVLSNPAMRKVIEQRSMRVRDYEDFTATHWVGDCALGKVLEDAK VPLHRAFPHFQGDSPATLDPATSKIDRNAWCFPVITYHHVSPAEISDLWHFEQSWYKR HDILLRHRDVFRELVRRRVGAQVPNWDNMSSDKEYNAHDHGSLSDPLESNAWKSFKHC HDVCESKKDCVQFSYQPGSCSVNFSFRLGYSKPTERITSGWMTDRVDELFVKLEAKCG IRDWFSPAEGSHIHDQQRRRR CC84DRAFT_268927 MPLPNPWKMPSTPSSPPSLRRKTSLRHRNKARLGFLVLIAVLTV YAFNELRCMHYGCLSRVGFYGAGVDRAGGATLPEDWKYRLGEQLKMNMQAQAQVESHP PHEHNKEDIDKQKEEDEEKENGALIEPLTVTPTIDPGVLHAGDSDRWIDAPPGPVAPE KDVKEQMQADKQTYVDVQGIDAPPARLNKPPAEASEHLAAPSPGVQVSAEDLRVAGKA DTGAGKDAAKKEDVPEPPKLDKTKEKVDSVIAQKTVPKASSPASQPAPSSPPASKAEE TLEDIKAHAKLQSHSQPHTHDALGTNANTFGSMEMGQLMGGR CC84DRAFT_268915 MAMSARSQTENVRPGDLLLVVHEFQARSPDELSLARGDRVELIE RDDDFGDGWFLGKNTETGDSGLFPEVYTRPVPKPTLTAALHQRAPSQPAAQSAQHVLQ PVDVDAAPTSAPLPLTDSNDAAPYESASSTQPLQIAPSTSVTQAATASRSSISAQPRS IGQTLSGDAPREEEPTISSPVMHETLSVIDEHITDMSTPRHSATGKELRPVPSNDSGS EYSSHHRLSYINGHETEEEEHNLHTEQEVINWSPARVAEYLEDVGVEKKHCDIFKEQE INGEALLGMDQATIFLKEFELGPVGPRLRTWVKIKALQQEVKNAKDAAKAMAAFDGQE ESALDPTARTRATSMSAVLPRIPSLRDGPAMKSMHGKQPLPRAHSTAGHSMLPPVEVT SPLAQFSPTSPTAGTPTRPSAASVRSLNHNRRHSSIDSTMNMPTPTSAGGMKLPPVIS ASHKKTPSFDRGWVMGSPQSDTRRPSSSHYGVPGSPDENGFGRRESGISQMTAADLDR GYFSGGEVDNRKSRNVLRKRESPSHSRNPSFRTEDGRRATYNRRHSRIGSADSATGGA SSVSAAAKAYFGNSIKTHRSSSNFDFVRPLKPTNDGPPTVTKLPDYDSPSIDAIANSP RVPGSEASSLGRASPSPAAAPTQSHSFFSKKARGIGLRAISDAVTGTERANVSSGDIT ASPIKESPLHSPTRTGSSTPSGTSNSLEIPDLNKRSTGISVSKDGRKKAKKHSTSAYL RGRQQITPQEAMEGCDYSGWMKKKSSSLMTTWKTRLFVLRGRRLSYFYTENDTEEKGL IDISSHRVLPANNERITGFHATITRAASSPSSPQGATIPTAASAASNNGIEEDMAGMF IFKLVPPRAGLQRGVQFTKPIVHYFAVDSIQAGRLWMAALMKATIDRDDSLSITTTYQ QKTISLEKARAMRQRPPALMDDDDTENASIDMDSKQSTKESIQESVIHEEDEKQGLAI SGLDDAKALLEYAENGADPEPQLPPKSPPTVESPPSPEAEHKRKPSFTPGLVSIG CC84DRAFT_1154213 MARGGRAAPPAAAEDVATRVTLNHVLGNLGGRQKSWMNPGMVSG TPPIPASHLPTDVPRKRGRPRQYPEEYADAEPRQAPMQGAARDEPASNSTSPQLANVL SHPPNGRPLPSPTAVLPSPSPSEDTNLHYHTIVSHAPAHARQSMGDDQQAGHISLDES CLEGARQEATAPKRPAAGPSPLLANKRTRPSIAPRPLRTSSVYIDQQGMGRRVSAGQT QSESPTVHQPYSPGFGQSSNSQSPYRSQQQMSLQQFSAPQRVPSQAYVNAPTPPVSST RQVSLTSEARLGNSQATRDNPTVPMHLQTHILQELSRFHSMVQPDSSDRKRLEALERA AKQMDWDYLITHLFYCLLSLDRKALPDSIKKSKHASRTEHFLREVLNSNHDLNPATRT FFIRFPVPLHDWAKLNPSQFHTDVNNFNDLMNRSDRYFELKNLCNERNLPPSMHELAD DLGIRSRQLQTIVFTAAVRRLWFQQGLPRGDILNQYEHEALMCFSSIQETFHRRRSQV HDLEHERKLEMRYWAESFRAIYQVPVLESNYPTTSLPHPQQPQRTLSGQNHRPSLAQP HAAVQLGPEQSLGRPLSGIQPPRPQLQPQSQLQRQPRRLLIPPPGHHEPQQHMPNPAR FALHQAHLRSPTLHSKTKERLYLYVQDFVVRPRRLVDARNRVEKWTFTLSPEQMATIP QNDTGEARGLQVRVIDENSSMIRLRCVKWNPTQDFDPHRWATSDTSWIPYSFFQLNSK PLEQRKKLHYGKDLPIDLTSLVKEGENVLEMSLIRAPENHNFRNYLIAIEILGVRSHD ALMQNILTEQHIPAATTKQRIMDKLAGTGTTDDEIAVINSTLNITLFDPFSLSEICKI PARSRACAHFDCFDLETFLSTRKRNADSTVPDGWKCPICNGDARPHLLVVDGFLQEVR NGLEKQGLLKTRAIIISEDGSWKPKPEVREGVADHDDDDTPVRTSMQSASGQQKPPVS QTVEVIDLGDSDDD CC84DRAFT_268952 MAVRRASTEALSQRFLHLRISPRPANLSESREIHRVLQRFGEIS KYYNLQFEYHSPAPNSALLIYRDTAAAQAALAASPIRFALERTQHDPKTADETDDYNL SLFDESAADGDGDANAPPLPQMTPGIDEILAPSPLLTRTTPAPEPTSTTAEPPLPFAP PRKPPKTVSRWFQVTVDRSRAIHQDYVERQPFWKQFEPMRSLAQLDLARKVPHAGLSD VSKRPPHAYRTPNHVLAAMNRHLQFGQESLVKMWEEGEGGK CC84DRAFT_1221437 MASLFRDFSFGAPSRLPDHHHAESATLSVSPTSTSPALFPARLP TPPPSSCDINDLAQALTRQTLRLVVDPKFTAGNEPLTPPSDDDTFEKHIPLRPQLSVS TARMNSATLRMQRQANVRMQSSLAHIKDISSLVEKMIQVDQCNVCEPKSKPLAPAAVA DDEGVNMDYTSTAKEQMRLVLPFYRAGDRLDGSTRVSKRPRLRRSTGSISRLANKHSR CC84DRAFT_1262964 MARFWDSISSSFGRAVGFEVYGAENDYPPPTTSGKRKASEPRRS SRSHKARRYSASNSYRRAPGTYTTIAQEKKVGPRYLEKRQQRVSDIPGEEEAEEKPLV IGFVGHRDSLDRRPSNRTRRRPSTPSRRPSTKPVQEVDGDQLSGVAGALKNVFYKLTG TGRVESTMPATTEAGVQAQLLAEYPDEEIEARYLAEFQQDDYDDAKLSDGELGLRYFG PAPWAKNRDKWDDIMKDSPRIKSYPGKTVTKTHTTTILNPTAAKVTALKSHSYYPEPS IEVDSVARKKPEKSPVKPAVKPEVRRKIKLPVKAPVKPADRPVIPAVRPVVKPAVQSP VKIVDRPVNAPSVVGTSQVESDTLKKPTKKTVTQNAVKPIKKPVIETPEYIKEWTEAP YERLRTIYEDYPTSYLAQAQNELQEPMYAIRDVEVRDMMWQIQDGVEEVAALFQGTIP LLLNREKSKTLTRIYSDRTMSTSRVLKGVAGKGWTAEEDWHDVFASNGKRRALICGVI GNVLIEQVFKHPFFGGDNRNIDALFGLQRDLRAQDAFNRKHQSARLLSSLIVGRNLNN DPKEPKTILHPPENFTTHVDVVVTALDTHLRPLLLNLCKFRDLSKERTATIHWSFIEA LTRLVGTAGLLSLQMAVDPLTVYYHVPVAKGECFSHTLHEAFNNDEMERTHPRSAEMV FQSDESRKRARNDEAVVSMVLMDGLTAYRAGGWEAPGSNPLWDGDEVVGRVYAKDEYV GKGYRGRLLTPGWVFCKWDRAKGFA CC84DRAFT_268973 MRLSSVALFLAPLSGLVNLVSGQSMPDCAAKCLQDSLKAQDKCT ATDVACICADEPLNAAIQGCVLQSCTVIDALAAQNATQTMCGAPVRDITHITPIIAGT SGGAALIGVAVRVWMSGADFWLDDAMCVVALVFAIPMAVLEFLMSGLGFGKDIWTLTP SQIYRIVQFTWLTEIFWFVSIGCTKLAFLFLYLRVFPRQKLRKVVHILIGLGTLSTVI FAVIVTVNCLPITYIWTSWDGLHKGKCINLNAFVWAHAVIDIVFDCIIFAIPIPELIK LKMSLKKRIMIVAMFSVGGLGTIVSILRLQSLLAFANSTNATWDNCPTAYWSVLSCFV GIFCACMPALRKCLAFMFPSCFSSSVQNSNYNDYSGTPNARLSSNKRSKSRSALGSAL QSFGGITKTVDTNFTVTRVEDDEQELVHVQNKGALRAQSQWSVGEGSDKSEGERRTRQ M CC84DRAFT_1154220 MAILPDTVLGLSPWTWLFKIGPIAIVVYTLLWMVYAVTLHPLAK VPGPFWASVTRIWYMYQVYMGDMDKVQRAMHKKYGPVVRLAPNEVTSANAADIPKIYR LTDPLLKTDFYPIWGAPQISKQPDQFTCIDEKEHTRYRKIVAPVYSLANVLKHEDYVA KCTTLFLERMMEFADKKEQVDIGHWFQMYAFDVVGELSFGNMFGFMEKNTDIGGWIGA LEALMPVLCAAAIAPTYLRPFVLGSAIVSPTVFRALKSFEGIHTAAVDVVTKRVKEIN AGIADRIDMLQQFSKIVRDKGDQNGFTDNEVTLEAYIAMLAGSDTTAIALRATLYFLM KHPGKLAKCREEIDAHRASLSSPIRYTESLAQLPYTSAAIKEAMRLHPSVGLSMQRHS PKTGVELSGYFIPPGWRRTLMSFSLSDGWSLKREAGKWRSLS CC84DRAFT_1168101 MRSMRSKTSSAHFIALPGCTLGFKGTWDAATSMTWRLLLRIQVA GNRSSEVNEWDRRRDMIMELSIPGLWPIYLVLHACSASQTPGHKIGSTKDQTPSI CC84DRAFT_1229406 MLYKGGSDLAQKTQAGFPQQEQLGAPQSFASSSQPPVQYQQYRM GRSSAQTGHLNVQTHVAAPASPQVNVAVQMQALAVLAWHTALKAQSAPLSTVIYSSNY APAGQQTPQQPLVTMAQIASGAAPGLNVPGYQLSNLKPFDGHRKFIDFSTKLP CC84DRAFT_1128101 MPSTSEAVNNGHAPDLSPVESFSSSTNRFSTRAVLWKLYLSHTL STWNARTFEFSAVIFLGSIFPNTLFYASCYALFRSLTAFLLSPTVGHQVDSRERLGVV RHSIIWQRVSVAGSCGILLLLLRSAPETWTKVAWFSICVVLAGVEKLAFVGNTVAVER DWVVVVAEGLGVPREDLNSKMRRIDLVCKLVAPFGISLVDGYSTEVAIWAVLGQNTLS IVFEYFAIAQVYHAVPGLASRKSSTAPINIEGRDMATPNSRGLSAAIQQWIDYFMNPA CLASFSLALLYFTVLGFGSQLTTYLLTLGFTSTHIAGMRLISVILELSATCAAPLLMR RIGAVRAGLWFINYQLITVILAISLFTLSTPSTQTAGLALVAGVALSRLGLWGFDLCV QYLVQEDAPEATRGSFSSIEASLQNLFELCSFATTMVWARPDQFRFPVWVSGGVLAVS AACFAAFVRGKRGHLLHSSRCLEKRSGRYKVLPTIEEESELEPENVRQA CC84DRAFT_1168103 MFSNCRSVESNTELDSYFHSLMIRADALPAFFKNLYQNSRDHAF CSSAHGGKLSIPSITTPATRVYCMMPQWTQGLTKTKKVLPSNKESVKSSRPRSSPYIL QRLLVIDPYICCVDLSTARRWVNHTFSSHPTNTNPCLQHVSYCFTGTH CC84DRAFT_1168104 MAINKTITLITGANGGIGFELAAQLLGDASKHVLLGSRSFEKGE AAVKELQTRNLPGSVELVQIDVTNEDSINAAVKEVEVKHGRLDALVNNAAIADAPGTS FRKLNEVFRTNVAGPYATVEAFAPLLEKSTRTPRIVNVSSGGGSIGLRLDPSNAFYTL KGDQYRVSKAGLNMVNACQAVEYGPKGWKVFSFCPGFTVSNLGPHNKVENGAKPTSEG AGPMVGILNGERDSEHGLLLKADGQWPW CC84DRAFT_269122 MAQAASPIDIATPPRFGSNSPQNQTSNLTSALREAGASRNTDTP SIQQNGHEFQRPSLGARNDSINGLGGSFYGSGARPISMKDRPRRESNAMNSLVNGLSW GGVSVGSWIRDDILMTGTSPAAFNNQSPSYHSSSYLPKLEANFMKDFACCGLTLASLH DLLQHFEEVHAGAPPSRTSQAGQGGLPPSTGASSAGITPGQTQTQGEPATNTQFGFHP RSGSVGGFRAQRLGSDGFSRTNLSTVQDMDDNMDMDMDDIGNGLDTIEEGPNGFSSQQ PQFNQNQANLQPLNINMANTMQNHQGLRTSNPSTPSASQQFNLPNNPTVSSVNTPTLG TVPMTHNLTSPESSHPSTPAELDMDFINGFNQPMMGIPGMDMGFGNTGFNTGMPGFDG TIDQPGKRLFSKQGSGLSQQQLQAAFKNYQMSNGDQTDLAKRLREQALMNGANVPQFP FPEEVKPFRCPVIGCEKAYKNQNGLKYHKQHGHQNQQLKENEDGTFSIVDPLTSIPYP GTVGMEKEKPYRCEMCGKRYKNLNGLKYHRAHSPKCNPELLGAQFSQLPALQGLNVGA NVAGAGMTGMDNSMF CC84DRAFT_269198 MLNQPTPGIRSRRAANEHASSENFLIMMKTPPEIYRKETIRKDI TVARSKKNKEPLITLLDAHPIVIPALLPVRKDAVLEKYTNGKRSCKCHIQVNSTLLIL RGHQKQPQETPSRCKEAMSLLIFVNADTPGEIRGNETSSRSMSERTP CC84DRAFT_269105 MHLARTLRDFGNYAVLDFVSTLVHKVIYVFLQAYACWWCRAWDR YHAAGGHGRLFQMLGKRMGGAVPRLLGVPVKLGRVESLLGDSGLREGKEGRLRGRVPS AHDFEVWRFEDADADADADVQGADVRRLIEKTLSEWEV CC84DRAFT_1209009 MAPYTLENHEKAVQDLSEEVLVFHKARIPFRINHGSTHATRMRP PGTPQLFITHLNHILSINVAEQYAVVEPNVKLGDILHEAQKVGLMPTTLMDFPDVTVG GGFSGASGESMCWEEGLFDSCVLEVELILGNGDVARAVKGGKNADLFDAARCSLGSLG VVTLVKMRLREAKDSVLVTYERKHSVKETIDRIAELCGNENPDHHYIDGVVYSQTHGV VVTARHIDSKSAEAKKYPKQRFDRPLDEWCYRHTKEVPSGHSEVVPLRSYLFRWDRGA FWGGETMLAYFGVPSNRITRALFDKLCTARGIYKAQLSTGSADFAIIQDLILPVETSK EWVDYVDEELGIWPMWCTPVRKLANDTEVWGHPFWKTKPGEEDAGVTQEKGRLFMDWG VWGACDGEPEVFNRINRRLEERLKELRGMKLIYAASFYTEEEFWDLYDRKRYEDARKK WHAETLPTVWDKIRRNPSKKREDIEAEEERPLTLFERLLWVWPFGGLYQVFCILFR CC84DRAFT_1128112 MELVASRDQPSGPPPGPPHLQEKEETQVEESSSDPYPEGGLTAW LVVLGSFCGVIGAFGMMNTIGIFQAYVAEHQLKDYSESTIGWIFGMYVFLAFFCGIQI GPIFDAHGPRLLVLAGSICLCLSMFLIGLCTAYWHFMLVFGVLGGIGTSLIFTPALAA VSHFFLEKRGYATGIAAMGGSLGGVIFPLSLQKLFPQVGFAWATRIMGFIILACCCVS VALVKSRLPPKPGQSVMPDLRIFRNIPFLLVTIGVYFMEWALFIPITYITSFALSTGA VTPALSYQLIAIFNAGSCFGRWGSGIMADKLGRFNSMVAMLALCTATTVTLWLPASIL VPNTSSDKTTVKALSIVYALIFGFASGSNISLTPVCVGQLCDTNVYGRYYATCYTIVS FGTLTGIPIAGSILSATGARYYGVVTFTFVCYIISLVCFIWARACSVGWKIAVKY CC84DRAFT_1128115 MSSEPPVRGWIDPNFPNPMGPGDATIIIYGYTPSIVVAILGIVL FALAGVLHIWQLFKYRSWYFSTMIVGIAFEIVGYVFRALSAKVDPYRVTYFVVQYFFI VVAPVFFAAAIYTILSLLINATGRHHAPLPPKAILWIFITCDVVATVVQILGAALIGI AESNRKDPTTPNNILLAGLAFQAFTFLLFIILLAAFIARARKVMFEVVTKWFYLAFIA AVVLFYLRVCFRLAETAEGLYGELNTHEVYFGCLEFMPVVVAVWLLAIWHPGRCVPRG TSVMRSDV CC84DRAFT_1168110 MTSIDDLFKGTSSAIKRKFENPSEADPTQAFKSAKLSSNSDAKR PAHAYVAEEDDDEEAGPSLPPDFGDEEGDDEDGRFFGGGIDEDAKDALDYLDAQDGEE AIGEERYDSSWLRKMAVNFEKKVSKNASLRAKYEDDPSKFMESEGDLDESIKGLSILS EHSELYEEFARSSAATKLVELLAHDNTDIAIAAIEMISELTDEDVEAEQEQWDALVSA FLEADLLGLLISNFGRFDESNAEDASGVYHSLSVIEALLSQPANTDLVGKEKKLLDWL LNRIQQSEKSTTQNKQYASEILSILTQSSRPNRKRVTESNGVDIFLTQLAPYRRSDPE KESAEEEYMENLFNCLTSLVDEPEGKMKLMDAEGVELCLLMVRDGKTSKSRSLKVLDH ASGYAESTPSEEAQTNGDSSKAKGKQAVESPSNTAAPVCEKIVEARGLKPIFSTFMKT KKPDPETTEHILGIFASLLRSLPANTDSRFRVLAKFLEKDYEKVDKLVALRRDYAARV AAFDAKLKDRKRGLSKEEQEELDVENIPARLDEGLYCLERVDAILAWLVAEDDGAKKA IVKALGVRDESLKDVKKTLQAQLNGVLEVEPAEREMLETLMAFLQ CC84DRAFT_1168111 MRKSIDDKQITPVSPAPTYVVSFPQSCTISSDQSSRKIPPFDQS QQLCEELEYAHGVDEPACLRSLTKIHRLPDAPRTPLDSRSIITYCLQDLDTPALNKLN RRLWNCGANPYVKTLSHQLTFERRIVVTEDPSLHLCSYAFWEHMLDPTPL CC84DRAFT_1101022 MSVSAALKSRVRRPSYLKKIAKAEDLLHHFPNGAYVGWSGFTGV GYPKKIPTALADHVEKNNLQGQMKYNLFVGASSGAETENRWARLNMIERRAPHQVGKE IAKGINNGNIKFFDKHLSMFPVDLMYGFYTLNKPNNRLDVTIVEASAITEDGGIIPGA SVGASPELIQMADKIIIEVNTAAPSFEGLHDITMTDLPPRRKPYLVMSPEDRIGTPHI PIDPERVVAIVESDYPDQTQPNAPEDETSRAIASNLIEFLKHEVKHGRLPENLLPIQS GIGNIANAVVGGLASGGANFKNLKVWTEVLQDSFLDLFDSGNLDFATATSIRFSPDGF ERFYKNWDRYAPKLLLRSQQVSNSPEIIRRLGVIGMNTPVEVDIYAHANSTCVMGSRM LNGLGGSADFLRSAKYSIMHTPSTRPSKTDPTGVSCIVPMCTHIDQTEHDLDVIVTEQ GLADVRGLSPRERARVIIKKCAHPDYVPILEDYFNKAEFECLRKGWGHEPHLLWNSFD MHKHLNEQGTMKLPSWDWRSSEAGMASEKSNL CC84DRAFT_1221450 MAPILRNNQDRDSSNYQHHCGLCNRAPGNRCFKQSHVAYCEACG DIFTVRSSKCGGGCLSHPYSRGYNLRYKKLKSKLPADDRNDHDLNLEAEAREQMKAEA EKKQQVAAANEAAKEKELTNKEKKKLRFIQKGKRYK CC84DRAFT_269242 MARFARAEGANNDYNHHCRTCKGAVTTRCFEKYHIYHCEECGTV FQSFSKSGCNYHTYADGYNLKVKKVKSGLPEHHRTPFELQLEATIRAERERRGAEATR TAAAQRDPREEESRKANRGKKSKVVQKAEKRRPYDNQS CC84DRAFT_1100810 MTLLASNYANDPIDVFVGDWMSEANMTARANVKLNGQMEAYEPT FLEALEPALLHIAKHGIKVAVNAGASDTKKLHEVVKKMIAGKGLKLSVAWISGDEVLQ QLIDAQRRGESPFENLCTEERLEDWQFEPIYAQAYLGGLGIAKAFEKGADIVLCGRVS DASPLIGAAYWWHKWQRSDLDKLANAFVAGHLSECSSYSTGGNYTGFKDLEGLGWENI GYPIVEIAQSGEVIVTKNQGSAGEVSINTLTSQLLYEIQGPWYFNSDVTAVLHDISFE YISENRIALHGVKGALPPPTTKVGITAKPIYQAEMHWFMTGLDIPAKARMMEQLIRTQ MGNHAQKLLNLSFQIIGSCAENPTNQNAATADFRVIAQAHRAKDLAPAKFVRPCIDPI MCAYPGATPHLDLRQAFPKEVFEYYVTLLPQSVIKHEVHLASGEVIDIPPPPDSKTWP KRQPSQDTTTTAADLSGFGTTIRAPLGTIVHARSGDKGSDCNVGFWVRHRDEYAWLQN LLSTVFMKELLAEEYNGKSIERCEFPGLKAIHFLLRDHLDRGVSCTTSVDFLGKNCAE FLRARVVDIPAKFLARGHI CC84DRAFT_271392 MLKPAMGLGNLLPLLYLPFAFAQSLTQGLESPTGYVPCDALISA GFRDRILTASSPEYESRIQSWWSANTRYHPWCLFQPQTTREVSLAISTLAKAGEGAGD WHIAIRSGGHGWPGSNNVVKGVTIDLGHMNNSWYDDGKKVASLEPGAKWRDIYAKLLE KYNITVTGGRDGDVGVGGFLLGGGISYYTGTNGFGCDVVVNYELVLANGTIANANATH NVNLFKALKGGGPNFGIVTRFDVEAMPAVDLAYGQILTSMEHSDDVVQSLIAFTEKVE ERPHDHMFVLYEHSPDINGSIILSVTTNTQGNMNTTSFDGFRSIPALSSSWESTSLAA AANASQVTSGYSSASATLTLHLTPSLLAYATTLHTRLTTRLTQLLGPTAFLASTIFQP MPTLYSTISPQKGGSMLPPFRTNSIMWTGGVGVTGGGDAALAIAEAELLSTTQRLEVR AREEGALEQLVYLNYAHPGQDALGSYGAETVKFMKGVAGSVDPGAFWQERVPGGFKLG RVV CC84DRAFT_1168116 MSSYHYTSETPDEVKNAKGLHLITQSTPNGQAVQIFLEELKDAY GTEWTTTIIDISTNVQKSDWFLRLDPNGRIPVLVDNTQSPPFTVHETSAELFYLLKFA DKEDKFGFKDELERNQTLQWTFFWHGSGAPYQGQVNHFSRAAPEKIPYAINRFKNETL RVFGVLEIHLSGKYTGTPREYLAGNGAGKYSVADIKTWPWVKNWERSGFSKEDVSAFP HLLKWIDRIAERPAVQRGIGEAYAKKTI CC84DRAFT_271328 MAFAPLICVVDFHHARGPEVERWFGVEEGSADPSVENDWVNLPF MALSDGAHASTEDYSYFTLRRAETPKHPASSLFGISCTRQLDASKLIDRPAEVTRSTV QKAVVVVSDSPQYFGAIKAQLGVVTAAWFAQRDFTDVEILQRFSESLPTLLKNQEGEP DHYFGISLREMIHEFKWQTLVLFKCALLQPKMLFFGSHCERLCMMQFALISLIPGLIR HLQDSADPKFNSCETELVMPTSLKTSQRSSLLTYMGLPLQLFGRGSLFGPYTPLQQLD VLADQDTKSYIVGSTNSLLLQQRDRYSDILINLDEHTVNITSSSLQHALTLSTPDRRW IDFLTQTVHDTWDESNPSRPKDHGYAGSEEFIRMQFEEYLLAMLSAVKFKAYQEKNKD KERIITEHEGDPAGEFSNNYIHAWMQTENFRIWNKFTDSHLFDIVEPKHPCSGGLSIE DVQRRLAQ CC84DRAFT_1168117 MAEFVRAQIFGTTFEITSRYTDLQPVGMGAFGLVCSAKDQLTSQ AVAIKKIMKPFSTPVLSKRTYRELKLLKHLRHENVISLSDIFISPLEDIYFVTELLGT DLHRLLTSRPLEKQFIQYFLYQILRGLKYVHSAGVVHRDLKPSNILVNENCDLKICDF GLARIQDPQMTGYVSTRYYRAPEIMLTWQKYDVEVDIWSAGCIFAEMLEGKPLFPGKD HVNQFSIITELLGTPPDDVIQTICSENTLRFVQSLPKRDRQPLANKFKNAEPAAIDLL ENMLVFDPKKRVKAEQALAHPYLAPYHDPTDEPGAEEKFDWSFNDADLPVDTWKIMMY SEILDYHNVDAAAQGEESQS CC84DRAFT_271444 MRHGFAVAGQEDDHCQERGDTNTAVMEMRRVKESEEEGNRGARQ YGRPQPAPVPVAGLAGFAQRLARSISGDVIDDFPINAARLPCMSVLSHGSPYTTLITL LLGIAYAYLAGALASSSSFSVLCAGIVSTCLSCRMGSCTLRAASGYSPGQRRFCSHIE KPNCAWRNWPTTSSPPSTDSRRPVLQLVSLTNACPIASKLHCACELPAEL CC84DRAFT_271473 MGICMSSADNQDAEQKKRSQAIDRKLEEDSRRLRRECKILLLGS GESGKSTIVKQMKIIHQNGYTQEELAMYRLTIYKNVIDCAKALIGAMHQFEIAPSDPN NEEFCRYLLDYTVDPDPEKPLDMRVGQAITSVWRDPCVSKVLEHSSEFYLMDSAPYFF DEVMRIADPNYIPIESDVLRARTKTTGIYETRFTMGQLSIHMFDVGGQRSERKKWIHC FENVTSIIFCVALSEYDQVLLEESSQNRMMESLVLFDSVVNSRWFMRTSIILFLNKVD LFKAKLARSPLGNYFPDYSGGNDVNRAAKYLLWRFNQVNRAHLNLYPHLTQATDTSNI RLVFAAVKETILQNALKDSGIL CC84DRAFT_271467 MSSGRLHIPFPRTFLSVNTPTKKAGSPVEPTAAPEPQQSAHSFL SNRPAGAQRHASVSSVSSVDSIASAASATRGSPPASPVLEQTLPAFLSLNAKYAAPPK TAAALSGAEPHGFLSNRH CC84DRAFT_1154264 MSAACASDGSFGPGVGSCRGGFDLTLGFEDSILGLLPQAIFLAL APVRLATLRRRRDRVARHSHLGFLKTISGVLYVGSSIALLAIWSEIDSFKTKLSVASA ALEFMSSLLIVVLSRMEHSRAVRPSHLLQFFLLVLLLCDAVRLRTLFLMDYPTSLVTP ASIHTFLTGLLLLLESLDKRELFNSDGDRKLPPEETIGLFGKRLFWHLNDLFRDGYRK VLKPTDLSSMDADLASKARAVAFQQALVAQDKSRSMPLLRVIFKILWPDLLLPIIPRV IQMATTLSQPYLITAMIDFVGEPKTPQSTNKGYGLIAAFALNYALLAVAFGWTAQSMA RFMTKLRGCLISALYDKTLHTSSKDVDLGSATVLMNVDVDKILQASKQINEVWAAFVV SGVAMYIMYTHLGVAFVAPFLTMLIATGVTTMLGAMMRNRMSLYAAATERRITAIAYV VGNMKGVRMLGLSETVFQMLTKLRHAEVDASIYFRKAIVWITLISNVMFQLTTLTTYV TFAIITLAKGNGTTLDMNRLYGSLSALKLFTTPFGMVLQILPQIQTGIASLERVEKFL FGQVVPSEDRDASGPSLSGNDMELLPLTAHREGGHVLSLRNATFAIDSQPLLFDLTTN FSANTFTMIVGKVGSGKSVLLRSLVGETDLSRGQFQHSRLGSAFCDQQVWLRNATVRE NIVGEDALDESWYQKVLWACGLLQDLQVMKGGDSTAIGSKGISLSGGQKNRMSLARAL YARKPVLVLDDMLSGLDNTTEKLVFNRVFARSGILRKSRATVILATHSTHYARHADQI IVMADGRIAEQGTYQELLEKNVDLRKFNDGETSSTADSDEETDSTSEADIASQLTPKP VDDKNEDDLARQTGDRRSLIFFIKTIGAFHMTLSWLLMVGGIVMTQIQFLWLKWWAEA DDQSRRGTIRQLYLFVIVTVVNIAAYFAYFVHYALWFQPRLSLNMHANQLVALMRARF SFLVSTDIGSITNRFSQDITLVDLQLPVSWLNVTFEVIYTICSFVIMAIATPPIASTI PVLGVVGYGIQRVYLRTSRQVRLMDLEAKAPLCTHFLETMAGLVTVRAFGWGEAYRKR NDILLDQSQVPYYLLAAIQNWLTLVLELVVAGMITMIVGLAVGLKTKIDPGYLGLALI SAMDLGVNFRIIIVYWTELETSLSAVVRILQFSETPPEELGLTIASPPPNWPKRGAIT FSNFAASYTEEGKKVLSDIDLSIQPGEKIGLCGRTGSGKSSLVATLFGLLHQREGQVA IDDIPITDVSLSTLRSKIIALPQEPFFLKGTVRYNLSPWDIEARRPVVSDEQMQLALE EVQLWEKLSGAAEMGQSALDLRLDNVDSLLSQGERQLFCLARAILMDGKIVVLDEATS SVDAHTDALMQRILRTAFADRTIIAIAHRLDTILDFHRVVVMDAGRIAEVGVPSRLLE TEGSLFRALVESQKGA CC84DRAFT_1221459 MPRHFQFLDLPREIREIIYEALLCNWELNHTRERAYLSTRFDNP TAILRLNHQIYSEAFDYLVKHNQFISVDCDGISIRSDPLGRQILAVTEDPKKIGQFNG YVARIKWSTNKDPLQKIRRKQYMVLGRQLPDLLKCTDYHVMTQWPAITMTIAINPIRT SRTDLTTPSLRPFQISLLHIIPTLLHAFPGLTIEGTAVPRRLAGHIIRETARPRWMTP AAALADLLWFKHNNDRLANEAYYLSTNTAIECGLKTIDYMRASPGWPELILAGGRSFV NAVAELAFELRVARAQRALDAMERSQSRRNLVEEFSEHVNADLAKCLPPVPSDGDEAF WEPNQEQVAVVLCMHARKHRLLLDVESRDAAVGLVERALQMVPDNEAVRRESIAVAAW SRRVDRYINEVWVSSSGAMEEMWQWWEQEVEL CC84DRAFT_1101589 MRIFKGALVFAGLSAAYNKRQTKPTATIDSGVIVGTTTSLPSAP AVVNKFLGVPFAAPPNRFEPPRNHKPWTQPLDTKTRKPACVQEFAFPQPVKNLTLALF NTPPPEESEDCLYLNVYAPATPAPKGGRAVMFWIYGGGLYFGDSSIAAYDGSHFAAYE DVVIVAANYRTNVFGFPNAPELDLEDRNLGFLDQRLALDWVQRNIPAFGGNPKKVTIF GESAGSFSVDALLTSFPAKSTPPFHAAIMESGQLSYRGSPNVGRLYPDGKPAWDALAA ALNCTDAQSNLTCISAAPASTIKEILESEFLYFPPVQDNKTYFSNIAERRKSGDIARI PILSGTNADEGRFIVYSQNNLTAYLQQALGAVPSPEFVAAVEKQYPVGSERYPTAYDA LADIDTDISFQCGAALVANDTSAIGTPSWRYYYNASFPNTAGFPDSRAYHSTEIYSVF STYPLVNSTAAQRELSSFMRGTWARFAKDPAAGPGWRAIDVKKKAAANVAVFTAEQPK RVNMVAQSEVDSRCAFWTKILAGRH CC84DRAFT_1154270 MRQPNAHGVCRFYQQRGSCRFGETCKFSHDLTSGREKKPREEET VTQQQARGEYNYWKRFIKSPPRPNDEKTSLQLWSGALQILDGDEREWKQMLPRDFDNE DMFGRDHIKNTMAVRTRLTDHHGLIDVTLAFLRTITHPAILDCLSIETYAGSLYNFVT GANGKRAIPFFQHVCEIVGKVYSDTITPDFTTKAEQLMMAMCLALREILRREPRARFN EDLPALMDGIENLVQMISEARSQAYTIVARHIGEMRAVVDRANGLLAQEEEVQELLQP SAAPSSYPRTIDIPRDRHDNDKADISQMEIFPTREEIMSDIPEFLPLADKDQPHFILD PAQRHVDTNFRLLRYDTFGELKEALGNLMHAIEAGPEALRNPKLSFGDFRAYHYTNTY ISYLSFDQRRGLEVILSFPQLQILRGKSATNRRKWKEHSLTNDERQATITAKLARHNQ EDIETLVRLSCEKTRGVLIEFPGVLPATFMPVLRNLQEMNRLGRLPFQDWILPGKTQT TKQTERVDVPPPLYARGGFHYSLEPIIKTDIPGNGDIRINPASITVNDGEILREIEER TQLDFGQCRALLAALSREFAFIQGPPGTGKSFLGVQLMKVLMECRTRARLGPIVVVCY TNHALDQFLEHLLETGIQKVVRLGGQSHSTVLENHNLRKVSQAESKTRSESYILGKSY DALENESDFIKKSLGRVHVASRGDWKQLQFHLRMTHPAIHQQFPDIDEDGFKMAGRHP FDIWASTDINMLDVAAEYAQALPGKDALLAKAERNVHSLSRAERAQIRNVWAQEIRDI ALDDIFERVKDTERIQQEITNVHDEIDRRVLQNADVIGITTTGLAKRIATLQRVRCKV VICEEAGEVMEPHMISALLPAAEHFIQIGDHEQLRPQINNFKDLSLESRKGRLYQLDR SQFERLSVGEPGRLRMPVAQLNVQRRMRPDISRLIRETIYPDLVDHATTAMQPDVVGM RKNVFWVDHDNLEDNQQSDMHHKSHSNQWEVDMVHAMVRHIVRQGAYKSSEIAVLTPY TGQLQKLRAAMRSDFEIVLSDRDQEALEKDGFQDSEDDSPSIRHSDTVGHRKAPLARK TLSELLRVATVDNFQGEEAKVIIISLVRSNNIPKVGFLKTTNRINVLLSRAQHGMYLF GNSKTYSYVPMWEKVLGILRASESVGTALELCCPRHPETPIQITQSDDFSRLSPEGGC REACPWRLPDCGHMCKARCHSETMHAVFSCPQPCQRLLDPCNHLCQKSTCGEDCGRCL IKLDDVELPCGHFKSCVPCYMAQVPSLIPCTIQVERTVPECNHLVQMPCGRDVKAENY KCPTPCSQLLKCGHPCHGTCGQCKPKAGGLTTVHQICQKICGRKMAACNHTCGKPCHE GEDCGTCSAPCEVRCKHSKCTELCSEPCAPCIETCTWGCEHQGACMMPCSAPCNRLPC DVRCSKILECGHQCPGLCGESCPESLCHKCTEKRDSRVDLLEMLTYAEIDVNENPIVV LGCGHFFTAESLDGLVGLTDVYTSDKFGQMNGLADISRSLAGKIPQCPDCQAPVRQYV TQRYNRVINRAVIDELSKRFLVHGKTSLRDLETRLHSIQKLLDDSRVEITRPKSNPLE DLILMDFDGRRADHVKAVSAEKMKERYRAPFKLGSDIAAFLKTVADRHQPARKLHEAT IHAIASNRSGSLDNAFSSLAIHEQVPMVERDRRVVLGGRALLIKTESMILEDKFHIAK AIGNASNTKFPGGSPTALASAFLASCKSAITESTAANIPKLAVELSLYFANITQMYQC SGLSEERGKAKAKEYVAEAKVLLKIALESCKQPFQNAEKLKVAVEESLELLHRPLYEE VTAEELAMIKAAMVSGPRGIATHSGHWYNCANGHPFAIGECGMPMQLARCPECGAPIG GSYHTAVAGVTRAVNMEQ CC84DRAFT_271628 MSSPYYIPALNAALSYLRKPPLETMPNTQGNLLPYEYEMLNPGY FRLISLQPGHVDEPLVASVSHAPLLAEPPTPYYEALSYTWGGLEFENILRIQGKGILR IGRTLHDALRRLRYPDRSRSLWADAVCINQQDNSEKNHQVALMTQIYNRADKVLVHLG EEDETSDLAMECIEDFERTVSDEDARIVGKLLERPWFSRIWVVQEVARARVAVVICGT YCVAWDCFARWPFRAISRTATWTRQRVAIERGTTSEIPGILNYASGYTPSTGSDSLLQ ILHENRSALATNPLDKVFGILGLMADITPYEHIIDYSEQTHKVYTAIACQILSDTQSL RLLSAAGLVEGPGSSYGIEQLPSWVPDWSYTKPDVTFGLGKTFMEPFNAGGRSFTLHE GRGKLRVEGIKTAVITKIGNIDTSNRAEERLSEMVESWQELFRDTESSYSRPMVSSSG LNMEVFCETITACPPKTVFTTSYSTYGPKWRLQVSGLKPQVQRRILRVTYNRNFFIAR KTNSAFEEHFVMGIGPAALREGDIVAVLLGGPVPYVLRASPDDHATYQLVGECYVHGI MSGEALHHVREDVEAKGCMLPPTSVSRCSGPLETFDLV CC84DRAFT_271632 MSPIPHWAAALLLATKATANFTTSYYLPNPNFLPSRIRFLASVI AADKDRVTLAARYDDDPDYAALDLNLDARFSMTMTLASTLYAQTTTYTAGGGEEEAAV DPVTIWIRRRCEIDVDMSGAQCTAEYNEALALRECYRAPPGLFDDTPVVSRVGTTWVT LPPETHTAGQSETLLWTFSDTDAAGVQTIVRPDPHAENYYSNPPPDWCSGFSQNYSNV VVPSSALTSSWTLQKSEMGLFGFTITAGEEKMAKATTGASVTVGSATPTATGTGAGAG RTGGGSAGAAPARTMAPALWGVGAAVAMFL CC84DRAFT_1262988 MQVARSTVAQSFPSGSSSQPAMYANSPHGVMAAPSFNRSFSDAN GYQPHSMDKPQIYTAVYSGVSVYEMEVNRVAVMRRRSDGWLNATQILKVAGVDKGKRT KVLEKEILVGEHEKVQGGYGKYQGTWINYRRGREFCRQYGVEDVLRPLLDYDVSADGI QGQGIETPTKEQAMAANRKRFYTQSMDGRGGAQSVGGTFFSNISSTATSALAAMNKVA RLNSPAPPRPASSSQGRRSMGRPPQAPIGSQDSFRASSQQSVQSMASERSFGAPNGHT DSAYGTGVDDIQEPPRKRMRPSQEESFSQSQPNGGEVMMQDHGSPTEPNESFYQTGLP VTTPDGDVSTALTPLPHPSDKMSEEKQAMLTDLFADQSRTDFTNHPAILHLSGTDLDM PIDNSSNTALHWAATLARVSLMRLLVSKGANMFRGNAAGQTPLMSAVSVNNSLDHSCF PETLEILAPLIELRDAQGRTILHHIAVTCAIKGRAASSKYYLEALLEYLVRSNIGASQ SAYEGTGNYAKPIGLMRFMQDMVNARDKAGNTALNLAARIGNRNIISQLMEVQADPTI PNHKGTRPIDFGVGSDADADLHTTISSPSKGKAPLSKVEETSREIQPLMSGILQSASM QFTQEARLKQDNIDRTNEAIAQLSALQKTEQQRLEAIRARMRARQDRAKRVSNLKRWV DLQRKSLAVSTDANLREKRQIGYADIEGSNLAISASDLPSQLLVAGDHLIRKASDGPA YLNTPLPADAAFLTQTNTNTLANLPSIPTLRSKLEVYTKINSKLAERSNMLKEKDGQL EVMYRKVVSLCTKVDEDNVDSVLDLLVQALDSDPLEGADVGRVKEFLRKVEGVEA CC84DRAFT_1101705 MQPPQTATSLRALHLLRALLREASYLPDADARRFFHRYIVKRFR AYHTADNASPSLDKKRHGFGKQKDASIVEERTRLLRRQGSKGLNYLRRANNGEHGCLK KILFFTYGRIGTRKYALLADLLRPDDPTAVLQPSPLQKLYYSNDRFLSFFDAPKKVSA TDYNIPISDHYRRLKTTLKAQVQNEVALGREIKRHQINTPINNVWGRPMPIRRARNIV RKWYAETMTRLLPPLPISEFDNMQAMADGRREVSLVKRRAPAVELHPHPVEESPAADR FAKLVQDALVLEKPSRADTRRPRHIDAPIMRRLYASVLVYCSKLEWNEKYKKWESVWG RMRGMSPTFNSAAHHDDLFAGVDEKGNLPKEKQPKSPQTPPDEDDMEAEKYVNVPFYV NLLPPEHPTRVTASKFLEKRGRVQSASGANAPRKPALATNRSERRARNPSTP CC84DRAFT_1229456 MASNGATVRHATREDVNTIYDLIIELADYEKAKSSVKASPEILA KTLAFHDPSTPDTFTPGYARTFLLVAPEGEVAGMALYFYNYSTWTGVPGVYLEDLFVK TKYRKRGYGKALIKACAKECLRINGQRLEWSCLDWNTPSLEFYESLGATQKKEWVGLR AEGDALAKLAEN CC84DRAFT_1101068 MKFTAAALVLAGAVSSVESAVLGERATYAVKGAAEGFAKGVTGG GSAACAVPSSTTQLKTWLSDSTARCIVLDKEFNFKGTEGTVTENGCRPASNKCPGNGG QDAINQASWCTNGNAGTGSTTIKVTYDKAGISGLIVGSNKSIIGVGSKGVLRGKGLRI ANGAKNVIIQNIHITELNPQYIWGGDAIAVDGSDSVWIDHNKFSLIGRQMVVLGNGAS NRVTISNNEFDGVTSWSATCDGHHYWTIYLTGSNDLVTLKGNYIHHTSGRSPKTGGNS LIHAVNNYWYANSGHAFDNGAGSKVIAEGNVFQNVVTPLLENKGNFFGAPTTTANAAC SANLKHTCQINAFGSSGTLGGTDTSFFGSFAGKNVASAAAANAGTIQNGAGIGKI CC84DRAFT_1221467 MAPREGGTRKTAPSRDQGNRDSINSRETAHLDVTTPSSTPDPTA RRQSPFEISEPAEPQTRTCKNAQPHESSNEDTPRSATPSAGSNADHNELQLVARIQSA IEYAQSPEPHALASHNQFNIAVTDPPSAPLRLLRKCTIATLISSSPTNEWWAGLSPAE IRAGPVRDDEDVKRAEHEVKSKAERRRNSLKTKNGVLKLQKEYMRESLRRQTLHLPME GAISRKAEEEAMEKYGQEWENLLGELDEEEDDSIGAGERGAVDGMDGEAETEHIDLDG EGESGYTEWLMT CC84DRAFT_1154284 MSTYSDGIPTSFDPALLNSELEFLDAPATNSFSDDLSAIQQCLA EEKAKKTAAGIVIQHRIWSTVEAFRSEEDYPIDTPLKHQKNAPLQRFPINSGFASSPP IMPLISSPVAYPASSLPNPSPPKKRKRSDAERSPLKDVPNTNGSRRVGAFLDDSDDED DIAALKEQALKRRAINSIKDLPALPDGMDDYTPPASQSEIADPETVPIEALDEYFKSP SPVKQSAMPIAEPTSAQPNRGKVVRTASGKPFYLPKKTQRESISYEQLIAARSDGEQG QARQSYYGINIHRLIDDAKAMDEAKAVQQEQTRAEPPRQSVEQPVSGKASRTLMWTEK YRAKKFTDLVGDERTHRSVLRWLKAWDPIVFPGAARQKPKSAKKGLEEEEQKHRKILL LTGPPGLGKTTLAHVCARQAGYEVQEINASDERSKDVVKGRIRDMVGTENVRGVNTTT AGGKVRKAGKPVCVVVDEVDGVVSGSGGSGEGGFVKALIDLINLDEKNSKNIGQRSST GPTRKKKGDKFRLLRPLILICNDVYHPSLRPLRQSSCAEIVHIRKPALNMVVSRMQEV FVKEGIQCDSDGVRRLCEATWGVSTKKEGGTGSGTGEGDIRGVMVVGEWVAGRFRSSM DLKAKERPRLSRRWIEDNIINDLGHGGGAARSLGRGGAKDVVDRVFREGAGFPKQAET TDVATATARAKQGVVGVAEAAKKRAMARLREMIDTSGESDRIITDCFSAYPLKAFQDD NYLTKPTAAYEWLHFHDTLSSAVHGSQEWELAPYLSQSVLAFHNLFASPRQQSSNPIA DPDAETSAFSGPSANYMASEEMKKNRAQLMALQSTLSLPLTRQFRSPEEMALELIPYV LRMLSPDVKPVIVNTGATGSKSVATASVRKASEKVLIKKAVEAMAATGVRFERSRVEL EDAANRTGGWVFRMEPPLDSLAAFETLAGKKDEKVRYAVRSVLETEWKKESVRMDAEA AKRRGGHLDTDDTVDAAKEEVDAVKASEENQRALKRDFFGRIIKDVPMGQGVRREKTL VKNHDEGRIWVSFHEGFSNAVRKPITIDELMRGL CC84DRAFT_1262993 MTFSSSKAGWHLPFAFLTAAFLLYSLLGLGFTQWLASSQARPAH ALDNFLSPETIDALYDDARLHDAILELTETVAKASLSYGDSLESGGLKDFGKSLSAEV ARIRAAEKPSRNKRQLFGGGKDGGGLLSGLASLFGGGNGNDGNATGGGLLSGLSSLLG GSGNATSLGDLFKEGLSGITDGIVGGLATPAYFLGIGIGMGTENGLNLTTPDESKQIA TKVAAMSGEEATGFNLVAQNLGSGLSGQLAPSLSSLGGGDLDVGMLAFSLAQGIGQGT ASGLNLTQQQFPPTNGSDIMTIVKNFGLGATGPIASSIDVQGLLSQSGGTSDIMAQLP QIAAAAGTGLGQGASAGLGLSKSAANGTIAKRQAAIDPAQMDVPGIVGKFTEGLSQTF LQSSNLSMLMPGGGGGFSIDGSTLVSLASGAGKGIGEGIALGLGGTPRNSSTTLASRA ADGGDLPVEQTAEQFTKNLMASLLQNGGIKAIGDTVTSNAGGLTSQLNVAQAAEGAAR GLVEGSVSAMSAAGGIQKVLKGDFPPELATNLPSLPQSQFNDSLNGSVVAFSRGLSGE GVLLISQLLNGKTNSSGAPPAKRDIDVSAVVPDRHLSRRADAVTLAVDGQTLEGIAQT GVDAITCSGFGGLAALALGVTQGPALKGKMSISPSLDNSTLAALPKGPLTVMNQGNKF TIDLADQMVTINGMAFKPFAIITGLHIFFSTVAFFFALPTYLALGAIWRFSDMIGHPV NPTKMKKWRMIALILFALFAFIGITLGIVGRGSAAHFQTAHSIFGLLALIFIVPAVAL SFMRLRTNMPIPPPSAFLFKNQLAAIKGAHKIHIIANMLIQQVMAFGMISWIQGFSDL RAISLCIVDAILTAPMLVGIMNLVLFTQIGATGLLITRFILERRIALSGGGNVYVNEK PPKRSDTMQTFGFDSAPPSNLARPPLVERRTADLLGKEDVGIGRPFETRRLGSSRDLD VHPGDRLPSPNPFADPGQQQQQRGSDGERRSLFPPFRPSQTASNKGHRPSSELLGSRF INYAPPEAEEENLTGGSPGPTLKRFESFSRPLNTARNTAR CC84DRAFT_1262994 MADAMRAALQSSSAMAEATQERDMRASYDAINARLDNDLVAKGH SINNLPPLIRLFEGQNNVGPFAVMVDFACTPRQRLVNWRNCREHKNKLTTMDLSDPEA VLRKIEVEACGIPQVGGNNTSTMAGSAVPTGFGPQHPRQTASANLVHPAFAHDRPTTP QLPCTLLSPYSTPTQQPTKIANAPSSSPTQPWTRSGSPYAKNAEAKNVSKTPTPTSSS FEPGSAQEHLQESRVYTMEGHMPHLSTNMYRLPNNSATYSSPYNNQNIASHAEKGYGQ APLLESATVRSRLYNAPVAVRSNRGATHQQQTPYASFKSFPGAGNNQFESSQSGQSMQ QNGCAPFGNSSNPRPTYQPGIPAHSQQHNAYMSVYNTSSSGLAYQSGAQAQLQQRNGY TSVGEGAISRPANRPSTQPQPQQRNAKPVMDPLAEFRAAVNCRQTPGLHMPESADCRE QVRQHMPEKNNTQPPARISIEVPHRVQPGQHGILIPSGYRPAASIKHHHHSGLSDSAI VQTTIEQPPQKKHKTTVPSYARATHPNSYPGAATPIPQPRARTKEEMEHEQQLAASKK HKAEKEEQECKEAERKKKLNAELTAELRTGDEVLTHRYREYLEVNPLPKGERPNGYYL SFLANQIVDKSDQTEGAMAVRYAKEKYWNQWKISDKLTVIELLRGKPRKQGHGMFAEM KTGGYLITAADIQAIRQTAPLYAAPEVVLPEVEIEE CC84DRAFT_1209031 MSTLYGSLKCIVRPHSPAETIDTCRTSVLAFTMKHQPEPSAHEQ LTSSASASQYPAKNYEFDPVTSDARLDTILDDTPLLPPTSDTHQSLEEATDEFFRVNQ GFRQLCGGDGFSSIGENRNTEVTLMSSQDGGVEVPHDEIETQETVKALWDTWGQVQLQ EGKNLPVAQKQTEEPTYEPSRESITSLDEQKLSETQKTEAEPSSGWNPYSDEYVDHYF VQSSMAKKRMNDELNRGGAAKKRHSQSANALLLSPPPSSPKLPVVQADAGDRKAFYLA APPLGQARYDTVDEKLHAELSRYLPPTTDQNSVQMGPRYNAASSLYQNLYAPPPLHYN AYAPRPSPYSLQPALSYSGYGQATSSPAYAQPPLADCTPVPAYPGYVPASLTDPAAVH YTPQALLPTIPTAPKAKSPAQKKTRAPAQKAKTVPGSDITVLLHHITRGIQNKTTEVL YQAALDINQFIHEWHGERDRLELIMEKRLADYDDTQPDSPGNQKYSPKERELRKTLMS KIRAFEKKFDTVNAELQKRGVLVPI CC84DRAFT_271699 MRAVGSPRIVRLIVPDPIDVMSPQSRFSDQASINRAMFVAIEKM HGDLVRTSASNQVLYEHVGILRGRVDSLEKENTQLRALVDNLRAAKRPVPKLSLAIDN LDINEKLRLVTPSTGTLSGTTLAFPSRPTTGTGVAFPKDEALSSAASSFAENTASSSD AGPSVPRTPAKVPPTPVTPGSRTVLYKKDKHDLTAKLPLANLKLPLVPLTDVELIVFF YNSSSRPIVAVRLYARGGPNNIAQIINEHRVVNPDGYKRNTCSVHCNKAVKTFIRDNG EDRKKQMSAFFEAAGDLEATNAIRHTESESDITCDFPMLGLFKDLIKLPSGAQAGIFT ECARWCRDHHVDAMVSQAHLIAEALQNGTDPHENLNSPARCAQTDTAMPDAADGDRNA GDVAGVVNKDKTPAAPEVSATLVRDESSNLTILSGDEALAPSTTSGKSVKGFTVKTED AGPE CC84DRAFT_271697 MGSNRRTSAVSPPSNHALLVYLSFQSSRVSRCSRVYNITQFLCA QLLGQLISLLGLSVWICSRRTSGALRGHARARFRRHLETLLRLSNESES CC84DRAFT_1262997 MASNAPTPIAIIGNGCRLAGAISNTSKLWELLLDPPDLVKEAPA DRFRWEGIHQEDGRHNGIRTKRAYWLEENLRLFDPHFFSISPSEAETMDPQQRLLLEC VYEAMESAGLTLEGLRGSDTGVFVGQMFDDYHELSVKDPVFAGGPMLTTGTVRSITAN RVSHVFDFRGPSMCIDTACSSSMVAVHLAVESLRQEESRVAFACGVNLIVVPTVFQAG SRMRMHSADGRCKMFDESGDGYGRGEGIGVLCLKRLDHAIADGDIIEAVIRHTGTNHD GRSRTLTAPSPDAQAELIRQTYWKAGLDLAARSSRPQYVEAHGPGTRVGDPAEAEAIE KAIFLTDQEHADDDILHVGSIKTIVGHTEGTAGIAGVLRAALALQHGIIPPNLHFTSI NPKVAARAPHLRIPTTAQPWPSLPEGVPRRASVNSFGFGGTNVHVVMESFDNSISPQR TKLDIMADDESHVFTPFVFSGNSEEALIATLEAHLAYLGEEGKEVSLRDLAWTLQYKR SLFDYRCSIAARSHGELIDCLLEKITTLKAKPGPENTFVRGTNRKPHILAVFPGQGAQ YATMGREIIESSLFARGVIDKLDEALATLPSEDRPPWKLKDELLLEENESSLHEAVNS QSLTTAVQILLVSLLKAAGIELEAVVGHSSGEIGAAFAAGFMSAENAIRNAYYRGLHA GRAGSNGKQGAMSVASIPQDEADALCDLPDFKGRITVAAVNSSSGVTLSGDLDAIEEI EARLSGDGIAIKRVPVKTAYHSHHMLPYAAPYQESLEKTTHDSPTTKNGRTAWFSSVH PGVQKTFVSLQYWSENMVRPVLFQDAISAAVKDIGVPDLVLEVGPQPVLKQVIRKIIA EIDNTSSVHICLLKRGSDAVTSFSGALGLIWSNFGREAVNMASIDRVLSSGKPPKPVK NLPTYRWQYDKEYWWQSRLMRKELQSTTPPAELLGSEVYLSASHEAKWRQFLDPKQSP WLLEHKIDGKFVLPASAYVTMLVVAIQTRYTDRNILSIDLKDLRLQQPIAFASEYTKT EVVLTLHDLDETSQCIKGSFTIDSCADQAHGDLITASRGGICIIFGEDEDRSYPEVVS QPQELVDVMPDTFYRHTTSQGLHYQGSFRNIVSAQRKMGFAIGQITLTPSELILHPAV LDGLFQGANIAGNFPGDSALPDVVVPSLIRRITLFPTRCKEITDRAGTVKFQAISNAD KISSGLLHSGDTGVAVQFDGLVLSPYRLTTSDEDVKMYSEVVWEPVYRPWKEHAHNNL LDSAETHASSDTSSTHSLFSAGDGSVSPARSSSPSQKSRETLMILGTALHIAKELEEL LATAFQRVVCISSLEDINKDVDVPYVVLSIVELEEPIFMNMTRKKWQAIQRLFSDATD VLWVTSGTMSPKSIEAVYANMTVGLARSIRHELLHLRVQILDIDEPKSVTAQFIADAM AHWHDSDASATIGPHAELSYKGGAVYAPVVHRLGTLNDRYNSQHRKITRKVDPRREVV ELVQTKSQSYSFQVLPQIGHLTDQGSHTPVEILHCTQLAFKIKGLGYLYLGIGRATEG QFYLVLLEKICTLARVRSDRTFPCGLSSPPDSTFLQGIVAEFVARTVIRFSSAHNSMV LICSDPIWVFRIKSLAREFSRSVVFVTGMHKSGCEGATYISKHSLDVAVCEIIPTETS VVVNLSNRPEDTELFSRAREILKDRSITFKDKNIIFQKRALLKHGESIKKEVLTWLTE ISKDASSSGIPVDLLFEGDSALQPRDMLSNLDRSASAIANWSQSTVQVPIRTATEAVR FKASNTYLIVGTSEIARSICEWMASCEAKYFVMVSRNTDVVAPWAQIMHTKGIVVRLH SADITNEASINHVIASIKTPDVDNGFALPPIGGLIDLATIFRDGAFTNISYNAFRAVA DVKAKGSLLLHNCFSNLPLDFFILTSSLSYITGNPGQANYNAGNAFMASLARYRRSIG LPASVVHLGTVAGIGHMAKQGSAGPGGLLNEDVRAGAYPISERDLHQIFAEAVLASPA DSRMDAEIITGLKDIDRSFGNRLHYVKEPMFRNVIRDSSRNTGLTSSVGLSAKLSLRQ QLAAAIGTKTDAVKIEEALLDIVRPAFIEKLKALLQVEYVDDAKSVIDLGIDSLAVAE VESWVKKELRVKIQRSVFFGGSVGDVVGTVVKSLDKEWVLDR CC84DRAFT_271710 MVFGSASNWFSPTNPNKLVEDGQRARKPAMEGIRSVPDMRQERT PQEADAEIDHEMARPPYVHAILAGGIGGTTGDMLMHSLDTVKTRQQGDPHMPPKYTSM GNTYYTIWRQEGLSRGLYGGVQPAFVGSFLGTCAFFGTYEWSKRLMIDNGIAPSVSYF TAGLVADLAAAPVYVPSEVLKTRLQLQGRHNNPYFNSGYNYRSTIDAARTIARTEGFG ALFYGYKATLWRDLPFSALQFAFYEEERELAKKYMGSSNIGLPLEILTAASAGGMAGV ITTPLDVVKTRIQTQQPSTSSAPAPASTAPLSPSSTTKHSTPSASHKSSAPSNRPIST SSPSTTLKAHGAATLDTSSVIRGLRLIYQTEGIWGCFRGVGPRGVWTSVQSGTMLVLY QTLLKYFEQNAVV CC84DRAFT_1168132 MSHSWLQRKRKGELLELAQKASIPDADTLLKDDLVAALEETLEA NETTFAKQRAFSEFYGRGGSPIKRERSSPDAVVLTKTRSRRQTLNRNGDSPDGTSTPD RPSVVSRALAKTPREVSQVTRRVSERVTEATPRAPQADLRATEVNLPASPAQLADFAD ESFQVVKERATEIWDKTRIDEAKEWLRETASSVSAIQTLILILEAATLQYNTLTDTHY LFDSPATTGFNAREVRFPNLTKLAGSGWWGPATLWSLTNWALPLIFSYFFNLTLRTNT RHKSSKTQYTIDPFTFNIAKAILAYSAYYVYTTADASLLGQPNTVLAQTPGWGPFSES SVATVRDNILGGYYGIQIGSLVGVLVSLYDAALKK CC84DRAFT_1154297 MPRELSILIVGAGLSGLATAIQCALSGHSVTVLEAARELAEIGA GLQLTPNATRLLLSWGVYDRIHSPCEPATCTVYDYKGTVLAHEDGFDANCRRKYGAPF ADCHRVDLQQALVKRARELGVEVVLGARVVGLEFDLIPEGGEGDADDGRRQAQVKGED GRIWEVDLVVAADGLWSACRSVLLGRRDAPLPTGDLAYRIVLKEEQIAELDPQLRDMV RTPGVRFWVGPEAHVVAYSMRGGSMYNVVLLVPDDLEEGVARAEGSTAEMRALFEGWD PVLTQLLSRVDKVDKWKLMHREELPSWVNEQNNLVLVGDACHPMLPYLAQGANSSIED GAVLGLLLSPSNNFTSASQLPTTLQLFQTLRKARGEAIARETFKQRKDFHMRDGKERE ERDRVFAEWRGRGEELERSGVEFPSRWTCPVVQPWLYGYDAVREVEGAIGR CC84DRAFT_1209037 MLSESHKRGFCKFCKEKGVQCDKKKPRCSECVATDKSCGGYDMG HIFINVDSSGPPATWNRGQSAQKHLLLDLASQPATSPPQHLDMLAASLPSTSDAMSLG SVDASKAILALANLADLHHLVELFLDLYLRRYGPGKTVVDPLTAGNECGGWRLLLPSW LGRSEILDTAIGGMVASFIGAQYQDVALSNHGGNMYLNALQMVQKALPELGASERKHL LATTLVMSSTELFMSNGGGSSQLTHIEGATRLLNLSLDSMDLEELHIYIVNQGLFEAI SSRRCYPCSSSGFRHLARQIYSVPRANHNDLYFQWCERILPLPNILNTADTVVSSTAP APISAVLAILDDLATLEQAIAPWYELLQSSMSTPWTFPAAQVSTDSVPFPLQFTSIEA CTNYCLYWISQLLILEARQMVYARLPPSEIPEHNTLQPRISEYASLVCRSVQYCAHNT SYAATENMFLPLDVVSSYYVRQGDHERVSWCISAFARMSLEHKIGFAKKKMEMVGVRI GDSACDPEVLPDRLEYRFAQRCRTHRQSSPGASAMALTGPRTALSSGEPCSVSSGLRK SRRSACTLGPVQGSVLGFATQPNDRPTTAHAAAPRTRPAAAH CC84DRAFT_1128178 MPPKKKGSKKAQDNWEDDLGESIVPQNEAAAEPPAEAPAAEEEE APVSGLMAALAKRGKKGKKGKKGGQEDWEAELGESPAASATGDPFAGKAPEEANFDDD DVFAGNFKPKKEQKEEKKEEKAPVADDAPRVKTKAEKEKEKKEKEKQRKKEMAAKKKA SAPAKTEAAKPTETKAATPSAPVPEPVAAPAAAAGKKGKKVPAALAALQKQQEERRKR EEELARLEAEEKARIEEEERKAEEEEKKKAEARALKKQKEKEKVEQLKKEGKYLTKAQ KEAKARNELRLKQMLESGTAKVDALEAPVEKKKPVYDDRKKKKKNQAELQKEREAEEA AKKLEELRIAEEQAAAAEAEAQKAREDAEAKKGNESDSAEDWEAQADAMDGVKDSWDA DSDEEEEKRKAAVAAKEAKEAATKAAANGKPAAQPEEDDESSEEEDDSDDSDDSSSDE EGTATQRAEAARKAAAAERRKKLHEEALAARSKDNLRSPICCILGHVDTGKTKLLDKI RQTNVQEGEAGGITQQIGATYFPVEALEKKTAVVNKDHSFVFNVPGLLVIDTPGHESF TNLRSRGSSLCNIAILVIDIMHGLEPQTLESMRLLRERRTPFIVALNKIDRLLDWKKI DNNGFEDSLSLQKDRVKKEFDDRWTMVHTQLQEQGFNSELFFRNKNMSRYVSVCPTSA HTGEGIPDMIKLIIKLTQERMTNNLMYLSEVECTVLEVKVIEGLGTTIDVILSNGVLH EGDRVVLCGNPEPIATNIRALLTPAEMKELRVKSQYVHNKEVKAAMGIKIAADGLDQA IAGSRLLVVGPDDDEEDLMDEVMGDLAHLLSKVSKTGRGVSVQASTLGSLEALLEFLR VSKIPVATISIGPVFKKDVLRAGIMLEKAKEYAVMLCFDVKVDRDARAYADEIGVKIF EADIIYHLFDKFTAHMKQLEEQRKEDSKMLAVFPCVLSPIAVFNKKDPIVLGVDVVEG SLKITTPIAAIKKNPVTGVKEIISLGRVTSIERDHKQIAVCKKGQPSVAVKIEGPNQP LYGRHLEEKDTLYSHISRESIDTLKNYFRADVSMDEWKLIKQLKESFDIM CC84DRAFT_1154301 MANTSFGGNMPQYMQPSLPALGQHLQSDTHLTAHLASRFHAHLP TSTLSSHALISLNTYTDSSRGPEGGKDGSAQQAAEDMAQRAYMRLGLRSEDQAVVFLG ESGAGKTTIRGHMLRAFLSYSATPLSKKIEHANFIFDAFTTTKSTTTPTASKAGLLLE LQYKTATSHHATLIGAQFLAHRLERGRIATVPTGERSYHILYYLLQGTSLAEKKHLGL DMVGDGAGNRTSLTANKRWRYLGHPSQLKVGIDDARGFQDFKTALRRLEFSKQDIAGI CEVMAIILHIGQLEFESGQSTTPTADDSGGYSHEGGETITMVKNKESLEPIARFLQVT MNDLEQSLRYKTKTLYRERVTVMLDPKGARANADEMARTLYSLLVAYVMEQVNSRIAA LPDEVNNSASLVDFPGFAPYSGTGCNLDQLLNNSANEFLYNYYSQTFFERQMREIEQE EINLPQIQYWDNTEAKTGLLKSGNGLLSILDDQTRRGKTHMQFLEAIRKRFDGKNASI EPGKLNVTQPGSNFPTPNTSVTFTVRHFAGEVEYNAENFMEENAEIISGDMMNLLRSS QSSFVQELFGQEALKKVTHQKDKSAILQATVSSKPMRMPSMARRKTEKGGRMGRPVNV FDEDAMSEADSTVSGSKKGDSAKQTGAAGQFLSSLKTIESSLRKANPYFVLCLKPNDR RIANQFDSKCVRIQVQSLNIAEVSQRLHVADFSVFLPFAEFLGLAELDRSLVGSERER AETVLDSKPWRETEVRVGTTGVFLSERCWTEIANVGDISPPAHGPTDDTLTPDAPRSF GDPRSGLLAPSPGGYYHDKTGSYFGSKDIDARSEAPSAITSGGDMFHGLEQPKILDEK AEVNALEEVDVQPVSGSRKRWLFVVYALTFFIPDIFIKWFGRIKRKDVRVAWREKLAI NMLIWLACAFVVFLMIGFPRLICPTQHVYSTAELTSYNGKDNNDAYIAIRGIVYDLGK FIPAHYPSIVPDASLEKYAGKDATNLFPVDVSALCVGIDGSVHPGLKLDFRSSNYTNQ QNLVSTTDQNAKFHDFRAFWNDSRPDWWFEQQVFLKSNYMKGRVGYSPQYLKTLTKSG KSIAYMYGRVYDFTDYIVGGRSIQPRADGKSWPSNYNTNTDFMDDSLVDVFRVQSGTD VAKYWEGLTLSADKKKAMKTCMDNLFYVGDLDTRSSAKCEFAKYFLLAISLLLVSVIG FKFFAALQFGRKSVPENIDKFIICTVPAYTEDEDSLRRAIDSAARMKYDDKRKLIVIV CDGMIIGQGNDKPTPRIVLDILGVSETVDPDPLSFESLGEGQKQHNMGKCYSGLYEVQ GHIVPFLVVVKVGKPSEVSKPGNRGKRDSQVLLMRFLNRVHYNLPMSPLELEMHHQVR NIIGVNPTFYEFILQIDADTVVAPDSATRFVAAFLHDTRLIGVCGETSLTNAKHSFVT MMQVYEYFISHNLTKAFESLFGCVTCLPGCFSMYRIRAAETGKPLFVSKEVLEAYQEI RVDTLHMKNLLHLGEDRYLTTLLLKFHSKYKTKYLFRAHAWTVAPDSWAVFMSQRRRW INSTVHNLLELIPLQQLCGFCCFSMRFVVFLDLISTIVMPVTVVYIGYLIYQVIVQPG IVPMTAFILLGAIYGLQAIIFIIRRKWEMIGWMIIYILATPVFSFGLPLYAFWHMDDF SWGNTRIIQGEAGKKVIVSDEGKFDPASIPKKKWDEYQAEMWEQHTMRDDRSEISAFS YGTKRFMGMNGSGSVVGSEYGQMPPSRPMSHFNMPYGNGSRMSLAPSGYGGYDSSVEM GNLMSMPSDDAVLAEIRDILASSDLMSVTKKQIKLELEKRFGMDLTPKKAFISSAVES LLVGQTQ CC84DRAFT_1154305 MSFVNNSRMSVYSTTSNAASRPGNPSSQVSTTTLLNNLNTCFRT NQPFHLEASTSLVVNTWVNARSNIDGRIGGTVDLELGRKAWEHARRRAEDGCIVLGSL HESSPSTLSPFVSGLPLAVPISFWTALEVLRAFTHCVTPHNPSFPRHSALATIFTINL QGTLVNAEIRLSTSGIDTQNGLLNIPSKAGFRAFDVFYYLNSSSASQAERQFLNLQHP SEYKFLNRSGTYDPPSYLPDVDDAAAAEDLRQNLKAIGIRGQKLNNLISCLAGLLKLG NTIGYDVDQDMVQAVCDDCSSLLDIDPSLLGHKLSDSDRATAIGGIYEAIVDYVVMHA NTTIQEDVRSGRMGAGSDAGHMTPPSDDDDGDIVNITVIEVPSQALGKAISLRTVFDD TEGINSEMKEDGVQLPPAGASVLEGMRHAIQACEAELGKEGPALQEHRMDLERRERVL EQIALEIPDEDNFVRTVLMPANGQGIVLGSADVNRLDLPNVLATSRVWFHLALHPTDA APEKLTQDTTASWSAATVSRQLRDWRLPEWANRRNRSSDFTADFDHQEFYDRYHALGC MDGKDGIQNWILQRGWSNGEVVVGQQRIWVREGTWWEAENQLDLKAQDLTGANMLGSM VGAGGLENSYTANNPAMGSGFFPPLPDMSPQASATNILGNQSTATLGVKGAAFDSKSI APTTAPTLQGRPGDYGLGAKGDEDKGVTYYDTETGGNMVITETATTKTRKIWVAFVWA LTFWIPSPLLKFVGRMKRPDVRMAWREKVVLVLLIFLINATIIFYIIAFGKLLCPNKD KAWNRKEVSTHQGDNDFYVSHRGVVYDLSDWWKVQHSDSHTKVTTESMQPLGGADMDP YISPPLYLACPELVDNYLIKIVPNDTLTNDNAAAIHSSGNMSTSTDSDALRKNDWYPN VFMPKIKEFRKGDLVWDTKDLEDQGRNDGRPWFRLGNKVYDLTPYFYTLDVRPNLPEW EYFDSDFSDMVKQFAGQDITEHFENTLNKTTRDHTLRCLQNTFYVGITDFRKTARCQV NDYILLACTIILCAVIVIKFLAALQLGSKRRPANQDKFVICQVPAYTEGEDQLRKGLD SLTALAYDNKRKLICVICDGMIVGGGNDRPTPKIVLDILGVDPRVDPPALPFKSVGMG SEQLNYGKVYSGLYEFEGNVVPYIVVVKMGKETEQSKSKPGNRGKRDSQILLMSFLNR VHHRGAMNPLELEMFHQINNIIGVDPELYEYLLMVDADTMVRPDALTRLVASCANDSK IAGICGETSLENEDKSWWTMIQVYEYYISHHLSKAFESLFGSVTCLPGCFSMYRLRTA DKGKPLIISDKVIKEYSDCVVDTLHKKNLLSLGEDRFLTTLMTKHFPTMSYKFIPDAY AFTAAPESFSILLSQRRRWINSTIHNLAELMLLKDMCGFCCFSMRFVVFIDLFGTVIL PSICAYLGYLIYMVASGTGQFPMFSIIMLAAVYGLQAIIFIIKRQWQHVGWMIIYILA FPIYSFVLPIYSFWKQDDFSWGNTRVVIGEQGGNKKVLTTDDEGFDPKSIPLQRWDDY ALANGLPGRRGLAGQTSEKGGYSAYEDEGYEMNDMQSVYSSVKPASTIMSNMHHISHM PPSQSPGPYQAMQARQSSYSNFSRYQDNPNGRLMSMGGMSDHYDQSPYSSRPNVGGFQ SSDNLMTATPPVRGRSPLASGYAQSRPGSTVNFNGMMNGPSDATIIETVQHCLREADL DRVTKKQLVALAEQRLQTQLTGERRIFLNQQIDHELANMV CC84DRAFT_1168140 MAPRRIEQQEIEKYWEIFSTLSQGSTHLDGVQAAPVLKNSHLRD DQLERVWDLADVDNDGKLDFEEFCVAMRLIFDLINGETADVPQTLPDWLVPESKAHLV QATRAMAGGLPAFETVDDDDDTPGLRDGFDWYMSPADKAKYEEIYTANRDAHGNVAFS TLQPLFDSLDGVPDSDVRFAWNLVNPKARGDIGKDATLAFLHILNQRSEGFRVPRSVP PSLRASFEKANIDYDVERTGSRWAERGGDDTATGKKAKFGDAYLTRLGVGDRAHNYGA RARGTDHGGRTTEDWEEVRLKKQLKELEDKIAAVEKDAEGRRHGRRGDSTPALVKREL EMLLDYKRGVLRDLDSGDGGAVKGLGGIRDEIDTVKEQVEGLQSHLERRNGVLADLRR QIDDEKAAR CC84DRAFT_1168141 MNVFPQRFSTCIYPSISAQLLPHSHRIEYIMSSLPPQQITENHS VDAEPNMEDQNVPGLFQPLQVRGLTLRNRIVVSPMGMYSSYPSGNLTEFHQMHHGQFA FRGAALTIVEVTAVLANGRSSPSDAGLWSDAHIPSHKRVVDFVHGLEPTAGGHAKIGI QLGHAGRKASMLPIYPGHAVVRAEKADGGWPDEVWGASPVPFKDNYVVPEEMSEQDIQ TVVQAFGQAAARAVSAGFDLIEIHGAHGYLLSSFLSPASNTRRDAYGGSLENRARFLL EVVREIRSSIPDTVALSARISATDWMMHAPETPQFTVQDATQLALVLADSGVDILDVS SGGNNAAQRIPSTPSYQTSLAAHLKTALRDAGKDMLVAAVGRIADARTAEAAVGEGKA DLALVATEFLRDAGLVYRWAEELGVGMEWPRQYVRADRGAGARMGTL CC84DRAFT_1209042 MSEESTAKKRKLRKGTHSCWECKRRKAKCVFSNEHDTMCVGCLR RGTRCVDQNESIVEPESRDGATNRRLLRIEAMLETLLERQVADGAVSMKSIERTSPVI DMEAGSMKLSHDSEGITSRKRLRHPSPRVEITQRPSPKRLSIPRGLSTTLSETLYSLL PSQEECQRVCSSSKFLPCFFQQMLTRDWKTMSTSQDLPILTSSRVARLPSPDVHPILI AQKMLMLACLAQFVSRELDNGEWKHKAEHMASTAIDVVAKEELCHSAEGLECLMLEAT YHANNGNMRRAFSAVRRAVATGQLLGIHRSHQSSVLQLDKTAPPFDPAYMWYRIVSAD RLFSLVLGLPQGYSSTLRTHIIDLAALDPEQQLDRRHSEIASWILDRDERNPEDMLTT QTIDSALQDATSLLPSDWWLPPPLHSLTSSRSIFLSTIRLVAQVLHFNLINQTHLPYL HLPGPVHGYSKAACATASREILVRYLILQDSGFVAHTCHMVEFFALIAATTLTLAHLE RHWQDTSPELSVLAHVRASDRAMVERARDCMARLTACKGAQIIEHLLQIEDEAFRTRS VGCGTIVKDYPDEGPFFEMGIPYFGRLRLNSAKLCFQRAADIEDVSLGVKSGGDCGGG EEMLGFEAFDLSAFVGDGGTTELADLFSL CC84DRAFT_273152 MRTAVSINRYICYTTSRFTCLSSPRFLRENRLTFTSPLHRPAGS LGRGACEGPSFPSFGDRFRAHTYRTQAPSEQLSFAACPALCIATPSLDSASKPWGQSR PNEHLLVLGTSRKLLKAGVRLSSYNVMDKPSRSRLTIIVEHIPRGSYVWDLSAHSILG RSRVPLPQGPLPASTPSKTWLLGSSASTLHGRLASIHPSKGTGPITRSRAASSEPSVW GKSFLKRPHG CC84DRAFT_1221486 MADDSLAGAQASAAAHHTRIKPVCLPSGLTLHLIAAVTERWARA AMDAWSGCPATNPRALPSLRAVALLPPPGHACVRAGLLSNVCVESSVAVLMLLTAILV GITPYVSVVLTLCPDVDIHPRRTAHTRLHHLPTPPNNTLLCTQSAPRLLASTTTPFSA LSASRTGGFGALALALHILAPCSDLLWSGRRAPPAL CC84DRAFT_273187 MSGYHKNQDQYVYDSEATRPNQLPSYGGIAQPSFASQYTDAPTR LSHEPANVEIPFIPSSTYESRGTGYPADSSFIAAKSRHPDTYTLTESEISERIHPSQG VAGSKVLLHLRTRFDLEADPLKFTIMFGEQKCVAALQKMDQDDEFYHYALSVEVPPFP LTNSFDPTMMLKLQMEGRDGRLGTPINAGKFTFNDMADPQFQTSPDVARMKRKLSSDY TEDYSDHAAKRTQSIRFQAKPRAMSGTYSAASVSPLPAQSSLSNPYGYGGGYDIAKQT SYTPQMSQKGLYAVPSGSSISQNDMKPPQMSPNLSSYGQYSSLGQRSPASMAVTPTRS TVHSSPSALPTPVLVRATTLPQSAAAPSGQPFNPYLMYPTKAVLKIDGDLDTMIDNWT QDERDAQRRLVEFKRSQSGSTITTSFAPITLETRQSRSICVSCIYWEEKDECFITSVD TIYLLEQLVNVRFTVEEKNRIRRNLEGFRPLTVSKAKSESEEFFKVIMGFPNPKPRNI EKDVKVFPWKILAHALKKIISKYTANYSSTAGAGSHPVGSSSGYGQVPISQTTGDVHR NTSPRSISSSVASAAYTPSIHSTSLSPHLRTSAGMDGSAGHNLSIAPRGPTGQVMSQW GTSTRHQVPQYTTGLTPGRDSWEYGYLQTSSAAGVPAAAQPMQMQRSDITPELNQLSA DNPYQQYGQRTTRV CC84DRAFT_1263011 MEGSIVAEPLNPPRGFNWVYLVELLICSILTVFFLFYFNRLFAT LVSYAIRAYTWRAYRTYIDIQALQISLLGGRIFFKDVRYHGHNETILVHNGYITWNYW LRRVRDAEVYTTDRPPALDADTSDGASSSAPSGSRSRNVDRAEKGGKNPQKRLPCRFS VKISGLEAFLYNRTPAYDGIIDAIRNKANSTGAEEGGPLDHENTSTGNNVLFRTSTEM SEDQRQQMNKLRKAPAKEEGVTRPGTDTTDDGKTDGLPAFLKLLPVYVECNKGAIIIG NEHTMSVITAQFEKATGDFDAAPSSPLDAYQQVFNFQVTHPVVHMKPNPDYKSPQLDA ARRLKQEEQQGVLEQEETSEKPKQQSFRLPRFPKLFSKSSDSIHTHHGETTGRRASMF VPQWHFPGQEKWRGLSRYMEDDQTDGHGEWDGVEYARTSLIADCPCVNVSFYWDVAGK VPTLLDNSMYTEPTNSGDINGATPPEYGVDIQVYGGTINYGPWTDRHRGIFQSFFFPG AHVDAQPASALQPGDTRVLTVFKLYLSIEDETVLRIPIREPSKDWKWKGKAKTLGGQD KQASDKTKGRTRSRKKYGRTKQRDAASSGQNMRPFGWIDVKVAGNSSVNYVMDMVASR HGFNNKLDVDIAGAEISSSVNHGLLWRSGAIGLDCDLSNPLSWNSLRKWKFNISCRDL ELFLLRDHLFLLTDLVADWGSGPPANYFTFLPFQYLLNVDFTNFKLYLNTNDSNIINN PSELDENNFVVLFGQRLHGDVHIPLDKFRPLQNEIKFDVKGQHLGLEVCMPPKNTLHT WVHSNKVAQLTGLTLNGSYTLMTETSAMNTDRLFMDIRGDKLYLELYGWLVHHFMQIK ENYFGDDLHFRTLEEFQGLQSHSLAMDTTTPNGQPPKVSNDLDVILSVSAENASILLP ANLYSAETGLRAELPFAHCDLRFTNYYMDLLVDFSPISLSVGSDVTSPGLAHDAGGQT EVFIESLTIAGHRLFGLPPTEPTYVCNWDFDLGTVSGECTPNFLSKAAKSINCFVFSL DDDENTLPITNPLIIHDSTFLRLRTHDIRVWLHVDKQVVLVSTGPVKLDFNDLAGDTF SRRLDAWIPNLSVSAIDERAALRYGSRGRDTQKIKVQAFLETSVSFTLFNRKLDFSKE RRKQHTHMLEHDQRTNRAQFMYMNHATHQLPTHQEVRPPAMQFPEIPQPIFLNQPGGS VTDASSGYSSGSASSRGRSIRTRSLASSSSGSFINSIRSVRRHREGTATQSSSKSRTL RGSPARADSSNGHRTHYDAKERVPGGLPSSVALASPLAVPTSSLDLIDMDKSEVPTFP DLPPRPRMDREDAIQFNDVSTKHFDESFEHTSLIIEAEPGIRLYCTPEFVRCISGLVS TLQPREPQDLLDDFQISAMTAILDRQKRREGKGKSIEFSVRVPWTHIRFHNAFGPHLG AQAGIDQYDVILNRLQVAVRDKKLVGERTGESSISAHTTLGSLGISVTERKMDGTGDD VAVQAEVNDLLVWMLQNKETHVHVNSKAFELATASRKIDYMASLIHRSTILGEELATR FVSIEDTQQSRLRYLALRLTTAQVQRQDPAFLTKASFALRAVRDHLRSHDSWKIISRF RYIWQTLSDHEKRRIRDHCTVNSVRCPADAEERIVSMWDQWRTWDLAHVKKSLAMQML FGSAIMEHPEEAAPSPIYIDIRSAGIKAILDPGVTQSEVALHILAISIGLIPPAEPAG LMLVGAEIPKRTTIVQINSGETAVRVHWEICELMDILINMFEKENTKQFRALEAQSAC PTKGKKQEVETSLQFVYVTEKATIALDTINLRNLLIGRRFRFSAVNEDSRPSKQGITT TVIVHLEQAVTELLSRSRLLLRSQFDSPSVYFAYHQFEDRDSVPDEIKVAGASRKIEV RLEEHVLGLLEVADSVLRDEVAYFHEQAMLIKSSLRPKLEQTDRPKLTQLPNISLALL MDRYAIHLALLESLSWSMTGSAGRVSVVPSMGKNVTLRVDYDLASCHHRMFSKTANAS NLISSLEFPPINGRLSVTNTETQTKISAAGIIETIQLQASEVQALVATINKPEITNVV RAVSEDIDVLTARFREIIPQDNRKPVIKTPESSREIVFGIQMTLSGISVLASAPGQHA DSPMANLSVRLSSIQLRATNTDRNGAILHLAEAIVLLQDISVDLTLSDKNSIRRCGNI SFAAVLHVTAEQNAQPPRRVYRLKSSGLAVNMFADTASAVVDVMNHLQDKIKDLDLSV EKKYLKKLRHAKSKHSHKGDASTLSVTETDAESVSSGALFTSTYSLELLDIYVSWIVG NSIEPYPKTESEDLVLSFRRIDLSTRKDDAARLTIEDMQLQMVPVSASKTERSANSAL LPEVVFNVAYGSTKDTRKVAFHAAGKSLHLQLDSRFILPANIIQRSITLAGKKFRAAS ANWSMTPTTDGAQRKNPFGNKRLASLSVDASFAGAVVHINGSDTPHTSTSTGKESKSQ QKGRYSQFVGDASKSSLVLRAPGIALKVENEDDGQDPTLKAEVRIDASSNTLFPTVVP VIIEMSESIKEVVREKDEDSPLSPATSMPQNDTKPGVKLLEEDNLISVDPSSILGRKR LNLGVRICKQEFSLSCQPIARVAAIAKLEDIYITVNSVKSQEHGHFFAASAAFEKLEA TVQHVYSRESTFGFNVDSIILSLMNSKHLSGTSGISAILKINPTALQINARQLQDFLL FREIWVPPEIRRSSNPAPATASQEPQEYLMQRYQQVTAATAFPWNANVAIADVSVDLD LGQSIGKSSLRIQNMWASSKKSVDWEQNLCVGIEKIGIESTGRTSGFVDLTGVKIRTS ISWPSQDQATRQTPLIQASVGFGQLQVKAGFDYQSFLIAYIVNFDFLMYNVREHHRGK RDRLVAILDGDKAHVLCTATSAAQGLALWQAIERLIQENQQAYKQSLKDIEKFLRRKS SVAAPYARSASQSVIAPKPDTDAAKTPISLHTDVVVTLRSISLGIFPSTFVDTQILHL EAADAQARFTVALEKGKIHSTLGMTLGQLSVALSPVQAPKKAKPVGELTVEDIISSAK SAKGGTILRVPKVIATMHTWQKPRSNHIDYVFKSSLEGKVDVGWNYSRISYIRTMWGN HTRTLASRLGKPLPEPNIKITSSQAQPAAPQASSSATQTPDTKETPAPVQAQGQEKIT AVVNVPQSKYEYTALEPPLIETPQLRDMGEATPPLEWIGLHRDRLPNVTHQIVIVTLL ELAREVEEAYERILGSS CC84DRAFT_1101084 MSTGNLLRRAASEGDPRGTGDRFAKAVIIVAGVAALVASVITFI AVWLQTKNYRKPLLQRYVVRILLMVPIYSACSWASLVSIKASRWIEPLRDVYEAFTIY TFFQLLINFIGGERALIILMTGRAPVSHLWPMNLVLPKVDISDPHTFLMIKRGILQYT WVKPLLSVAAFIMKAFDVYKEGYIGVSSGYLWSGIIYNVSITISLYALAMFWVCMVSD LKPFRPMPKFLCIKGIIFASYWQGFFLSILVWLGAIPDNVPGYSADNLAAAIQDALIC FEMPIFSLAHWYAFSWHDYADDTISAARLPVKYALRDAFGPRDLIEDTKDTFGGKHYE YRYFDANDNVLAHEESSSRAARMAEGMRYERGGKGKYWIPKPGQGEHEPLLSKASSSR ARTMSPGASRALDTSKYGTVEENEEPELDPGDEQLFESARTLEFGDWNYPVIEAHRAN RDSQLYGDPPILTGASNRHLLQPTAVNKKRRKSQIKDIQQAVDKGKHRSDSSSGEPSS SKSHKGVVGKLFRQESSSSTNSGKSDKSQLVDLVVEDTDAEDVERVRARKEGGSGWNE VEQKHFVHAYPEEGQEEGVREGFNPDRPQPHNPEGVHNLNYPFTTEDGEDEDKTGKLD PPISEEASHWEERNYTNEEDGNKRDKSPQYGSFRDERDAWSGS CC84DRAFT_273241 MLQEKRKPWLAIGCCASARRDSIEEEDGRDASRAVEESGPEICY DQPQTREVPRAEPVSPPPRPATSHSMGKHVSQWVATSRDFASRASSRASVHTLTRPRK SHSKVRRLSISGPTDFRHDSGFDGAEGIQSMIDDAPMPVRRRRSFRPLELSIYLADGR LSPLPDFEHDEWGEMPQLPAQALVRNMDSRTNSISSDPSTSSYLVQRKPVGSGSRRSS VQSSHSVQSRPLSASLTALPLLKDEPKTRAESIRSTTTSRTGSIRSRTPSDLYRRGTL SPPRILSRLPSPSRARSNTAPSRPGSVRRAKTDVDEAIRELNTIVEERRAEAYRSRNQ SPALINRLPLSPSSHVPAIAPSLRMHVRSETLSDIGSAFSAPLVAKPLPPPPIPPTNF ARQPTRLTLAPPARTFSGPLDSNPITPPTPTTPIHRLGAWLKRSLPSTPSLKSPTSTL ASKPATPKSTAARSFYQCEPEPPLPLSSRPSTAGSRTMVHTRQTSADTATVTLLSSSY PSTPSLSSSPRHSPHSSMHSLRSIKTVSSSPPRASLPLRQSLKDAPPPPARVSLLKGA GKARRVPAPLTLAKEKEILVEASLGSARSVASARSSMSSRRSLKPPPSPHYGILRGME IGARQEGVSQHLGMLPGSAAVGVAF CC84DRAFT_1263013 MAISNPLCPTCERAFAANDDTTSHHTAAEELQRSVEKGCFICKR LYNKIQELRNAHATGESIDRPLFDEIRYTRQWSGNDWSARNFTVEAFGSYSEIWFDCI SKEVAKQLGLRTSLYPSLRSPNSLKQAKVWLEDCRQHHELCNRRLQSTFVPTRLVHVR QLENGSVTSACLVIDTSLPARTPYLSLSHCWGGAKFLTLTGANLGQLREAIPVAELTA VFQDALYVAFQLGIPYVWIDSLCIIQDSPADWEYESKRMGEVYKGAVCNLSASAFKTG KQGLSSERGSHLSGFLPLQIDTQNGSFSTSAACPEDAQFYVAEGSVLRGKPWEEICNG PLFERAWVLQEQILALRVLHFGKREMFWECRQVFANETRASGWASLPTQWHGEDAHVE NALGSMGDAFRYHKQRNPLWQFMVEDLESVESSDGLWRRIVRDYSARSLTYAKDRLPA LSGVATEFSAMYGRSQYLLGQWSDDLHLGLLWSQKRPFPSSAHALAWPEVPSWSWASL NAPVDWYLYDLTVDSQQDPTSLCKSINPMTEPDSHAMTLIGNLMRLDVPDQNGGPRWF TFDNGSLTLAYWTFFSWQFYLDQWYFDSLQGKDDEMKTHAMLSSVFFIPLLYKNYRQI ICLVLLPLPSVGRGIFRRAGILHIYNPAGGVNKNFTPTAREYQKNITENFYQDCDGEG NYKISII CC84DRAFT_1263014 MRPLAILSPTLAIAVPASNKDTPAAVIARFTHSDECQLPSAPAT CAGSSQKDVIVHYGKNTTQAEKDLLLNAAKASGASIHEAMNNFGFTGFVPESVVELIA AHGKTCGVSVYENGCAGIPWCGEAPC CC84DRAFT_273306 MRRYGVERSLITWFKRIRHRPCASYLSLLISFAVCNHAASLLPT ILLFRPPRTKRRVHSFLSTKSAALIRPRCVIPSGTTAPSIALYKPKTSSISSDFPPFS QVLSESTQARTLHSHPLHYWSALDCVLLEFNVIGHCVFLVLECAHRRYQPAG CC84DRAFT_1168148 MTSGRSLGGGRVLGSGRNLSPAVSPRPPAHHRRNASLLSPSESS ISLSSQTSNSPASTAEARDDITSKVLLPGAENAAASASSRLVCPICNEEMLTLLQLNR HLDDNHQNLVEEEQDEVKNWFEQQMEKAKKFQPLAVLNQKLKGLDVFESNDAPTPPPF AHASTAAPSHEPAPVRRDPEEEVTRAHWQRPSYRDVCSDPMCGRPISAQMTLGGNNSA VNCRCCGKLFCEDHTMYQMRLSRQAKHDPVRGIWCRVCETCYKSRDGYNDHRGLDRDH FKGFADIRRKRVDREHMEVSRLEKRLTKLTQLLANPPPDESATSSFWPLSGVKNQRKA LEQSIITWEEDAKVSHCPFCQQEFSSYTFRRHHCRMCGRVVCGDPKTGCSSEIGLNIA ASGNRTEKGEQMGVDIRMCKDCNHTLFSRSDFERELAQNPTDQRAYENLTQFERGIRL LLPRFQKLLMALQDPDKPPSPQQLADATKVRKRLMDAFSQYDTAAKRIRDLPTESPTQ AKLQRAVYQQAYNFLSTHMLPLRSLPRVLKHAAPQGFKSNGRQNGGALASIKYNDRQA GSVVSSSSAVSALESEEKELRERLIILEEQKFMVSEMVANATKHRRFDEVTSLAQNLE DLNKEIDQINSQLGQLDFAGAYQRELASPPG CC84DRAFT_1199039 MKSDFPEIQGGGSLILAWQIRNKRVLVIGGGEVAAGRIVKVLNA DAKCVVVAPRSGLNDEVAYRVDQKQVEHVDRNFEPSDLDGADMVMCAIDDPEASTQVW KLCKEKRIAANIADVPPECDFYFGSEHRDGPLQIMVSTNGNGPRLANFVRRRIAATLP YNIGTAIQRVGMLRKKLRKVAPNIEEGPKRMAWMIKVSDKWTLEDLCEMTDEDMDGLL GFYKPNTVPSLDEVRLAQPDGAFDGPFLI CC84DRAFT_273337 MSSATKPSYETVDFKALAQQDEAFNTIWQQHSGRLDFQDPDTTK TLTKALLKVDFSLQLEVPDDRLCPPVPNRWNYVCWIHTLLDSTNPSYSSHYDPERQVI GLDIGTGASAIYTMLCLKSRPAWTMCATDVDKKSFESAARNLTINNLITRAKLLQTID SQPLIPLQYLGVDKLDFTICNPPFFADEMDMRQSLSGEGKSVRPNAVCTGSENEMVCR GGDLGFVTRIVDESLTLRERVTWYTSMFGKMSSAKAIIGLLKEKSVTNWAVGSIDVGG GTKRWIVAWSFADYRPPNSIARIEKFANEYLPFPTEYRFSLPANTDTNAAKHTIDRQA RRLHIRWNWDSQSATGVGEAAENVWSRAYRRKHERRMRELAATDARDAEMHTILEDME VALAFRIAVAENTREVIIEWLRGSDQVLWESFCGMVHRSFKKS CC84DRAFT_1058298 STEAADLGQARYDHDTAAIGDRDDALYAAGPVLVRQGRAPAGME QRVFAWSTFQYETDERDGREELKGDLHGGQLHRQNRAARGEPTGPPPPRETRGGKSTR SGRGGEMGAQHGSRNGNGGLEPLICGVRESEGTPKLAKGISEELGAELFWDGPI CC84DRAFT_1221496 MALFCVFMFLASTVVAVNFDFENKQLADSDTIQFPALRFGDVSQ PLPQEECRYSPDDDDWPSDAEWQRFNATLGGVLLKPQPLAISCYAGPEYDAAKCAILQ SGWRNMAQHANHPISVMSQWATGMTCVPTKSPDSTCVQGGFPVFTVNATTVQHVQMAV NFARNRNIRLVIKNSGHNFNGNNIGGNSLSIWVHNLRGLTYHPNFTIPGYTGRAVAMA GGTRATDVSAASRMYNTTILNAGGADVAVPGGYFQGAGHSSYASFYGLAADHVLQIRA VTADGRFVVADAQTNPDLFWAFRGGGGGTFGVVTSIVVRTFPLTPIASTSISFSTISR PGLPGVSNESFWAGIQEYMNFSTPLCDNGGFGYNFIRHGSNSGATGLTFTSSLALPNR TLAELRSFTKPFLQRLNEVGIPVSIPKMQTIDIPNLQDQPKLKKRALGDVVGHTLIAS RLFQRYNYADDASISEMQAAIRSAVEEGGYDIHGQVMSPTISIAGNPNNAVLPAFRTA IMHTQIYEPNASWDGSTVVEPPELQARRHDRLQSYLQRWRDITPGGGAYMNEGDMQDP EWKDTFYGSHYEGLLEVKKKWDPEGVFWVISGVGSDEWEVRDSSGGGLESLYTQDGKL CRVEATGEIRE CC84DRAFT_1263019 MATLVRLVGLAASVAVSTALTIAEINGNKFLSPYNGQTVANVTG IVTAKGPDGIWIRSTQPDRDARTSESLYVFGAKFATANNITVGDAISVGGKVSEYRSS KAYVYLTELASPTLEEKLSSGNQVKALVIGKDTTDPPTQQFSSLDGGDVFAVPNNVSL ISVANPVLQPKKYGMDFWESLSGELVTVRKPTAINKPNNFGDTWVIGDWKVTGRNGRD GLTQDGKDANPETIIIGSPLDGTSNPSTTKLGDSLEEITGIVTQAFGFYRILPTTALK VKKSQNPALPSATKLQSDGQCSGLTFGAYNVENLSPNSTHLPAIAAHIVDYLRSPDLV FLQEVQDDNGPINDAVVSANLTLSTLAAAIASIGGPQYAFTDVDPVDDKDGGAPGGNI RTAYFYKPSLIRLFKPNAGGSLDANAVLPGPSLKFNPGRIDPTNEAWTASRKPLVAQW EVLGKKGKSEGVFFTVNVHFGSKGGSSSIQGDVRPPVNGGVEDRQAQAELTANFIKSI FAQDKHARVITAGDFNEFISVAPLQTFVDISGLNDLDAVAGIKPSERYTYLFDMQAQQ LDHMFVSDSLKKKAKYEHVHINTWVDKAAQISDHDPSVAKLDVCS CC84DRAFT_273428 MEDGPATIRPHRCRGLTPRARPQIPPQIGHCTTVYCVGHPRSPR TTEPGTRLPVPPPQATRGRGYPLRLGARCREQPHDDADTNATPERLCLLCRSLSPGAS PARLASTASVFATVSDISHLITQATVSGL CC84DRAFT_1101380 MAAQPELVNEKQQIVSLESGSQTSSPAEFHDDLPDPDAGKSDEE RARLDKALVRKIDLWLVPWLSLLYLLSFLDRTNIGNAKTAKLEKGIGMVGRDYNNALT IFFISYAGAEPVTNILLKRYSPRVFFTSIIISWGAIMTVMGFVENQAGLLACRWFLGL TEAGLFPGVNYYLSCWYKRQELGFRAALFFSAAALAGSFGGLLAAAIIQMEGVRGYEG WRWIFILEGLMTVFVGLFCWKMVFNFPDTAGFLTPDERLRAQRRLSADNQTRAEAEKI SRKYVFQAMKDWKTWCYALQYMGCLCPLYSFSLFLPTILQDGMGYKGTHLQLMSVPPY AVAAAMTVFVGWFADRTKLRGYANMVVSLMGCVGFAMLLASKNPHVQYAGTFLGAAGI YPTVPNTLTWASNNVEGSLKRGVMVGIVVGWGNMNGVVSSNIYMAPQKPRYWTGHGTV LGYMFVCLFGGSVLTHVLLRRENHMRKTGRRDHWVEGKSEQEIRDLGDIRPDFIYTT CC84DRAFT_1128212 MNPAALLDPKGARRRAAPHVSSPSPAMQYQPQQFDPRALLNPKS ASKRPAAEQEPERGRESGSGGELSGQVSLVERLHNVHERTASPAKKIKTDDDHHHKKK NHSPASFGAGALDLKNPSNGQAQAPPPGPAIDLTMSDDEDDGIKVVQDNSNEVICIGK VRSVYIQAHRVPFPDPRKHQGNYGQQSRIKISFRRAGGDRNNSVIMTVDPTGREFGRI DLKTAPCLAPLMDNAKTTGMKWSAWTDPRRKTTNEGPPGSAMSALLNMTFQLYCPRKF AFTLGNHLAKCKVYLDDPVFETDRCDYFNPQTKNSWTKEAAQQPTFEAPRPSYPAYVL RSVDEIRTDVQNMFDTIGNVEELPLREPSSLVQTPLYKHQKQALHFLWDKEQVWEGDE ADARKDLLWQPKVRDNGRKYYAHVITGQEQPIRPLNCRGGILADEMGLGKTLSILSLV ADDESREAAAVFEQKRPVVNPNAIVQPIMNSRGTLLVCPLSTMYNWKEQLERHFPAGR GLKWINYHGKSRSTYSPQELADHDIVITTYNMIAADFQDKAMPLPRVNWFRIVLDEAH AIRNINTKQSLAACSLPGQRRWAVTGTPVQNRLDDLGALFRFIKLHPFDHTGGFNQWI MSPFKNADPDVVPRLQLLVSSITIRRVKDKVLEIELPSRTDQIIRLKFSNDEQKLHDW FEADSSRKVNAVTAGDKMGGKSYARILTAITNLRLICAHGRDLLNEEALKLTDGMTYD NPMEIADDDNPDAATINRHQAYDMLELFRQMDNDECAIPGCGTKLASQDTDEFEEDDA KADISGVMTSCYHMVCAKHTRGFRQELQKTTTQEGLCTCPFCDARITPTLFELKKSDY TSYMQEQERLKRDPKLAKKIGAYNGPSSKTKALLEDLAEHRAWTEANPTEPPIKSVVF STWTTHLDLIEIALSKNNHKYVRLDGRMPREARNRSLSAFDKDPSVTIILVSIGAGGL GLNLTKANKAFVMEPQFNPAAEAQAVDRVHRLGQTRPVTIKRFIMEGSFEEKMLELQR KKKDLANLAMTREKSSKEQAAKQRLEDLRSLFR CC84DRAFT_1128213 MPRSRRPPNSGYARIAQAEEEEDSHDDSEEEFHERTLASSYAPI QPRKERMRMPQSGDSSPKLRRTTSGRRQRTNSGVDIKAINARLERWADEIAQKFKIKK QKDTPEDEALEIHHSVFQAPDWVRPATAETLTFDYEGSSDRMTKLQFDEIVESVQVAI EQGMHPKLITQGSSGSYFARNSQGKVVGVFKPKDEEPYASRNPKWTKWIHRNLFPFFF GRACLIPNLSYISEAAAYVLDCQLRTNLVPYTEVVSLSSKSFHYDFWDRRAYYRKRKP FPEKAGSFQVFLKGFKDANIFLKEHPWPDQNNVNLNNARRKKKRRWAEECRPSGPQSD DEDEEEARSNTPELQHRRGFWTEGLQQSFREQLEKLVILDYIMRNTDRGLDNWMIRID QKTQEASIVAEPPRTDGHLEEDEEVVPSNYTRQSMSEADPYGRREPMKATSRSTTPMA SGPTPAITIGAIDNSLSWPWKHPDAWRSYPFGWLFLPVSLIGKPFSEATRRHFLPLLT SKLWWAETQAALRKCFTQDDDFKERMYAKQIAVMKGQAWNVVETLKEPDHGPLELTRR ARVCVWDDLVEIPIAVPLREPSAEMRRKTQPNRQRVAEQEEMDITALSTPPQKPQPDL LMNSPPMELASENRFNLSRESSSMDVRRQQPDDSGVADSQAQAVTWNSRLESGSPSLQ TNGRPSHRSRMSYDAPRRRDQDLRRHHRRLSLSSRRGNGGLFAGDEDDEGDLGYAANE DLDGNRKRVIVERLEMVKAKNPVFTWC CC84DRAFT_1168155 MSRQSMAPQRNLSLTEELERLEQSITLTLQEIDHNFSRAHRIVT TSILPIVEQYGKHSEAVWEGSKFWKTFFEASANVSLSGYEAPDPDETTTHEDMTQQSQ MYEDQTEEGDDTVTGAVTTPQRRPSSQAHMDDTSELESPSLAHAHSTPRAPTMSTEQP QFAEFSSPYENLRREVLGSRTPKLEPTTPGRADALPDMTGQFDSSPFVAPTSTKRAPV DQGPLMHHVLDKTYRIQATPLISPRKYKPTGAFTPATARRGAPTPREKTSALPDWADD SSPPSSPAPQLRADIFSPMKTPRTPGVSVQTPGKGKQAFSVTKTGGNVFDSDSDDELD LDFSPPKTVQFHVPQSKLYQTPAREASRRIVEDLLLTAGGDITESTGTMDEDSPSVVR RRPDLDDSF CC84DRAFT_1199045 MAFRTPARTFLTASSKLVVAPRVAVPTCNQVRRYATPKMDQQNR TAIVTGSARGIGKAIALRLAHDGYDICVNDIPANQSEADAVASEIKSMGRSAIAVAAD VSNLSEVQRLVQTSVDELGPLNTMVANAGIAQVKALLDLTEQDLKRMFDINVFGVFNC YTTAAKQMIKQGSGGKLLSAASIVAFKPFALLSHYSASKWAVRGMTQAFAMEMAEHKI TVNAYAPGIVGTAMWDLIDEELGKKTGAKKGDTIKKYTGELIALGRTSVPEDVSNTVS FLCSKDSDYMTGQTIVIDGGIIFT CC84DRAFT_1168157 MPSLLSLPLEIRDNIIDYVITSQRDPPTARNDHSSRRDTRIQFE DTHWKEIGNLIYFESSPTAFRPAFGGLLLACQQLRADTLKRVSKADVPMILDVLAVNE ETIWVTWLSLPLITGRMIEKLDIQYRYQYDGQEQSEETTNTHKWTLDFTTQLRYLIYR ILAVGSAGPLPDSNRRRLWTGARYKFNDTIRFEHEYIPHYSIRMLRFHAPRPFVPPDA ATNLLHSGYRTEVNDMIEEVALRNVIYQGRKHPGWKLARERIGGILSFFYSGMLDLGT YSDLNDLYLSYSSDPSCAQIIAEILEGRKANDL CC84DRAFT_1263025 MALILGGNRWGKPRDPLGSEAYSYRRFLAQQREAMSSRGGEMYM NNYRNTFGRGGLRGFRASRMRRPAFGGGYYGGPSGYGGYTGYSGFSRRPATSLSFGAR PSQFRRPYSRYSPWSAFNYRGGIGRMKQQFQPRYCSYSPSPFRNRRKVYGRDPYYYED DLSEDDYDDSDIEDSYCRSSRRQWYYGYRDWGDGDEDDEDEDDDDEWDEYGYGDLDEE DDSDFDDHDYDSDVFDEGRYGYGETRFPPRGYS CC84DRAFT_1154343 MAPASFASAAAGNHASSSRADSGGDWPRRTNGATQTFRRPSHAP TLSGSTAQTSSRDTSAAQNTSASTPGVYVPPHAQSSRSGEGRYPRDQMISLFRSHRES DELRDGLSDLYVGNWEPNITNGNSGSNWGRKDEHGREGQGGVDLCWDRDGNVQPLGLS NLTEDEKEVFNSSVNSPLKPPVQNTNKDGTPKDGLSLRKTSISATGPYGLSSPTSARP GTRRRDTGDAFPFPSNALASPSASRFARDESSAVTPPPALVRRRTDFKEPGPSTEERD KERNAAENAGPLGTLKRAATGGLGSSTAASPWSAAQSGGFSPMGTFGNFVAPQAEKKP GFGSGRGESRFKGLMNKGSLEDVGKGPAEKASLGNLGAVNEADSSWVDNRSNRPTSHD TDPYAEDDLRSGSAALGGGQDLSPPRQQGQGAFGTPQRPDPRDDTGFSHFGMTTDTTG LRDIFHGTHQTPHHRGAGDRHEPMSPTDTNPFASPDHVRHDHHDDGDSDGSEPQNAHY PGMGSFQVDPQAGSGLHQHQFGGLGGLGRVPGPFEIAASDRSQTSSVGPSRGFPTLPG LGGLPGLGGASAWSTGQQTIGTPVQERGLRGGLDGLFGNVGEAQSPSLAGLGSSSLFG AGHGGTFGRSSKLGSLFPTAMQEQMRTGDRPLDDTSSQGDRQQSMTGTFGRGAFGGQA PGSILPPRDTESPFRTGRNLFEDFSGGHQEELIGSGFGQSSGVGQSANLATTSLSMAA LNQTRTAQPSAQTPGINSPASNLPPPPQQRTMVMPDRMRWIYRDPSGGIQGPFSGLEM HDWYKAGYFSPELLVKKQEDPDYEPLLQLIRRIGNSREPFLVPQIGIPHGSPSSTTSG TTWTGNAPAGPNGGAQPPFANSFPSFGTTLTAEQQNALERRKQEEQYLMARQKEHLAA QQALAKQQMGLGSHGILPHQLQHHSSAHSLHSQPSYGSITSPGGYQPSPGQGPLPGGP AVPGLLDNAFRPTPVPGLGPIGPNVDSLGNIREEDLPSVLDRLNLGRTAQSSFGGAPP QFGQQQQDSNTQAQQVVQMLNDRARLQREQAEHDALQRLGPNEQQAAQASADRLQQFQ DLRVQTDLGQQAPPEGVIGKPTASTIDQEAQPEHAQDQRAHATQVEEPLTTHAEPLSL TEQVQKAASAKQTPQPQSAWNKVEPAIHPFPPPPSQSPLPAPAAQRKPIVADSLAGES RSRSETPSADTPSASIAPWAKEPSEAPRGPSLKEIQEAEARKAAEREAIAAAARREAL EKELAAQVQASAPQPGLPSSSTWASGASPVTPGAGNASAWAKPLAGKAPGQPTAAAKK TLQQIQKEEEARKQKAAAQATANAFTAAVAAQSLASGKRYADLASKQSAVPPATGGAW TTVGSSGKAKPPIASPAPPPAVRSASSSVVPAVIKKPIVTRSTTAQPAGQVNAQEEFK KWAVAEVRGDLNKSINAEEFIASLLSFPAELELITESVHAATHNIDSRHFAEEFLRRR KLAAQGIVDERNTASPAENKSGGGWSEVAKKGNPNAQAQQQQQQQQQQQQSTLDSGNF KVVAAKKKGAKR CC84DRAFT_273565 MSESTPARPSAGQREMMYCHECHDEWYRDERGIICPECGSEFTE VIEDGNDPRDNDMYGHGHDTDDDDSLPALEEADPHGVLRDHNPFRAADDDDPEEADIS QMPQFQNTRIQNTGPGRYHFSATIHQTIPYPGPASAQAGNGANPMGGITSFLSNLITN AGMMRQQQAEPGDGQGGSAPRSGLDGAPHVHRFTYSPGARLYPRDGDDPGPRLEPVDG LNNVLSELFAAFGDPNAANMFPPGAAPGGPPGQAFGGRPMDPLMGLFAALSSGQHGDF VTSQEAMDRIVSQLMEQTATSNAPGPASQADIDALPRRKITRDMLGEDGNADCSICMD AVAPEEEVTELPCKHWFHHQCVSAWLKEHDTCPHCRKGITKTDENAPIDTSAGATAAA SSADPTANMPGAFGGVAGEGTSNNPFVVPGSSPPGPAHDTSSSAQQGHASAHDAAAND NANAADRRGWWP CC84DRAFT_273594 MEIGRRGARQCVEQSESERQSRLVQPTRQHKARPVALIRFLTCS SSARNRDNPGEERCGIIGGPFAETLIDRLSDNVTGRPQHPRFLAQKVARGCSQAPLSI PVSRDPSAVTCDSCVFLQLHQATALPDARRRRMTRPLPCTAQQQLPSLHATHTT CC84DRAFT_1154347 MSPSLPYAADVESPLKPEELQVLRAQYEKEGEYVGLQTKFNYAW GLIKSNSRSEQQEGVRLLSEIFRNSRERRRECLYYLALGNYKLGNYAEARRYNELLLE LEPANLQAGSLKELIDDKVTREGLMGAAVVGGIAVAAGLVGTFLLKGSRRR CC84DRAFT_1168161 MLLDEDPASLIAQCTSHFKLDSDRSALLRISDSLATLSSFRQQH TSSLQSTLTRLNRTHQTLASNHNHTLSQHNPTTHAAEILRLDTEKFKIAKQASDLEME GERLEGELSRLGGVLGELEAEGVEGGEREKGPEEDATVLKLKVYRSLGIDVEADPTGQ YNKAVIRNAAKGDVHVVNIDPKFSRNFYTNYFWRTM CC84DRAFT_1180054 MPCVASALHAPSRAGGKMWTASSHARDAPGGGCAVGPAMSVRIG DWKNVDGGCQRGGQTGIRAIRRLPAARSLVVHGIAPAHRGVLTVLPLPTLHYGGHLHR TNTQRARGERVRAVPDKWLDNWHCSTAAASVTTGPCICIPARCQPNGRIRVLVQPREL QPQLHISSFMQIASWATAHAMSRRLAKLFAACKLFVDLVNRAALSAVAGASFSDARRA PMRRQGESKCAFGAAGVVRTGFFAGLSNNTHVISRGKMEPVAIR CC84DRAFT_273676 MPPMPKRRGHSFPRLKSKPRRPSLPPSPPRRTKLSTPSIRRRSS WTASWLRSSKSWMPRRPATAKLSKSYQARTRSTPSCRKITLLVVSKAMVTRPAFRSWK PQLQALNRPRVMFPAKSQHCKSLSLRRRRL CC84DRAFT_1199049 MADGSSDRGLKDKIAHPFPELRKKLKDTQLYDVKVKATHAKHKV GKFANLFNSNHRHDEEHEQKTDDKRSRIRQNHRFESFAPERDGNMIKWYVDGRDYFWA LSEALNNAKETIYIEDWWLSPELFLRRPPYYNQGWRLDSLLKKKAQEGVKIYIIVYKE VSAALTCNSRHTKDAIMGLITDEDAPGYGNITLMRHPDHNVFENAGDMTFYWAHHEKF VVIDYAVAFIGGLDLCYGRWDNKQHPLADVHPSGVQNEIFPGQDFNNNRIMDFQSVDD WKSNQLSKAEYGRMPWHDVAMGVIGPCVYDIAEHFVLRWNFCKRDKYKRDDRYPWITL EGREGDDEDLVGVQRPKFPVGGYQHHPLSPLSGKNLDNRGTVHAQIVRSSADWSSGIL PAEHSIQTSYCDLIRQAEHYVYIENQFFITATGEEQSPIHNQIGRAIVDAVVRAGKEG RKFRVIIVIPAIPGFAGDLREDAATGTRAIMDYQYKSICRGEHSIFEQVKKQGVNPDD HIFLFNLRSYDRLNVNAKLKRQEEESGLKYKELQMAEIEELEAPLEGGDEARNRAKEK RRLFAGENEESVGLGDQGGIIDPDSIADDAMLTDKKPSTEEWEGDPESEKENFFQEEL YVHAKVCIVDDEYVICGSSNINDRSQLGYHDSELSIVMRDTDTVDITMDGKPFKAGRH AHTLRSILWREHLGLIPPQSLDATDDSNAQPPGENSPNDTLPGAEADFVADPLGDDLW AMWTNRASTNTGVFRDLFHADPDDSILTFEDYDKFTPNPKTDKDHKQGHLYDLSRPVE EIRRELDRVKGHLVWMPLKFLENAEMAEKGLQVNAYTESIYT CC84DRAFT_1221510 MMYTKLPLELRELIYQYLYLEDGPIPVGSYHFTVYVPEPLRSED INPPAHSEPFIVMPEGATKQDHSIERDGNIIYPDSRLLNPAYLGHAVARDASMYYYTS NTFTVCTLENALSDFLFRDPIHNFIGGETGLENVEPLGLLPIDHIRNLEIRVKYEHYF TYLTFYEELQDGEKRFIQGIFDALHDFSRRISPANASRLHVEICMMSASIPLRDRLNE RTHMNVLEAIRVPVYVLKHDLGADIKVIHYDDHLSPFPKDKSAIFQLSKDQWSKEKSA FEAANIEYSPMQYLATRSTAPSESLCLIRDRWGLRFAFDASCTHPIAEFGRWPNAIPE TTHEPLSSCGRV CC84DRAFT_1128233 MATIKPIEGRSVHQIQSGQVIVDLISVCKELVENSIDAGATSIE IRFKNNGLDAIEVQDNGCGISPDDYETIALKHYTSKLSTYDDLSSLQTFGFRGEALSS LCALSKFHIITARASDGAKGTKLEFEQSGKLKGTSVVAAKQGTTVVVETLFHNLPVRR KELEKNVKREYSKVLELLQAYACIRTGVKFNISNQVAKGKRTVAFSTNANPNTKENLA NVFGAKALLALIPLNLQFEMDSSRRPGETQSARNWSTQKDGSHAVKILGHISRPVVGE GRGLPDRQMFFVNSRPCHLPQVRKAFNEVYKSYNMSQSPFVFADIQLDTNAYDVNVSP DKRTIMLHDQAALLESLRDALAELFEGHDQSVPQAQLLGKRTPSNPTFKPPIMQRPST TVPDGPFDLDPVLEPRKLSSAYVERSSSSAPADELPRPGFMKASLIERFAERDFEESI DRTSITTRESLLSGQNKVAEARREHRTSHQRGNSTSLENAPVQNIQREDSPLFEPEGA SPTSVPETGGRSKVLEDFNTRLAVHHAHPPAEPLPLPMDPETTQEVGETGDSIPAIIQ TPQRLTQSTIQNAFDRMRPIRTPAQQATIRIGDVTTVSTVGNESRASRRARVHTPKFS LSGTPLTQTPKRPIFLKGLRGFAAPGTQLEESDEEDEEDHDSSLPSAPGRAPSPQKKG IHHESEPPRTDEIDASSSAPPGNVPTEEDSDNEHAEAKPEAEANDESDDEYVDESEKK AYEEAKIAQMIAEAEEAAARPTEENLKRATRLFKISQKKYSTTNLERIININIPSLTA YIRHLDAALQDAEKFQSKAKLSTNTQLNNEDPEERLSLTVTKSDFYNMIIIGQFNLGF ILAVRPPTRTSPTSDLFIIDQHASDEKYNFERFAATTVLVSQRLVHPHPLELTAVEQE LILANAPSLTANGFIVEQDSSADPDTLNRFKLTSLPMSKEVTFTPNDLSELLALIMDN PPSSSLSTSQHIPRPSKIRKLLASRACRGSVMIGKTLRKSKMEDIVRHMGSMDKPWSC PHGRPTMRHLYGLEKW CC84DRAFT_1101134 MSTSTTINPVLSESYSSSKLDEFPTVAIDKIEPSEDEDERTFHD TDAAYVLPNDNIEHQRLEVQHRLLWQLMGNKVFHAPVPKDTTRKTLDIGCGTGAVTYE MASTFPNAQVFGADLSAVPQVRQKLSNINYLLALKDVDLYCGANIPSLFAEAGLTDIR ITRYMVPFSRWDGLTREEREMADYLETFVRDLIPVAIQKAGESAGPEYAGEVEDAIRD ARKYHEAFDEGRNSLWMYVVCGRKPE CC84DRAFT_1168168 MLRPFPRLTVPRLATHVSFSLASSAACFLEPSSGYLGGMLLYGR FVPHTAQIAYHIVDYLVRNRSTFPDSPWPLQAWILIIWI CC84DRAFT_1168169 MRTSFAIFALLSAVPTFATAYPASSSYDSGSPSPSYGSGSSSGS TSPTADAPDFRNDGACTLYKAKNLAGKTSPTDYTPVPADSGCLDLSSLYGAWEGKTRS LVVQEGYKCEFYNDFKCPTTASPLCLNAQTKKITMKTLPKEWDQTIKSVKCEKQEKPK PKPKPKPAPKPQPTPVSGGSSY CC84DRAFT_273737 MAGGLRKYHTKSRNGCSQCKKRRKKCDMRMPKCGNCTKWGTTCD FTAWSAAVRPLIEKQFAEALSTVNALAYPMPLASFDADDLGLLRHYATTSSLTLAPKD TLALFQVSVPGEAKSHLFLMHSVLAFSALHLSVIDTHNRDRHIQNAFKQHQKALVSFR DSVKEVTVENGGAITAFSFLILVYTIGLPIVFGFDDATNPLDSFIDILHVLRGAWAAL GPSMADVENGNLRELVKPQPIKRKPCVMHAMGAKVVRSLSHFLDTSSIVEDEHRQTYR EALMHWERFFMNSPCKPPLWANALVWTMTAPHAYFKLLQEKRPFALIIFANWAIALIR APNMWFNAWAREVVADIWQMSSKETRRGLMWPAEVCGIIPKNFHPPDCVCWECREGDP YLAVRDSAAAVLVDRSRGDIPRDSPERQLQPVQPYTMVEQPAFQNLMKAV CC84DRAFT_1199051 MEDQVAALLAALKKPSTNVDTRLQLFAAVKSSIKHGRVPEACQD STFECICIAMSAATSAALVSTGFATLSHFIKRLQLQDETSIITSQSGRLLAILVDRLG DARESHRHAAGQLLADLHPLCPAQVETVIQNAMKGANPRAKEASMPCLVKMNKTDSLP FKSYVPQLVANLEDADAGVREAAKIAVVELFRTAPERAKVDLRKQLVAANVRKTIATY ITSHMDDASPVEAELPPAPVRPLPTARAHTLQPDTGFADSILSEAPPPSNEPVSMDPL HIYTQRELEDIFRDMGPPFEGKESEQNWIARDKNTTKLRRITKGNAPGEFHGAFVAGI KSLLDGILKVANSLRTTMSSNGCQLVQDLANTLGSAIDPWVEILLQTFIKMCAATKNI AAQNGNKTVEAIMSNASYTTRLLQHVSFASQDKNVQPRSFSSNWVKALIRKHKTQIEH SGMDTIEKILKKGLADANPKVREVYRSTYWTFALVWPQKAEAIFDTLEKREKTGLEKD PNNPNTSLASSQSSAAAFSKSVGPGAGRELLKAKIAEQRRAKLGAVKGVPERPNSAQA SYSPVKSASAKSLGSSKSSSTTAPASSGMGRPPSALGSATKSALSGNGTGSLMSGTAR RPMRRPELHRPATADPYAVRRAATGKVTPSMTTPEKTPAPTTTKKAIAPKSISRPRAH TQNSPSVSPVRSKSRLGEVATHRNAPSISSRHGSPAISPSKDEDLTLVKPFVRSQSHH EPSGIAFRHQQSPNASVDVDVLDMGDEDNFTMVIPNLGRPQAHAAQRSPPKSTAPASR LAVGSPRNSPLRSPKSMGDVGVGAARSIRMRSPDRPSTRGTDAQEEVQVYEDPFVGEE PTTVSTEGEKPVLEELPLNEKNAERRHSFQSLDRDTMMGEANGTPVRGHHKTTSTGSV LHTDPGEANGPELSKNRQLLASGIKKIQNQTVEAHMFRRLQDMVKSNQDIWGSNDEKF SELLLACLEYLEIPADLLKSPPAKIANLKVQALATIRAMLSLYRKETARHFSRVLCTL LQTKSQYENSSHIAIDIEATADEIVRYGQTSECLDAVLSLVEGTPASTPTASPNSKSS STSSASIPSNRTTTMALSTLSSLIQISGAKNIPLTQEQTARLGRLAVRCLDDTDADVR KADIDVCVSLHERIGGDKEVFWKAVAGAREQHLNLLTYYLAKRSKT CC84DRAFT_1168172 MSPPAATFENPIAVRDISVPVKKNLAVKPAANGQTKTLAEMEDN WESFTFSPIRESQVSRAMTRRYFADLDNYAESDIVIVGAGSCGLSTAYELAKKRPDLK IAIIEAGVAPGGGAWLGGQLFSAMVMRKPAEAFLNDLGVPYEDEGDFVVVKHAALFTS TLLSKVLSFPNVKLFNATAVEDLITRPNPTASDPNAVRIAGVVTNWTLVSMHHDDQSC MDPNTINAPLVISTTGHDGPFGAFSVKRLVSMQQLEKLGGMRGLDMRTAEDAIVKRTR EIVKGLIVGGMELSEVDGANRMGPTFGAMALSGVKAAEEALRIVDERKAENSE CC84DRAFT_1168173 MPPPTSDTKVPTTISTTHKNHPIYSHLLARAFTTDPVFTYIEGA TPWYARPLLTYRIIRSQLLAASHGNRALFIAAASNPTTTSKSSLQASKEEDKEQDAEL NPQCTAVILPPGESLLGIPVPSWISLLLLRGAWRVFFSLGLSGWKKFEKGYITPVEAA KASVFAQGETYYYVAIIWTAAQHRGKGLAQSVLGELTERAQREGKPVWLEASSAASRR VYRRCGFVDVGETIVLGRGEVDGTGEAAEGEAAVGVPVFPMVWWPKGDPRKTME CC84DRAFT_1101610 MRTFIKFLLVLPAVFAAPTLEPETIAGSYIFKLRKGSALGPVSK AVSNHLKIKPARVYSLGDFQAFHIKGVRSTTGLLEKFGQIESIEPDAKVYASKLETQT SAPWGLGRISHSKNGSTEYVYDGTAGVGTFAYVVDTGIRTTHKEFGGRAVWGSNHVDD NNTDGNGHGTHVAGTIGSKTYGVAKNTTLVAVKVLDATGAGAISGVIEGVIWAANDMV ARNRTGKAVANLSLGGAASASLDKAVAAAIKQGLFFAVAAGNSAILASMVSPARVPEA CTVGATDQYDNLASFSNWGPSVDIHAPGVNVLSTSNQGDTATATLSGTSMAAPHVAGL GAYFLALPGNKPTPDELCKHIKDRSTKNVINTTLAIGLVTTKDLAYNGSGK CC84DRAFT_1221519 MESPGGYPESPLDQDDVVYPCKGCGEILEEGKAFELAGNRWHID CFRCNTCGTLLDSDANLLLLGDGSLICNNCTYSCNHCGNKIEDLAILTGDQAFCANCF RCRNCKRKIENLRYARTSQGIFCMSCHESLMARRRKKTKKPPSSSVQAKVDKSLPALP PSAATQFTPDIDTPSDIFSEPTTTDVSPRPPQPRRNDSSPANFRRDASPASQTDARRD TTTLPASTYKEKNHSEASDTGDDNGTLLPFALDPNPAPGPSPINNAHSRGAEGKSARD YFNRPVGGHREMLKENRSRSASAERQPTSPHIAYQEKGRQPSEQLVDTLRKRKDNISD PPAVTADRTRSQHASPAPSQSSEAFKLQEVPKNKRAEARKNSTARSPSRGSPATDLAG RLANQPTEAIGKSTASVDTSRDDLSTTSSSSFGSTQQRPQVERPARGDSLTNSSMKTS APISRKDISGPSPTTPTMPQPDRKPSATSSYSQQNGIYGNDKEILDPPGLPQRAAGRR PPPSTDTFVSPRAPPQPPPAPQNHKTSESVSTLQSDGSTTVYSTGGLPRYSAQGDFSM DEDFARLLSNQEGHEKEGGMLRKVSNAMKGHGRSHSDRGSITSRGHGHKKWPTNGSID ISSPTIASPNSNEEAVNLRNELRRAQQRIAELEAEKNGLQETVHSAADIKQANTMLRE KRNTMAVLDTQREMVIRELEIMTEHLKKAKENSGSTMDINQLKSDVLRDFANSLQKLK DQLGGQIEELISKRTALTDEISNLIQMKDKGFQEYESLSAQNKKLADMNQNLIESIQS TLKTNKQHMGNQSIEAARSPANGLGIYNANHKGGKSDISVDAREVIHEHSYSNLHEAD SEATLAQPQVVNIRKTGKATKFSNWKKGGQAITKNVTKGFKGAFGPTESKPADEMNIK VIGTPYGSTHTQPDFASMTTMSNSLRSREEAPPRGWFGGKNPTNDPKGKMRPMQHENG STQQVDASVLFGSDLIARCEYEKRMLPAIVSRCIEEVETRGMDVEGIYRKSGGSSQVN QVKAGFEGTDELDISDPDLDIHAVTSTLKNYLRRLPTPLITYAHYDQFLDAGVIENPD QRARALRAVLKEIPRPHYDTLQFLIFHLARVIQHSDDNLMTPLNLAVVFAPTIMRPTD INRELTDMQAQRNAVQTLLEDHKNVFFDEE CC84DRAFT_1221520 MFRPATRLIASNAARAVPRAAAPARRLISTAPPAQKSRSWKSLF ARVGAAGAIIYYYNTADVWAEEPRPGVVAALPIADEETEQLPTIDDVAAARLKRKAER EAIEAQLAAQQQAQHQKQAATDANETIAAAGSVEELEEEAGQQGAFNPETGEINWDCP CLGGMADGPCGEEFKAAFSCFVYSTEEPKGMDCIEKFKDMQGCFRKYPEVYGSELESD ADDDEDDLSAEGAPATAVADAQSTGGPLSSTSSAKDSGLVPDEYRPSGATDGANKAAD QVNRDHEHTSESEQLVPKAAHDATGANVEKK CC84DRAFT_1190185 MSPYWPDVYILPSHPSITCIDRFSLIESPNDTGEDPPFWQLFIT LLDTPVTSIPALLDVLETIAIERRGKAPQDYNTLASILRAREAAFLNVLWPAIANSAL AMPNLFPSHSLPILGERTAKLELSRKQVACLVAHQFLGTLEEVPWQTGFHIFELWFAG EQVHARAPEVYIDSVLGYFGGIAEGDEDEGQEWKVSYEVVERSPCASASFSPFPARPG SQETDMGDISLLHMDGATNAPEYLGAGGNAVVVSANKFIGFGRSATQEEVLVGTTPAA CPAVLVTPPLAAAQVLVVRGAETITRTVGMAREMRGCGPHKVYSAKVWRERAMLFMDA LELDSFDGSIYPDVERANVEREMEKATTAFSSAKYSRVYTGLWGCRTFGGDPGVKITI LWLAASVAASGLTVMYEDGREGFAESMGRFVELIDCEATCDSKEWPVSFSYAFLNAVY FMPSYFVTPILAVPTPDITSALTSSLCRNRNRPRRQPAPLTPPAACASYLARSSSVPG PGDQG CC84DRAFT_1263042 MKLFQLVTAFAVTAFTVATSPGSGDESPARRASVARQAVNDLAA RNPGAVSHYSHILAYYKKGFTSRDEDHENIPELAEAAGDSCSDWKQKYKKTQCARPWA PECEWDCAAKLCKDGPSECHKGGALGPKYCGSRANGHTSSGSSVTLLVRQDDQHTNEA NEKKTETTAGCDQCDSWMNDCKSKCPHPNRPECRWKCLAALCAGRGPAECRGGAACGS ASGCLKDAGSSNAPQARDDGDGWDEEECNEYTTGCVDAFCRDPLHNGPNSCSHKVKSF SLA CC84DRAFT_1263043 MKLLNLLSSAALAAVGFAAAILPKDVSGLFDRGETSVRLCPAKC NIIVSDCINKDLLGLDTCQQIKCQDRDCAACDAKCKKASPSSIVMASEAERRDTVTKE QEAAPDNTSKEDIELADSTKLFMSPYCPKYLVGNRQACKEKGKSEAECNRQGCNGMCL KTCQWCESFRAPRPPTFIET CC84DRAFT_1101096 MASSRRSSRTPSRSVSSTSLSTRRRSTATPVLSPADKEKAVQIN NACNERNLDALVHLATSIHGLVSDDLRRVAWPILLGSIPAKHEQAPWKELPTHPDEGQ VALDVHRAFVYYPKNGMNTQTPGGVVQFQPRTESEKHLDRLKEELSDIIVEILRRHPT LNYFQGYHDIVQVFLLVLGPHDAPAAVARLSLLRIRDFMLSTLDPAIAHLSLLKPILE TADPELYAHLPTSQPSFALADTLTMFAHHIQEYRDIARVFDFFLARHTVMPIYFFAGV VLSRREEMLVIDKEDEDIMHAMLGKLPQPFDVEFHIARAVELYERLPPGKLGSWEWWN ISSSSVLKTSTTPATLSRLELEDGEKWMQQQEKEVRRQQSRAKAKRSAQMLKRRLWVY RRQGVFGLAVIVGVYALWLGRSAGVGRLSAFAPLSELFRGVVGIARQVVS CC84DRAFT_1221526 MSQTEQEEDVEMGDVEDVPHAPPEIGILTKTVVGSPLYSAIIPA NIRHKDLDDVVFVGEDFVQLKEICDYGHLRHIATKSDFKGKILAAKAFGDPRKVQINT SEQSPLLKRASLHRGRRSTTGEEPNTLPLEVIVLTLSTRTLMFLWAKANHIGPTTFAQ KMIQLPTATSRFNRPGQFLAIDPRCRAIAVAAYEGCFMLYKTKTMDVWRRDVQAGREE APIIEEEQYPIKGRIMHMDFLSPGDDAHVVLIFVLVDGGKIKVATYDWDFRNSLDTVP RTTRSALYFDDGNPSLLIPLSRSTDFLLVQNKYISVCKGVLAGPPDISRHQIQPRFLE PLRPGSNTCQPLWVQWDRAPRNPDFAKEAFYLAREDGVVIYVELGDIAGSLDISYAGS WAYPIDTAFACLKADSSEFAQSYPDVLVAGGHGSDGHICKVGAWPKEYADKVPYSQAY AFGLVESLPNWAPLTDFAVTRLSNLPLPHDRKRANIFVSNGKAPHGEVSQLRRGLRSL VDDSFEGLKGTTGLWIVDHGSTTLEQDGHPMRQDYAILVVNAPPETLVLRASRTQEEG SYRQGGSGSAWDGAVWETDQPTQDGLIRDAETISACSMTDSLSVQITYQEAVLLRRPQ LNRVSSIAFPNALLQAASKPGIPFVVVTFHDGSNSILQVIPALEGKAFEVPNDKGSRW TLPDDPTCIDILVSGDGPLIFVGIRGVGFALFAVSEEGLLAQICRTDVPSAGPLGLQQ VYESAVLITTRGHEKLVCGTRTGLLICVDLTGTRASASDSPPPSVTRMGDTAVYVTPN KLDSSTAFVACGADFCRIHMSDRDLGAGIDSIWLDDPQNPAYLQGALSAMDQVPLTSA FGKELGGFIFAVFGDRMVYARLDYDIQWSGQAAAPLALEDGKILPRKLPTTQTPIKLL VPDDLPHHMIVVTNEFEVGQDREQSGQYRRVMLSSIKVIDLLADKTTPEVEIKGEFVP GTPKSKVARSEIPLKHYERVHSMVRWVFSADENRQHALLLVGTELNPPEGDSKGRRLV LNITKSGLKLQDKKRFDEAVRCIAGYDSQHIISIVGSTLQIEQIERTEAARWKRRAHI DLPSPGVHMTVSNNLVYVSTSHDSHLCFGIKKVYSPQGETFEITPLFSDSRQRNSLRH LVYKVANTSNCTISSVHKEATIALVTDKSASVSGLLQPLHTSKKSATTTLFEACLPRS VIRIQRGNIRPPWRRHYNRKFPDEAAPYGVLNDDIIGACTDGTIISFTTINYGALNFL RLLQNLIEVKQRKDPGLQFSTVQKRSGHVYNLLQSGAEGAQEINILAREVDPEFQRRG PGAPRFRHVDGDLLTRFFEQGGSVRDLVESGCDEDVWMLFLSMDPGRFTELEGRSKEV ATQAVEEWVKSILLPLL CC84DRAFT_274175 MGGFLGFVYRQWTVKPKPLPPALSLSGKTALITGANSGLGLEAA RELVSRRLSRLIIAVRDPSKVWPLDHDSYESIAGFGKRTEKLERLDYALLNAGVKMMS YEKSALGHETNVQINHLGTSAVSLAVLPALNKTSKKFNTSTRLTIVSSEGHFWIPFKE RTASNILMHMDDPKTFGTQQQRYYTSKLLNVLWTRELASKISPEEVVVNTVNPGFCYS GLHRHSASFINVFLWLFAWTPEQGGSCLTDALVRQGGSHGAYVSEQRLTAPSPFVLSP EGATVQKKIWSETIALLKQEAPAIDMSMVA CC84DRAFT_1263047 MPRGRRGQLHAGTIGLFDDSDELEVTSLKNNGRAALLVVQNAKK AARPEDAKLTRYCKLGFRHRIIHFFASAQKGLGRQPSACMRGNAMMHIPTEMQTWI CC84DRAFT_274192 MTSAALDEKTGASHLDDLKGGDAKQIAERGQVATDIYGHSLVQF DPKAESRLRWKIDLYIVPTVALLYLFCFIDRANIGNAKIAGLEKDLGMTGYDYNMVLS VFYISYIIFEIPSNMACKWLGPGWFIPTISLGFGICSLGTAFVHSKAAICGVRFLLGV FEAGMLPGIAYYMSRWYRRSELAFRLSLYIVMAPLAGAFGGLLASAILKLDSFGSLHK WRMIFAIEGIITCGLSLIAFFTLTDRPETARWLTQEEKDLAHARVKSERVGTTEVLDR IDKTKTLRGILSPVTLTTSFIFLLNNITVQGLAFFAPTIVQTIYPKDTVISQQLHTVP PYVVGGFFTLLFPFLSWRFDRRLVFFIFSAPLIMIGYIMFLASTDAQTRYGATFLIAS GAFAFGALCNAQVSANVVSDTARASAIGTNVMLGNVGGLISTWSFLPFDKPNYHIGNG LNLATSSCILLLSISLLFWMKWDNKKRQEKSVGVDEELAGLTQQQQQDLDWKHPSFRW RP CC84DRAFT_1168183 MPAPLAKGPGSSARPASPVYHARHAPQRPNHPFSTGLIIAASVL VAAGIAIYESPQVRQWVDQSRRKIAIALHSLGDEMQPRRPSESSDDFNERKRRQREEI IRRNRNELIRRAREEGIAVDLDELARIGEETVELTERRQRRRTNASQKSFDDLVGSDG MLKKDTSTTQIATGNDASGSTLRHRGAAGFAAGSVAAAAVANPFSDDHVLFDRDEEEA HSPKPFIYTEPDTRESSATVEAEPVASPITAQLIDLTPESVYQNPEDPQTASIPASEA GDQAAQSFYSFTSEAHETHSDDEAEVISTGTLTPRSDRSGFLTGASVVGSQADDIGIL SMQNDSDHDARSDTFSESGFTEAGFSEAGFSEVGENRGIMTPSSWTDVGSDDESEWGG PAHGGHVSQVQQ CC84DRAFT_1154385 MAVSEKPTHQKTRIVSVVAATAIALACGTNYAYSAWAPQFAEKL QLSTTQSNIIGTAGNMGMYAAGIPVGMITDRKSPRLAALIGVFALFAGYYPIRIAYDD GAGSMSVAILSFCSFLSGVGSCSAFQAAMKVATLNWPTHRGTATAFPLAAFGLSAFFY TFIAGIAFPGNTSSLLALLSFGTSMVVLAALPFLHVVDHKTGTGYAVLPSNERTRRNS NVLHQTSSNRSKHSSRYSSSSIPQLEPNNDERDDDSDETSSLLSGPGDILPEDDAASR HSRKSNHSHCLDVTGLALLYKFEFWQIWVIMGLLTGVGLMTINNVGHDAQALWNHWDP TASKDFVAHRQLWHVSIISLGSFSGRLLSGIGSDVIVKRLSGSRFWCVVVAAAVFLVA QICATRIENPNHLWAVSGLCGLAYGILFGVLPAVVVDAFGPDNFAVNWGVMTLAPVVS GNIFNLFYGAVYDSHSEVQAGGEFNCDEGIVCYKAAYYVTLVSSALGIVACLWAIQTE SVKRKAEELEDHRA CC84DRAFT_1154387 MGALLSIPMLALPSVGTLWGVAASCCGAATCSAVFGSCGGKCGN SIATRIAYALILLFNSIVSWIMLTDWAMKKLSHLTLDYVDIKCHGEQCYGYVAVQRIN FALGFFHVIMAVMLIGVRSSKDGRAPIQNGFWGPKIIAWLAMVVLTFFIPNSFFIVWG NYFAMIGACLFLLIGLILLVDLAHNWAEYCQEKIEVTESRVWTGMLVGSALFMYMSSF AMTVVMYIFFARSGCGMNQAAITINLILLLLSSIVSIHPAVQNVNPRAGLAQSAIVAI YCTYLTMSAVGMEPDDHQCNPLIRARGTRKATIIIGAIVTFVTVAYTTTRAATYGLAL GAQGNSYGNGYTQVGTEDYEHGLVTQQPESRREMRAAALRAAVESGSLPASALDESDS DDEDEGPSKAPRDDERNATQYNYTLFHVIFFLSTTWVATLLTTNFDEKDMQNDFVPVG RTYWASWAKIISAWVCYGIYTWSLVAPLVLPERFDY CC84DRAFT_1154390 MPSAIAIKQVPGKPGQVYYPLEKITIPEPRPSENEAVISLTAAA LNHRDLFIRQHLYPGTAFGVPLLADGVGVVTRTGSSAAAQKWNGKRVLLNPGTGWKDS PDGPEHPKGYAIMGGTKSNPAGTLADVATLDSGELEACPEHLSDEEAAALPLTGLTAW RAFFVKSGNALPGRNIFVTGIGGGVALMVLLFGVAQGCNVYVSSGDQKKIDKAIKLGA KGGVNYKEEGWEKKLRASLPKDRPYFDAIVDGAGGDIVAKGTKLLKAGGVISIYGMTV SPKMNFLMTAVLSNIEVRGSTMGSRKEFAEMVQFVREKKIRPIVSRSVHGMDIGQLDD LFEDMKNATQFGKLVVTLGEKGKASKL CC84DRAFT_1229644 MKLALWAVLAFLAIALALQDQLRYNQTGGDDLDGINVTRRWNDP PPQIPSDQVWNNALCKGHNLWMDMFKDNGQIDPRMIKTWELQETAAWGYRIQYRLLPQ QRNLGGLGLPPSNYGIARALASLGKSDRDRQDGGLIVMCEIFHWNPDQLRQVPPIPID QQTYAKPSNPVWRPPPQNGPRNRYTGMSSQWGYSVADGVIINTNIKSAISAARERNPP VPFNDLPEIRTLSDLQFTVWEEEASYINDNAVNNLQWYFVASILNEEARQIIKGALMQ LNQGIDQPLRPWPGLWVPITVDAGRAILGSPVGKTLGYFLVQHKQKLGNMWVDGVVIF QGDTMHGSACLAFHIRQPAPRPLTILEINPLDPIGDPMGGPQRLIPDPRV CC84DRAFT_1101328 MVFVFPALLASLAVLGNASPVAQPAITPAPKAPVAKRATSCTFS GSNGAASASASQTACSTIVLSNVAVPSGVTLDLSKLPDDTSVIFQGTTTWGYKEWAGP LLQIQGTGIKISGASGALLNPDGARWWDGGGGSSGKTKPKFFAAHNLISSSITNLHIQ NTPVQAVSINGANGLTITGMTIDSSAGDSQGKNTDGFDIGSSTNVVIDGANVYNQDDC VAINSGTDITFQNGVCSGGHGLSIGSVGGRDNNVVDTVTFYNSEVKNSVNGIRIKGIE NDTGTIKGVTYNKITLASISKYGILIEQNYDGGDLDGGTASSGIPITGLTIENISGSG AVSSSGYDVVVTCGSGACTGWTWSNVVVTGGKKYAKCTNVPSGVACS CC84DRAFT_1190194 MPSLNSSDIIDVLVVGGGNAGFSAAISAAESGAKRVVVIDKCPK DWAGGNSYFTAGAFRTAYTGARDLIPIVNNVDSETAKIIDMEPYTPQDFRKDMDRMTS GRTDPALSQALIDESNATVKWLAKHGVRFQLSFNRQAYKVDGRYKFWGGMSLKTEDGG KGLIQDHQAAARKLGVRVYYSTPAKRLVTNNYGAVTGVVVTYEGVETLLRVSSVILAA GGFEANPRMRSQFLGPGWDLAHVRGTPFNTGDALEFAIRDVNAKQAGNWSGCHSTCWD ANSPTDSGDRVISNEFTKSGYPLGIMINTEGHRFVDEGIDMRNYTYAKFGRAIREQPD GIAFQVWDQHAIPWLRSEEYRDEVVRKVWGSTLKELAQKLATDHNLADPYTFVQTIQD YNNAVYANRKEKGNQKWDPSVKDGLSTQSSARRLPLAKSNWALPIDQGPFMAVKVCCG ITFTFGGLAVNPDTTAVISSAGHEVEGLYCVGEMLGGLFYGNYPGGSGLTSGAVFGRR AGRAAALALSPAKL CC84DRAFT_1263053 MASTSAFDSSTLFRVDGIVAVITGGGSGIGLMMTRALAFNGAKK VYIIGRRLEKLEEAAKEHESIIPIQGDVTSKQDLQGAVDRITSEVGYVNLIIANSGDI GPPVRYNPDDSIKELREKLFTNFKPEDMTNTLNLNVTAAFFTMTAFIELLDAGNKQAL SGGFGKPAEGSKVPLIQSQVIFTSSISAFSRHSSSSVPYAASKVAIMQIAKHSSSQLS RYGIRVNALAPGLFPSELASVLINTRKPEEESPSDPRFTPSRRFGTDEEMAGAILYLA SRAGAFTNGTVFTLDGGRLSVIPSTY CC84DRAFT_1209083 MRLLQRLPSGTYELTHFDHDDPPPYAILSHTWEEGQEVSYQELI AGAGRDKTGFEKIRFCGERAEADRLQYFWVDTCCIDKTNTGELDTAINYMFRWYQRSS KCYVYLSDVSVEDHDPAAFPIIWADAFRRSRWFTRGWTLQELMAPCSVEFFSREGKRL GSKITLERQIHDITRIPISALRGQDLAKFSVEERMSWVTSRTTSVKEDRAYCLLGIFG VFLPVIRGEGEEHAMRRLKKEIQEQQKEIETEQTSSGSYNTQRLQTTRPSHTIPFRRD PDFVDRCTLLDELKERCSTPAARVALVGVGGVGKSQLAIEHCYRTHEASPGMWVLWAH ASSTARLEQSFHDIANRVKIEGRRDSQVNIFRLVHDWMCDTDERWLLVLDNVDDTDFL FDAQATTSKMAAKPLQEYLPYCAHGCVLITTRNKEAALQLVEQRDIMALNPMNALQAR TLLTKKLGVQATSSNATELTELATVLEHMPLALVQAAAYISQRAPLCSVAQYLDQFRK SERKRTSLLSYDKDHLRRDREAKNSIITTWQISFEYIQQTRPSAADLLSLMSFFDRQG IPKDVLQTQAEDQEGKVNRKLDEARAGRNGHDKRVQFECNDCDVEEQLGEFEIDVEDQ LRNDSADASEDNNSEDNDSEDGTFFEDVTALRNFSFISVSTDGKTFEMHALVQLSMRT WLAANGKLGRYKEQFINKLCMVFPTGEYENWIACQALFAHAKAATGHKPAGASSLVQW ATLLYRAAWYAECKGNAGEAEVLATQSLKARKKVLGRDHEDTIWGIAMVANAYSLAGR WEEAEKLEVQVMEIRKTKLGADHPHTLTGMNNLALTYWNQGRWKEAEELQVQVMEIRK RKLGADHPDTLTGVNNLALTYWDQGRWEESEKLEVQVMETLKKKHGADHPHTLSSMSN LASTYRNQGRWEEAEKLDVQVMEIRKTKLGADHPDTLIGMGNLALTYMNQGRWEEAEK LGVQVMELRKTKLGADHPDTLTGMHNLAFTWKSLAKNAEAMDLMQEICGYNFL CC84DRAFT_1190196 MAKNKTLSKAINSMFQWYKNAIRCYVFLSDVSVSTATEPVQRSD WEASFRKKLIAPPSVEFFSCEGQPIGDKASLDQLIHEITGIPLAALRNYPLDQFTTSE RERWAENRRTTEEEDIVYCLLGILGVYMRTAYGEGQESARSRLQVEVVVVANVPSIIP FLQNPRFVGRESQLAELEGKLFSNEQTTTTLAIVGPGGLGKSQLALEVAHKIRQNMDN CLVFWMDELLYLPLAIAQAAACINASGIIVQNYQAQLDAYNDAAIDHSSDSPTDNDDE ERLDLLWKCALALYSDGRYEEAEELFVQVMETSSRLNVQVMETFKRVLGEEHHSTLTS MANLASTFSNQGRWNEAEELEVQLMETSLRVLGKEHPSTLTSMNNLASMYRNQGRWKE AEELEVQVMETRERVLGEQHPDTLTSMANLASTYKDQGRWKEAEELEVQVMETRERVL GEQHPDTLTSMANLASTYKDQGRWKEAEELEVQVMETRERVLGEQHPDTLTSMGNLAS TYMNQGRWNEAEELEVQVMETRERVLGEEHPSTLTSMANLATTYLKQGRWKEAEELNV QVMETRKREAEELNVQVMETRKRVLGEQHPDTLTSMGNLASTYMNQGRWNEAEELEVQ VMETRERVLGEEHPSTLTSMANLASTFSNQGRWNKAEELECQARHKDALVLMERCFRL SQQVLGKQHPDTQSSLGTLASWRADCSDENS CC84DRAFT_1229668 MEEAEPIHTPGFEPTSMQTHSPPDSPPHNPTWGDKPAKDAKLPV GQLNDSEVSRLPEKIEQSKKDEDSEIDIESRRKRIVVVGLGMVGIAFIEKLLKYDVKR REYDVVVIGEEPHLAYNRVGLTSFFQHREVENLYLNPKDWYSSMPEGSLSYHLNTLVT EIDSKNKSVKTSSGETVPYDVLVLATGSDALLPKHTPGHDAEGVFVYRTIDDLQRLIK FAATRKGTTGITVGGGLLGLEAAKAMMDLEDFGKVKLIERNRWVLSRQLDGDAGGMVV EQVRALGLDVMLSKRVGKIEVDEKNSVKGVLFEDGERMECSTICFAIGIRARDELARQ SGIKCADRGGGIIVAPDLSTSTKDIYAIGECASWENQTFGLIAPGVEMADVLAFNLTQ AKAHTPRKFKRPDLSTKLKLLGVEVASFGDFFADRDGPKDMPGRRPAKKDGESGPRDK VKSLTDGPAPPPVKALTYKDPFQQVYKKYLFTMDGKYLLGGMMIGDTKDYVKLVPMVK NQKQLEMPPSELIVGASKGDDDGDDLDDDVQICSCHNVTKGDVVKGVKDGSCKSIGDV KACTKAGTGCGGCMPLVQTIFNKTMSSMGQEVKNHLCPHFEYSRADLFNIIYVKKLTG FADVMKECGKEPESTGCEACKPAIGSIISSLFNKHLLDDSRRGLQDTNDRFLANIQRN GTFSVVPRMAGGEITPEKLIIIGTVAKKYNLYTKITGGQRIDMFGAKKQDLLAIWQEL VDGGMESGHAYAKSLRTVKSCVGTTWCRFGVGDSVGMAVRLEERYKSIRAPHKFKGGV SGCVRECAEAQNKDFGLIATEKGFNIFVGGNGGAKPRHSELLAKDVPPDDVIPILDRY ISFYIRTADKLQRTARWIENLPGGIKYLQEVILEDKLGICADLEKQMQDLVGSFFCEW TEVLKSPERRAHFNQFANTSENIEPAIEPTKERDQERPSYWPSESVKEDFKGHKWGDL SWQPLVKAEEFRDLPTGDSKAIKRGDTQLAVFKVRGKYYCTQQMCPHKRAFVLSDGLI GDDIKNNKLWVSCPYHKRNYELSGPEAGRCANDEQVNIATFPVEERDDGFVYVKLPSV EELDGVLGTSKFKIKKDETSDPFEALDKKLKASQKGRKGFAISHLKDGVGEQARASMI LAGGERGAGGLDW CC84DRAFT_1154402 MATVIETQAVQQKKSVEFPPTPPETIIDGEDTKSVTSISDGKEQ LDFPLPPPSTTPTQVLDVDKPTPDSHVARDSRLIRLTGVHPFNCEAPLTELFKEGFLT SPELFYVRNHGPVPEVREEDIPDWEFSVEGLVANPLKITLKELLSDYENVTYPITLVC AGNRRKEQNVVRKSKGFSWGPAGVSTALFTGVVMKDILERAKPLRKARYVCMEGADKL PNGYYGTSVKLNWAMDPNRGIMLAHGMNGEPLTPDHGKPLRVVIPGQIGGRSVKWLKK LIITAEPSDNWYHIYDNRVLPTMVDPDEAAKNKNWWTDERYAIYDLSPNSATAVPAHD EKLELATAPEYYTVQGYAYSGGGRRITRVQISLDQGKSWRLGNIEYAEDKYRAFQDKE LFGGRLDMDWRETCFCWCFWKLNILVEELKEAKDIVVRAMDESMNVQPRDMYWSVLGM MNNPWFRVVVHNEDGILRFEHPTQPALIPGGWMDRIKKTGGNLTNGYWGEKIEGEKKD AVEAEAPKEIKMTKDGLKKEITIEEFREHNNPETPWFVVNGEVYDGTPFLDGHPGGAQ SIISAAGLDSTDEFMAIHSETAKAMMPDYHIGTLDPAAQRILAEGEPVTESAEPRPVF LDSRAWTKSLLQSKTTVSWDTRVFTFKLEHEDQTLGLPTGQHLMIRLRDPVTRESIIR SYTPISETTKRGYLDVLVKVYFDTKDQPGGKMTKALDAIPAGHFVEMKGPIGKFEYIG KGECLINGKPRHIKRFFMICGGSGITPIFQVLRAVMQDKQDPTTCVLLDGNRLVEDIL CKEDLDVFARENTDRLKLLYTLTKGPEDWAGMRGRIGAPLLQEHCVCRADGESLVLVC GPEPLEKATHAALLEQGWKEEDLLFF CC84DRAFT_1180085 MAMLAMCIAWTILSRSLLLNLGISNSPGSTFLPPEIVECFQSFS FGKGAVTLRKDEPNPVNFALLSRWKQATAALCHNAYVLDAFTFFDARTVNIERALKDL DPLLARYAKPSNVSHGKDARIDDLRDVLRLGASFAFTLFGQPCFWKFDWRSDRAIAHG KTETEPKPESFLSTTTINIAATTSIRLTTQEIVAWPSLVRVMDENGVQLSEGDDTILG EKKYLSDIAGKLGDVPRVHEGDDSRSNSPPFENIPVAGLLDQYGT CC84DRAFT_1101246 MFRFFQCVPSILRRAAPVAHPFAVPRRCVSTAVKSAPTTTPPPS LPSSPLKITLREYQEECIQSVLAYLAKGHKRLGVSLATGSGKTVIFTHLIDRLPATGD ASQTLILAHRRELVEQAARHCALAYPNKHVDLEMGNHRASGTADITVASIQSIMSSGR VAKFDPARYKLVLVDEAHHIVSQQYLDLLEHFGLRHTADWKKVPAPALVGVSATFSRF DGRKLGAVIDHIVYHRDYVDMIEDNWLSDVVFTTVEIKADLNTVSTTANGDFKTAALS RVVNTEQTNDLLIKAWLAKAQSRNSTIVFCVDLSHVSNLTARFRQHGIAAEFVTGDTP SKIRSARVDAFRRGDFPVLLNCGVFTEGTDIPNIDCVLLARPTKSRNLLVQMIGRGMR LHPGKENCHIIDMVSALSTGVVSTPTLFGLDPAEILEKANTKDMMELKERKEEESKRE QAAADTAARPLSAKPPGSITFTDYDSVHDLIADTSSDHVIRRISQLAWVAVGEGRFVL STNGGAYLVIDPTGNDNQTFRVKHYWRLPAGTRSKSPYATPRVIAQSDSFEHVVHAAD TFAMEAFEFIWISKNQPWRRSPASQTQIDYLNKFRPEEDHLKSKDLTKGKAGDMITRI KHGTTGKFKKAHAKQKSALKVQERADTLKDRLQGQTRVGPLSANNFRGLSE CC84DRAFT_1046902 QPSPPTSPRKRRRSSSADSGRRRKRTAWAAPYNDAYRLLYNDFV QTIAGNHKIPVVSTLKTSQLGATVWTASEKEAFFAAVERLGKDNLPGIAAAIGSKSIF EIRHFLVLLEDAYIDRAGKRDISLADIPAAAEVGFICERQLDAAGDTLATKQERFEAT QEQKRYGEHWLITSELAGEIEIAAKGLRASVPVESGDDDDGLHAASDAPLLREIPEAR LIIPKSFLELSRNLFMNSSSNTSYPWPNWRNLASDITPEPCMYRTALRDFHTLVLLLT KRVMQTALIQATSRIRAQGWRAKKGVKLFVRSRDVHTAVDLLGVNASRRQFFRDVPRR CRLRVTDGRYKARVLRWDEVERILDSTENRSTPLDSDTEAETRTTQAEQVQFKFRAAR SGTPLPLARQSSTDESADDHAGSNAESPAEGTDSDGYQALDDSFEASDADAEAKSESG YDSSEDELKGLEEFDREASRLEERRLWSVLGDAPEDPKSAPETTYDQLIRKIPRRRNR AEGNEWRNWTNYHPEWEETRSRIPEINFLANQTSPSSSRSWDLATDYETDYGAEAGVS PGGDHKSKKRLPLRIVEELPLRDPRSYAALRGKQSESAQRDAESDASEHEAQIPAQSI ELVNE CC84DRAFT_1101135 MRFSTLSAATLLASANAHELLLERELPPAVALNPDNYASCANAA WPPTTSEVGVELVPQAPDDELKALVDEISSANIQATIQKLVSFGTRHTLSTQNSSTRG IGAARDWIASEMRKYAEESNGRMTVSVPGYVQGVASRIPFPVKISNVLATIKGSATPD KVYVMTGHYDSRVTDVLDYTSDAPGANDDASGTAIAIELARVLAKAKPRSTIILGAVA GEEQNLYGSTFLAQTLKNASTNVEGMLNCDIVGSSTGDRGQKDPYTIRAFAQGPPSYE STTVAAQRLQIGGENDSPARELARFSAEVAANNVTGMNIAVIYRLDRFLRGGDHRPFL DAGYPAIRYTEPNENFNHQHQDLRVVNGTQYGDLVEFVDFEYTARVGKVNLATLWSLS EAPGYPRNLTVDTTALDNNTRLKWIVPDDANLKGYEVVWRSTTASVWTHVLDVGKVGS VTIPLSKDNVIFGVRAVGANGYKSTASYPFPG CC84DRAFT_1168194 MANNEKAEKNASLNPGDGVTTPNHDARKDDSRAEDPKKEAQGGL HSYLRVFTYADHLSWILNVIAFLAAIGAGAVLPLMDLIFGKFVTTFVRFSTGVLTPAQ YRSEVNKYTLYFLYLFVAKFCLFYTHSVLISIAAIRTTKALRVDFVRATLRQNVAYFD SAESGSVTSQVTTSTSNVNNGISEKLTLTIQGLSTFVTAFIIAFAVQWKLTLITISII PTIIVAVGVCLGFMTKWENEMLEIYGQAGKLAEEVFSTMQTVHAFWLNPLLARKFDQH LLDARVIGMKNSPVYAVLFSTEFFCIYSAYGLAFWRGIRMYVSGEISQPGQVFTVILA VIVAATAMSTIAPQIIALGKGASAAAQLFQVIDRVSEIDSLSEEGLIPEKCAGRVEIQ GISFAYPTRPDVQVLQMFSLSVPANSTTALVGASGSGKSTIVGLMERWYDPSAGNIFL DGTRIQDLNLRWLRTNIRLVQQEPVLFSGTVFENVACGLFGTEKGSLPEDQQRKLVVE ACKSAYADEFVAQLPQGYDTQIGERAMMLSGGQKQRLAIARSIISDPQVLLLDEATSA LDPKAEKMVQQALDSVSKNRTTIVIAHKLSTIKNADNIAVMAKGSIIEQGTHNELLDK KGAYASLVTAQDLGKADGAEETLRHSADDDGEKPTLVRTQTQASMHSQAAVKTGKDGI NYNLISCIFIVFWEHRRLWPYFLILIFASLMGGATYPAQAVLFARIATTFEIPGAEAV ERGDFYSLMFFVVAIGNLIAYSLIGWFSNVVVQHVSRGYRLEIFNLILKQDMNFFDRE ENATGALVSNLSTYPTSLLELLGFNVMLIFINVISVLSSCILALVVGWKLGCAVAFGA LPLVVFSGYLRIRLEFKLEEQTGKRFASSAALASEAVSAIRTVSSLALERHIIARYAE RLQGVARHSMKSLFWTMFWYSFTQSVSFLAMALGFWYGGQLISRGEYNTTQFYTVFIA VIFSGEAAASFFSYTTSMTKAATAANYIFWLRRQTPRVQENPSKPPFNDGNEKDPAHI QVDNVDFAYESRPNANVLKNIDVDVKPGQFVAFVGASGCGKSTAIALFERFYDPTSGC ITCDGSTLLDLCPRKYRSHVSLVQQEPVLYQGSIRDNIAMGVDNEVTEAQIEDAAKQA NIYTFVASLPNGFNTMCGSRGTQLSGGQRQRIAIARALIRQPRLLLLDEATSALDTES EKIVQAALEKVKDGRTTIAVAHRLSTIKDADVIVVFSKGRVAEVGTHKELLGRRGMYY EMSLGQSLDRSIPA CC84DRAFT_274608 MKGRRWIRGRVFRYQEQEITMLGASRRAALILSCVVWRPHPREP LSAARQTPYSTLYDAALYSLGALHHINHMGFTRQRAPGAYQAETLKVDEPHVVVLLGI RGARAPAPKASARRMNEFAYGARLLRTPAYDFRVPRYPVWIRPTRWMWRAKIACSERC VTPREYVQTQLIHNSVMVVAREKFVAIELYHVPIARAAS CC84DRAFT_1101011 MNKSWKNSRRDSSRLISAACTTTAPTQKPQRQRVHISDEYEKKI DRIEDRLASIEHVLEALSNKLGNLDIKSEVEHSSQPRSGIAAARSPLSNSEANVATPA FEGETTINRQSEFARELLEQAVGSTPSIGQNAEIKAALSSLQNMVTRQSANPNTITAS LTYPFSNKALAEVDHTKLERPPWDLVNEVIDKASVYPTMSFAVVFPFLKMPNMKDIFR EAFENTSECSVGRRMLVYGVLTSLFYEFSCYPVVDKRVDSYRGLARICERQMEVAMSQ LDLYLPATYENILALLLGSAQAIEMCKPSLCWTMISTAAHLSQNLGYHRYQTMKDDSE EERNAKIHVFWFIYVMDKTLSLRLGRASIIQDWDMSLPYPNIDSDHARFGSLVQQGQK GTELLLYWIKIAQIQGRVYEKLFSPAGFLRPMSERARTATELVDALNKAWTERGEASA FDFAYLEIEHGLARQRMEASTGPKRASECKRFRYVLPTTTHLNINGPDMSYCEVEEIG DIFYHADVVMHYSTCALIQRAVSPDNVSFNNDCLESARAALVAHQRCAAQFNIKGNED LWSGYIHWAILQAPFTPFIVIFCHSVLHCDPSDLNSLSDFVVSLESCRTISEGADKLY KMCHLFLQVAKLYVEAKRNEAVPVAASRTQPGQNGFFPQETGINLDTMTQFDPYLSAL GLMPNAGFPMTGFPAMNPSTNVDTFPPGSFDASMAPSGSGMGPGNPTNVQDWFSGSRY LLNLMEDDIQMPDFNL CC84DRAFT_1221549 MVDVAPPLESIAEDDLCPICHLLLSSPVRTTCAHILCRACMAQW ASASQTVPMHPSSLDMDMRDFNPEFDPTYDLEARCPMCRTPTTAQPDAPLARSLEAKY PQTYAERQAEDDAARIVVSGEGVENVAILIGNRHALVGGRDEPGNKHDWTFFVRLSRP DLVSHVKVNLHPTFRPPSLVLHDPPFEVRRLGWGYFSIGATVVLKEGWEWIGGSEVRG ELSDRKGALGLEWMLDFGGDGKQGRIRAGVRRVGGYADEAVDSGVESPLREPSPVEEG SPVREASPARWPHMDDEHMFR CC84DRAFT_1263065 MLSNTWSTYKSTFERQRSGYLQELVTRNATSRVAIISLDTATEF EDVKEKEGMAERYITDSASSSTTLVPHKEEIASSDAVFRTDLSDSVGTVLPGIQLSAL SREDLANLASLVTARGVLFFENQDGFDKSALNRIVALFDPADDSTNPKDDIGRKGLSE QTAPLQAQDQWHTDASNESSPPSISLLQIEGESEDVCVTAFVSQYGVYDSLSKPLQSF LDGLTAVHSSGQRSAEHPAVRSHPVSGLKALNVTPQNVQRFPELNKKESDKLLELLEF QIHSSAEHTIQWKWKPGDIALWDNRCVAYRHISNAPTIEQRSTKHTFLHEKPYLEPTS ESRVSRTSRLAAEEQSERERVAQIKARYNNTPLRRILARQLSKEVTPIRIPSATEPQH GTPSPADTVIALQDASEPESIAQIKARFNNTPLRRILARQTSRDASPIDSSPTLKETK VIVSPVDSVMSEQDAEELAQSTVSEHRQKRMEEWASGIDDGLQVQKTRSVPVKRSNTP LRRILERQVSASLERRLQWS CC84DRAFT_1128299 MTLTHTGLTREVLAYTAPHPPVKEFVPPKDRAFYAEASKSKLLS AATSVKNLTPYIGTEITGVQLDRLTPAQKDELALLAAERGVIFFRNQNITIDQQYELT RHYGLQDRDPNQVNPRHVTILGRDDDIRAFANYGADFHSDHSFEANPPAYTMLRLIRT PDTGGDTIWTSQTALYDKLSSHFQKFIDGLQAVHTSEHGYVNAINRGTQPFRGPVRRA HPLVRTHPVTKVKSLFYNPAFIIHLEGLKGAESLHVLNFLRDHLHTADDLTVRWKWEP GSVAFWDNRVVAHRAVPGGYKPEEREGKRTAIFGERPYFDPVNSVKLSDYRGKPTYDE DEKISEADAIATNGVHNANVNSVNGAKTSKDNAECLNETSANVGP CC84DRAFT_1101313 MSLINAAIDEIGMTPFQWKLFFFNGFGYAVDSLLIVCQSISQPA VDLEFGRPVKQIAGVALASQVGLLAGAAIWGFTADIIGRKLAFNTSLFICAAFVLIAG SMPDYYSFSTMVAIYSAGAGGNYILDATNLLEFLPVKNAWLVTFMSIWWAVGYTVTGL FAWAFMSNFSCPSTATGPADCLKSENWGWRYLHFTSGSLVLVLSLARVLFVRMKQTPR WLIAQNRDSDAFQVLQDLAIQYQRPFSLRLEDLEVQGRVLHTEMSKWSSLRLWKHVGG LFETKILAWSTIVIIANWFVIGMVSPLYSTFLPYYLASRGADVYGTPSNYTTWRNYAI NQLAGLGGPIIAGVLVEMKFFGRRGTLAMGALLTMVLQFGYTQIRTPAQNVGVSAAIT AASNIYYGTIYAYTPEILPSAHRATGYGLCVVLNRVGGISGVLIGSYANVQTTAPLFV CASLFGLLVVLSLLLPFETRGKRSV CC84DRAFT_1229678 DSIDLQAIPGINLDEILDSISQAYIDAECNDFATRRVTNVTDIV DVHAHCVPDWYRTIVPTTGGNPTPSWNLTGHLDFMASEGISRAILAVSSPGANVYPGD QAATIALARLINEQSAAYARAHPHQFNFYAVVPLPYTQAAISEAHYALDSLGAAGIAL YSNFEGYYLGDSTFTPFFAAMNARGSRQIVYVHPTTPYLRINGSLIEANSTVYPSGNI EFFFETARMLEDLAVTQTILNFTNINYVIPHVGGAWPSVADRLLRSFPAIYDRTLQAL RTRFYWDSAGPTYFHQVAGLLAYGVPAGQLLFGTDYPYAPPFSYAVSLAGVESSQWIT DAEKLDVFKTNAESLFGNNLPG CC84DRAFT_1168201 MAPAATDAAPHTAKNVKAENSKSVKVLEELLSKLNVSKAQDEIN ATANSLATFINGDIEEGDAPVKAVELLRKQLGNRKDAVVRERALDAIRAIAQHSTISP AVEPYLIALLPDTLNAVADKMSAVKVAAQTASEAIVTAANPNAVKAIIPHIIHSLEAT LKWPEKICDLRCIEILTKSAPAQLAFRVPDLIPVISGAMWDTKAEVKKAAYATMETLC GLISNRDIERFIPELIKCIAKPENVPETVHLLGATTFVTDVHEPTLAIMVPLLERGLV ERETAIKRKSAVIVDNMCKLVEDPQIVAAFLPKLMPALEKNHDNLADPEAREKTKQAL DTLIRVGHVENGKIPEISKDGDISTVAGHLKDVIPGSVSLDKFGPIIDYIGAIGGQLI DEKDYEPFNWQSNLGPFLAIIVGDEQAKDLVDALRKRAIPGAAAEDAVEPDEEEGEDL CNCTFNLAYGAKILLNQTHLRLKRGQRYGLLGPNGSGKTTLMRAINNEQVEGFPKQNE VKTVYVEHDLDSDDTEQTVIEWTMKKLGAVGITTSEAEVMNTLVEFGFVQEQLKNPIT SLSGGWKMKLALARAVFEKPDILLLDEPTNHMDVKNVKWLEDYLISSPCTSIVISHDS GFLNNVIQHVIHYERFKLKRYRGNLDAFVKRVPSARSYHELGASDLEFKFPEPGFLEG VKTKAKAIVRVNNMAFQYPGTSKPQISEISFQCSLGSRIAVIGPNGAGKSTLVNVLTG ELIPTSGDVYQHENIRIAYIKQHAFAHIDHHLDKTPSEYIQWRFQTGEDRETMDRANK IVTEEDEKAMDKIYRIEGSQRRVIGIHSRRKFKNSYEYECSFALGENVGMKNEKWTPM MTADNAWIPRTEIMASHAKMVADVDQKEALASGQFRPLVRKEIEQHCANFGIDAELVS HSRMRGLSGGQRVKVVLAACSWQRPHLIVLDEPTNYLDRDSLGALSKAIKSFEGGVII ITHSAEFTKDLTEEVWAVMDGKMTPSGHNWVQGQGAGPRLAEKGEEEEKFDAMGNKIA GTE CC84DRAFT_1221555 MYSIPNHTLGCKCSQCMHANHSVSADPSGSSVVLRPHTKSTDES INEPETTHRTTADRELIRAPSSVHMPTLLHQELVAPTDIIDAAKKPFRPSMGKTQNNA ADGFKPTMPQLDWLIEEITSDDDFESVGWSDAKSEADGKTSASNDFTTAPLKMLQEEA KPGVDGKGPSNDPEPITSSKPHPVAAMKAMAGNTIGGYAAATIALKTQKLMAKQDGIP SAAEVKNVAIIPNKESVSNTAFATSFETSKAAVKQSKVTSSSEPKQVGVAPGKAPEPT TAINTSSKTTGAEIKRYNIFSDAQLRESVEQAMRATFPNGLVDAMMADLNGDAALDTE TVDERLAALNESDERLSKWKEERAKKDVAKKKAAKAGSVETEAAKTGTVTEQVDELGS EGSRVEDAAKGVVHPSEKHTTPKKPY CC84DRAFT_274746 MVSVSLQAVQVCINTVEPEATSMIKGVCGEGCVSTAWIYVGCAG GRRALRASVTNAARATLRL CC84DRAFT_1154435 MTSFTRIADEGSPSIIVHPDHKIAKINDNIYGGFTEHMGRCIYG GIYDPGNPLSDENGFRKDVIDAMKDLNVPVVRYPGGNFVATYHWLDGVGPRENRPKRP ELAWLGLEPNTFGTDEFMKWCEIVGTEPYLCLNFGTGTLDEALGWLEYCNSTQDTYYA NLRRKNGREEPYNVKYWALGNECWGPWQVAQLTKEDYAKKAYQWAKALKLLDPSIVLI LCGETGYSSWDYYVLKECVTWSVHGLGGDRTKSLIDMHSIHVYTADKEHLANATAPRG AERAIQMASALIDLARIENGVPETVPRQTICFDEWNVWDPIRAPGELGAEEKYTLSDA LAVSVFLNGFIRQAKDLGMANIAQSINVISPLMTNKDGIVKQTIWWPLLLFSKYMRGH SVAVNVRAPEYTGRTNPAWIRATIETPYLDVSASISDDGHMSLAVANLNEEKAFDVKV EGVKKGEVKVYTVDGENVDVANTADEQKVGIKESSWDGVGKFRFGKHSFTLLRWKA CC84DRAFT_1101272 MALPPKWYQFLVGVFASLGSLLFGYDLGVIAQVVASPSFAKEFN NPKANETGAVVSVFTGGAFLGAGVAGVLADRIGRRLTIMIGAIIFCLGGALQTGAMAL SYLYSGRAIAGLGVGVLTMIIPLYQAELAHPSIRGRITALQQFMLGVGALAAAWISYG TYTGFAPDDNGQWRTSLGIQILPAVVLAALILLFPESPRWLIDQGKTEEGLRTLAKLH SHGNIDDAWVRAEFDQIQETITYEHEHEASSIGELFTDRSSFRRLFLACAIQASVQMT GVSAIQYYSPAIYKLMGIATNDTLKYQAISSILALIAQATCILFIDRFGRRWPLICGN LFNMVTFIIATVLLAKFPPGANDNKSAAWAFIVITWLYNVSFSATNGPLSWIIPAEIF DTKTRAYGVMIATMTSFAFNTMIGQITPIAMENVGYKFYILFVVGNFTNAIFFWAFLP ETAKRPLEEMKYLFTEAPLFVPGMKRVDFETHDLEHRVQEVEAKQGYSSHVETRA CC84DRAFT_1168206 MRSGRDSQSVKRLDPADREAHAVYHPDGFATFPPGKAKREVSIA VRIRRLFHNVVLVLCGTVGHRGGESDCFGQWRRNRRSLRSTRPGLNGHANIILLVGRE TIRSRCKLIVYFATHERDEGGSHWSLRIVLSDTLRPCACCPSGNPVSFLSIARVRHTT HSCGQSSAGVARILNILHRGSMPHKSDHHQLPRPRAYISKPACQNLSLNYQKKTADGR CC84DRAFT_1190212 MKTFILFTSLIAGTQSLAPIIGLEDANPTSDNYIVVLKKGTSNA AFQSHLSSVSTRLSTRSTIKQHDIFNTGTFKAYTLVVPNTTIVSLAKTPEIDYIERDQ PFTLPSVASFESTAKRSVQANAPWNLARISHRQRGSTDYVYQPTTGTWVYILDTGIRV SHQSFQGQAVCAFNAVASGTCDDLNGHGTHVAGIASSQTYGVVRFAGIVGVRVLDSSG SSTTATIISGINWAVQDILSKGRVGKATGLLAVGGGFSAALNAAVASAASSGLMLAVA AGSEGTSGGNTSPGSEPTACVVGATTVGDARLASSNYGPNIDIWAPGQNIVSLWITSS TATNALSGTSVAAAHIAGLGAYFLALEGPRGAVELCERMRQVATANVLTGIPAGTANL LAYNLSGL CC84DRAFT_1168208 MTERLKSIVSQLSPSQSGLSAITTKNPDDIVITLAVRTPLAKAH KGGLKDTPLDGILVKLLKQVIAKSNLDPALVEDICLGNVSDSKAAYYIRAAMLGAGFP NTTSGSSVNRFCSSGLKAVQDIANQIATGSIEIGLAMGAESMTTGGDRLERPFFDEIL ERQEARDCMQPMGQTSENVAKDFNISRERQDRYAVESYRRAEVAQKAGWFDDEIVPIQ VKVKDPKTGEEKEITLTKDEGPRYGTTFEGLQKIKPAFLPHGDRSHGGNSSQLTDGAA AVLLMKRSKAQELGQPILAKFVGATVAGLAPRIMGIGPTVAIPKLLTQFNLTLDDIDL IEINEAFASMAVYCLETLKIDHSKLNVRGGAIALGHPLGCTGARQIATGLSECRRQKK KILLTSMCIGTGMGMAGLFVNEQL CC84DRAFT_1180102 MPTAGMIRTGSFSKGLYCGYCVVLFQRTSVMSLKGGGAYKFEHV VLGGACQDIGTVSGFRKNLHKSIGISISKTVQFLADNPYCGDSLQIALVELECDGQNA RRRRRSHLYEEYVAWHSSEFNQYDPIPYEPNSEDGEIDEEMVVADLVNLPLQAVGPRI FATEVSDVVTGPATITPPAENLDSEKDRKDPSAENPGSVCWDELFASTEDPSMQLKNC DHRFHKVCLET CC84DRAFT_1209103 MASTSSTKHHHIKDTPLSGCGCIDCIMSLPWLPAVPPEQQHDNG LLPSAAPVSRIPDGILGSAQNATKEMVAQLLNKQNNSEADHEAGQRITTRDRTVSYQA NNPDEVCRYFNTGIDCASWLSHCQRAHICSNCQSTTHGAHGCTFNPSSLIKGSYMTSA NPTPTSHQQPLAREQVVMCATSPPDGPLSTTTNLVTIPQALTAAELTMYPIPPPRAPF SDPRTGMPMYFVPTSFNPSWISSDTTPNRPTRICELHNRTSGCTWSECHRQHICSNCH SPNHTLPACTNYPNHVCEKFNSECGCLFLHCQRRHVCSNCHSSAHSVFLCSNYPDFVC EKFNGTAGCLWVGCKRRHVCGKCGKEGHGQAVCEGV CC84DRAFT_1128323 MCEVYMSTHDGGECYASVECDEDNGGKKEYKNFPNCRVGGHSRF EDDRIGPFSIEFSERDGEGQGEGLTTPNLRLKYLNEWEPIAVNSEAQRQSTDGSAGEY GKAFLCKYGNYKASIIGSHISNQRTKKYSCGVPRAGLQSEDGTLDSNMPEDKKGYRSG TCSFHVKQFQKPDPSRDDYALEISSVKDANEKKIGGKGKAGPDVVLKTKLPKTISFKT GNVDADPVSFQYGDDKWTSDDEGRCSVGKYDSGDREMDCTFKCD CC84DRAFT_1154448 MSFPTLHARAEGKPFEHRSCLTPTTAKKLLDAGYPVLVERSPTD PNYSRIFKDDEFEQAGATLVDTNSWETAPEDRIIIGLKELPEEDSPLKHTFVHFAHCY KQQGGWEQVLARFPRGGGTLYDLEFLQDSTGRRVAAFGYHAGFVGAALAIKTWSWQLT HPNGEPLPGIETFTDGRGYYNNETELITQLKEDVAAGEKVAGRKPSSLVLGALGRCGS GAVDLLEKVGCPEIKKWDLPETKDRDGPYPEIIESDLFVNCIYLSKPIPPFVNLESLK SPSRKLSVVCDVSCDTTNPHNPIPIYNINTTFDKPTVEVPVEGNGPRLSVISIDHLPS ALPRESSEAFSDALLPSLLALKDRATAPVWQGAEKLFNEKAATLPGGVPKKEV CC84DRAFT_1154449 MAPPTSPSSHSRTSSNASARYNPHAPTTPSQLRNSHVPSERSSS PEETMHAAPDHDDGPAHAPSSAAHHDLEFSTDGIHMSTDRASVHSADDNAEGPRGGII DVDLEPTVRTRLLNHKNWDSASGCGEENCNHGAMSPRPASSKSYGSFNTDGGFGGRYP GAIDPRTGEAADATHALLGDAFADGVLGGRAGKSTTQYLAERHGVKHKKLMYIAYYIP IVNWVQQYELRYLKGDFIAALTMASFYIPMALSYASNLAHVPPVHGLYSFAINPLIYG ILGTCPQMVVGPEAPGSLLTGEVVRDVIRHGSGDQDGRKNAEIAGIVTSLAGAFILTA GIFRLGFLDNILSRPFLRGFISAIGIVIFIDQLIPEMGLAKLAADEVSHGSTVDKLVF LVRNLGSAHGLTAALSFTAFGIIMFFRELKKRLQSRYPSVAYIPDRFVVVVLSAIIVW KFRLDLKGVAILGDVKSSGSVFAVHFPFEASHLKYAGDAINTSLIIALLGFFESSVAA KSLGSGDRNKDGVSMPLSANRELIALGTANITGGLFMALPAFGGYGRSKVNASTGGLT PMSSIFLSIITILATFFLLPTFYYLPKGVLCAMVSVVAYSLVEEAPHDIKFFVRVRGY SELILMFLIFVVTIFWDLKRGIGVGIGLSILRLVRHSTRPRIQILGRVPGTTDKFANA EMDPDSLEFIEGCLIVKIPEPLTFFNTGNLKTRLKRLEDHGTASAHPALPRVRREEHN KNIIFDVHGVTSLDAAAAQILVEIVESYRKRDVSVFFCRVPHERSLVGLLFEKTGIVE LCGGRRHFVSSVEQALRMTELERMSEEFGSEAGSSRAGAGTGAERRATVW CC84DRAFT_275658 MDAPKESYSPGEAGYGQFEPKMTAGRYIATRFSTLKPPMAKVPN PIRLLRLLNFQQWMFFLVAFCAWTWDAFDFFTVSLTVEDLAESFGKTKTDITWGITLV LMLRSVGSIIFGLAADRYGRKWPFIVNNLLFIVLELGTGFCTTYNSFLAVRALFGIAM GGLYGNAAATALEDCPEEARGLISGMLQQGYAFGYLLATVFARAFVDTVGHGWRPVSS LHSRRLKPHTNPIQLFWFGAGPPVLIIAFRLCLPETQAYLERKRIREETPNAAKVFLN EGKVALKKHWLLLIYMVLLMAGFNFMSHGSQDLYPTMLSNQYNFSPNAVTVTQVVANL GAICGGTVIGYCSSIFGRRFSIIFISIIGGALLYPYTYTSSTKVIAAAFFEQFCVQGA WGVIPIHLMELSPGSFRTFVVGTSYQLGNLVSSASSTIESTIGERFPLPPKGKVKRFQ YGKVICIFMGCVYVYVIILTLIGPEYRGRSMDVHADEDMAEAAGHHHEKRVHRESTDG KDLGGAEGIERV CC84DRAFT_275687 MAPYALAAAALLGLAAAQSLGTAKEVHPKLTTWKCTKAGGCKAQ QSAIVLDSASHWIHQKNDTTKGCGNWGSGPDPTACPDEATCAQNCVMEGNSDYAASGL TTKGGALTMNMYNKDGGVSSPRVYLLAPNEKDYEMIKLTGQEFTFDVDVSKLPCGMNG ALYMSEMEASGGRSALNPGGATYGTGYCDAQCYVTPWYNGVGNIAAKGVCCNELDIWE ANKVATQVAPHPCSKDSIFGCTGAECTKAGLCDKNGCGKNPYTTDKSYYKPGGKVDTS KPFTVVTQFPASNGTLQSVVRKYVQNGKVIEDVASTVPMDDAYCSKNGASDFMRLGAM KQMGGAMSRGMVLAMSIWWDEGGFMNWLDSGNSGPCNATEGDPKIIQQIEKAPSVVFS QIKWGDIGTTFSAKKPHPHGWAREE CC84DRAFT_1263082 MPDRTAGREGAGSLSFRFLDLPPELRDKIYQELLCDFKAAPSLG VNDVVEHKPCGYYVRTIHTAILRANQQIHLEAYDIMVKTNRFVHIKFFGGLPLDHMLE TSTRRVICHHLPAVEAFKGYTMSVSVVPEGLAWWSPEDHHLVTRANSMAVHCMILGED CAGLIRTLGNVHMPNLNKTLNISIAVAPYLQQNSPEQRELLEPFFKEPGTQEKLLAPF RAKLRGVEDIKIIGVDPSLASAIKEEIAKDEWTDSQTVLFEIQTAKQRGNDLFKAKEL RAASQTWEEAVNDIERMHQSSSWKNLCVKGDTAFVDAMVELYFLMCLNITHVQLTGID KPIPGYPRTHDAHVYLSLAEQQIDRVMNARQPNWWRKDYVWEPSDAQLAKLFFRQAMC RKADALLNGEPICMVDAIRTLELARQIVPDDAAIKREAESMEHEYERMLFEAERDVTP AQLFGEFGGDYEDEEDGFEDEDDFDDMPELLRHDESDEDFSDMPDTV CC84DRAFT_1168214 MPITVAIAKHDANAINTSNWDWRRMNNHEELLPHSEKNKSKGVL QSSVLPNEYFPKHIASSTNGLVWAAYYAYSDHHNLTLRPEDIWFAIISQLSFYINAHA EELRYLFVTHEERKELIVHTEGSINTIDFARIAKEVANMIQENVERADLQEWIMPSFS TTTESDRAVASVLFMGAMQKYFSYGACLSCGIPSVTLLGKREDWENILQRLDTLPEFG AEPAAFADGLRPVLQGFIATFDGERTPETLDFWGRIAHRTFGGSGPTYLSGWITAFCF WDADGKPISKRGWSEDLGAPACEVDFKDVPNGYAIVPLTINDNGKEYLTQMVAGSFGM EAFSQQKVKDTPDGHATTEDNSTTDSKTSNDGIRDEDPDSALDSIKPLTGWMMYHTNT FEDGHIKNLQPVADGSANRIDRTL CC84DRAFT_1154464 MDPEARPKPEPRDDASSPAPPRKKQKRNKPTLSCEECVERKTKC DRGRPVCLACMKRQSPCKYSEVANLIATTDGSARHAGRAKRAMSNKPVPALGIDTQAL PIQFPAHTHTPGSHTVPPTPAPRSQYRSVSLSSTGSSPFLLSNIPFSNHTASPFFGLG SDHPFANYWTSRGGLAEVIGVLPAKDQADILVAKYFDAVDPVYPMVHRRNFYADYDRF WSLPHAEKQTADPVLLALHFVVYAMATQFIGPPTDHKRSEIAEFYVSASQQALRLSSY LSRTSVRTLQAMVLICYFLMNDNHASDAWAFGGVLIRQSYAMGLHRDPDIIAPRCSLS DKQQRRKLWQAVFFQDTFLTVLLKLPPTATFSDVRVESLTDELGDYLPTINGSATNGT SVNPMSISNIAQVTDIFPTYELQDRQYIRSMWHMANLVQRTVCNPRSLGSPIIRSPQE KIDLVNEYHQLLNSFPPLLTTSEDSAIQDLASNLRLLRQNLFLRSNYWHCVMVIQADE YEAGGVSCDIRGALESARKALLAFFHFWEYLRIDAGVWWVFQHRAFEEALLMARMLSA QEKALSVEDTGISPASDPLLSAARDDSKHCLAILDMVSDPEVQKTRTDVLRTALADIN W CC84DRAFT_1221570 MEADTSRAAGEAAKTGVQDAQTPTPPPEPAGDKDAKVSLADTKP KSKSKVAKKSETKSDKNSRRVQKRKEQKDESSSGSELSTESESDDESSEDERVKGKKK ARAAAKKAAEKKRAQLKAKEKAKKKKSKRYIESDSSASSESESSSESDSETEDEKAKR KRRVKTKTKKKSKKYESSSEDSSSSSSSSSESDSEVEKKKRRKAKAKAKAKAKAKAKA KVVKQRSIKSKVVVSDSSASSTDNEADNTAVNEATSTTSVDDAATKLAAQKAAIAAAM QAQAAQSKSQLDLATLSKALALSDAPATATAANSQTQKKNVLEFKRVDQVWDSKIRDY KYTESVEDVKDEFDCVFTVRRRFGWDNKYLETMIDVKSKVLRSVLQVIFKDCKSISLV EDKPSIHPHLLFHYYPEIKTYVKKTLKKQLSKAKKRKTRKTIDQQIRQGQLLLNYVDE DYEETRKALKPMLKAGTITYDLVWALFKPNTIAYTTTYGNKDDPRCFKVDYCYEQENW MTLEKNWMIEGRYLEYDGKVFGFGDHYVPIRQFRGSKKISNLGTYPLEFHKDPETLRE QLIERGKKFVTLQGMNYMFHCGLGYLKHKNTVLKHNINGRVMIDPAIFRRLHPNYLLS YIKADELGPDEDEDQEESDCCDSDCGSESETEKPLKMRTVLWRDKSGKRRLIRIEKTE DNEDITSQKLDVVANEDDEIKHIFSEEELLISSPVVLGFSFSEKLWLEFSLAGISDIK WQSEAFESLVLPAQIKQNLKGLVSSHRFNAAKTIDDVIVGKGKGLNVVLHGPPGVGKT LTGESIAEFLRCPLYMVSSGELGTNAAQLERDLNRIMEITHAWGAILLLDEADVFLEA RQPHDIHRNALVSVFLRLTEYYQGILFLTTNRVATFDEAFQSRIHMGIRYEQLTVQAR KKIWMHYVGKVLEMGEKDSEGKVRAFADADFDELSRKVMNGRQIKNAVKTSQSIAIAE KGVFGMDYVKRVMESHETFEEDMKGGRGYRDAMRHYT CC84DRAFT_1263086 MASLLRQIVAGPRARHPEAGLDLCYVTENIIATSGPSGTYPQRA YRNPLDSLVKFLDSKHGDDWAIWEFRAEGTGYPDSEVYNRVYHYPFPDHHPPPFALIP NIMASMRNWLREKEGRVVVVHCKAGKGRSGTASCSYLISEEGWPVEKALQRFTERRMR PNMGKGVSIPSQLRWIGYVDRWAKHGKIYVERQVEILEVHCWGLRDGVKIQIEGFVED GKLIKRFHTFTREEREIVRGEVKTTTMAQAVQEVMYKNGLGLPASKATTAKDKTEAKM ESEGDAFKRANEGFLDGASTSREEEITDGDVVFRPKKRIVLPTNDINLDIERRNKAAF DVTMVTAVAHVWFNTFFEGNGPEQNGVPDDSGVFEIGWEAMDGIKGSSRKGTQAFEKV AVVWKAIPFEGGKPGVIITEPKEGEEVKQSSPADWKGRHHVTPDEDKDIGMRISSPTD SNTDISRASSVRSQAKEYIPHVLTKPGRTSGDSDTKAVRSHGPNGEDVISDVSSGSGS GPNTVTPATPSHPDGTLHKSNQVVDERLQSPESHASGAEGIVTGVQHVSTDDLPDGRP EAEMKDAKEHNLFHLGMKKATSS CC84DRAFT_1229711 MRVPSLLATALALSSGASAAFGLTSTSAKYTVDTDGGLVFEVNR SNGDITSLKYNGVEYQGTGKASAINSGLGTSTVTGETVNGYVKITAKASNLPVTQYYV AKPKDPTVYMATYITGEVDPGELRWLARLRTSELPTGVHGSAGDTRGCTAFEGKDTFN CPNGQTRCKMYTSDRFIDDKVHCVSGSKTQVCMIMPGTAYETSASGPFMRDINSQTGS SDQELYWYMNSGHVRTEKWRFGLQGPYAMTFTSPNTKPAENLDTSFFSTLSVQGYVAA SGRGTVTGAATGIPSGFETIVHWFNNNAQYWTKGTDGKFTSPLMKPGSYSMRLYKGEF AVANETVTVTAGQTVAKDIASKEPNVPVIWRIGEFDGKPTELKNGDKIERMHPSDTRM AGWSGTFTVGQSKASDFPMALWAKQGSPATVMFTLTQEQVKGHVLRIGTTLSFKGGRP SVKINSWTGADPGAPVLIDSRGVTRGAYRGWGDVYTWSVPSSAFNVGANTLTLGVYGS GDATWLSANYILDALELQD CC84DRAFT_1199088 MNSNFEKLDYSIVDVFTDKRYEGNPLAIVRLPALSKVTQEQKQN IAREFNLSETTFLHEPTSDGSCEWTVDIFMVNAELPFAGHPTIGTACLALSEVAERSN SQGIIQGTFNLKAGPVQLQYDTTKKTAKAAIPHDVHVHDRTWSRDELLAQQPALAEFV EDNVKIPIVSIVKGMTFILIELKSLDALEMVKLTTKVNVDKLDQGWDKTFVGTYYYVQ TSKSENVRQLRTRMIEGSLEDPATGSAASDLAAYLSLQHGKPGQTLEFSITQGVEMGR RSEIAVSVEMGAEKGINTITLGGGAIPVMEGRLQV CC84DRAFT_275813 MTDQQKRSGSGSLDSNFHLCLTTLKPVARRLNSLKSLLDILQVE PTRCRPHLLRQMRANAHCITNDLLVHSCLQGLIVKPCSLRNPSGGQYNVESLATSTSG LNHSGLPLGKRRSRASDFAHPVISSVSTLWSQYAQQSASVGDHISECIHPEARSIDSG LFV CC84DRAFT_1263089 MGGNMEEHSEPLLDSARASSPDMEDGTMREKSYTCTGFYEKKAF IWASAMWFTGSLLLFASALLLHTRSRGGHFEIYSPVQDHIRYKTVVFDGSLGKKSIYM EDPSPAVDAAWEDLYDFGISWLHPHEASLLPNATARLYEQPQNYVTGLDVFHQLHCLN LLRKRLYPAYYVNDTDVHFAHCLDQLRQSIMCSSDTATIPWSWSRSKKRLVASAETVH MCRDFEGVRGWARGRRVEGGFRKDVYVEGSAISD CC84DRAFT_1168219 MASQRAIQRTCIRGFTRRGISTTARRDADFTHAVIGGGVVGLAI ARQLQGRDGASTVLIERHGTVGTETSSRNSEVIHAGLYYGPDSQKTPLCIKGKSMLYD LCAKHSIPHRNTGKWIVAQDDTQFKALEGIHTFSKQVDVPTRFLSKEEAQRREPDVRA EAGVLESTSTGIVDSHSLMQFLEGDFENRGGICAFKSPVVHVSPIDSGRGGWEVTTKT ADGEESTITAETLINSAGLAAIPISNMILPPERHRKPFYAKGTYYSYAVSKPKPSTLV YPAPIPGHGGLGTHLTLDMAGRIRFGPDVEWTDDPSDYTPNRANLSAALDDIQSYLPG IDREAIDLDYVGIRPKLGRASATSGGKGFVDFWIEKEDGFEGFVNLLGIESPGLTSCL AIAEKVEGLVYR CC84DRAFT_275827 MPYRYQRSNLPAKRWAIACSGRGGCYFLGYVAIQVSRVKKVILN HNGESGRWEDDKEPFAYVLQLLLWSCPPDIKASALYKTKQKSRQPVYATKTPSRLWRR VSFALAADGEWEEREPELSRKTILLPPKLFQGPVGRFQQLLHERCQQLTLRRVGLWPL MVEALDRYHFDQGRNEPFSCPLPACDGYFTKAGAWSVHAAENHCGQWSSLLSILPGQV GVHFEARRRALESNEKRVQEQYEEVQHTWRTASKTTQQEIQRSWMEQLGSDPSWETSK QGEQSDVWRHFINHMTPGWLEG CC84DRAFT_1180116 MCRVPSLSRILRCVSQTLFLGVTLKSGTLSRTCSNTNASQCGNL AGRSALRRLVSWWVVFERSSGATGAPGTAVIPWNIPIGHLSVSAGQMKMLFLQFFYCS TLPLVARTISCVVFLGHVCWAVYGMHQFNSKSSVCAKSLLYRSIHYELSIASISLLGT LITSASLAASYKLSRLSPSFMAAACYATFRRLLWWVTPLKSQNVRTL CC84DRAFT_275832 MTWEHVGIFARAADADGIPAFTDPWPVKDIVYVAIVGTCMLAAL LEWFLWVAAFLYCLVKCFQKAETISIRILSVIMMILFTALRAIFLPIMVVTLPLPGQV TKYFPNDMVQILQWFAFWSFAGLLTIPWLFCVYQLVTHSVGRTKRIKSVLDEASAPKV VIVMPCYKEIPEILLRTCDSLVDCDYPPSCLHIFLSFDGDQEDELYLNTIEKLGVPLT LDSYPKSIDVTYRSCRITVSRFPHGGKRHCQKRTFKLIDKIYAEYLKRNDNLFMLFID SDCILDKVCIQNFMYEMELKPGSKKNMLAMTGVITSTTEKNSLITLLQDMEYIHGQLF ERSVESGCGAVTCLPGALTILRFSAFRKMSKYYFADKAEQCDDLFDYGKCHLGEDRWL THLFMIGAQERYQIQMNTGAFCKTEAVQSYRSLLKQRRRWFLGFITNEVCMLTDIRLW KRYPILCVVRFMQNTIRTTALLFFIMVISLITTSQKVKNLPVGFIAISLGLNWLLMLY FGAKLGRYKIMLYPVMFVINPFFNWVYMVYGIFTAGQRTWGGPRADAGTADATTTPAQ AIEQAEAAGDDLNVVPETFKAAAEAQKGRPAHVPLQPSDHLEGRFAPAERLPNGWYQQ GSNDSGLTLPNMLPRNPNVPNVPLHPRSSMDSMVSGTSNNNSIYMPRRVESFMDPADA SIYHKAQAAQKPAGGAYFEADNRYNSPYEVGTGSGKNNYHESVESLSDESIYMSQTKG SQRPPHNRGPSDLSPYAPATNSPLARQTSDYGHSEIEAPQPAYSNGQRDQRQGRSPLA RSAFTAPEQNLGIELQQQQHSGLMRDVSPVARQDHSSHQRSGSNDSDKKRRRLSKKPP K CC84DRAFT_275831 MRGGPASRNNQRTMRSFTPRQTARLLRKAVPGRLRGTGMAWPSS RPELASLLMALCRSHGTSTLWAKHLSQRSAPLVPTNIPGPFQQYFLAGVCFLPPSSRN SPSHYNPSLGSDIHESARCSIRCFHSYTRLPSRSGTTTSSRL CC84DRAFT_275847 MLGRLYMQRICRPFRSEWQSNLEASPLRAEFDAGWNDFVCSTKR LSSRHDYCRSLVPLRYSQLDGIVTQLVTSLLLLKLQLPLVHPHGLTLGLALIHRRAVP KYVYSCDSKRWDCEAFVADISPKCW CC84DRAFT_1128361 MERQRKRELRELNIRVWNGEQDVFPVHASLDSSMKKNTAYIKRL RTGIQASTQSQFLQDVQTLSLHKYLSEIISACYEGLCKLKTPAEIATGVEVVSALHQR FGPTEFTAYLGWYLGRGLSTPDKSQLKTLAQDVREKEEKERLARQRILLRVATELWLV GVLRSLDDVSRPEEAGKTKENVKLVETSKARAAAASSADAEPFPLEVLKDMLGHDREH VNLPLLVIFAKAFSWDILGAKTSTAEGRKHVNEDGSTTTDKKEDASATEDDDAETKDP PIIGSELQQRFKNILTRYFEDVKAHLLRDQKQLASQGRRNAEAYVKSGEVFEDRQANY EKQTKAQEKLIANAQVLADALGLEMPDLKEKDTSANTGDGLIGLVKTGEYLRGRSDGA GIWEDEDERRFYENLIDLKDRVPGILLEEPKKKKADDEQVGKKAESKAEVDDKEKMEA PSEAKTSELDDASTAIANKSVGAQVDAVLAKLPELNTKDAVDGTAIDFCFLNSKASRN RLIKAVQEIPKGRSDLLPLYSRLIATLGKYMPDVSQGLVTYLDDEFRSLQRRKSKDFL GQVRTQNVRYLAELTKFGVVPEHVIFHCLKVSLDDFSRMNIEIICNLLENCGRYLLRN PDTSPRMASFLETLQRKKSAQVMGQQERMLIENAMYYVNPPERAAIEQKDRTPIELFL RKIMYQDLTRRSVDKTVRTIRKMHWEEEEVVNILHKIFAKPGKIKYSNIHLLAIILGT IHRYHQDFTISVIDELLENITFGLELNDFKFNQRRIAEVKYLGEMYIYRLVDSPLVFD VLYKLVNFGWEGGYARPGVYNPLDLPDDYFRIRLVCSLLETCGMYYDKGAAKKKLDFF LSYFQYYINIKEALPMDVEFIVQDAYSLTRPQWKLITNLDDAAKAFSEAVQQNYQASS SGKAAELEEDEGSASDDEDGPDDEDLVMPEGDDEKSSGEEGEESDDDEPVKHNSSDEE EEQIIVTRPEDERDPEADAEFDRELAKLMSESVESRKFERKPVFDVPLPMRRARDTAV AAEDSAMEAPAQPVPTNTVKFSLLSKRGNKNQTRSIDLPSDSTFAVAMRNKQQAEREE QQRIKSLVLNYDLRDDDAEGLEKTHNPYAQPRLDKAGANRSNQRSRKLQLSDVNWT CC84DRAFT_1168223 MPALTFRPRNPPPPPIAELLQTTFEGLAALVACLALAFTLWKFC GKFRAHYHRRRRRQRSFELEAQLPEVWVTSMG CC84DRAFT_275933 MIPAKTLPNQPIEISTFNMPKVSTPVTGTTGLPSRRKERKPRVL SYSKCRFCRDPKVKSKCIWDQGSWPNKCRRCFEKNLVCSPPLHKAEERWESSRARPPN LPALSISEEPIFDGSLEHRAVSTDIIEESTELNDIRILQLLALRSMILMALEDYVAIC ESLLEPMKHDPVWEQHADVLYRGCSAYEQFARALQNRIDCLAPHSKLAISAALDLEHF SCAPRLFLRSGEEARAKYHQYTDSIIDGYHGEGHHGIVTVLLMRQFLRFGVITDRLPV FFECRRNFMTDVATFMSSDVIEEVASQEHIRLLEDSQLFIPGTLLRHPQIRRDYEDVG LKDCLGRSVALRKYDAGIIEEQQNINQDPYDCMGRTLLHAACARDDDHVIDPLITKGL ICATKSDSGLSPLHIAAMRAPKTFEKLYLYYKQLDDFSILVSKVDVCDRTILEWAASC GHNQIFRFLLSTHNFQWYEGDFIDHVNEVGLSKGMVMTLLELVLHYNHSNIVETIMRQ AGDELLKDDQDRTPLWYAAHYKCFDAWRFLVFHFEGHDIQLEIRDKQQRTPLMEAARM GFDQGLEFLLGRPASMISVGNPWLRDLAGKTALELAQDNGHAYCTQLLMDWESDYIT CC84DRAFT_1168224 MAPRFRADQVGSLIRPQALLDAHKTLSKGAAPGTNSTEDDKKKL QLATASAIAAVVQKQLDLSIRPITSGEYERTVFFEGFFEKLRGNELRDVRVPEDFRPN MPTSDALEKAGIKTTSASVATGKIKHVEPAYLQVWELLKKSVPEEKWKECKIALPSPA WNHIWLSKGLAYTPDVYASDREFFADLTVAYRTEFKILYEAGLRNVQIDDPQLLFFIL DSFKDGLRSEGVDPSELLDTYIWALNEVVRDRPKDMYVGIHVCRGNMPGSVAGFLEGS YENIAERLFRELDYDTFYLEFDDARSGSFEPLRFLPRGKNVTLGLISTKTSELESLEE LKKRVYDAAEVIASGQNRSAEEVLQDSLAVSPQCGFASAHHGKNVGNEERMWDKLVLV RDLATSIWGDTA CC84DRAFT_1168225 MSSPKVIIVTGANRGIGCAICTSLLSHPSQISHPITLFATSRQG SNLNLTTSSSNQRNLYPSLDITSSSSISTFVLKLKSSYGNVEVLINNAGVNLDSDFPP SNARKPLDTNYRGTLDVCTAILPLLTPKTGRIVNLSSAGSSLDPFNPPSPSASEPCPR LKTSTSW CC84DRAFT_1168226 MRAFTFLAVLLGHLLFAIASPLATEPASITTDITPNNAAIAGGV YACQGDNFTGDCFWNPPERMRACALLLIGNPSNGVGYKPRSIGPDEGGHCDVFKGRVC NDQTFYKRIDWPGVKNATELDPRAWDAIRCYGVLD CC84DRAFT_1128366 MVRPSKQQKLGQLWWGARRSLHTRRVLPTRATALHLGTRYGPPV FVAAATAGYLSSSSSPCAFLRPTQLDAPQASWSILGRREASTQAKDTSDGSNGTESSE HRSGEQAPPPQSRVVKKEEGEASTTEEDKVSAWQAITRKLSEAPKSVTSLGDTIVDYV VPEWAKVLPGFVRKLQNELSMAPGSLAEEIWYEANDPETHPEIVWDASVRVSNELCAE EKKFLEKRGQFTKRALARYLDIPEAKIHPDDVPIIAVCGSGGGLRALVAGTASYLSAQ EEGLFDCVTYTAGVSGSCWLQTLYYSNIAQRSHSRLIRHLKNRLGVHIAYPPDALDLV ASAPTNKYLLGGLVEKAKGTPSAEFGIVDIYGVLLAARLLVPKGELSVDGHDFKLSYQ RRYTDDGSHPLPIYTAVRHEIPLAEQQDTKDPIAAEAKARRESWFQWFEFTPYEFWCE ELEAGIPTWAVGRKFENGRSVWRNNGLALPEVRVPLLMGIWGSAFCATLSHYYKEIRP VFSGITGFTGLDAMIAERDRDLVKVHPIDPAAIPNFALGMREYLPPTCPESIHSTENF QLMDAGMSNNLPIYPLLRPGRDVDVLIAFDASSDVRTDNWLKVTEGYAKQRGIKGWPV GAGWPPEDESAEELQKDLDSAQARTEEQAQEKMREAKEHDKPKQPSGKSKDLGFCNVW VGTTEERTADRENFSSKQAEEDWEITRPDAGITVVYFPFLKNPKVSDIDPTTSDFMST WNFVYTPEQVDSVVELARANFQEGAERTKRTVRAVYERKKKRREEREAEELERRRRWK LREGRSGGRRVGESDHGDHFS CC84DRAFT_1168228 MASITTHEDMAMSDIAYAHIPHHEYTYRLPTPPRIVVPPPTLTT EVPELHVSGVDPAEENDTNFLKEMNLESIIAKNTLLDWSYERRRKAQMILPWLYLGPL NAAKDREWLKSEGISMVLAIRSQPKTMAGAIQVAKETCGQVESVVAATYFDLVGQLSY TTRLINQHVAKIRRMTEATGDPQLGKVLVFCESGNEKSAAVVAAYLMEVLDDFDFIKS MQVCQAQRFCVNFDDNIKNILQNHWDILVARRSVAHSRSEQLNSTVLTNGLQQAARQS HQQGQLHAPQNSSVKAKRSIEDMDDDMDMSDGGNPTDVLRFQGRDVTPFMDQ CC84DRAFT_1101190 MESIETQLLNKYNIPTLFPAQWPEEKDNSSDSEDDGPAPQRPQT LQPPGRRKSRFSVLEPSGSFTRKRDGVEKTKEGVENLVQKDEQDPLGTYPSVVQVLRQ RGLAVEDDVKLRNRFLLSSTTFSPSLFLSNVHSSASTDSLLSGLDFLSRSIEKKSASL KVLVEANFERFVGAKATIDRVYSEMRADGQEAPEPPPSPSRRPGHSRGPSRSSFSARP RGASATLTPQTVAQINGEKKKNALVKESEYGVHGIKVPLTEVAVKAEEVWGPALGGRD KEETLKEILLSVEKNRGLFEVGSAIQDAIRRKDHETIVEEYTRARKFAEDTRYIVEQA NYSKTPLADSQIHQIIVTARMWADVEHQIETFKRDSWKRLTSTHFSRHETGLDQAKEQ YMELISIMLELGVEDNPIWVWLLSRYEHLRKRILESCDRARMEIEYLRRLLGNQPKPG LKTLLKHLRAVPTNSKITAEPAKLDTSKTIEFWEYMHTSMTSLLAANGGILGEIVEYW DIAQSFIDGKAQKSLPMGFNNQSSVHHKLSPGNVTELENGITELVNIIREHLFSFFAE PPIEDITSLYSPLPTSPTPTTPRTPMSAALSPTMGSKFRFDPNSAPPPSPKRGDAWEK YAFWPPHSNALSGSHYLAKSLLLIGTAANELASLRLNETGSTVRLDEALRALVGGVRE RCVQAVCAAWNTDAESLKVLEDWTRDADRKDITTMPTRFLAVQSFLLNNLQKIMYVEA SSKTAVDVLVPPSSKLLQMVRSQFVTSLYRTLSGMVECAEKGRAALGKDFEIKNDDLT VDDDEAHNAGWGKVDASKRSIRLLLTLSNMTSFQTEITPQLITLFQNLFSVSLTEESK KIDGVLSQLDAQLFKSYNQPHAARIAGTIEQGIFSPSWAPPSDPGKSVADRQPSPYVF AILLGLVLVHTEVSTTSPPLTARILRALFEATTTALIKTFNDPRLSNINLQALMQATL DVEFMAQTLASYTTEAASATQTEIYQVLDGKTDNEARVRLQGELGNLRGTLKSLREGT RVEFSCFRREKRAPRG CC84DRAFT_1154496 MVGPRKGAFAEEAAEVEVLEANIEKMKSLTKKIQASRNRLETSG KAMNEAMAPIYGNTAKLQTTNRNIDRILDAIDKLRAPLDQSNREDRIINKGLRNVDLQ DYIASLGRTSQSLAELKRTNMRANQQAVADMSALLKRGLRELEDAFRDILRESCRQTV VPLEYVMKNNPFPTIPQEQLSTLRLINTHISNSFAQISQPDVRETPTQKIYAEVRGEY MTRSLQDLAAASINTAKKVTADAIYRKGTNGIGIYAQGMEGLFVAEYDNITNIFPRDE WTIVCGATCAAPLADFTKTLRELNGHIQRNLMTDCFLGYEIVDIASKLSMRLEQRMSA LRRPIQDSIKPIRETSKASMNKLLEDTRARIQTLVALPMDGAAVPVTTTTMTRLQEMT NYLSPISSILASLGDGGWKSTTNTGSSSSLPLDVGPDGQRLFEHYASDTIETLLQNLD GKSRALMKNKSLQGVFLSNNAAIVERMIRSSELAPLMEDYMQKKLEPWKKKASSWYLE AWREPSGYLLDVQYTNRKERPQSSTAGSADSVAIVKALGSKDKDAIKEKFKSFNTSFE ELLQRHKSYKMEREVRGHLGKEVQNIMEPLYSRFWDRYHEIDKGKGKYVKYSKVELGQ ALSGLS CC84DRAFT_1101635 MNAVHSQQASAPAPTTISSKDPKAAAAAASDMNIVRRKLTGYVG FANLPNQWHRKSVRKGFNFNVMVVGESGLGKSTLVNTLFNTSLYPPKERKAPSLDISK TVAIQSISADIEENGVRLRLTVVDTPGFGDYINNDESWDPIVKNIEQRFDAYLDQENK VNRMNIVDNRIHAVVFFIQPTGHSLKPLDVEVMKKLHTKVNLIPVIAKADTLTDEEIA AFKARILADIQYNKIHIFEGPRYELDDEETIAENAEIMSKVPFAVVGANAEVSTADGR KVRGRRYPWGVIEVDNEEHCDFVKLRQMLIRTHMEELKEHTNNQLYENYRSDKLTSMG VQQDSSVFKEINPAVKQEEERSLHEAKLAKMEMEMKMVFQQKVAEKESKLRQSEEELY ARHREMKEQLERQRQELEEKKTRIEQGRPLEEKGKRKGFSLR CC84DRAFT_1168234 MAPPPPATLPLGQRLAALAQTLQFAWFAGHVTLLLSTFRYSLSY VTFNTASRWAAFSYRTAFLSACVTYGIVVYKAYRARVRQGKQGGVLSLATDENVQYLI MALIWLFSRQIPMAVLPFAVYSVFHVATYVRSNLLPTIQPPPAGAQPGTKSASGLSDA IGKFVKEYYDGSMTLVAILEIALWFRVFGSALLFQKGSWILIAVYTVFFRARYAQSTF VQGAITQLTARVDAQLANQSTPPVARQSWGTFKNVIRQAADATDIRKYVGGQQAAGPK KTH CC84DRAFT_276029 MQSSNISMAIRETDSTTVATQACRSFLSHTFPYSFMLACLSIQV KALITHAQTNVFTIVHALCGASTPGHHSTTQNGPRSPSSFRVDSPPTSASPVPRRHSV CTAP CC84DRAFT_1101102 MASPALSIRSNFSDMDDEELELYLASCVPLSNLPTPPPAKEQPH PTSTAKSLPSPPSESDIERHRRSPELEVYALHLASLVPRNVAGHKSSVAAIEGFLDRA CLPVEMVAFAACVLDALSDGFASRWRDAFLPMDTTRLDFYLGTDCWQQPQISPDLIVL AALALAHGFIDDRGRSNGHWAKIEAAGRFDAKQVSKTKLCILEDIDYGLFRISEEMLQ RMAHQMQQASYFVTPRQLVSFDDDKEGRRPRLSLSLGTGSGSAVWAYGAQTPEPSP CC84DRAFT_1168236 MATAVNSAAPRERRPSTSAPLSDFQGPVGPEGFTRPKHKRTVTG FGPGEIKSVEASIPEPQRAAWRKFTPKAFETPDEFEKEAVKHIETTLARSLYNCDEVA AYGGTALAFRDRLILDWNKTQQSQTFADQKRVYYLSLEFLMGRALDNAMLNVGQKDSA TKGLADLGFRMEDIISQEHDAALGNGGLGRLAACFLDSMASLNYPAWGYGLRYRYGIF KQEIIDGYQVEVPDYWLDFNPWEFARHDITVDVQFYGHVSRWQDDEGKQHTSWEGGET VQAVAFDVPVPGYQTPTCNNLRLWGSKAASGEFDFQKFNSGEYEASVADQQRAETISA VLYPNDNLERGKELRLKQQYFWCAASLYDIVRRFKKTKRSWKEFPNQVAIQLNDTHPT LAIPELQRILVDLEGLEWDDAWSIVSKTFGYTNHTVLPEALEKWSVPLLQHLLPRHLQ IIYDINLNFLQYVERNFPKDREMLGRVSIIEESNPKMVRMAYLALIGSHKVNGVAELH SDLIKTTIFKDFVKIYGPDKFTNVTNGITPRRWLHQANPRLSALIASKLGSHDFLKDL TLLGKLEAFVDDKEFRKEFREIKYANKVRLAKHIKEHNGVTVNPAALFDVQVKRIHEY KRQQLNIFGVIHRYLQIKALSAEERKKLAPRVSIFGGKAAPGYWMAKTVIHLINKVGD VVNNDPDVGDLLKVIFLEDYNVSKAEIITPASDISEHISTAGTEASGTSNMKFCLNGG LIIGTCDGANIEITREIGEENIFLFGNLAEDVDDLRHAHLYNHYELEPKLAKVFDEIH QGRFGDAGAFSALLNGIVEHGDYYLVSDDFASYVQTQELIDEAYKDTEAWTTKAILTV ARMGFFSSDRCIDEYAESIWNIEPLVQKKEEEA CC84DRAFT_1168237 MANRGYDVVVDVDQEGDLGHTDLQEDLEFHSSNFENTPSTRTKI QPDANTAGFLPTPASSSSSRKHYLWTLSFYAQAFDVDTAEVLRRCTATLYPRANFLDV LEGNPDLYGPVWVATTVVVILFLTGTINQYLASEGKQHFAYDFKLLSGAAGLVYGYTG VVPSGLWAVLKWYGSESANLMECVCLYGYANLVWIPVAVASISTINILNFIFVALGFA ASVFFLLRNLYPVLSSTEAKTSKILLIVVLVLHAGFAIAIKVLFFAATSPVGPKDGKE AGGDGTGEKKGGLLRMLLRM CC84DRAFT_1229723 MRSSVSAALATIVAGALAQNNMSYYDYTVEGNPQLDSRTLATIP LGFPSCTDSPLADTLVCNKSASAWDRAAALISMFTMEELVNNTVNTAPGVPRLGLPPY QVWNEALHGLSHFYQPDEGDFIWVTAFPQPITSMASMNRSLIHQIGSIISTQGRAANN AGLYGLDVYSPNINGFRAPVWGRGQETPGEDAYFLTSLYAYEYITAMQGGVGPAVPKL VTVAKHFAGYDIETWRNHSRLGNDVNITEQDLASYYTPQFRTAILQAKAKGLMCSYNA VNGEASCGSSFFLQTLLRETWGFGDGFVSGDCGAVYGVFNPHHNAATRVGGSAAALLA GTDIDCGTEFAYYLEEAFTQGNVSRNDIEKALTRLYSGLVQQGYFDGNGSMYRDLTWD DVVKTDSWNISYEAAVEGIVLLKNDGTLPLHNNSSVALIGPYANATEQMLGNYFTTPP YIISPLAAFEASGRTINYALGTGISSNNETFFDEALEAARKSDVIIFAGGIDNTVESE ALDRENITWPGNQLDLVDRLSKLGKPVVVLQMGGGQVDSTALKGNKNVNSLIWGGYPG QSGGQALYDLISGKRAPAGRLVTTQYPASYADDFYQLDMDLRPSGDNPGQTYMWYTGE PVYAFGHGLFYTTFEENLAMNASTGASINITDFFIQPHSGYEFVEQKPLLSFQAKVSN TGDVASDYSAMLFASTTSGPTPRPIKWLVGITREAEIAPGGACTVKFDIPVGALARAA ENGDLIVYPGDYSLALNNERSVVMNFTLTGDAITIAHWPSKIETGGA CC84DRAFT_1229726 MVASESYKELARYQAVLADRQPITSSNGSKVFDTADEELRMAPL VWWEVKTHFEPGHRPNRGYGVLGHIPNGSNSSGFGEAAQMSTKRTPVLLNIDSPWSAF LCGSQGSGKSHSLSCMLENCLLSDHTIIPKIGFNPHQLAGLVFHYDRCQGSGVCEAAY LCTNVPTTVLTILIILRGMAIESQGLATFDYEVFKRKLNDVQFARGQDGPMRLRLDLL ESFMEKDLDQQQPMAKQPTKRRKNPPHAPKTILAMTENDFLIGTPGTLTIIDLTDPVI DPDAACALFDICLSVFIQQTQCGKIVALDEAHNYMTESGNSAKTFTEKLLRTVREQRH QAVRVVVATQEPSINPQLLDLCSITMVHRSTSPAWFKVLKQHIAAIYLNSLSSPMTAD EDDKTAEIPQDDKALFNEIVKLKLGESLLFCPTAAFSVLGRGIRRMEGIYVKFKTRQR VTADGGKSKLAAETSKADSESGQVEEN CC84DRAFT_1221594 MQFTTSILAALIAVAMAAPAPDTKLSEHSALVRRIAALNDANPA IEKRCDQEGYKKCADPCAAFNSGPYGGISWALCLASCNDIYGGDC CC84DRAFT_1168238 MTTILKMRAFPVASELFSPLTSPLTTSTRSGASKTSLPLSLPRS TKSSASTALPEMLSIFVCFALGLYIATQMYGFALGVVDKDLPRAFAVCFCVAYVFQLY VGWLEVREERRWRDQERARSKGNADGR CC84DRAFT_1209129 MSSLAKDITQDSMVEHRDDKQRVSRRSSWACSRYTIVATLLGLG ALALMAQSFLTRQMDTKGCVMSYMRPAYLKFDDFDTEHTRFANKYALYLYREGGIDED SRVKGVPVLFIPGNAGSHKQVRSYASEAAYHYHNSIRHETGGNRASRRPLDFFAVDFN EDFTAFHGQTLLDQAEYLNDAIAFILSLYHTPSRSLRDPTLPDPTSILIVGHSMGGVV ARTMLTMPNYQSNSINTIVTLAAPHARPPVSFDGDIVRTYDGINNYWRRAYSQEMPAN NPLQHVTLISIAGGGLDTIVSSDYSSLASLVPETHGFTVFTSSIPNAWTGADHLAITW CDQVRKSVVRALYDVIDVAKPTQTIPRADRMRAFKRWFLTGLEDNVEKVLLRGEPETL LTVEQASAGVASGERFVLRTLGQFGPGPKPYILPIPEGLPHDSRFTLLTSEKLDTAGQ AGRLEVLFCNVFHAQTGQSTSQFQVNTDLSSDSSVPTRLACKNVASDTAILPASTIHS THPFKNDQQPFSYLQYKAGDLSGHQFIVVIDRAKQHSYGWVIAEFSTEAQSMYQANVS LRRLLVTGLSLHLPSHRPLITEVKVPALHSSLLAYNLHIEQVQCADGELFVPLVRQYI TEVYESKYFVNVKDADINLHGVAPYMPRPLFAKQTTNGLSFQVWSDPTCDSGMDITLR VDLLGSLGKLWMRYRIVFAAFPLLVVAIVLRHQFMTYDETGVFVSFTEGMNECVRTSL PMTLSALTLLAISLAGARNQVAGSTHDASHLRDTSHELLLGTQDTFFWFLVPLFGFMC VAVCVVVNYVALGLVTILALLYSLFPSASTVDDQTTSATFAVSSTRQRVITTSILLLL VSTVIPYHFAYVVLCLVQLATCVRSISLTRDTRLDTNYNFSNYTHSIFILMLWILPIN LPVLVVWIRNLTIHWLTPFSSHHNILSIMPFILLVETLSTGRMVPRVQSRLSLLTNAI LFSIAAYAAVYGVTYAYVLHHLANILCAWLVAIHFDTSLLSAKRIGNILDTIDPDSDP KKRP CC84DRAFT_1180135 MSVIPLFGLTVLFESASQFDEVREVAKFLGRFVYNLVRTEINVT DTKIVFFELVSMYTPQSSLAIALTLFGHSHLASFEAAVRSSLADVDYDEGLLSHDDPV FLQITAWLIRCACAPQVDPSGLLANKLRDFIQAQPQLSELPPPLFYVGGSGDLREEID RAIVDLELEDIESCVCPIRNHALQILREFMRLAMNCGAVWDKYGPKEWDLASSPPQLS EEAKFAGYMSVIETWRRMIANPFSEAPLHIRHILARVNVVVAVGYFLEDLEKMSHNYS DVIPVTMDRMGDRFVQEVQWAAEDIDYETFHQFRETRLHNPFLGPCIPQSSFARPLGE LEFTRQPLGESYEVSGIPTSDRLHLADLAGVELPANFRRYEYLTDLFYGPFPETIVRP HLARQPLLVEDASIYSGQLELATPPRLVAVGPLIRASDYSHPVNEADLREDDLCLICQ NNFGKDVDPTNPAVRLHACNHLIHLEELEQLLNAAYFAQPQVRCGLCRTPICATRQTI EVVD CC84DRAFT_1101145 YCSKECQNAAWPFHKAICKSRLLKNSYKPRWQIEKRIPEFMGGP PLAHFGSPQYLWGNIPAIDLLKVAENEGDEAIMQQDVSLLFAASGDLRNVVKTIISLP EKCLGSCKAVINDRNTTVVIRNALLLLVAFQFEPEVATPIMLHLWYSAMLPPKIVKAL QKGILPCIRNVCDKIKAKLDNSIQAKTFVHGKGSIRLVLKKSEWIALAKTLMPSKELT APIAQTIRRKITQARVDHIDRSLYRMPLGRRAGAIDFREHGVLLPYGVSRKEFTVPNP TFFADQTWPMKDDADPLDGWSYVEYMKFAPIAKNDAYGAFFFYLRHLLLAFCKRIRIF TISFQLLATDAVDLPNYLTDIKFDRIEVSNICDRCYIGPHRTLSIFSPLLKPKTQNPR AALLLLFLNAVGDEDDQNVTRAIRAHRGRLMKKYFNYMEPCLVNAALGEGGLASMQYI TTPEVVLLTDAMTYWDDFDVNFARFLKNADPASQKPIALKDLAANYGLRIKDKHTIMP PWPYRASENMTREEFDVLLAESTGGHERYVELSRA CC84DRAFT_276169 MQGFLYQFAACPVFLRSRTASHQTSIPPCSFSGFLIPGAAFCPQ LSASPLAWLDCGRFSNTCWLCLLPWEEHHLCASVSSYIVECIALPEPRLLLNCSAFVS LSPKLPFKYPAHFSRLYPNSIFGASLRLPSARWKPASPRPRLLSS CC84DRAFT_276229 MGQSINRKDVAASIEDATLKHDADIISMSFGWEQEHEEEHNDAF RATFGKYYDKDVLVFVATANEHVASVLDMAYPVRADSVIAIDEATSKGEYSHTVPPET MRS CC84DRAFT_276194 MSTADQVKEDYHKHAETYGGYNVLPAGVLESQIIKNALGDATGL VILDLGGGSGIHAREAIDAGAQRVDIVDISPEMMKVATDTEKSLGRDGRIRTFEGDVS KPMDHLPLETYDVVMANWVFDHAGTVEVLEGMWQNVVKYLKPGAKFLGIHAEDPRRPS LNGKYGVRNSNFREIPGGIAYTVEMLNDPPWKFEGTSLEISFSGSFELHEKYALENVS VVPYDNTEAVKQDPEFWKLFLEHPFYAVVQGFKKP CC84DRAFT_1168242 MAEKPTLVFIPGAWHKPKCYEKVIKSLQDEHGFTCISITLPSTN GDPYATFKDDVDAARNGLIAELTAGRDAVVIAHSYGGQVGNSCIKGLTRPRSDIPQSA SSKGFVIALVLIASGFSFTGLAFMDPLFGIPPPFWRVNKETGFAELTSDNRELFYHDL PREEGEYWVSQLTTQSLKSLFEGGQHVYAGWKDVPTWYIGTIEDRGLPVVIQRVQVGV ARGQGGVVHHMELPTSHSPFLSMPVEIVAAILQAVKMAGRSQELSARNDAVLKKSYVP AVKIFAPRTWVRFGLPLAIGRLLGWGFWSYHGLKGIFTSRSQQ CC84DRAFT_1154523 MQRRSHKKSRGGCLQCKRRHVKCDEQHPACRLCVVSGHACSFST QPLSISARTKGHVNGQSTMSSDEGTPSEASNTMQSVTPPIPQTSRPTLYIYSRATDQP TEALHSVLNNAVNLEHMELLLHFTQAEDLFSLGGDRAAHREYLTTDQVLDISIRNPYL LHQILAFSARHLASIQPSKAAAHMHQAMTLQTRAVSLFNASNTPITRETCVPVLLFAT ILGHHVLADTLCRRDATNLDAFLVLFVQCLDTLRGVYAIFLEAKPFWQESVLAQILSL SSSLTSRAPVGNRCQRVKEFVEASLGLSSNDKEACQVAIGYLQVGFDALLAEQEEEGN RYQMLFLWCILVPKEFITLLSVKSTEALMVLGHYAILLGYGGRIWQVENAGEYILGLL RTGLDESSEWDWTC CC84DRAFT_1209134 MKLLCLLLLLFAAATLAIDIRFGGTKDCKGVVASCLNQAPNRCC YLPNGRQSSHISFGAVPGDWKITMRGHVGSNCDLAITAGGDSFGSGGNLQDYCYFGGS PAFIASGDYFFRSEKVDSSTNSPTDGSLVNGLILEDGTEYPLDGLDEDAIAEAVDAAF NGTIGSAIRKFKLSPLPA CC84DRAFT_1154525 MGADPVPLSVYIYAPNRVAPIIFTILYATSTSIHLHQCHRDTSW RLLSLLPLSALSFTLGYALRTANAFDAYLYSKSNSTNLVLFIMSQIFIYIPPPLLELA NYNILGRILSYVPSCAPLRPKLVVRVFGSVMLVVETVNALGVSLSANPTASAVTRALG KGMAFAALALQLLVIFAFGVLATMFWVRLRAAGVRASAVETALKTLGASMALILVRCL YRLVEHVGNTEVDLDDMEKLRKLSPVMRHEAYFYVFEAVLMLLNVGLWNLWNPGRAFA KNVHLAGDGMTEVGYEDQRERFWTKWFWRSDGKMRLQDELQMDRDLRRSS CC84DRAFT_276266 MPTEILIVGAGAIGAFYASRLARVPEVHVSVICRSNYKAVKAHG FQVTSPQYGDYTFTPTRTFANPDEARNSRTQWDYIVVSTKALPDVSDDSAILEGLVGD RTAIVLIQNGLGVEQPYQARFPRAAICSAVTIASCAQTSPGQIKHNRWTRINSGPYLP HLDVGSAGASDAQAVDKNGAFIALLQEGGIKDAEAYDHAKLQLVRWHKIAINASMNPS SVLAGGISNNAMSNDSELARHLQGVMEEVLDTAPKVLGKPFPKEFATPERILRSTQKN TSGSKPSMALDWEQGKTMELEVILGNPIRIARERGFEMPRMQTLYALLRMAQQTRDKA KQKSRL CC84DRAFT_1154531 MRYSIIFAASLASYVSAHGVVVSMNGANGVTMPGLTIADGTPRD CSSNGCGSQADTAIIRDREISSGKTGPLGRTQGNGNVDASVMIAAFMGTGNAPTNQGA SGATGVEDDLSGTAAAGKNTKKRQLLAGATGIAGLFGGGGAKAEGPPETRVAAAAGQG ATSGLPTANADGTVDMTFRQINQDGAGPFTADVDGTSGGTDEAAFQSAQVTKDVPGLG VQGISLATSTEFDMQIKMPAGMTCDATVAGVSNVCVARVRNGAAAGPFGGSVAFTQTS AARKRAIAYRLKKRMEIGNIH CC84DRAFT_1154536 MTVLHPFDPLTPAEISKAAEIVRSRYMGQDVNFRVITLKEPPKN EMIAFLDEEHRKRPTNRVPIRSARVEITLKTEAGGNELHEFHVNLTDNSILKHQALKG KHSYIDSEYMKEVENACMADQRVQDEIRKLNLPQGASVVVEPWAYATDGQNDMSKRIS MCWFYLRLLEHQDANYYAYPLDICAEISEELKIIKVYRLPSSPDETIHNEDRPFDRRK IHSTTESEYHPDLRSSPRSSTKPYQVVQPEGPSFKVRGNLLNWEKWSFRVGFNYREGL TLHDIRYDGRSLFYRLSLAEMFVPYGDPRAPYPRKAAFDLGNDGAGINANNLKLGCDC LGTIKYFDAWHNTRSGEPMKLPNVVCCHEQDDGILWKHTNFRTGHAVVARSRILVLQT IITVSNYEYIFAFHFCQDASIFYEVRATGILSTVPTNYGDGSKIPYGTVVAPGVLAPY HQHLFCLRIDPAIDGNKNSVSIEESEAMPVGDSNPFGAGYVTKSHYIEKEGGFDLDFT KARTFKFVNESKINPTTNTAVGFKLLPFYSQMLLAHKDSFHARRSEYGQHAVWVTKYR DDELFPSGRYTMQSMGGDGIASAIQKRAASDDEAAVRNSDVVVWHTFGSTHNPRIEDW PVMPNEKMVVGLKPVNFFSGNPGLDVAPSTQETNKSVLYTGEDSGPSCGASRL CC84DRAFT_276290 MARADRSGEFTGVLGFFICICTLLVLLRVYCKLVIVKNFAADDY FSVLTLVSFLVFCTLALLGIQNGTGKRRYLIPDEKYPNGMKWWWSCEPTYVATNIFLK SSIGIFLLRIAVDRTHRIILWAALIIIQVYSVYFFFLFTFQCWPVSFFWEQFRGGKGH CIPSKLVVNSFYGYSALSCATDWTFSIVPIFIVHKLQMNSKKKITVAVVLAFCAIGST ATIVRIPFIHGLNDIPDFLYSTIDVAIWSTCETGIGLATSAAATLRPLLRQVFGDMST HDSTSRKHSRMWNSGHPSRSGYLETSSKHGEHDIQLTDQDSKHHNVQVVGGGGSSPSG STIGLNKDWDQDKDSWPADGGAAGHKGIMKTVKITQL CC84DRAFT_276381 MCNKLPDAVHAVHISEAVHVGLHDSVISSRSIERAQNHYWNNFQ TSLILTSCQSNKLLRSAQVFLESTGYKQPNSAISQSKVTLGESRRCYLAWVGSFSHIE TQRHSPSELAQSRSQGLRTEIHFGKQAQRSVPRAKPYAAGLHDFQPALLSQSTEAQF CC84DRAFT_1154540 MASNTKIPTIAPSARHHEGKVAIITGASRSIGAAIARNLAAKGS NVVIVYLTENSDPLAAKLAEELTSAHGVVAIPVRADFTTAEGVQKIVTEAKGKMPVNT KTGKFQVDILVHSAALFHAMPLEACNLEDFQKVYAINVWGPINLTQAVKPYLPTDRSG RIVNISSVGSKVGLQYLTLYGGSKGALEAMTRTWARELAEQATVNCINPGSTMTDMYR DANPAAKQANALFNPLVPLSPLREWDTEEQRKFGEMYGGRVAYPEEIAGIVGMICSPE SAWMTGSLISANGGQWMSS CC84DRAFT_1229738 MLATIVISLAALPATLIIWSLITLNTNLNKARAIGLPILVRYVT PTNPLWMVFGSNLVRLARRFGLATEHFDRFYLFGWDANERYRVHADFGDTFTLVSPGG NWIYISDPKTAWDVLRRPRDFGHNVEQIAVLNVYGKNLSTTEGHEWQKHRKVTAATFT ERNNELVWQASLTQGNGMLDYWLHRAPRPIRSVADDCKTLTLNVLAAALFTKPYPFEG QEEMKKRHARSVDQSDDSHQYRDSFSKILRGIIPIAIFGGEALRNSSWIPTSMKEVGE AVESFRSYVLNMIEEERDNIQKNVSARKDLVSCLVRASMAQQNDGRDMTITEQDIISN TFSWLREEIRHYCEDDDYNKWSYATWTKLKRCQAVVMETLRLCHPLGSSSKLTKDACT LTVGANTYTIPPHYNVQVNFCALHTNTTVWGNTAMAWDPKRFIDIGEVKGTHEIEAEQ FHVFADVCPGKRFSQVELSAVLAILFRNQQLEPVPETGESATESRQRAQRISLDIQMT LLNEMYQPERLGLKWVKVDGDR CC84DRAFT_1221608 MYKVENFFTYSFSSSTRTFKLSPPDYNYTQGPSNHLETFTAKMQ FKLAIGALLTTLMWTAAATKVQCQAGLSDTNICEKTYCKCDGNKIFCESGTTCLQTCI CAA CC84DRAFT_276448 MCAHSGILRIFATTQVGNEASVAFCWAVDLPSSKQQGGHQHRPQ DTLKIPALLMENRADVVEDAPFCYRSITSRSGAECIKTISCYMSISSAHNHTLARRVF SCNHFHHTLHSNEPYLKSSHAVYYRQIRHSSHTCCYCRGLHCPWGGSPGTSSPRLQLL VPAMQCIPKELRPHSLLLMLTTI CC84DRAFT_1263118 MDLKDRWEAFGAKHPKLYDRVGKLAAAADPYIPPINFITLHYAY FIAVTIVGTLIFWGASSPARSVGWWDSMFMVMSAVTATGLNTVNVSQLTVFQQVELAV LMMMGSQVLVSYFTVAFRKHIFEKRFEDIVEMEKENRKAKKGNTGAVVGMAGAMFGLP VMSSFGKGRRRSKPRALKVATGQAVTSTSEPVPTTPILENQADIGLSPVASGRSPPHH IGFLDPIRERTSHERPHTATTGHSIYNVQTQQTVSSRRRSLAGESKLGGDFNVQSFVT EQKRNIGRNGQFFNLSSDQREYLGGVEYRALKFLSVFVLAYFILWQFFGAIALGSYMA VYEQEASAVNAQNPWWAGAFLAISAYNNAGFTLLDAGFLPFQSSYYVLTVVTILSLAG PASFPVFVRFLIWTMSTLLDLFSKNKEYGVWKEGFDFILRFPRRVYTSMFPARDTWLF VATFGSFVAADWILILVLSIGNPTMEVIPLGRRIFISLFEGFSIPSGGYAIVSPSAMY FDVQVLWLVIFYTAAYPHIITMRKTNVYEERSLGIYEGDEAEVEQLHSATSSVFDVDG ALPATTSVSGKPPRTPIKTLGTVGRRGTAFVGRQIQRRMTAFQGVGVAGPATTTTPTT LKRSVTMDFGRATSVHSLNAHPPTHPPSLVSQQVRGQLSHDVWWIALALFLITLIETH HSIEDPKTYSVFTILFEIVSGYTTIGISIGLPDQAYSFSGGMYTGSKIIMILVMLRGR HRGLPVALDRAVKLPGKKLAEFEEEDAEIRSMMSQ CC84DRAFT_1168251 MASMHILPFNGLACSSSPLGIAASSISQLVQSATSDAISQLCDR PTDSMYQVNPDSITVSYASELVDLIITMPTNGAAQGSVTCQSAFSSIAAECFGWEEVW GGEFYDGDFTYSISRAESADALVESLENSIYGQEPGVPASGFITATAEASGIVPGFPV PSGVASGYPVDEFPFPTPTATVIASGYYPGPVASGFVPPFPSDVVTASAFVPSGVESG YVATATPEAPVFPGWSEDTFAKVRRGSEQREKVEAETRPKARWIMG CC84DRAFT_1168252 MVYTASFAFFEAIWEAGITHCFVNLGSDHPSIMEAIVKGQNEKK GQFPKIITCPNEMVALSMADGYARLTGKPQCVIVHVDVGTQGLGAAVHNASCGRAPVL IFAGLSPITIEGEARGSRTEYIHWIQDVPDQKQIVAQYCRYTGEIKTGKNVKQMVNRA LSFATSDPQGPVYLYGAREAMEEDLTPYHLEQEYWRPVEPAGLPALGVQTIADALVGA QEPLVITGYSGRNHKTVGEMVRLADNVKGLRVLDTGGSDMCFPADHPAWLGLRYVNEA RVKTADVILVLDCDVPWINTQCKPKDGAKIYHIDVDPLKQQMPVFYIKALHRYRADSY TSMSQLNAYLESNYKEKLSGSIFSDRWAALQTYHKQKLQAIAAEAVVDANGHFGTPYL IQQVRKSCPKDTIWAVEAVTNTAFVADQIQATLPGSWLNCGGGGLGWSGGGALGIKLA SDYEGKKQFVCQIVGDGTYLFSVPGSVYWISRRYNIPILTIVLNNKGWNAPRKSMLLV HPDGEGSKVNNEELNISFAPTPDYSGIAKAAAGGDIWAGHASTADELAKLLPEAIQSV LNGRTAVLDAHLEGPAGKYVGANSKL CC84DRAFT_1229752 MLTLVALLLTAFASPAISCSESSWITFSRSCLGPPQVWEARPSP GKGIGVYATRVIEPGDTILSEPAMIHITPPEFRDGVAYPLGDINTLLQSSFDALSPEQ KTEVMSLHAHMSPSEDANDTLMAIMRSNAYTTGNSLGLFPKGARINHSCRPSTSQYWN PQTGRRIVYANRRIEEGEEIFATYIPLLYSHEARQRRLDQYGFKCTCEACAQEQEARQ ASDQRRQDLQKAFVAFEPQLTLSVPQSVVGKRKARKNAEASVQLVEQLEEEGLADYYV KAYHIAAIAHARIEDWKPATLWANKGFETSLLADPNARSTGARELQALTNHLIIKWNE ELRENMTRHG CC84DRAFT_1168253 MPSAQSAHEVASSLLANQGLALKSIDVLQSLWAGYGEICRITAT PNVSPNSSGATSRTGSTVASSASTPQEVQSYILKLITPPTTKAHNEGHTRKILSYQVE QYFYTNLAPQLPPHIPVARHIASIYEPRSDGGVSMAMIISDLKQTYPVAGEKRDVLNQ IQVHAALDWLSSFHGFWWPRIPSLDRSQLVRPPLQEVTTDGQDARHKSVWLNGGYTYL ATRRTEYANLAADHGSEWWSLTDWEKDEFSIAELVASVLEPKQEGWSPIEGYTTLIHG DVKSENLFTSKNGEEVVFYDFQYVGLGLGVCDLAKLFTCSVPLEMLVDDRPVPRELAM PDGEKELLKRYWVRLKKESGKEYDWNILVNHWEMALVDWLRFQASWGFWGNTEWLEAR VRFILKNEKWKDAVAGNAKMAGLWGKEQLL CC84DRAFT_1180151 MAPVSGFRPCSTLSGVVYPTRCFTYRFPTGTGSHYSSSLPYSFD ETIPKMTNPAKISSRPKQTAGFGKRHRTKAHTHASVPGRATNLPETAAMHPNATVFIS STTLEKTHPSSASDSLHGDDNLPSTTSKPIGRSPDLTDQTSMKIGLAFLGAMLLIIVW LWMVFFGLPKYRRYLGRRGACKEAEPVQGQWWDWVKADREKPWIPACSRNPEFYQVRN LSKTSSAVLGEGAAMSPLRASEATTLGSWPIQSMPDQFDFLKLSTAMYLYIEVHW CC84DRAFT_1229758 MARLSLSTTAGTWSQALLLGRYYYKSIMAFAISFGDANAGFQAG IANGLVNTAFHVPPASTDHSPASERPETPPYPSIVIPFCREVDLVERGSTLDQLQQRC AEPNARAALVGLGGVGKSQLAIEHAYRTREQSPETWVFWVHASNAARFEQSYRDIADC VKIAGRQDLQANIFKLVHNWLCDCKQRWLLVLDNFDDPRFLLSGQGNGDGQGQTTNAQ VVRKPLREYLPHCERGSILATTRNKEAALRLVEQRDIVSVKPMDEAHALALFKKKLRV QGDNSDAAELVAALEYMPLAIVQAAAYISQRAPRHSVAKYLEEFRKSERKRSSLLTLN NGPLRRDWEAKNSIVATWQISFEHIRETQPSAADLLSLMSFFDRQGIPEALLRPCSER AGGQTNQTEADDGSDDELGDDISQSSAGDVEFRDAVAVLQNFSFVSVDTAGTSFEMPA LVQLATQRWLERENKLERWKQQFVSNLFATFPTGEYENWAACQALYAHAKAAIGQQPK DKSSTAEWATVLYRAAWYAERMDNITDAVTLATKLGGRWDDAEKLEVQVMQTRKMKLG TYHPDTLTSMANLASIYKDQARWNDAEKLQVQVIETRKTKLGVDHPDTLTSMANLASM QTLLWIAAHLRVCLEMFIGRRLNTWQAHCPRILFSDHYILVLCKISGQNDSQHTMTDF CC84DRAFT_1180153 MATNRITVVVTLTDYTTVFPTRLHSTSVPGVTTTLTLTDYTTVF PSSSRPSPGHTTPKTAMASIPSLAVSHSYIQSSSASSQILSISLPVSRHSSTTPSSFT PSSPISTVSSSLEAILPSFVFQTPTTSTFSSSITSSLSSSSSSSTRSRPIPNPGLPTG WRTPEPAVEKHYNDVLPILMILWLVFIALFFLGALVYFAWRFARGHCADCNLKTAEVI HLKAQLAGRNSVTSGMVKQREAGMSMGLGRGQEVSVSKRDLQGEGTTFRLHDPERGIH VKLSKEAGSPRPPPHSESEDPFYLENAVPVRSHWSDGTIKTTSNNSAEQTSAHSATAL FRSGLSMEENRALALAELERNHSVTKEELKVPIPFWKRTLARVDLRGVDRVEKFERDE PGTDKFVAGPEYRPSMRGPLRTFSRPGPPPAPPVIRAPTDPYVFYPRSAYFQDTGDSS SGRGSSNVAPKQYPQPTPQSKKSEFITVGLDDDVDNRVDMRRAAGIRYKHRTSGYRGI ASLLPEAVANDLSH CC84DRAFT_1263123 MSTKAYTGSCHCGDVKYQIRLTLPPNDTIGFDGTRIYKCNCSTC HKMGMFHCRPNDLANDFIVTSPAKIEDVGEYRCFSEQIGWYFCKRCGVRTFGMGGEWV SEEIDVAKWSGKENGSGELQKVLKTTPVSGGATGYDGKRLHYVSVNGTTLDDIDLIDV HDQGWMYYVENKHKKDGENPQMRFKEPFKGGCY CC84DRAFT_1101151 MSLYNAIVVPLSVISGLWIPCILLCCIPWVQKQMLYLHWVTLFP GRWLAEPERAGFLKNQVAPFRIPTKDGERLFAWLVAPIGVYSRHVRAFIEEAPAQGDI ERKQVFKLIKDDPEARLVIYCHGNTATVAQGRRTEEYRMYSAGASEKIFVLAFDYRGF GKSTGSPTEPGLINDAEAVVEWARGTAGISPDRIVLLGHSLGTAVATAIAHRYANLAV PVEFAGLILCASFTNTGSAFASYSIGDVIPLLAPVKLIPPLQQWFRRRFKDTWRTDQR LASLAKQCENLRLLLVHAENDGTMPWDQTEELFTTTLRAATDQAGPNREVVDLGEAGK QEVWRQGTRSVSKLIAKHGGK CC84DRAFT_276596 MPLQNYQSSAAPAARDLLASNAGSELNGKNIALIIFVAIVPVLI VAGAVGWLLCCYSRGKGCGRRKKEDRTTTIPGGPTTSGANAPAGKSAGWAPSRPAQAH TRNDSMLSKKSNEKAPTLPRGFV CC84DRAFT_1128437 MATQTEAQNQDNTETSRTASHTDEMSFIKDAERQSNKGGEWEDA VEKPVPEDPNVVNWEKDDPNKPMNWPSSKKIGVVAVVAFITMLSPFASTMSASATPLI MSDFGSTNQTLGAFITSVYILGYAFGPLAWAPLSELYGRLPIYNICNILFLIFSIACA VANNLGALIAFRFFAGIAASCAITISSGTVADLYPVEKRGKAMASMVLGPLFGPAVGP VAGGYLAEAKGWRWTFWLITILAGAACIISFIFNRETYPYVLLKRKTASLQQETGNTK LRSALDTGRTPRQLFKTSIVRPIRMLYLSPIIFFMSLTMAAVYGYLYLLLTTYPRVFG SQYNFSEKGIALVYLGVGVGSLIGLFITGAISDRLLNHLAKRYNNGKPKPEYRLPVMI IGSILVPVGLFLYGWSAEEKVHWIVPVIGTAIIGAALMIVFMPGLTYLIDAYTVYAAS VSAAATVFRSLLGALLPLAGSAMYDALGVGWGTSLLGFIAVACIPVPLLFWIYGERLR NSKRL CC84DRAFT_1154561 MPKEFPKIGGPRQRPISCKFCRTRKLRCSREAPCSNCVSRGLPC ELEQATHSGSPADDDDKAELLERIRKLEALVEQSTRFTSVSVASHDTPPATALLPNAL DGQASGSFEDVARQSWKNSIPSPSEQLDRDFAWLESIYDGTECASEAIPSTKVGFRIC SFQLVAEVEQFVTGSSSSDPSIFFWLPEYSEAKILLEKFTRDIEHMYHVALTQDLPVV LERTYISLTQQKQVKSGEMILVFSIFASAMHSWTDEDCRARGLFTTSAEPHRRSALWV KATEDLLDIAHRTTLISLEGIQGIIIVTFVAASYKGFSRRCWFLMNNALALAREIGLH CIDHPSNADRANTAEAEVGRRVWWYLVSTDWAMATKYNGLARGTYQCHPRHMNTNKPL NLNDEDVVDGMTRMSRPLSEPTSMTYFVFRNRLNEISRTIVDRAPLMTALAGGPSYDV VMDIDTELQQLINDLPPFFFSMTPFEIAGRYRLSDDRAKVIARQGNDFRTIFYATRCK LHLPYARRGFTESQYATSRILCIESARLIVETESEYQRLGLDKEFARYKPLLYSMTVF LACTVLLMEYCHRKQTQAPDQEKSKMEICHALTMLEAARSENEMASKFLDSLVMVLHN HGIAPPKRLQQQEKSVALPGEGLVSTPPFTSQATSSLPMTPMSGPQLAASAVGGEVTC TGGFAGLDAGSDLNSLVKSLDQGVDVGMIEWDDIFLGLGESSFL CC84DRAFT_1209149 MNRRGSTAPSRCRSRLPAVGYRRVMAAWLRVNEFSDNCVAHPSS IASAQIPYEKMSQITITTAEFPRDREAVAALFAAYAESLNIDLSFQSFTDELSQLPGK YAAEKGGTLFLAQAADSTPAKIVGCIAVRAFSPLDTCELKRLYVVPEARGMGAAQRLM NAAIEKARDLGYDYMLLDTLANMTAARKLYTRCGFAEVDKYYDNPIEGTVFMRAALGE HNETASLRRGMSSSSVVDSASLKTTPASSPPPADMDNQPKNADNKVPSSLQLEEDRIR AQREKEDAKREAKLEKERQADIQSGKDVLDKKFQQLEFLMNKSKLYASVMLAQMQRQE DAEKAQDAKTQGQASKREQKADQAAAETQRRATRAAAAGKDTPAETTSMKKTRGRPKK SADKHAKISSFFKKEDLQKKTNNASVTEALKEAADDDNVKTGDIGFQNLRSARQPKLV TGGTMRSYQLEGLEWMLSLYENGINGILADEMGLGKTIQTIALLAHLWEMGSYGPFLV AAPLSTTSNWVEEFRKWTPTIPVLLYHGDKKEREKMRKTQLKHPGTAQFPIVVTSYEI CMNDRKFLTHFGWQFIIIDEGHRIKNLDCRLIRELQQYQSANRLLITGTPLQNNLTEL WSLLHFLLPTVFDKLSTFESWFDFSGLKDKDNFEQLLSEERQQYLVKSLHAVLKPFLL RRVKTDVESLMPKKREYVLFAPLTPMQRELYQTILDGTSRQYLEEKSYERLSAGLASG TATPLSVRSNNGSLKRKVLSNDAGTPSKSAKTSRESTPASTASKRGRGRPKKTYEEVS DRRFFADLDQDSGSDETEEPLDSEAEEERIQTATFELAKRQLQQKKLGNPYMQLRLCC NSPYMFFNPFLKAETDGAETFAMETEPDETIVTTSGKMLLLDSLLPELLRRGHKVLIF SQFTNMLDILSSYLDLRGYPHARIDGSTAQPDRQSQIRAFNAPSSGKNATNVFLLSTR AGGQGINLASADTVILFDSDFNPQQDLQAIDRAHRIGQTRNVVVYRFATRNTVEVKLL ESAEAKRRLEKLVIRKGGVRNARAQGGKVEAGQELEELQRLLRKSDGEKFDVEGVGDA GVLSKKELDTLLDRSEEAYAKAEKGEGVGGEGAFRLVGKREEGALMEGLKA CC84DRAFT_1221624 MRLVTRAIPSLSAFALAADALNTTCSSFPTYNATTLATSPFTLL ASSPTAPINGTAASFVSFTNDGVDRYGFVTIPQSNPTFGSAPPLSLQCTGSTLYVQLS GLNWVPVSIAADENWQRGLSFGLGEGVGVQAYAQNGDVYLGAEGGQGVVGLKWKFKSN WGGNAGQYYLVRWAGGEGEMKRQVGGEPPVVDDRDWVGYLKVVV CC84DRAFT_1263130 MEYIKKNQQYKEVSYSEREDEDYLSSTEVESQHGDDEKDSEKAK THRPRRSRPRRSRTSLWVAEFRRFRWLVDLVLLVINISLSVVLLRNFNQENATSTMQV GSSFDGTGPDFPTKIVKFEADQAFVPNNTAEFFSPATLEKWNTIMPVGAGWGAPTEDT FFTTSMTHQLHCLFMMGRIYAALTSEMPEKLMSDYHSHYLHCVDYLRQGIMCSADMAM EPHEQTDPDDNGPMDGSWNGHHVCKDYGHIMPYLEEQIDQGIRKVLPIDD CC84DRAFT_1263131 MASFTDKVVIITGCSSGIGLATTQLFLSRQAKVFGIDIAPFTAE TTKDVPFEFHQADLIEPQAASEAVARCTAIFGRIDVLVNCAGISDGWSSADSLKDVEW ERVMAINLTVPVRLMTAVLPAMKEQGKGSIVNVASKAGVSGAAAGIAYTASKHGLVGA TKNVAWRFRNDNIRCNAVLPGGVATNIQNSVNMDCFDKDGFSSFFPVVEMHVAKNEKG QPVPVITPNDVAKGIAYLASDEARMVNGALLPIDAAWSTL CC84DRAFT_1229786 MSVVVVVAGGSSGLGRAIVDALMEDGRFEVLIFSRTGNSQIEKE SGARVLAVDYSNVDALTTILETNNVEVLITTANTMHDPTPELNMIAAAARSHSTKRFI PNTWSALEFKDEPRFKNFPLAQGRLEAMAQLQQTGLEWTAIYPGLFMEYVTEGLPSTL TINTMMFDVKHNAAALPNNGEAKITLTYSRDIAKYIPKLLMLEKWEPAYFIIGDVKSW NEVVAAAEKGKGVKFNVTYDSLEQLRVGKVTELPGHARMYERFGGRERALPVVQGLFA QYGLWMDEGLFTYQDGAKLNDLFPEVETLGLEEAWKVAGGKA CC84DRAFT_1190264 MKWFASTIAVFAAFGTGKDVLLYSPTAYTLIHSDKQAVLVDTPT LAKDGAVLAKWIANTAPGVSLKYIYITHAHADHFNSLTTDGVIEHMPAQYEGPLWDYF WKGLFPSIEKTDLSLVQVLPADGKFTIDDGKHELHAIVVGEGDTVDSTVLHVPSLDLV VGSDVVYGHCYQYLAENPTSEMRARWLQSLDKIAQLKPKIVIPSHMQAFEDFGTQHLA ETKQYIHTWDLFLHKAKSWEELEGMAKKRFPERIGTFILRYTAQSFFNATF CC84DRAFT_1229792 MRFSVFLLTGALGSLGAVTSTVINIHAPPLPLELTQPAIGQLER DNVTTPNPVARYLFSRQLEGRCGPDGGNQRCTNNQCCSTYGYCGTGFDYCSALVGCQP QYGRCGDAPIETPTPTPTPTPTPTPTPSPSTSSTSSQLSSSSTILSSSTVSPPLPSGT LIVSTNGLCGNSTTCAGSSFGNCCSEWYWCGSSVDYCGTGCRSGFGTCGNAPPISSSS SSSPVPTPSPSTSSTPISTPTPTPTPTPTPTPSPSTSSTSSSVRPTPTIPVSTDGQCG NGVGCVGSTYGRCCSSYGWCGNQDDYCRPAYGCQPQWGECGPAAEVMFANM CC84DRAFT_1128451 MSKGLGLFRAVYLVALCCVGSFLFAYDTGIVGGILTFPSFQRDF RYTNKQRASVGSNSTSLLQAGAFFSCFFIWPFTAKFGRRWSLILASCIFNIGAVVQTI NTHSLAAFYVARTVSGVGVGMATVIVPMYSAEMAPKNIRGMLGSMFQFFFTMGVMTSY WVDYAVAKHISDDSSVQWQLPVGLQLVPGSILGLGMLLTKESTRWLAKKGRHEEAMQS LVWVRGGDTHEVQEEFAEIMSSIEEENRVIEGVTWKELLQPVNRYRIFLIIALQIGVQ LTGNTSLAYYAPQIFSLVGAGQNKLLLTGFFGFVKVIACLFFLLFLVERIGRRGSLLL GAGFMGTYMLIVAVLTVTFPPNPTAGLTSPSIASLTMIYLEAMSYNISWGPVPWVYMG EILTGRTREAGIAIGTATQWLFNFAFSQATPHAVTNLGWKTFVMFAVFNYALIVFVWF CISETKGKSLEEMNE CC84DRAFT_1199123 MDSRPNILFIMADDHASKAISAYGAGINNTPNLDRLAKDGMLFN HCYVTNSICTPSRAAILTGTHNHVNGVMTLDNKINKHMPNVAKHLRAGGYRTAMIGKW HLGEGEQHEPTGFDYWSVLPGQGEYWDPEFIEMPVSGKGAGVEREETGYVTDIITKKS LAWITATKDSKKPFFLMCHHKAPHRSWECDTKHQHLYSDKPIKVPETYDDDYKNRAKA TRVAKMRVAEDLTYQDLGLVQPEGGHSVGERVRQESGSAQRKVPTDSSIKLIDKDDGT VFIFQSRAELSAFKFQRYMQRYLRVIQSIDDNVGRMLDYLDENGLSENTLVIYTSDQG FFLGEHGWFDKRFMYEESFQMPFLARYPKEIRPTSTCNDIICNVDFAPTFLDLASIKI PNYMQGDSIMPLLRGKTPETWQQVAYHRYWMHNDIIHQAYAHYGVRDHRYKLIYWYNE DLGVAGARPGKAEDPNQEEEKEWELFDCEKDPLELFNVYDDPSYAAVVANMTALLEAK MEHIGDDPIHLRLTGVNAKMRRAR CC84DRAFT_1101680 MPTRDELDEFLSFFFEVLYPMPSYAFLHPRTTKRRCFSRNKSCS LSLALCAVASVHMKVPSGGAIMSMPQAGIQERATAWVHAAEQSIWLQLEHPSISRLQT LLLIIHYHMETAHLQRAFMLIATAARYAAALRLNHERQHCDHIAQETGRRILWSLKIV ERYFSVGLAEFDMVPLEVVYIDFPQKEEEFLNSDLDQQNLQGKQENGAYRLFIQLEVV RRDIMRLTRNITLLEEPLANLTELVDHHRRIIAGIETPAPTLLSRDSTDLEDPFEDHW LPRRILAHISWHQAHCDLYRILLPGYSDAAPSTVLRGYDATELARAEDLCSHHAMRII DIITTLNQHSDRWHLLEFDTAICAYHATRLVLYISRLGNTRNRFSPEFALSRAQLCLA ALKRFFFASALVAPIIRELEQSIQVFSTQQQAIQQGRTSSFAMPPQNDNGRHLSSAAQ IRQRLAIHSLLRRADFADGDEEEE CC84DRAFT_1168258 MGALPQLFAVALLLIHSNLAQASSTPSDCGGSQVCRKTEPYKTA SLTPAERANDLLKRLTWEEKIGQMGGIRAAFRSINGSVAFNRTSFEQIRSTQNGQIGY GQQANWAMDLLPRMNELRAEQINGSRLHIPYITVADSVNGLWFSGGTVFPGTISMASS WNLPLYEQVIAASRDENLAMGINWVLSPEVDIIHDPRNGRNGEMYGEDTYLVGEFGTR YIKTMQEKDDNGFVKVATTIKHFVFGSGSGGVNRASMFGGINHILNDLAPPYRKAIRE GQPLSLMASYSSIDGVPASINKYLLQDVLRGILGFKGLIMSDANAIQYLLTESKVART RSDAAVKSLQAGLEHELKPDGLGLFVELRSLHSNKEIASLVDEAVRAMLQIKFATGMF DQPLPTIENMAATLRNPRHLLLNRNITRESIVLLKNDDAFLPLTKGLLSGSGKVAVIG PYADLINAGNYAPVDPADPRYGNSFLRSFENALGKENVLYAQGTSTVLPNYDHDAQAK DQAAISFAVDKAKQAGLAVVVLGSGYGNFMPEFFNNERTDTEGYSHADLGFPGAQQQL LDAILNTGVPTILVMSAGQTFVINQSTSRCKAILHSWLAGEYSGDSLVEILLGQVNPS GKLTVSIPDHNGAFPVAYDYLPSDDVGGFSTYTKYDWHWPQLTRKPAMPFGYGLSYTN FTISEVSVSTSGTGSNRTIDVSAKVTNRGKMGGKEVVQVYFRPQYSIIERPVMKLIRF SKVSVAVGSMEIVEFDRIALTELGFYVNGEWTVEEGNYTFWVGSSSRSSDLTPVNVVI CC84DRAFT_1263134 MLAKILLSAVAAFVAYSFISTTSTKRRHAITARALGCEPAPVEP RADPFALINLVKTMWAHGNNRILEYLKGTFEKTSTHANRTVYTYETEFLGDKVLFTCD PKNIQAMLATQFKDFELGQIRTGSFNPLLGHGIFSADGKQWERSRALLRPQFSRDQVS DLDLEERHVQNMLQALPAEANAWSNVIDLQPLFFRLTMDSSSEFLYGESTNTQLSALS EEASAKNAEDTAFVDTFEACQNHISMAMLLNEFYKLMETKKFLDKCRLCHRYIDQFVN KAMSRKDSRNGVEQGRKEKYVFLDSLASETDDSVEIRNQLLSILVAGRDTTAACLSFL FLMLAQHPEVFSKLRMTIIEEFGTFDSPKDISFSRLKACSYLQWCISETLRLYPSVPW NSRRSAKDTSLPTGGGKDGLSPIFVPKGVETVYIVQIMQTHREIWGPDAENFRPERWQ NQKHGFEYLPFNGGPRICLGQQFALTKAGYVVVRLLQRFDKLDGSPGASEPVKWSVAL TGRPKNGVKPLDVSYFSPLKRAYSHKIKSLIRYYINHIMKLEFLPAFKAAFTRLFTAA NICLAF CC84DRAFT_1101367 LDLYFYEENKDKDDKDKVLFAASYMRGDVGEWIRPYITKYLDID DDNVNPNYV CC84DRAFT_1100882 KGDVPLIDVRNHYESRIGYFVTGDGNAAVRPAVRRFSQWPGYVV RHVLGNDVFKKPTGVATYCTGGIRCEKGARWMQEALADNGGEGGAPVYTLHGGIVAYQ AWIEKEIKEGRKKPEDSFFKGTNYVFDARGAIGRQQVVSKCHGCGEAEDRLGKCEVPR CHLVLVVCEACEAKGGIRCCENCHHIHEGQGVAGRNTRICQCESEREKSLWGDGGAKL SQGRKQVKTKSATTR CC84DRAFT_1101681 MGAARDKCAHTVDGVSGTIAEAWGQGYMVGGLVVLMLLTLASFR RHVLLHKLILAELILATFRGTYIFFSGPNAGWYSSCTSVLLYISYNLHNVINWIKIKP FLSRTGHWVYLSTLILVWPYWIVECYFLFQYNNNLGSDYFVHLRPWEFLCREPWWLFT TGYLIYIIKRAYDCSIVHLIRTSGKFLVLLSSMALSIGFIIADVVIVLVIDTPCRGKN PLWKIAFIFKCTADVIVLDDFKSVLDRISVRGSTSSGDSLGVKEDRDIPQHIEAVCPR DTGSRRQGIASMDWFDDIQVV CC84DRAFT_1199127 MDGLSGAASVIAVIDVSAKIISLCLQYSKAVKDAKDDIERVQRK VSDITHILEQIKQLLDSQDKTRLSTTQGLFSSLAKCLKELENLQVELEPGKGRKTMSR IGFRALKWPFTSKHVDKIVSSLEGYEQSFSLALQVDQTTVVLSIDQKLDLAKLPIAQG ASYDSHTEEHNARCLPNTRTALLQDITNWAQDKDGKSIFWLSGMAGTGKSTIARTIAE SFASHGQLGASFFFKRGEGERGNASRFFTTIATDLVACEPGIVPGIRKTLNKDSAISY KALKDQFEKLILQPLLEIKQTRSQALVRVIVIDALDECEQEADIRAILQLLAQTKDIR PVPLRIVVTSRPELHIRLGFKEMANGTYQDLVLHEVPKSTIEHDIRLFLEHELGTIRK ERMLAPDWPAQQQILALVELAVPLFIYAATVCRYVGSKGSSPTAFLNKVLQYQKATFS QLERTYLPALDQLLSEQEEDEKETWLQAFREVVGSIIVLESPLSTVSLACLLQVPQEE IQCRLDSLHSVLSVPNNKDAPVRLLHSSFREFLVSPKKQGNSSFWVNKERTHQKLASH CLRLMSGSSGLRQNMCSLSGPGVLRGEIDEKTIATSLPTDLQYACRYWINHLKQMPEK IKMLSIAETDWDACRSTLEGHSDEVSAVTFSPDGQLVASASDDNTVRLWETVTGTCHS TLEGHSSEVSAVAFSPDGQLTVRLWETATGTCRSTLDSPSAYIDHVDFSPDGQILRTD RGDIPVPQNPYIIGTNPI CC84DRAFT_1221638 MENTSASGSISQSSHGQTEIEFTMSIQNLLNAAYGVPNAQKSTV NTTKKFLYLTCKKTVTSADPVTPTCSTEERFCEACQISVLARNRKKHERSIRHQKCMG AANTRIRLRHCEYCGYGIHAGGWARHLQQAVHLRAVKEESSTTEEGFDPVPTLGMGVA SDPEGQRVSPLPFPRSQNGISIARPLSRGRSA CC84DRAFT_1229840 MRSCYVFLLLVGFIVAVQTQLTPPPECGALCLKNAIAASNCSST DTLCICTDTQLTQTAELCVATTCTVRESLSTKNYSMATCGAPVRDETWSTLWLGIGFF ILSLVTLLLRVLSRWVCDTPFYWDDYAMFGAIVSAAGFAGTSIALYVHGLGKDIWTVS FNDITYMLIAYYHGEWVYSIAVFFTMTSLLLFYLRIFPTRSVKIQVWIVMTVVILYTV LGALISINQCTPIRGAWEYWDGEEKFHCRNRNALGWASAIWKMVLDVAIILLPLRPLS QLALSKKKKAQVILMFAVGIFVTLVSMLRLRSLASFADSHNATWDYKEMGYWSQIEVH VGNVCACMPGIYSLLKKFWPKIIGTKQESSHMMDTIGSVKPKMKVQSRMRLTLGDEED FVRLEDEDYVILNKGQPKAASIASKTSR CC84DRAFT_1154594 MHFSYLLIAALFSPINALPASSSYSAPAPVSRAPGLLGLTDGLG KVVDGLLDRIHDETEELTTAVLALLQQLDEVVPTATPTSIEDVATILKGIAGAEPTTF IESVVSLAKNGLGPGSFEGVFKQYSAGSNSENNVNEREPSKKLYPSAGDNDAPYSIDE ETLRAAIYIPDTFTYGEKPPVILVPGTATKGGLCYEANLAKLLTKEDYADPLWLNIPG WLLESAPWNSEFVAYAINYIADITSQNVSVIALSQGNLDTQWALTYWPSTRNVVSDYI AVSPDYHGSILLDFLCPKLTEGIVGCAPAVIQQKYNAKFIEHFRSVGGASAWVPTTTV YTGTDDVVQPQHGTNASALLGDDRDVGVLNVELQLHCPLLSPALVGTHESLLWGQPLQ VLIKDALTHDGPASIERISDWNDACSMLAAEGLGVADVVGTTAAVPVAFAAMFLYDKK VLYEPALPAYAA CC84DRAFT_1154598 MAEPKHEAETIEVLDAPPSLSPDHNAEVLGRDFTQAESDLPAGY FTSAKFLGSMFAIGASFGCGVGGFGLAAPVLSFINADIGPDANLSWVSLAYLLTNSVG LMLVGRLSDLFGRRWFFIGGNALATVGCIVAAVAPNVPALIAAEVLIGLGAASQLSYA FVVGELVPTTHRFIAQAWVFAWAIPSSGFAPAISYAFVQSNVGWRGIFYLLIALNAAT TLAWFFFYHPPTFHEKHVSSRKSAFLADFDYIGTLLFTLGLLLFLMGLSWGGTLHPWA SAHVIATIVIGFASLAGFFFYEAYARLKEPLLPMHLLKNVPWDVTVLLWALGAAVYYA LAIIWPSMVATLYSQGHGFMWGGWMGCISNSGILFGEFVGAFFKRRTDVQIKVVFFIG SVFLAAMAASTPDTPIMAAVFVFLAAAFIGWNEILNSTVATIIIADQREIGTATGIAG SARSFISTVCSTVYTVILSNRLATTIPRGVPPALLAAGLPASSVPSFLSALTLGTPAA WASVPGLSSAIQAAGVRAYQEANAEAYKTVFLSTIGFCAVGIVSSFWTPNVDALLTRD VVVQLGGVMEKEEQGNV CC84DRAFT_1199131 MFSARQIFAQVQRRGFAASARQSSKVTVLGAAGGIGQPLSLLLK LNPRVSQLALYDIRLAPGVAADIGHINTKSEVRGYDATPSGLAEALKGAEIVLIPAGV PRKPGMTRDDLFNTNASIVRDLAKAAADHAPEANILIISNPVNSTVPITAEVFKSKGV YNPKRLFGVTTLDVVRASRFISQVKSTDPANENITVVGGHSGATIVPLLSQSGYNLTG EQLDQYVHRVQFGGDEVVQAKDGAGSATLSMAMAGARFAESLLKAAQGQKNVIEPTFV DSPLYKDQGVEYFASNVELGPNGVEKIHPVGKITDHEQKLLDVCLKDLAGNINKGIKF VKENP CC84DRAFT_1221643 MEEGWRLAEEEVLMLCGARFFGALLVLPWDRAATVAALAAGEMD LGRCGLRPARSSDVPQEACRLSGSIESLSRADYSYQDYTANNNVLDHVYWKDATSLRA ELPQHLSTHPKKLLARTDCNESAHSGQPRKILGNTGLGPWVPLRPEALREAFPITERQ HFTCDDRHVFVRGHGLTPSPKLWVEPADIGRPTPARVDRST CC84DRAFT_1190275 MAPVAARRQQSSLPAGYKEDHSKGAMLRFEDSLPRLPVPTLEET AKRYLKSVHPLLSKSEYEETSKAVKDFIAPGGAGETLQKRLVARREDPNIRNWIAEWW NDAAYMAYRDPVVPYVSYFYSHRDDKKRRNPAKRAAAISTAVLEFKKMVDGGSLEPEY MKKLPMAMSSYKYMFNCSRVPKKPADDTVKHNFKGNQHILVVRKNQFWKVPHEINGKQ LNTAELELQFQRIYDKAEKSAPVGFLTSQNRDVWSDVYPKLKAASDVNAASIEAIESA SFVVCLDDASPVTLEERAHQYWHGDGSNRWFDKPLQFIVNDNGTSGFMGEHSMMDGTP THRLNDYANQQIFTNALPFDVTEVRSDLPNPTPLRFNLNPELEKDIDAARAHFNKQIN AHELRVQAYQGYGKGLIKKFKCSPDAYVQMIIQLAYHKFYGKNRPTYESAATRRFQEG RTETCRTVSDESVAFCAAMADPNATAEHTQQLFRDALNAHVKYISDASDGRGVDRHLF GLKKCLKEGEELPTLYKDPAFGYSSTWFISSSQLSSEYFNGYGWSQVVDDGWGIAYMI NENSIQFNVCSKGLGSDKMSFYLNEAAGDIRDLMLPTLEAPKAKL CC84DRAFT_1100756 ISPDGTCGGTNNFTCAGSGYGECCSEHGYCGNTTEYCDNRCQGN GCHQCAPVGFSCTIDNSSQCCTQCCYFPTGDVMDGRCCDM CC84DRAFT_1229856 MASNIHSYHGMLASLYHTIDLEHVEHLERAIWTTKTGRYQDALE IFDKLPGPVLDVPVVLIELSYLHYQNFRYRDLCNLLEPRLERLKQEDPERLDDPEWRL IALIYSVGANRGRGWMEPGIRELQRTQQWLAKLPVSEYSELHVQIAWRYVITYLMTRL QTGLAGNLDDYHNVPLPNETSLPAAPWKGLGDLRRELVRQHRWKEASAIFKPELNRTP LKERRSVAEAFLHDLQDCSDPSKNFIIAGVRLQMAKAMVEVHELASAKDDATLCIESL DQWCIDIGLERNAIVPLRFEIEQLQLGFIADQEEKLRKAMDLADRMSEFGHSNESSCL DLAAETASKTAELTGNLEYRKQCLDLRERLERYNEEVTGDLCDLSTHAYEAHSIAQHT HVDARKAVEWIDGFLAKYPSFKAPRVMESLWTRKAVLLNTLRDLEGAQVAAAEALKWE ALAGSWKGVNTMNSSNIVAPGDGALGQAPYDSEEDNDDDEGFLSGWTNSYGDERPTVA ISKMIEFALQDVAAGRLSVAETGDTKTTIAEETQSLEKANPNSPLARYDFVLAWLQRP VRGSRDRRLMCLLRFIDYRKEMVSNLALRDVAIRDCENLLQLWDSLPRMLKEFTTSWS YAWHADIAWNMWSKFISGGQWTLFDNFGLLLDIDKRCDFAIEGYRKTSQITGMANIER LQAQVGLLCMRRLIIYKRLAAQKERTPFEDRLLEISQAQFGGVENADVHIPLVKEEGL KLLTEIDEIHSSTEREACWEDGLEGIQKRTELSRMQMSYTTIRYAVRYWVNGVDEFSE EARKAIWNLTQKYKARLLSLAIGMYRSNPPSLTQRIQSSDEAPMYQEMVDLQTTIDEA DPKDRFYLRLRMDEHRKKMKSTPLLRQLIELREGTPLSLDNFDNLTDKLGDDVVLVDW FYLDPFWDRGKLLLLTVRKGQTPTIDELTVDVSAINRWKEEHLTASEWPKNGMDPKLG SKLARKSFNEICGAVIQPLAKRTNPDDILVLCPTDFLNGMPLHALEIDGEALLWRNPC VYVHSHSLLRLCASAAQYASDTGTPIYPKFVSGIEKGAVHFAAGRDSIVDLSKQLQGT TLIDDSATKANFLQHAKEARLIHVQTHCSWDSSNPLDHHIDMLSPSGAGKSEITDYKL SAREVFTLRLQQGAHLNVIACSGAMTETKPGDEVMGLVPAFLYSGASSVVSTLWPTHD AVGAAFSRAFFENFEEQRRQGTKWVNMARAVQEGVMELDPDQNAGLLVWASVVLNGFW LFAV CC84DRAFT_1263143 MSIDTTGGATPNDTAPGSEKKNNDAFTNGPFSWTVPLEISSDSA TYLDRSQANDDQELYIPREVIAEVVGGSRLVAELKRPIKIGTFNELPSYLLQTHFSFQ KASTNWLYRIQTAEITIVFEDAPAQEPAVKVPKNKQQHPAIAAWYPELFEGEVSHALI TESVNAGLEAGYLGAGASVGAEKSRTYLEKGRVVVHGVRGGGRHRNSVTWVIEQDSVE KGGIPRDIKLPLIVTRQNNNRFSARVTVKAHYGFRRGPLARSVPVVGKNEEPLYFDPA TLDDMIENGSRGPDDEKRAKGFISRDTVSGLSFLHDYGIVGTRSQIKGLYESLCNFGR DEGTVAAERRQRPYVKLYLPST CC84DRAFT_1229864 MYVTQILAALPIPPSQDPFYTAPHGFEKTAPGTILRIRHAPGNA TNVIANASAVYHIVYRTTDSNYKPAFAVTTLFIPFENATLGTNSSQTSLLSIQIPYNS VWVDASPSFAIYYEFAQPAFESGSTNDDTSYALGKGWFVNMPDHEGPTASFAMGITEG HATLDSIRAVLSSGLLPDYTQTKYAMWGYSGGSIASEWASELQEQYAPELSFAGMAIG GCVPNLTEARDNLTGSAYAGLLPGLYLGLTSQDEEARAYLVSRLKKSGPYNATTFLAD LHLTVNQAFATYANQDLYDYFINGRADLLAPILTKIADRNSYQGYHGVPKMPTFVYKA IGDEFSTVKSTDALVERWCSINVDVEYQRNTVGNHITEISNGKQRALDWLGSIFDGTA TIGGCSVKNVSVNVVSSVS CC84DRAFT_276845 MARILITGSSDGLGSIVAQRLVARGHSVVLHARNPARAQDAIKA CSGAETVVTGDLSSIEDTKKLANDVNKLGIFDCVIHNAGLFRSPYSETADGIPPLAAV NTLAPYILTCLITRPKRLVFVSSDMHQSGDGSLEDILWQQRGEKGYDPIKAYRASKVH AVIFAKAFARRWPEVKSNSLDPGWVPTKMGGANASGDTEAAVATYVMLAEGEEVNAQR SGIYYNPGPTEGRAQASTDDETVQERLLQICADFTGINPSI CC84DRAFT_1221649 MARGGFIFGSLFAVFGAVFWQLMLRDLLYVTLGVGRVIQSVDEF PYKCRKITDKRLSGCEDLWLDDQQSILYAACASTEGRLAWNEAVMKLNVSARRKGGTE VLALSIDKSLPDGSFSYRALQLDNFLSPTGDSSVDILGFDVEIIDKHTVHFYLTNQRP PVDAQGKHIDATKLGANATIEVFEHRRGAKSMKHLRTVWDPETMYTPNNVAAVGNGAF VVTNDHSKSFGLRKSFDNYIGGGGVTYCSPTSTCFPITPPRTFRMPNGLARGADGLIY VPSTITGTISVFSLASSAESASIPPAFTLVDTIHVGMPLDNLALDASGDLWIPGFPNG AQVMKWTEDPVGNKSPATVWRIKKVEGVYELEKVLEDREAAVLNGITTVRHDAKTGRL FMGAVFNEFVVCDPIA CC84DRAFT_1180184 MEPALPSWITINATCTQPPPDWHVNPAQISVPEPYIYVTNNTGN HAILERCTGTAPSFYVDNSMPADAIGCVLVAHVVKGSASKAWQCFMDARLEGQWGVID KAGGGVKTKGFGVGGLAVLGLAVVGAVFGTF CC84DRAFT_1229870 MYFAGLANPFTPRADFPIKPLYHCLFVYVFLAAFCHAETYTDAA CNAAVLTMNSCASRWDSIRTECTNSVTTNTVWPGPCECAYYRNDLPCFDEQVLCAAQV WTQVPQWFRDGVTSCLMKDASYTIRAQLGNAQNPFLVSGIAGILATTSGTFASSAGSS AQTSLGASAATAQETSLSSGAVSTTLESRVTTQEQTSTTTQRSPTPSSSLTGSATQRN GLSTGAKAGIGMGVSLGVIAAVFLCLFWFMRRRRAPVHSKFSTESGSEASELHGQCAE IHEASSTMVWPTNELVGSFPGKPDNGLESEMDGNGLHGCYWRRYELEGRMH CC84DRAFT_1154610 MSTSATSPYGLPPSPRATRPELSPINTSFRTTPTSYSDATPSPN EKASAHSYSFTNTRPRRRDSDIFANATIPLSPPPSPPSAYKESPFDGVAPRRRNPFAR LFCCLGREERARRRAMWADEFEQVGEKRHWTEV CC84DRAFT_1154613 MGIAATLAFGTLGLLIAYAIQRLLQKDRNARPLPPGPKGWPVIG NATDMPKPGVMEYDHWTKHKDAYGPISSVTVLGQTIIILNDPKLAFELMRDRAFIHSG RPHQNFSCDVVGWKHSTAMCQHNDEWKLHRRNITKVTSSAVSVLAFDKVQEEEAARFL VNVLREPRKLFEHIRTEAGTVILKITYGYTARMRGRDPLVELAGKAVWTFAESTVPGK WAVDVFPLLKYLPDGFPGTAYRKTGREMAKILSDCVEKPYAFVKKQMREGRAKTSFLS QVIETEGADERMERIHKWAALSMFAAGADTTVSSLMTFFLAMTLYPDVQKKAQEELDR VVGPDRLPVAADNDSLPYIHAIMLETHRWHPVVPMGFPHTSDAEDVCMGYRIPKGAML LPNTWWFTHDPAIYASPSAFNPSRFLPPSSEPDPRQFIFGYGRRICPGRYVADNALFI TIAQTLAVFDILPTSDKLPEVRFEPGVVSHPLPYECRVVPRSEGCRRLVEGCAGGEER GEGDAVELEAMG CC84DRAFT_276880 MTAPMPSLYQRELPDSQESYISAASSTFSAPRLPSASSNLSTST AGDTEITSPPLSKTPSAQKTSIVHAFPPSPDRPRGPDTLRIDAANAPRTGDTAASPMS LDSPAMQGLKRAADGSVKGSEIINESPTAPTMGHKRNKSMEAGSSGRIGQLSAQLKTR LSYAMVKVQNNWEKQSIDELEERISQQGSPNSVANRTPGSRPAFASPTTAERRRRPSG VSENSDHMMISPGQRTPSDPSRSYASTPSSLWRPGTKPTLNAAVNLISVTGANTGLML GPAPEFESRRKRRSSASFHAPPLLGSSQRKHFSDLGAGLRTPATPRPGILRMPSQQAE KDAVDTLLFMSSPNNSGRLPHTSADARAARHDFEHPQRRVMFENFPSTERPAGHLHSS APSSQSTAYYRAEPSR CC84DRAFT_1168269 MGQQWQPYLYDAPSERDSFNPKAVTIASRLPPPSPKRKPEGPLI NFNRHPDSYVVLPYGNTGATPMDPRVKVVIKVVRWIQFALRICTLLGAVGALLCAIFI KGAQDTESWIMRIPPGVDIVICLYAIYHLLRNAKSRPPASSASYHFFALVMDCGFIPF YVFTALLSKRNLNEEAGTEGRWRTFFPTDEETDKVLLTAWLTATTVAGLHLASIVLDL YLVYIFRKIARLPPDMNPLEENLTSRRKTKHKHKNSSISAITPLTQADKRFSAQTTTT LNTDYRNSQAAPLITEKEIPSPDRKQMSFMHTRTNSDTTYSPHTPNSARQSREKFTMS AQANSARQSRADLNRRDDLLQMEEQDDQSLAERKAFLAQQAIKRNSRPNSFITSSSKQ DFYTPPSTATTARSSKQHEASGDLALQNSRELQSDNWFVYDENEKDQYPEPKQSLFKP RTHGYGVISPYDNASDDEDFRAPMMMPQPLRMNPPTPPPSKTFREAAPKNTPPPSPLK RTTTVTSVSTEATFNRSNTVKSAKSAKSGRYYGDLKAATQGIRGSSPANSPGSSPTKS TRGGALPSAAKQYTTNAPAIPKIDNVQVPFSLDKKSFASVRKTGEANYTPGTGVSPRV VSRSGVDYMSPYDGEYSDLGTPGRRRDVSGKVAEEGRGGVAEGAARWGGGLTYRKASG VA CC84DRAFT_1101656 MKQVQDAVVDMYEKLPVPYGLVRFGVAPDHPEVKNCQDTFEEVA ASPRFNYIGNVEVGTTLALSDLKPHYDAILFAYGASEDRRLGIPGEDLPGVYSAREFV GWYNGHPQFAHLKPELDQGECAVIVGHGNVALDVARILVSPLAQLRKTDIADHAVAAL ARSTITRVEVVGRRGPLQASYTVKEARELMQFDRVGFESAGLHKFYPENTKTLPRVQQ RLADVLLKGSPVKAHDYKKRWQLSFMQSPHAMHVKKNRFGEPIGDRVHSIDFQKTEFV PGADPMSKDARVQLTDEIVTSEASMVFRSIGYKSTPLPGLSDLGVPFDERLGIIPNDA HGRVISPSAGPGNLSAGHLPGLYAVGWVKRGPTGVIVSTMMDAFTTADVIVQDWSASS PFLNGEKGRSASTGLGWDGVKDSVVAQGVTPVDWEAWKRIDKAERERGKEKGKVRLKF VDEEEMKVAAGLA CC84DRAFT_1101775 MRFSSSAIVSALLATGALAAPTPLTKRAGVLTAKSYADFQVSDG VAGNALAEVQAKFPIDTSNLANVDPADAKIISDARQTAESAETDAFNPAIAAASGDAA TALQNGKIKNKVLKLQLEVLDLGISAAQSGKDNSAKIAQEQKKLDNNIATDKKNAGQA SKSVQFSG CC84DRAFT_1221657 MNRELSHFTQAVLKNPANSSNTDPRIHITARPATAEQASKGIAQ TIHIYFDENDKLDGYRLFDERPVKKEDA CC84DRAFT_1056271 APKVIFGDDWGHPLDLWSAGCTESPDQYELPNRQPPFDTIMLTK DKLIEQMVE CC84DRAFT_1168271 MLHLLPLSTLLLLRAVLATPSPPPAARPLPLLIWHGLGDNYAAD GLHTVGDLAIDVNPGTFVYYIRLDESPDSDKTATFFGNLTEQIASVCADLAAHPILSS APGVNALGFSQGGQFLRGFIERCNVPPVKNLVTFGSQHNGISKYQICGASDWLCKTYI GLLKANTWGAWVQSHLVPAQYFRATNDTTGEPTDDYLEYSNFLADVNNEREEKNATYK ENLASLDKFVMYVFEEDTTVIPKESGWFAQVNASSGEVTALRNRTIYKEDWIGLRQLD EKGALEFKTTAGGHMRLSDEVLTEVFEGYFSPAKGSWEGPKKESQEQLEL CC84DRAFT_1199142 MAKSKAVAKAAPKQENALATKKSGSPYQLDPAQVERAAKGLIAH MKKHAQEKEDKADKKNLAADEDDAADQDQPIFLTVTTKQHVHDTSRLKPAKLPLPHPI IGGDVRICIFTKDPQRAYKDLIFESSEFPTELRTKVARVIGVDKLKKKWKSFETKRQL LAEHDVFLVDDRVTKIVAEALGKTFYGTKSKRPIPVRLTAGAFIDKSKKDDKKKQENV VGTAAGVAKEIEAALSATYVSMSASTNTSIKVGKLSMTPAQLKENVEAVVEKLIEKHI EHKWRNVRGLYIKGPTTKALPIWVHDEMWVDDDKVLDAAPTKAITDASNKGEKRKKWE EWEEEMLDDEDLEEKRERRKAKKSKKDPEQAKKSSISKEKRTKLKQEALESVQTPLIA N CC84DRAFT_1190290 MDSSPSTRAPQLPLEPEQQRSEHTQKPSLLPAFEPLSSSPFPRP SSSKRKLDEDSPTHARHHLKYYPTPVPTSSTGILASSSPQKRPVFERTISALSERQPL GAVPSVDLPANGDIIRMGRSSNSSDYQLSANRLISRVHVQSAYYAPTASYPNGYIEVE CIGWNGAKIHCGGNVFELNKGDTYMSENPEQEILLDVQDTRVMIAWPQVPAKASWDSE DDVLSPTRRHAQDHFASSPPLIPRSPVSQSPIRQPVFGAVPSLPPPMRPVQIFEDEDA REDNGAATPTPTSPLAADETFIRPPQSRSNSGPDVEALDTKASIGPSFNEDGFSDGDE ENDPIVHSFGPFGQDLNSRLASFSTATPIQPLSAHRRRSLMKSASPRKSSSSELVRFK ESPIKNHIINQLAYSRIHSQPLSAIHSNLPAELKACQPKKSDGEEGSGASTPVPQFSK QDLRQLMDRIPCIGEIPRSGKDAAGQPLENEFYYVPEMDSNMLRRETVGVRGTGLRSV RKQHKQYYWKKPRV CC84DRAFT_277024 MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGIIEPSLKALASKYNCDKMCVCLCRSR CPWDGLLTHAKQDLPQVLRPPPPARRQLPQEEMRPHQPAPAQEEAQMSGSPPGVPAMD GNGSRETSATIAMLYGKPASGGVEPWHWCMHAWMAASVPFYDRGSGAAIRGSLFM CC84DRAFT_1263156 MAPRCGVENCRSRKYEEGEDGYLYCENGHRKGEQIAGEDEDQFE TAIRTTTRKKVDKEESENPEKVYTGAKGTDLYVKCLQLILRHQVAFLIRKKGLPAELE TVVQDLWVLRILQLGHKIRNESYDSGSSQVFSTQESETEADDDPLIIPSRRKKLQENP TLIDCLALCYLGTLTLRLPVTPGDFYTWTTEEDMPYLNAIRLITPPMRNRLPATYHSA FSPYSLLNLRRFYTAYANLLAGLELKYSIAWPTLNVPVLLFRYLKDLALPLELYDATI RLGEKLGYDFALYVSPRQRLNFASLPEARLVSCLVICVKLIFPFDDIKRHPRSVTEPA ATAIDWPAWAQLVKAARAEDRGGRHRYTTEELTKVQEKDVFSMSGNELDQYLDFYLAN FVDEMNIQSKTSDDAFQAAMYSLFPVAPDASSQPAKASADLQQEKKLKLVRAVHGTTK EVRAVEDDENVEVLRPGVRYAVYTKESVMPPHAARFHEEAARISGLTLDMLIRCVRHI ERKIAREQGRRKQGVSQEDAEEQVESAEVEMLDADDG CC84DRAFT_1168277 MADDAQHEHTFESADAGASTTYPMQCSALRKNGFVCIKNRPCKI VEMSTSKTGKHGHAKVHLVAIDIFTSKKLEELCPSTHNMDVPNVRRQEYQLLDVTDDG FLSLMSDDGSTKEDVKLPDGEVGDKISKLFTEDGKDTNVIVLTAMGEEAAIDAKEAPK TG CC84DRAFT_1168278 MWGLDRLSAHSTPSGTPPPRRDSFSPAPRRLHPTDRPAPLPLRP GLNPRSSSLSLISPLSSTTSLPSTAGLPNGAARRRPPGGVPPNVPDPVHVLEAIMGGP PRKPVPLKASGIGVIPDKPEELDTSIDFEGLSLHDFAAEGTPEPVPSPVHTYSAQSVE EFDKEKDKFEDLHRSIIACDEVLKSVETYLTNFQADLGAVSAEIESLQNRSIALNTKL ENRKVVEKLLGPAVEDISISPAVVRNIAEGPVDEGFLRALSDLEKRSKTIASKSASQA DLKALADIKPLLADLTNRAIERIRDYIVAQIKAIRSPSINAQIIQQQAFLKYKDLYGF LARHQPELASQICQAYIYTMRWYYLNHFTRYKTSLEKLKIHALDKYDVLGEDPTSKRS GALLGPARGAAPSSYDAFSLGRRVDTLKTTSTTALPAHLAEEEKAPHYLETPFRSFNL ALIDNACFEYTFLTSYFSPSQTYHAIGRTFAAIFEPTFALGQALTKQLVEPSTDTLGV LLCVRLNQHFAFELQRRKVPAVEAYINATNMLLWPRFQQTLDLHCTSLAKLTNSLPTR PSTGAALLGSQSANSTAPTPLTQKFANLLHGILALSSEAGDDEPVAVSVGRLRSEYEA YLARMGKGMADPRKRERFLCNNYSLVCTILTDVEGRLGREVVSHFEGARDAFDK CC84DRAFT_1221666 MEVLGGIASVTQLAVYTHTAWRVFTRLYAELKGGPVAWQEQATN LEHLIQVTKRISCLSEQTQLGASEQIAKLVHESLEIAEEALRTIARAKAKVLGVRWSA AGKTELLSRTLKSLKAKRELLLFVLSQENLFELASIGRMLSRRQGDWKNDNMEHETAS HELISTNRTPKLDLEMVATQVGNGATIISNNGLGHILSQIHGSVKLRASSAGDGVAVN TNNVYMEPDVIKKQLEIAETYARNYGAERREKTSIARGRAKSAEPKQQRLTLNA CC84DRAFT_1168279 MKIECFGLLLLSGIVCDLESVIPSLNGRNMDPSMGQRIAPAVVC ILRAVIAFLCGTEGCTPTEAKGLSHVAQVRYTGSHCKARE CC84DRAFT_1221667 MHHRYLAALKGKDQFQYANNTNTNLHDQSTRTYYINGNFNDNGS SRHNQPLLTYKEPWNKRHKWMFAGACIAIVTAVVVLCVLLSRKHSSGAVHPSATSAVF TASQSAPATTINFPQSNSPTATPPTQSTLPTATPHSTKPSSSSPYPTLPPELRYLHDG AACTADSQCRPPNHCQTASDTNSVNNEVYTTTTCCAPTQWGCPGWECKDYNDCLDPWK CAGTGSKKTCCGTGAPYTGTGC CC84DRAFT_1154648 MTIDEVDDTNTYDQEHKASKLQTLIEVLRCELGRPVRSSHTGFE PTKQWTTLYYAVYHNREAALLHFLRAGQTPDGTPAAQPPLCVAVTAGHAGIVRILCEA GASINAGCIHSGETALHLAIKADRINLLDILFRFQPDINARTLHTHETPLHYAAAKTG SAAAVTALLSHGANYEALDSHGYSPAEVALKARHLDTAVRIIRAAGRKAHKLTKERQW LLSQILESRGQSSLGADLIAEALKLACPPDSSALVEAIKTRDVSLVRLVLDKGSNSNE ATASGLFPIFAAFNACSAPIVQALVEHGADVTLRNPHGPNVLQAALASPLSRDKEAIT TVFELLLSRGADASTNYSDDTTLLHRVVSADSNAVKLLQLLLRHGVPVDSQDRNGNTA LHVAAASGPCVAMLLKHGADATKINSKGLTPLLGATKSATSENEPDLRELIKASDRGA FDAAGKTALHLAAQNGLTKTVKLLLDAGADTKSTDTKKRTPLLLAVHNNRWETVALLA AQPGSNGWDENGLTALHHVAMKTPKGPSTWEDIAAAASSFCEKGVSRSMRDQTGSTPL IQAAKTLPEEGLPVLEALLIKKGSERSNCVAHEDHDQRNALYYAANSGKASFVEALLR HGSPFELHEWRQTDNPLGPETVMNKRILKLFAEHEWIRRMGSLHRQSSTAKNEGVLSK LLPIRDLKDLLSMGLDPNRLPTVKLSDSLLWTLFDHGVSASNLLSKYVYDSLELIFEF GANPNAMANRKPPRTPNMRNSQQMQLAVHPFTHIIEQCPRVSLELILLLLDNGAKLSI MSPLYDGRFPLHSAVRVNRIDIVDGMLLRKVDVDCRDLRQRTPLFLAAEDGLVQIVDM LLRSGADIDAVDVESNTPLHMAAATGKTHSVACLLRAGAKAILENYRGLKPLDCLPDK LPPAAKDEITVLLQQAEMIERRK CC84DRAFT_277073 MVGLLLHRAWCKTEKRGDTPMLVLTPCLRGLQSPLPLHIGVQRL LRRGRRFRTLKRRHGACRALSFSESDSRRRGRCDNLVLAERHFDAALSAGLTSRLRYL QMTGVVALTGRWAERSWKVGDRMGRYRAAGWRLSQKRLSVALTCPAFVQAFCP CC84DRAFT_1100878 MDTNGKTVDALSPRKRDRPHIEENESTGNSAKRVKGVAPIKTEY LMHPVGSKEDTKGGAENDDAAEAGGERYQNVDARDNRDGGNGKKNRKDKKGKQNGQNT SRTFGHSRDKLQLCATRASYPEFSPRECQFGNKCKFEHDLRKYLAQGRREDLPTFGGK CPVYEARGYCNLGWKCRFHKSHSEERELEDGRKELVLLEDPEKKKGDQQPRDFDDEVG VVNLVSKQDKIDLSRRRRGTPKADAYIEWANKIADEERKHFDSQHKTPEQRKIDVEDS VDPDAKEDNRAQFTEPPFRPSEKRRLYYGPETPILAPLTTQGNMPFRRLCVELGAQVT WSEMAMGLPLIQGEKSEWALMKAHESEIRPVKFENKNTVVRGYDNASDIKFGAQIAAN KPWVATKTVEVLTALCPQLRAIDLNCGCPINLVCEKGMGSAMLDSHARLEATLRGMNY VSNDVPITVKIRMGTKDGQPTADKLIKRLVLGGYEAVESGKGTAGVAAITLHGRSKQQ RYTKNADWSYIAECNSLIARLKKEKNDRTDTVAEADPRDLANEGHVYFVGNGDCYSHV DYYDHIDNAKVDSVMVARGALIKPWIFEEIEKGQYLDKSATERLSYVEKFAKYGLQTW GSDEMGIGTTRRFLLEWLSFSHRYVPIGLLEHLPPNIQDRPPRFKGRDELETLMASEN YKDWIKISEMFLGPAAEGFKFEPKHKSNAYEAEG CC84DRAFT_1168282 MTLYYSLVFLLLVTEMLIFLALIVPLPFTWRRKLFTFISESPII AKLQYGMKITFIFILILFIDSVNRVYRVQVELAAFAKNDGRGGPVAMGGSERMEVQAR KFYSQRNMYLCGFTLFLSLILNRTYVMILDVLRLEEQVKQMKGDPAVKSGKSLQEAGN VGEIGALKEELAKKDRDMENLKKQVENMQNEYNRMGDQVSGSGAAPRKGQ CC84DRAFT_1154660 MSGHARTAYINLPDATAHHVPYKAPPTPQNPVVKGRPLAFLASL VENVPLLPTILYRNAGFAGLRSLEELDDVVPRFDPTVIRPPPPGDKAPASEPQYTNLR VPPQNSQGRFYTVEDYHNAYKSGRLTPSDVAEILLPLIRRDVPDRSPHSTAFIDSNVD AVREAAEASTKRWKDGKPLGILDGVPFGAKDDLDVKGYKRYIGATKDYTEGKEVETSW CVAKVEEAGGIMVGKLSMHELGMDTTNNNPNWGTPLNPYNSSYYTGGSTGGGGYAVAS GLIPFCIGSDGGGSVRIPSSYCGLYGLKPSHGRVSTEPLQSSDNSVTVRGPVASNMAD LDISYRTFAVPDPSEQSSSQFSPPKPFGSPSNSPSKTLGICKSWFDRADPAVQQACHS ALQYLVSEHGYQTVDIDIPLLHQGQMAHAMTILAETTAKVQSLAGLTPPNKILLSVSR ATPATDYILAQKLRQVLMQHLAHLFQTHPGLIIVTPTTPNAGWPIGDGELSHGMSDGN TQVRNMEYVWLANFTGVPSIQFPVGYVDPVKGSGKIPVGLMGAGEWGSEEDLIEFGYD GEDWLHKGYEGGRRKPEGWIDVLKWQM CC84DRAFT_1209180 MHSIFPIFLLLLTNTTAGYLISNPPGKYNVTLTIGTLTDYTRND PSVETPTPRTLVLSVFQPARCTSTVAVPYMPNKTAEFQGPYLQQQFNFTADFSPLFLQ ARLPVCPVGPNRCATLDDVPILLFSGGWNIPRLYYSALASAIASEGFMVISIDHPGDT NIITYPDGHAVVGLSPGDPTPDEFAQYTKARAADASFIIDQLSNATAVAELLPQRGAQ EFCTDRVGMLGHSLGGAAAVEAAGQDPRVRGAIDIDGVFYGSVPSSGISSPVMYMISE ELNNIPHPTVYTLWPQLKGPKLFLEIVNTTHMGLTDAPMLLQAAGQDIANFADIIGAI APAETLRILVAYTSAWMKGAFAGKVGGPLLEGKESGKFPEAKTLMKGNF CC84DRAFT_1190299 MPGEFRTIQTPCYRCRRLGVPCVIRQTILGRPSPKNRPSAATIW LHTETEPRNVISPIFIELSPEASEPDDVQEERIPSSNSIVPLSKRWCTNDSRLDQSHP ARWYGGQLLVHTPQSPETAFTIRAIDTLRYEGVEREWFRHLPAYAGHSHALDLSIKAL VAACAYKRGTPRITPGDCYQALALALSAVKTSIVQSYGEPDDSMLASTALLARFDGEI QKNYIPTRLHVEGLATILAARSSTYQVTPIARDILDFHAGESAIMACIQGTASPFEKV DRAYFANSKMRCSKGDRTQLKALGNEMFIGLPRLVGLVRSIRLEPFVENTLHSDALRL LRPLLELQDSQAEERLLQDAKTCPSDETDTASPLGLSLHFASYKDFEALTYYWQSRLS LLRLELRLYRLSVSSCAHAKITEASEILVGPRLDEMVQLAKNILMCAEYASTLRLNKH IRLFAHALVVVWGVTMDIPGPFGHDQDGKGSDFLADWLLCRVNVHRHEKLHLTAEDMN IAADIFVGGPPKGRFAERFGL CC84DRAFT_277152 MRYSLAAALLAASQTAAQSVVGTAYGFANGVTGGGDAEAVTVSS VDELAKLLADDTARTIVINGELDFTGTSATGAGCDRKSCSASNGGQLYLGDLSCGGSD NVAVSSITYDAAGPEPLKVGSNKSILGNGKGVLIGKGLELADGASNVIIQGLEFKNIN PGVVWGGDALGFKGNNDGVWVDHNKFSLVGRMFIVSHYAPTRLTISNNEFDGTTTTSA SCNGNHYWTMMFYGDGDQVTLDKNYYHNVAGRAPKLGEDGTTGTFHAVNNFFSNMKGH AFDAYQGASALIEGNVFEAVSQPNTDKAAGSSTLYTVPDASAASACSSALGRACEVNS VDASSGKLAALKANSVLSTFSKIKDVLVEPLAASEVAAHVKANAGPAGLASAGTTPSK VVGDEATASSTAPTAPVSSSVEDVPAATSKAAATSKAAAPVASEKPAASSPVAAQPTP TPSTGSGSGSGSSSGTVAAYGQCGGKGFTGATKCVSGYTCIKQNDWYSQCIAASAKFR RNFGPFAKKR CC84DRAFT_1128547 MKPRLQRLVPLLFVFLSLFLPALCAQFRGSGHAHAQKPLGERNI PSDSWGPVSTPGDQDVDTSAFDKASTLLHKVQPATAPWWNFKKSGVLGTSAYYAKELF FLLFMNGPPQQDLLTTNPQPKKLVPPLAEAVKLLEESAAAKNPDAIFTLAEMNFYGNY SHPRNYTEAFQRYHELATLNGNASAQHLVGFMYATGIGGAVKQDQAKAMLYHTLAAEG GDIRSEMTVAFRHAGGISTPRNCEQAVHYYKSAADKAIRYMRSGPPGGFPLVRESYKI ADDEGGVYGEGASVTSSGPNAKHASVYSDAHSSLDDVVEYMDLQARKGDLRASFNLAK LNYDGARSSRRNLPEAKRRFLEIARMYWNKDGKIKSSASEDVEKLAPKAAGYLGRMFL RGEGMPQSFAIAKTWFNRGVEHGDALSQYSLGLMYLDGLGVPKNSVKAADLFKAAADQ GLSVAQVRIGALFLDQGDVSVAIKYFELASRNGHLEAYYYLAELTNAGVGRDKSCLVA SAHYKIVAEKAEAISTSFPEANEAYHNGDLETALVGYMMAAEQGFETGQANVAYLLDQ AKPRFTLNSLIPFMKAKATLASDAYLALLYWTRSAEQRNIDSMVKLGDYYLQGLGTKP DDEKAAACYTAAAESMMSAQALWNLGWMHENGIGIEQDFHLAKRHYDLALETNPREAY LPVTLALYKLRFRSWWNKVTNGNIKSIEEEPVVRKEWSISEWVSAFLEAEMAAWDMEG EPDDYDAAMPGGDADYHYDDIDSEILDSLVILGLTAALAFLIYYRGRRQRVAEEERRQ EEQRQMLANQAAAMQQQPAQPQQPQEDRGMFPHPHDPAFMDWAAGAVGH CC84DRAFT_277189 MSTAETPGERAPLLGDENTPPSSSGEDRVGDDKAPILSTLRGAV ICTSIGLMIFLQGANISILTTTQSAIAADLDAFEEATWFTSSYLIAMSAFAPLMGRLS QVFSPRLCMFFSTMLICLGSLLTSLANSFSWFLVGRSLTGAGGAGILIVATILAIQVV SPKRRGLFIGLANTSMTVGLSLGAVIAGAAEPRIGWKPLFGIQAPISILAGFGILFGI PSGYKAKNSDLEHRTLDEKLARIDYSGALLLIAGIILFLLGLSGPRILATPLILSAFV IPAFVLNEIYVAKDPVIPIIVLRSRGTLLICLATVGIMMARWVVLFYTVVYAIAVRGW APAVAGTILIPTNAGFATGGLLAGIFHIRRNGSFYIHTLVANLLFPVTLIVLAFISTP QSSAALYVVMVFCNGALAGAGLNYTLVHLLHLTLPEVHPIVLSLLATFRGFSGSFGSA IGGGIFERVLQTSLVEGFSRAGIEDRTGLIRRLLGSPALVSTLEGPRREIAVNAYREG IKTLFLCGTGLAVLMTLVQAGSGWKAPAKSVAASDEENVEGEEALAEGA CC84DRAFT_1209185 MTMALSRSTSTIHIVTSILLLLNIAYASVLPPKQPYLPGTIATR GEEATIIHDVDEVPAASSDKKDGKNFFKELGHFLSEFDPVDIFRTIVGGLLGFDDAEA AASPSPSTTVIVTPTPIKIGSVKGPLPAVLPTAKTTITKKVTTTQVRKSSTKASSSKK SSVLTSAEAVFSILPIFPTEEPLELTGLAPTTFPTPHHIVFTPENAASFGTAVSADAE VSVNVLPVETEALVALPGLVNATTAQNATGSGITVTLEPKETFSILPFIEPGKNMSEN VTLPDFPSPTEEIAITGPFGLPTAPVEIISDSILPATAEDIPPLETFEVVTTPVTDVP GAIFLPVPTEETFSMLPFLEPGEHLSDNVSEATPTAEIPEIPIPVFTGSGESLNPFAG EDVPISAPEDLGPLQASRPEFDDRTPVPLLEFVNGTYVLPTTKIPDVVTPVLSWPPPG FNATSRPAVSTRRGPPRKTSSRRQARPTRPPVPSLLIPRPTKSILLPPPLIRPTNFPL NQTFFANQTKGAANPAAKSSRPLILTNPLRPTLEPLPVPLPRPVPLPRPFRPFPPFPF PIFNNTRSLRPIGRPTRSPARPLILTNPLRTTPETFPTLKPVLNSTTFVRPTRPLILT NPLRPPIETFEPLDARKTTTKTLKIRSTTIKTVVVIPTPAAVEVSIFGVDAPSDEPFP SDAGFVGLPSFEETSLLSENPRPTFPIIDDIGTFDLTSEAARPSAALIGGDLVEGDVP SDLVEPTAISVFDGLGATVTLSVPITASTDIGLSFPNAAHNTPETFDIGLPIEATEIP TLEAVPDAIDIGFGVEATRSTKFSLDIPEPTDLNDLPLFTPTPTPRTFATLSSILPSI VTLALPTNFPLPQPGITTANLTSTPSTQRLAEICADNKVTTVTLPLLSKWYGPNAYPS LRPYPGCRPPNPRQALQAPGLLNCTALGAEVQACQKAGKRVLLGVRVDPPAAVNGNLK WGSANPGLLGIKLPLALPPGPFLGRPGHPLVPAAGNLTSVTLDGKSVPAPNLFDAVHT PTGLAATLFSLFGEGHAERADLRPLGPDAPSAFSSDSIKWVVKPLGEEVVVDGFDVRT PGQWKGTAQAALVDRFVESLRADVEKAWKEGGRKKGGLNDLGVDGMGVVVSGYI CC84DRAFT_1168288 MADRSKPASRLPAWLVDQQSLAAKASDEFWKIGQAKNRGDDPMT TIYNISDEALEKLGIFKKVEKLQVEVTESQGRPSAIGEQRQFVSVEPDKIRKNEFRDS RPLAKVQKRKASKSPARDSIEPRKASRTLNTGFVPAEMPIAESSKVGAARKPSTSLDR PAAEDRSAKVPKSEAETKYEPKDLPEWLKTINNVTMARELKRPDKERTQALRSLDAFK DCIRRCEDAAAAKKPEAQLEKLFEELRDHVHKAEIVLKGVDKLVVRKTNMLTIQNGLP RIFMGTIDLDYPWDLRADAWQLYLRWHRQDFKTDLLRGIVTRKEKDRASDRIDPEWAK DPLKKIPANYHGQGHLVLGQWWPTQLCAVRDGAHGTPQGGIWGAKGKGAYSIVLSGGG PGYKDRDEGDTIWYSGTDKKEADSSDPTENTQRLIESCDEFPDRPVRVLRSSQLSATN RYRPKYGLRYDGLYRLVEYELLDKSKQEHLFKLVRCENQNPIRCENNAARRPTKYEEE EFLKLKR CC84DRAFT_1128557 MTFLDKINPTPSFPSFTGPYKVGSVDVEIPASQLESPGRSSSPS TNLSTVSFRVFYPARPESEQKGVRWIPSPQKEVVSSYAKFLGAGNAMAGLFATLPQLL YFITIPVHHNANVLEPPTESKRWPVMMFSHGLGGSRNAYSHICGSLASHGVVVIAPEH RDGSCPVSFVNTPEEKEKIKKVEYKKVPHQASTEVYESRDEQLRIRLWEMGLIHDALL KIDNRTPLKNVAEDQKKTGGKDMLTMFSHLLDIHEPGKIAFAGHSFGGATIVQYIKSV YYHSATNVKSYRPLFTPVEDSSIVRQITPQTTVMLLDLWTLPIQSPATSWLRNKPMPC YDSPAGGSNLLSILSEAFYKWSSNLNDTKRIVSKPTGSSAKYPNQPGPHIFYPIASAH LSQSDFGVLFPWVTTKVFGAKEPDRVLRLNARAMLQVMRNADIQVAATRKEDLELEGL DKGVPQDEKILSHAEDSVRGWISLGTELYGDKSDVEKGPGEAMVEGEALGQVVSNEQR SMV CC84DRAFT_1168291 MYDYLAKIILLGPSGAGKSCMLHRFVNSSWKILSSQTIGVEFAS KIVHIHPRDPSRGTRKRIKLQLWDTAGTERFRSVSRSYYRGAAGAILVYDVSSWRSFE GLRTYMEDVRALASPDCTMILAGNKMDVAEEGGGRIGGSSSASSYSDGLDSAAASVSS RSTIPALSHSKATVAPEGREVPFDTASRWAGQNGIPVAVEVSAFSGENVDELFERLAR MILTKIELGEIDPDDPQSGIQYGDIGGWGIDDGNESIRSRGGRRGGGGNGQLREWEEV FRLSGSTGRRKGCC CC84DRAFT_1154685 DCVIEYTLPSVDLIDVILGDPDWAETRADQRDWVDVDKALLTFG FCTKYVDQGKVVERAQSRRWSTT CC84DRAFT_1221681 MTWITTVNVPKALPVFEKHGVVDYVLFDTPATFIDPFPENMAVL KPNWEIAG CC84DRAFT_1168293 MAPPTAPASAATVHMATTTLKVGGMTCGACTSSVESGFQGMKGV GSVSVSLVMERAVVQHDPEVISADQVAEIIEDRGFDAEVISSDVPLAAPEDFLSDSED EDEGAETSIATTTLSVEGMTCGACTSAVEGAFKDVAGIRSFSISLLSERAVIEHDTSL ISPATLAETIEDAGFDAKVLDTVAQVSERPRSKKDKKNKTLTTTVDVEGMTCGACTSA IESGFKDVEGVYQFNISLLANRAVLVHDPAKLTAEQIVEIIEDRGFDARVLSSVDGSV QRGSPSDGPIQLKIYGLPNQNAAEELEALLRERPGVKSATINYGTSRAVIQRDPQIIG LRATVEAIEAAGYNAIVSDSDDNNAQLESLAKTKEIMEWKRAVKISACFAVPVFLTSM LFPMFLPFLDYGSFRIMPGLYLGDVVCLGLTIPVQFGIGKRFYVSAYKSLSHGSPTMD VLVVLGTSAAFFFSCASMLVSLLIPPHSKPTTLFDTSTMLITFISIGRYLENSAKGRT SKALSKLMSLAPSMATIYADPIAAAKAAEGWDVVEEKHSRQSMDGNAIEEKVIATELI EVGDVVILRPGDKIPADGTVTHGESYVDESMVTGEAIPILKKKGTLLIAGTVNGAGRV DFIVTRAGRDTQLSQIVRLVQEAQTSRAPIQRLADTVAGYFVPVIITLGLATFVAWMV LSHVLPYPPKVFLDHASGGKLMVCFKLCIAVIVFACPCALGLATPTAVMVGTGVGAEQ GILVKGGAALETATKVNHVIFDKTGTLTVGKMSVSKADIKGEWGTDAKKNLWWTLIGL AEMGSEHPIAKAIVGSAKENLRLGPDGHLDGSVGDFEAIVGKGITANVEAALSRDRTR YRVYIGNAEYLLSVGVNVPDFVDEPLTPAATRSANPRAGTQTRSAGITTIHTAIDKDY TGTLSLSDTIKPSARAAVLALRRLGMTASIVTGDTSSSALVVAAQVGIDPEDVHASAK PADKKAIIADLQSRGKVVAMVGDGINDSPALASADIGIALSTGTDVAMEAASIVLMST TDLLAIPASLCLSKAIFNRIKLNLAWACMYNVVGLPFAMGFFLPWGLSLHPMAAGAAM ACSSVSVVVSSLQLRFWKRPSWMKLSKLDPAAEIPENEKEAERLGFAKMGLFSTAVEW VKETIAARKRGREEAGYVPLQNMAEP CC84DRAFT_277666 MEQTKALNALEPFLALSKSASSPRAASDLVTQATSAPNTFVFAE LIHTPNIQKLRESQEYATYLTLLEIFAWGTWTDYKGRTDLPKLSEKQHEKLLMLSLLP LARSHATLTYPALMSALDLPTPRALEQLLTTAIYAGLLTATLDPAHSVVSVTSVAPLR DLAPGSLPALQATLASWSNRCDSALQDLELRVAEVRKAAVEREMLRRKKERALEVMLS LSEDKGKGGGKARDEGYEDAMDIDDQGGSGRQTRGTKRGFAGGFSGLGKRLG CC84DRAFT_277278 MHRKNPISVLVYNALFPNPSPNDPPSFSAHLSKNLVGEVRIETA TFYGSLDTIEARYPGLNYAHAPHRKRLGRFPHHKKLFDAFDRLNLTDSEIQGFCRWEG TLWARERYERDEGVKVRDTTGMEIGEWVDRRRTTSSRRRNGINVKTDIEVEIEEVPSS TEITDSVRPVDTEMQDSSEDEDDGDNEQVLDESVGFALNQRLLQAAALREQGQDAPMD PEWEQYLKDAQERGEHSIEHLGISPTISGDIAGFDAMSEGPSAPSQPYPPVAPA CC84DRAFT_277616 MSTFKGIVAEFPQIRIDYFKHQPEHRAPLACFLSHVHSDHLIGL ESLRAPFVYCSAATREVLLRLEKYHYRINFAQGKLESRNVTYDRSMRRLAKPLPLDTP TTIELAPGNNIQVTLVDANHCIGAVMFLIEGDGKAILYTGDTRAEIWWVNTLVQNPIL LPYTLGPRRLDCMYLDTTFATKSHPYREFQSKGEGIKELLGKISKYPDDTIFYFHSWT FGYENVWIALSAFLRSRIHLDSYRAGIYGSLSSLDKKSLREVGLHVTFDNKFLRESGL DIREAPALCGFRNGNRMQPGCLTSQPSVRIHSCERGMGCPVLDHDKNASVVHIIPIVT RVKGSNVEIAELGAGGGKGDLDQKEELETGNAADINKIIELCAKTIKDETLLSKLSNV LQEALKERNGTIDLDMDLQKESPDKKPELSLEHLASVLSTRLNKSQDAEPPLNKTIRF PYSRHSSFAELCSLVDAFKPKDVFPCTVNENKWDPELSMRYLFGPFCSGDTFRHDTEM MKIYEARLEQESYERRVQSESQSETQSSRGGTASPHANHHTISKLDGEGEDEGVGSDG RTGDSGAFRAPADVLVLHEDTAFVSETVAPPTGQERHRSASPADQAEGEQDTTVTDNL ELPSTLQDTPFAAAKRPASEASNEPNEHKHKRLKNWRIAYEAALGLNGLTWADYGGLV SARPETEEEELGTEA CC84DRAFT_1100976 MHSLYFTVLTLSSTSAVLAAVPVYGQCGGNGFTGDKACASGTQC KEMNPWYSQCLPGAGSAAPAPSSAAPVASSAVAAAPTTLLTRSAIVVKPSTASSVAAA TPTKGNVAGSGANGAKCSINEAFKAKGKKYIGVAADQGTLSNAQNAQVIKDNFGQVTP ENSMKWDATEATQGKFTFSGSDALVKFATDNAKLVRGHTTVWHSQLPTWVSSITDKAT LEKVMISHIQGVIGKYKGKVYAWDVVNEIFSEDGSFRSSVFYNVLGEGFVATAFKTAR AADSAAKLYINDYNLDSPTYAKTKAMAANVKKWVAAGVPIDGIGSQSHLSGVWPISDY PAALKLLCGSASECAMTELDIKGAAVSDYKTAVTACLDIKNCVGVTVWGVSDKDSWIK GSNPLLFDANFQAKDAYNGLCSILV CC84DRAFT_1154703 MAPPLLAAIDLLTYAQPTQGLSPQQLSYIGNVFARVTPETVDQA LAHLQQSVGRYTNYLGVSSLESIDDIVSLLDAGAAKVFVTRPQLSQLLEKNIDQDRIV LCLPGSTREENIEAIAGTQVDVYSHQIADVELVEAWLKEYGTERPEVFVSFANPTLED AVKIAKMGGIPVIPAQALKVDAEPGPGLLSVAKLLMANATSDRPDGLFTTLVTDERGV ALGLVYSSEESVAKSLETGRGVYQSRKRGLWYKGESSGDVQELVSMSLDCDSDCLQFV VRQKGRGFCHLATPTCFGEYRGLSKLQKTLQSRKESAPAGSYTARLFNDSQLLRAKIL EEATELCDANTKEEIAFEAADLLYFALTKCVSAGVSLEDVERNLDAKSIKVKRRQGDA KPAFAAQAAAATNGTSSGTAAKEEVKEAASVPKNKDDPAGVINGRIAMRRIITSQETP EKIQAALQRPSQKSSEKIIGICQPIIEAVKTRGDAAVLEYTHKFEKATSLTSPVLKAP FPEHLMQLAPETASAIDVSFENIRKFHAAQKEEKPLVVETMPGVVCSRFSRPIERVGL YVPGGTAVLPSSALMLGVPALVAGCKKIVLATPPRADGSVTPEIVYAAHKVGAEAIVL AGGAQAVAAMAYGTESISKVDKILGPGNQFVTAAKMIVSNDTNAGVSMDMPAGPSEVL VVADATANPKFVASDLLSQAEHGVDSQVICIAVGLNEQQLAAIEDELHEQANALPRVD IVRGAIEHSLTLVVESIQEAMELSNEYAPEHLILQVQDAERVVDLVENAGSVFVGQWT PESVGDYSAGVNHSLPTFGYAKQYSGVNLGSYIKHITSANLTYEGLQNVGTAVMELAR VEQLEAHRRAVSLRLGVT CC84DRAFT_277777 MAYTPPSSAKPFTLHIPDQDLSEWRQLLQLSKLGPRTFENTQTK DNFGVTYDWLSSAKDHWLNTYDWRAQEAHINSFPNYKMAIDDIDMHFVALFSPKKDAI PILFLHGWPGSFIEFLPLVAQLQKKFEGKELPYHIIVPSLPGYTLSSGGPLERDWTTL DSAAVMEKLMRGLGFDKYFVQGGDVGSFLCRIMAARYESVVGVHLNLYSTATQPEREK LSNIEIEAYDRAQAFQKIGTAYAQEHATRPSTIGHVLSSSPLALLAWIGEKFIEWTDE TPPLDVILTNISLYWFTGGFPRSIYPYRTLFRGAREPLPPLTKPTGFSFFPKELFPGI KYIIENETNLVSFAAHERGGHFGALERPEDLWGDVEEFVAKVTENGSKL CC84DRAFT_1154707 MSKNTSSKGKAKPGSKPLGAPRSRNTTPLPNVRTSVEPSSSNAY FRTNINALSKKCDVTIEDILDRPGGSSQSAQPVPSSALLISMREAIESKVLGNVQKRC DVSNSALRELQGLKKNRPPHDREKERPADKEAEERKHKLKKIKRAAAEDERPLAVGAH GVARQDGVDVHKAPSAAGNGAVDAPSPTDTDASHQPPPAPAIPQFQTFGPDPSKFDDP TVYHIRDVTPGMSVEERREIYCVADYPESDLKDQTAGIPPDKDFSNAKPATQVNASVF ANYVEPYIRRLTEEDMAFLKERGDRLSPFVLPRRGARHYKDIWAEEDGQLYADHNDRL PPNEARGSYENMTDDFLMTEDISAGPLLTRILALLRPEGRGTTANSNDTNGINGDAMD IDEGAGAPTESNNDNSLPAATQLSELVQQGWKGPPVGTRTDYATLNERVLLETKHNGI ITDADTELTAFDYDSHFDDEIAARLRVLQDELRKQSIVNGARKQRLLELTGIRVAQQE YNDIADDLDAQLNQAYLKRNRNIGKGKKQTKRPGGAGGGSHPVPNAGVTRPGVGEPIR TLMERRGQWSATVGPVVNYGKTGLPEDTIFPEDKMKELENKEVEIWDNEADE CC84DRAFT_1128588 MSAESINIITIDFPDPDRTEIIDDRIDRLASNESFEFPVQNIQT LSSKGVERGDDPYGLLYIPALQTDECRDSEKDYVPENATRLANLPYNAGYALIAVAPW FSPNCMKEYFTTARNEPVKAFIVYQPDPDSTAMPPETNDQVWAMNDGGSWQYSNQFPT YAVPGASGYLITSQMSNYSGNISTVPYGDSLSKQYSETDYIRLWAKVTADSGTQLPSL WVFLVIVLGLLILIIGATSLCMHIVQRRRRNALRRRVINGEVDLEALGVKRLTVPADY LSKLPVFPYLGEPDHVEKSMAQASTPADAETGLKGIPLSRRSSAPDAPPITYASAIFA QSTCPICLDDFEPKESQVRELPCRHIFHVDCIDPFLLNNSSLCPICKQSVLPPGYCPP KITNIMVRRERLISRRRAQDASGHTHSPGNIVARLPGAYDSLRARVGRATGGRRIFSA PSRTQSRPADIEMSSGAPAVPLGGTIAPATPAAEIVAVPQPTQQNSVQECPEPPSDQP HQRREWARQRALALLGTQHGPVEGEEEELGRSRWRRGLNKIFPGFR CC84DRAFT_1154713 MSTTTATEVKPSKANIGVYTNPEHDLWVAEAEPSLESVQRGGDL KEGEVLLNIKSTGICGSDIHFWHAGCIGPMIVEDTHILGHESSAQVLAVHPSVKSIQP GDRVAIEPNIPCHTCEPCLTGRYNGCESVLFLSTPPVPGLLRRYLKHPAVWCHKLPDN LTWEDGAMLEPLSVALAGMDRAGVKLGDPVVVCGAGPIGLVTALCCRAAGAAPLLITD IDQGRLDFAKKIIPNILTHKVEFAHTPEVFREKVVAAMGIEPTVAMECTGVESSINGA IQAVKFGGKVFVIGVGKNEMKIPFMRLSTREVDLQFQYRYANTWPKAIRLVQNGLIDL RPLVTHRFDLEQAVEAFKTAADPKTGCIKVQIQSLD CC84DRAFT_277318 MAARKQKVIFFDLMGTCCDWLTSLLPALHECPPHSSLTPAEPRL RELAIAWREGFFQEIHARFNRGDPNEDIDITHRRVLDRLLETNGIEFDTWNESVRDKL VRQWHFQTPWPDVLLALHQLREDGRWFLVVLANGTARLQLDIAQSSGLPFHTLLSSEL LGFTKPDPAIYRKAMDLVRLSPNDCIMLASHLYDLAAAKSAGMRTIYIHRDTEDTDTE IVDLRGEHAYVDLYFDGRERDQQSAQEVGFLAAAEYLIRTEPRSN CC84DRAFT_1199169 MTESLLSSRGQKELTDGADEHQVWEVSANQWDPETNPNGYVSLG IAENSLSHDELAEFLRNKSLIDPSAKAFTYQDGPAGSKPARNAIASFFNTYFHPIQQV RADNIIITNGASSAIEHCAWALCNSGEGILLGRPYYRAFLPDIQTRFGVKVVPVAFGE VDPCGLDCVARYEEALLRSNADGVKVRALLLCNPHNPLGRCYSKATIVQLMLLCQKYQ MHLISDEIYALSVWENTVDKLEAPPTPFESALSIDTREIIDAALVHVLWGFSKDFGAN GIRIGVMISQHNEPFLTACQTACIYSSPSSLAENAVVTILSDPNFLASYIRTNSERMS AAYTYAVQLLRRYDIDYIPGANAAFFLWINLGKRYLQVLGDSARGKSMDAFTNEIFQL LMKKKVYLVLGDAAGAEQPGWFRMVFTQPPRSVEEGLKRISEALNGE CC84DRAFT_1199170 MEAKSVLSFDEVKAHNSRDDCWVVIQDKVWDVTEFLSEHPGGSE VILKYAGKDATSVYNEFHAPGMLEETLATNKFVGDILPSNDLPPRSTIDEAEAAESNP HPGKRQIEIPNQVPEIYEKPHLHQLISAADFQEVARHTLTPKAWAFYSSAATDLVTHN QNKALTRRVMFRPRILRDVKSVSTKRKILGCESSVPFFVSPAAMARLAHPDGELALAR GCANEDIVQCISSNASYPLASIVKAGRDDQPFFLQLYVNVDRPKTEELLRKAASLGIR GILVTVDAPVPGKREADERIAAENVASAISGAVASNDKKGGGMGRLMAQYVEKSLIWE DVQWIKRVSGLPVVLKGVQSAMDTRMAADWGCDGVMLSNHGGRSLDGAQPSILVLLEL HRMCPEIFDKLEVYIDGGFERGSDILKAISLGATAVGIGRPYLYSLTYGEDGVEHLTQ ILKDELETSMRLCGITDIDQAHPGLVNTQDIDSLVLATDNHPYIRWRPRARI CC84DRAFT_1229895 MEDIVSTKPKVSLETTEKIVAQLDNLPLPEELQHLDEDERIRLE RSLVRRLDCTLMPVVALLFLLNILDRNNIANAKIAGLTETLNITNSQYNTCLMIFYVG YVITQLPSNMIITKVRPSWYICCITSAWGVVSMCQAFTKNYGGLFASRFILGLVEAPF LPGVFYLMSCWYSRAELPPRIAILYGSNMLASAFGGLIAAGIVSRMEGKGGRPAWEWL FIIEGSMTVVIALLCVPILPDFPLQKSSPILKREHQLYAEYRLRKENAGIRDEDPESI WWGLKQALLDPKLYMFIILQMMLITAQSFNNFFPSIVGTLGYDTTVTLLLTAPPYIFA FIVSLCISFHAAHKKERGWHIAIPMMFGLLGNLLAMFVPSLGGRYFSMFLMTSGTYAP YNLCVSWLSASLPRPASKRAAALAIINLMAAGVAHFYTSYAFPDTQKPRYYGGGGMMS AACLICAGMAIFIKYYLKRQNANMEREELEEGRVGGGIKGSKAGHGGDAIVRFRYVH CC84DRAFT_1199171 MMSKPTVLHIGEPIKYNQEFYETEFVKRFNVVRNEDLDRKSFME ALKTKKYGNFVAIFRPHFQTGGEMGQWDSELISLLPSSCRIFASAGAGFNWADVDLLA EHGIWYANGAGASDEAVSDTGLYMVLSVFRNFTHAQLAARTCDVDKFEESHKLIATIS ANPRDHVLGIVGLGNISKKLAYKARTALGMKIHYYDVVRASPDVESDLCATFHKSLDD LLAIADCVSLHTPLNKHTQDLIGKKQLALMKKGSRIINTARGQVLNEDALVSALQSGH ISAAGLDVHYHEPQVSKELAALPQVTLTTHIAGGALNTRINFELGAMKNILRVVGSDG QLTGDEPLTPVNKNAFLASRKK CC84DRAFT_1154727 MADFNAELIPSSKTSGVDSNYIPASTPQVHASNLLRLPNGDLLC AWFGGSQEGLSDICIHFSRLRKGARTWSTPQKISEDANRSEQNPVLFLNPTTNELWVL FTAQPAGNQDKAVVRYRISKDEGQTWSQPQNLFDDEGLFIRQPIVVLKDGTWVLPAWY CRTPPGYRWIGNDDISVIKYTQDSGKTWHEKEVPNSTGSVHMNIRATKDGYAALYRSR WADNIYVSTSKNCLDWSEPKPTTLPNPNSGICFDVLSDGRWIVVYNDSSFQQGQAKRE GLYDDITPEDDKRQNQPKQTREAIWGVPRKQLTVGLSDDAGESWKTKLLQDGDGFCMT NNSRTRENRELSYPSVWVDKDETGDVANVAFTFWRQRIKFCRFKVGWIQD CC84DRAFT_1209202 MGGGTSLWASPEYKKDPKAIYNGRLIYLAATIAFAGCAYGFDQG NIGGVLTLPTFRHAFGLDKLSDDAADARSGNIAALMAAGGSAGALLSAPCADFLGRKY SMMIYGWIYLLGCAFQEVPHLQLFYAGRFLAGVAIGSMSAGAPQFLAENSPKAIRGSM TCLYNLMIITALSLAFWINYGVSKWTNVKVSDYTQWQLSLGIQLIPGFFMVCMLWWVL ETPRALIARGKRADGLKNLMRLRKLPEDHPYIQQEYMETCAQVDQEQEVAVGRNYMLV IKDIAFVPSNRRRFFLAIMLFLFHKFTGTDSLNYFAPEIFTMIGVKSGSQALLTTGVY GLVKLATTVVYVAFIVDRVGRRLPLMIGASMQATAMLYIALYVRFANPDKGGGTEAGG IIGIVWIYVYAFGWSFGHSVACYVVAAEIFPSRIRSFCMSCCFFVNWIVDFGITKATP SMMTHLGWGTFLLYAVLTYIGVVFIFFCMPEMKGRSIESMDDLFSHSIWTMYKRAYPK EEDKVRHDVGEILSDGRVAELGEKREGDVHVEKGFGTWQAAPGDAGRAVQTALKAGYR HLDCAPLYWNESEIGEALTSVLQSTNIPRSDIFITTKLWSSSHHDPEAALRKSLKDLQ LDHVNLYLMHWPVSLPSNSLDAPNFGKEDRKAHAQGWDFTKTWALMEKLLDTGLTKAI GVANFSTVNLEKLLKTAKVVPAVNQTELHPLLPQDKLNKFCKEHGIHQTAFGPLGGKG STLHSHPAVVGIAEQKGSTTGQVLLSWGVARGWSVIPKSVTEERIKKNLEIFELSGEQ VERLDALAKREGRRFNRPDWGAPVFHDDDGSVA CC84DRAFT_1263188 MGSHPNSSEHILITGAGGFIGQELSNALLLSDPSIQLTLADVFE PPSPNASRTASVKADLTTQAAVDSLLSSQQWSTCYLLHGIMSGGAEANLDLGLAVNVD SHRLTLDYLRKQQPGVVVVFPSSLAVYGPPDSPDQQYDEKTIPIPQSSYGAEKLIVET LVNDYSRRGLIDGRVVRLPTVIVRPGAPSAAASSFASGIVREPLKGVQSTLPVSKDLA MWVCSPKTVVKNLVAMKDVPKEKFAKNRGRVVNLPGQTVTVRQILEALGEVGGEKALK LVEEKHDPKVEAIVASWPARFDISLAQGLGMKEDISLKEEVQSFAASLKSEA CC84DRAFT_1209204 MPEGISDTASKTLPKMQYARLGRSGMKVSRIILGGMAFGKPTWE GSPWTLDEEASMEVIKRAWDLGINTWDTADTYSNGESERILGKFIKKHNIPRSKVVIM TKLFNPVMDDDSRPAPADPYSQELVNQMGLSRKHIFDAVDASLERLGTSYIDVLQLHR LDRETEPEEIMRALHDVVSMGKVRYLGGSSMATWEFARLQYTAKMNGWTPFASMQPFY NLLYREEEREMIPFCKAEGVGIIPWSPLARGLLGKPVGATSARNEADSKTKKWFGDAN SEIVSRVEELSIKKGVSMASVATAWVLRKGCNPIVGLTSVQRVEQIVEAFEVDLNDED LNVNFVCDMTELGTVRSEVRRVQCTAVGGSRSSPLPLKRTLESLPPLKSSLEETRSHT RAQIWSNPQIPVLVALDDDPTGTQTCHDIPVLTTWSVEVLAQELANTKAGSGFFILTN SRALHPPAARDLMIEICTNLKAASAKAGRPFEIVLRGDSTLRGHFPLEPEAAEEVLGQ SDAWILAPFFLQGGRYTIDNVHYVAEGDVLVPAGETPFAKDATFGYKSSDLRDWVVEK SKGAISRERVQGLSLSDARSGGADAIAAKLMKGAKGTVFIVNAAAEEDMDIIVHGILR ASAQGKKFLFRSGAAFVSARLGISPIPPISAEQLALDGTKGGLIIAGSYVPKTTAQLK YLREHSSDKLTTVELDVNKLLESPESREEELQRALATAESELQKPQDVLVMTSRKLIT GADERSSLDIGSTVAAVLVAFLTKLKATPRYIIAKGGITSSDMATKGLGMKRAMIVGQ AAAGVPLWRCDEPSSKHPGLPYVVFPGNVHLQEQLPVLHSPALTPEAGVVFQVHSLLT ADATHNLDVEVASFRAVEGHVAIAVPLPSLHVGPLFRIQVHIVQETRHAFRRPLPACL VRRPRPVLDVLCKHSIRNPVVYPLARRRIVALSNCKMRAPIEPYRQGTTRSQDRNPRM KSLGVVQKRHRLSYRDESRGARFVGRMHVFSSEALKAYPSEGSAPERARKALSCNGEV ALVQVACDDGVEVTRQNGCHLSWSGPDVVGGRFARKRLALV CC84DRAFT_1154748 MPIPKSTIPDKKTMLPPGVYTPVITLYKPTKAQEIDLDAMYTHC QFLVRGGQHGLVYSGTNGEAVLLSRSEKQDILRMARRAVTDLGVPSYPIVAGISGQST NESIELAHDAAAAGASFGLLLPPSYWAKAVSEEALLGFYRDVADASPIPVVVYNFPAV TSGIDLNSDDLAALAAHPNIVAVKLTCMNVGKAIRLASKFSPQQFSVYGGSSDFLVPT LEGGGVGCVTGMGNVFPKSTARVYDLWTSGKKEEARALQEVVANAEWACKKSLALTKW AAGHFAGRQIGLDDAKTFFPRRPYLPAGEKMQQWTVEVMGVLEEEERKIEDKVFGGKA VNGVK CC84DRAFT_1128629 MDQGTNSRVNSIQRGERVSRRGSGSARGKQRNVSAQDAYLYALR AAYLAYLLQPRQKRVQHVAAAPKPVQRSSTSVNDLMKDFSLIRDSKSTRFPKDFMSEL DKRITGVLVGTERMPEYKDATVKRTFAVFLNEFKKPQFRKSMEKDRRVEDLLLIFFSN ATKELSKGKPPGDDSWKLMVDRHVALFIRLLSSTLRNHDWARDRPELMTRLQTMEKKL LMHDQDLASEAQRNGGQGGSTIEVEVPRSYEVKDMPLVLVVSRIFAISYQDVQNDINR YKSVWTEKAALQDLKTYQAHLSLMSSKTLNSDDFDLDDAYQAWRHQEVQDLSQMILAI VQSNPELAKSTPGGSVPQFKPNAPHESAYHDPTRRDSNATQNASSYVIDQPVDMSGLS LQDSGEHEMPFTFIPSDTRAYYRAILKEALSYDIADAQLQPSEATSDVPSFKLLSKQS TELLTEIALRWRLPQFSRLVLFLDVVKEKYENQEVDLDTLDAAFNYAKEPPPTDKKSH RLSQVQVSDSLFDSSKWTVHDYALKQHILTVLHDCLLRELYETLLLVYEAKAPPLGPI MYVLTTHIYEDNLFQDNPEAMDRYTEELKKALREKATDKYHDLFAKHIPETKEEWEFF HVIELGRAVVKLCEKIQKRYKKNPQIMGVSPMMCLVEEMFPAYAGDARDLVSRILDVA HENGQDVPVDDGFELYKELVEIRRIHGDALPNRKFAFHIEGLLQEFVWRWIERTDASL IGWVENAFKADKFLIESPNPVPMDDERHSVSVVDIFRSFKQSIDQIVSLNWDDDLQYA KFMTSISKSIGIGLARYCELLEQKFAREMDRMTPEQEAAARQTRQEKWLSMAKDLYNQ KEKIEPFNFYPGSLIKLNNVEYAMLQLDKLEREINVDACAEVINKYAPPPQQRIRNNN YVFTIKIIEAEDLKACDINGLSDPYVVLGDEYQKRLAKTRVIYGNLNPRWDETIDITT NGPLNIIATIWDWDTLGDHDCVGRTSLKLDPSHFRDFMPREYWLDLDTQGRLLLRVSM EGERDDIQFYFGKAFRTLKRTEREMTRTITDKLSAFINHSLSRRALKAMLSGGLSVSS VTSFFRTGNRPQSVIQAPTQQDVTRALGPLFDYFNENFALMKQTLTDPAMIMVMTRLW KEVLATIEALLVPPLSDKLSQQRPLTRQEVDIVFKWLQLLFDFFHAADEDGNSDGVPI DVLKSPKYHELQNLNFFYFESTDDLIRTSEGMAAATQIRQQEQAARMNRLSAPASMGH QFGGAAGLVGMPSRKHKTIMLSRNLGTMRQAKIEKRKEAQADPSDDMILRILRMRPEA ERYLRDRSRQKERLAAAQAAEMIVRQSLNAGGGRMTSAGMGIGGPRR CC84DRAFT_1101582 MTSSQSPPPGAADRPRLTEAQKKENHIRSEQKRREAIRDGFDRL ASIVPGMEGQGRSEAVVLEATLQHMREKISERQKLIEAGKTKGMDTAGWELSRETVTA CESQQKRNESEQ CC84DRAFT_1128634 MSFSFGFSGDDIEADTEDVSQSTQPAAAAAQHAPPPIPAQTHNI DELLSSLPDKLSFTLTTITSPLGHTTRLPRRELFDVRLQLMAEDDGTSAAPLAGLDDS DVRTNVYEGGYKTWECSLDLARFLLDRGPRKDLDDLVRVDHVVEMGCGTAVPSLLLFQ YALRERLGMYFTLTDYNADVLRLVTLPNLVLTWAGTLDEAGSREVFGEAGNPVAFPNA EEENGDLYITPQVLEAFKAQLRETGLTLTLLSGSWLPTETLLELVPSAQEMNTLILAS ETIYSPASLVAFTDAMVALMRRVKSGKALVAAKRHYFGVGGSVDEFRQECGRKGCVAY EMEFEGLEAGVRRAICEVQMC CC84DRAFT_1063072 AAQPRRHNGSLGDSWGSAEYSDDNVSIDSADSLSDTGSQSDYFE EHRRDVLDEEEDDMATPMPHPRTTRATEIRHDTPTKGSAARISQRPQMARGASRTFQQ PTPQQSPEPAFIMPSINTSTNGFNSARQAAPVQEEPVNPWHFMGLFWDYALRPVLGYI FGIFKIIFASLQPVIGVAAGFAVLVLTLQFGSIYLKNSFQATLAPMCSLPLSGYLLPF CDTMPNNHQANFEELVNIQSSFEDVLEANKDSYALPANMKKSQVAMRDLRIQVRFSNL PSRAELENEFDSFIETAREASDDLAKYNARIGYVTDQVISTNKWTLTVLNGIVATEAN KGALSEAVKHMNIFSVFRGPPETLEQRIFVQYLNHVSKLKDDVSSLIVFSESLMALLN NLDARLDIIADIAMRDDNTVNRDREELLADLWSKLGGNRSSKAANAKSLQLLRDVLRY RANAVQLVSATLLKLREISTGLENLRDGIAAPEVVGFREDYPLQWHIDVVSRSVERLR DARGENMAIERETIRK CC84DRAFT_1168312 MSPGSPLLLPNGAYVFQKLQDFLRAQYPQFGYKEVITPTIYKKS LWEKSGHWDNYAEDMFSVQGRGATGQTENAQAGEDEEFGLKPMNCPGHCLLFWGEGEL KSYRDLPVRYADFSALHRNEISGSLTGLTRVRRFHQDDAHIFCRPDQILSEIEQTLKF VGMVYDTFNLGPYKLLLSTRPEEHYIGTLEEWNRAEEQLTTALNNSGREWAINEGDGA FYGPKIDIILKDATGKEHQTATIQLDFQLPQRFDLQYQASPEELEAGAVSAGDKNIDP SCRRPVIVHRAIYGSLERFMALLIEHYNGSFPFWLSPRPAVVVTLNQKPELLEYAARV QSVLAGVLDPSHSNNAQTSADFKPTPRQLSAIHLPIDVDSSARQLGKKLREAHNKKYN HIIVIGAEEAKSQTVRLNIKNQPNDGAVREVITEVLGRPLTEEDELKGTISVGMTIEE ARRYFLALVERFL CC84DRAFT_1128644 MALSTGARTNGSGGATVAQRLQKELMDIMMKPTPGITAFPDDEN MSRWTATIDGPESTPYENLVFKLSMEFGATYPYAPPTVLFKTPIYHPNVDFSGRICLD ILKDKWSAVYNIGTVLLSLQSLLGEPNNSSPLNGQAAELWDKDMDEFKRLVLARHKTP EELDAAGL CC84DRAFT_1180238 MSRYRSRRCALGTIEIIPRTHAVETDSNVHHHSIFISLDLTSMK HNSLKLLSSNPSSHHLPDSTTYSHPLLPLLRELSKPTLQQLNPHPQPSYRPVHIPADL AILKSCLESLQCRLKHSLRVVLVDCHAYHAHRVGRAHGVLAARDAELAASFRSSSLDV HSLTIFIHKLHVQDEHAREGGLGASLTDADDGDA CC84DRAFT_277932 MQQIQATPSVALTPQPKHPMLTHSPSVLVSPHMTSPHPATPPSN TTPHPLHIHPTLFLFTNTSGAKHSKSGRLKTSTHSQGTARTVLVDTWVNGAPRLQRLA LQQACDDPGSRADSRSPPRTPISGTSRPARSRRRRDRRRAGDGC CC84DRAFT_1101766 MATSLLPSGSIALFGAPDSDIGSRVAKTTPIQAMQVDMTQDIVD ELLESVRTGKPPHILFGRTPQLKYGDKTFTLQSTSETTRNELYHSSGTGSDDNLEFAS LIKHSLVLHKAENVTAGVDSALEQLKNSMAAVKEMKEANKTVVGDMRNAGHRRVPSKG FVPSHLAPSGTGSPLLSVPSSPMTKRPPTSQPGGANQAVLAALRVPIIHLLAMQPAKE SYLAQTCRTSVANVRDLLPKIAKHTADDGEKWQLTDKSFREIDPYKFPYKSQEDREQA INSAIKSFDRLRLSKEDKLWQILLPRDERGQGKCLSRLSVKAPEKPQKASTPLHKMSK LNEKKPAAKKAGEKEVERKVKDPEAKPKKTVKERVMKPLREPAPAKSSPLPAKNSPAP AATSTPKLSTTAPSNRAAPADANKIRPKKVAPSAEAPSPRVKPKMASRDLQQNRPFRP TKPVNTKPKNPSPLSASPPVNASDFEDSHPVHKALSGAPSPAKNLSSNSDRSLKRKAN DLDSDIHNHNLAVKKPQVERSAPNGTPANAHGRPNGNAPSSITSLKRRSDGSSSSTPS TKVRKVNSIDTARAARYPQSSQISPGDSSSSQTSPTVPSLSFRQTVELSQKFQRYYKK YEALYWQLTESATPPSQAQRDDLLKMHKKLEEMKREIKAGAGAHR CC84DRAFT_1100973 MRLLKNLLTGITKRRYAKVHDVQIKFVQDKEKKPIMDKNGKLHL RTSFHNQPLSLHGTSPLTGFLRTGYCEAPRSDAGNHSVAGIVTNEFLDFSAARGNDLR QAGLTDGCKWCLCVARWKEAFNARTGDDDRKVPRVVLSATNKRALEGATMEELKKFAV NEEGK CC84DRAFT_1168317 MAPVRCEHMSLSNKQGNIVNMFVTTDELQKRFGFDDEWLLVHRV DLHNGLRRTAEAGFGGRAPKIHLGCGVESLDPSAGKVMLADGREFHADVIIGADGVHS RTVHSVAAEPQKKISTRQTCFRFLVPTDKLSSNPSAKALVDRIGLKGLHAFRSKTEQL VLYPCRSGSLINCAAFLPTDDYDDDIGESSWLNSSQHSDLMAAVESYGPELRELCSLA EDVKLWSLVSRDPPRSFVKGKVALVGDAGHPMLPHMGQGGAQAFEDAAALGALLPADT TPEQVAERLRMYNDVRYEHAVTIMFLSRVGEQFQEVVMGDLRRFVPSARMPEDMFEYS WCSYPTRDAERALASASN CC84DRAFT_1168319 MADRPPPAPASNHINLPPPSSTTSNPGFTPRSSSFPDDIESDSE DVFDPTPANSPGGPHYDDLPPSYDEAQQQALHDARNGIPPLSPEHLNVSGLHLNEASP QYEIPAGAQVHAHRAAAEGLAKEGSRNVPVQHVQGSENVPVGQTGGTATRTAPQPDQS VLLTAALQFTKHEPDTDVRYAPRLTRCVAIPQDTTVPTGRKGKARARREEKKVEHRVH PDAHIPGAWPAASTDTLPTDVNRSEEPVQFLRAYAKALHAHSIRPAEFLDFLDGLNAL CAAAGCTPADLIQPASQDSNPSVELVRSYVDATNEAFFAPRGLKVSLRSFVALLDTAQ VPEERSQRAGAVAGVLDPKATPAMRAQALNPWVEALEATVNEPSIAAVMLRDMGEKFR NTSQPLSTSTTRECAEAQVPENEKTRLEREYAERDRASATADNDDPPHSIPGEYPESS DGPRPPFGGWRGRGGRGFRGRGGPWSPFSAPGHGPHGPPGFTPFGPPGHGPHGPPGFG PHGPPGFGPRGPPGFGSSRGQWATRDNTWEALGQHLGKWGEDFGKTMGVWGEQFGKDA AAWGTDLGKQFSGRSESGCGAAGPSTPRASAAASSVPTASRDSATRDVQETGVYRGNS HTTPHDDVAAELEKHAAKKASRKQDNNDDASSLSSDSSDSDSDSDSDDDDETDEKAYT AASTYFTARVRQINAAAEASRAKGKKAPADIERERAAAIEKAAKDKAAMEERIEQKRT KRAVMREFQHQRRDLKREHRQARRELRKKGLGKKSKEWKESKKRHQEKKKALRHEKND VRRQFREARKTERSERRGKTTVETGNAANVWVVVENLA CC84DRAFT_1168327 MSSLSRRACFKCGNVGHYAGELPFLRGCLEGQCRSVVARRLVPR LLCRANTRCAIQLGEHSTNVESQRCAHRLRGYATTVSRLRATRLNGR CC84DRAFT_1199191 MPSTIEGSTARSFPTIKHVRTFITQGPGSGGDYHNVHGGHWLID SKISTPMSQYEKYRKSRTSWGINVLGSFCVEIEATDGTKGFATGFGGPPACWLVAEHF ERFLIGQDPRDTNHIFEQMYRGSMFYGRKGLPVAVISVIDLAIWDLLGKIRNEPVYKM IGGATRERLNFYCTGPEPLAAKEMGFWGAKVPLPYGPGEGPEGLKKNVEFLTKHRESV GPDFPIMVDCYMSLNVQYTIEVVAATEHLNLNWWEECLSPDDTDGFELIKRAHPRMKF TTGEHEYSRYGFRKLIEGRNLDILQPDVMWVGGMTELLKISAMAAAYDIPVVPHASGP YSYHFVVSQAHSPFQEYLANSPDGKSVLPVFGDLFLNEPIPTKGYLDVSILDKPGFGL ELNPKARLIDATNILNPAPAKSLKAPEQAPVEAEDAKTNGDAVTSTLEKLNITGGGAQ GVPTKEPTEEQLNELKSKYEKAGQEQVFAFYDKLSTAEKAALYEQLQNFNPDYINEIT DKALNPPKAESAEDKLEPLPENATSSVLDSSAEDLQKWYDSGLELIAENKVAVVLMAG GQGTRLGSSDPKGCFNIGLPSSKSLFQLQGERIVKAEKLAKKKHGKESVTIPWYVMTS GPTRAPTQKFFEEKNYFGLKKENVIIFEQGVLPCISNEGKILLESKSKVAVAPDGNGG LYQALINAGVVSDMGKRGIKHIHAYCVDNCLVKVADPAFIGFSASQKVDIATKVVRKR DAKESVGLILQKNGKPDVVEYSEISTEDAEATESSGLLKFRAANIVNHYYSYEFLESI PEWAKKLPHHVARKKIPFVNTETGETVKPEKPNGIKLEQFVFDCFPFLSLDKFACMEV KREDEFSPLKNASGEDSPQSSKKDIMTQGKKWVEAAGATVVSEDSEAGVEVSPLISYG GEGLEFVKNTTITAPAVIENEP CC84DRAFT_1209219 MDTASLNGNGTRPYASPESHAKLSQHSPTDEQDWSGEGSSGDEA IQASGATKRKRPLSVSCEICKQRKVKCDRGQPSCGWCVKNHSGCIYLPRKKPGLRAGY GRELEARLDKLESLLHQQQNQINQLSSNQATAAVPSPQTGPVFRSPTVLQQTPHVPRP ETALFIQKAGSFSAATATPLQPSFPGGISDARQAPSVGSNGYHNSPEDVASNVSGLQQ RDAFAFNNDRFAPPSMAGALARGDQDFPPYDLLYGLVDLFFKHIYPWCPILHRQATLN SLFGDTSLDEADRILLHAMVATTLRFSTDPRLTPEKREHYHQNSKEKVLLFGIQNSSV KSLQALVILALDVVGCSNGPPGWNLLALITRSIVNLGLSVENSSPKVAPQYASIYTLR AIVLPEPTDWIMEESRRRLFWMIYVLDRYATVATAFEFALDEKEIDRQLPCRDEFFTR NASVETRWFRTSTRTDFTLNRPENLGAFSYYVEILEILSRIHNFLKQPVDITSLADVE KWQSEYRALDSTLEQWKYNLPPEYGNATRVFAGPAASKDLSSSLIMLHAAFHTYVLRP HTSRGANKSSTVIRLHSSAAYPTHRSPIFAPSFSASQRCLSAVESIVMLCQYIRENGL LTKLGPPFAFSLWVAARVLLVHGSTIAHRVDESINLLVLTLREMGTYWEVGSRYANLL SRVLLEYQESQQNAGINGERVTPSTVKILADMRRCAFDLDFLIARQPKMHPSHQPTPA RTPAPNELEYLDVFDFFNMPRLPVSMDSANSYVAPDGTIQTAMPDGQPVNEFNITNYM VDASSDWFIKGAT CC84DRAFT_278192 MASQGGNNSNFDFNAGAGIDFTGFGMQPAFNDLKSTGEGNTSSA FFFGDEGIDTTATFDHSYDSIFAPVGGMDTTAFGLEPLGLGNTAGDASWNNMFPTTPA QSFESLYTPQINKRPLQLDAHDFPPAKRHEAFSPFTTGSMTGSSNWALETQPTPAASS DVTGLSDEAADVCATWFSKYNVLPSDRHIDSLAQLTGESAAAIRQWFGQALKQGMTGQ DSAYKSQTGFAQDPILFPQLAPTTGAVASQQLMIPDVPCVHETQAATTTVSQSPLRGG KKGCNPTEDPELLKRDPNKIYQCTRKCGKRYGRKCDWKRNEEEGYPSKSWLCSLCVSE GVERVKPCFRKYHFSQHFRNIHPGLNSADYEESSVVHSDTSLPQAARKCGFCTHRFVS RQDRIDHIADHFKNGKSMLDWNEEDTNDSDDMNDDDDNDDRPDSGGFGNGKPHFPPEH DPRGSGSGPKNNGSGGNGSQPHQSGFFQFQVSQLADGDSSGSSPGVEQHIQRDSTNNQ HIQPAFGLDGAMCSKIPQGAMCDTATTQHATSTDECSVHGRHDKATRDDSQSVAGDVV SLLSTDVVQLQTRPPFVPPSDGDPAPDRLKTTMAVSSGSLTRETQDLLGSLKLLGAGG FSTVDEVVHRETSLRFARKTLKNRERSAIEELKNEVDVLKKLRHPHIIRFVDSVQKGD RMSILLSPVAETTLAAWLDMKLQNRPDRLSETIVTMMGCLASSIRYLHAQRPVVKHMD IKPQNILVKQGEDVPHVFLSDFGVSSMGEITASSKTMPLTRQYCAPEVSEGVSRELAS DVWSLGCVFLEMLTVAYKEDNPRWLDFRQLFGGRKGKYYWQDVPALQGLLTQSLEQAA SRTEANATSTVKEMLNSDPTARPTAPKLTMVFTPAPCCLSWPNEKVAYPGPSEELQTV KTFCQEDGRDACAHLRDVDDQHKQQGDTVRHAKRWLEECSHDHADCRHDVQGILANKT LPTRLIDMLPEGNVGLSVRIVNGADLDDRQSPDYAALSYVWADDELKLSTARLDAMQR NLPREALPKAINEAITKAQDIGLRYLWVDNLCVLQDSQGDKQRESRAMADVYRNAALT IVATQKGIDQQALQAAVLPLSTYLTPGFAWDTRAWSLQERLLSRRFLHLGEEQMYWEC NALKASETFPRGLDSFRNALSSLLWEKVHTRADALYGVPATAGLNHNRMHTESHFDME GTGTAAGLVTERNANGHGAPTASKAMGYGKTATPHQRVEFSSNPVCNANGQDVANANK AMGYCKMATPHQGVEEFSSHLVRDCQYVRKEGDGIGDSMEAGQMQLQLAGLEAPSGSL SVSACGFAGLMRGCHEAKDPGRKGRENGVGNGNGIEDEGVFGKNPNARRKYEGDEDVM S CC84DRAFT_1061080 KKRKSAPTAVADFAILALALPTQPGLPPSCADAKHYLYVKAHAP AIATESTARSLFLANVPIDASEANLRALFAEQLGGARVESVDFDGSVPAEVAHKHFNP AEVKAGNNDGPESRGKKRKRADDGKGNKSGMVAEGVLEDDDSALPRIWSAPVRRSGSG AVVVFVDKASMRGALKAVHAAIKLGTTISWTGGEALGVDRYKSHLALLHPPPTLLSST TNAYLAQFDAAAAQRSRALAHLRSVPDEDGFITVTRGGGRSLPAARLDMAQQKQAEHE DRMKKKGSLDGFYRFQNREKRKEQEGMLRKQFEKDRRR CC84DRAFT_1168332 MASDPQPTPEHDDAPSAATHDALPADDDQPLGIKEEDETSAQNG DADADGDDGIQASRPAKRRKLESITPRRSLSRAASPPWKSFAADGPTTIFDNGVRKSS RVNREAPTPPEPAVKKSTRGSVARGIQTNGTSKSSSKQSSRAVSTSGMPAKAKTASSA AKKKGPAPPLQSPTRKSERNKRASAAALATSPTSPAIVKASPETLRKRPGRKSTLTAT DDAAHTFDPAEDSFGAYDGLDGNPSDMSTPRIRLRFRRLDPPTRHPHHLPPPRKHSSF SEWLQQDDPLEGEEGHKMTEEKAQEEAERRLQIADAAERGVLSLGRCSIFQPEAADEP PPKYGHWDHVVNHALTFNKLLREENAFHRKMAKTLAREAYEYWKNKYKRKSQDEINQE EADRALLRYKQLVKDVKDTWANVRLEIDKERVAKWEAEQVQLGHKAMDDMFNQAQEIL GRNMIDSASSFVSDDRDTEGEGGDFGSGTSQVSGEEEVDHQMTSDSESDEGHEEDEDA NLTAEQLRAKYEQLPDLPDDSSSVDEQEDEDEATDEEDRGTPEPTPDPDLELQIAMEN GDTSLHDSFTQTNGVYKEEVDEDGKKPDYIDPATVELEAVDDALLDSDDDVSGSGSEE WPSDEDEDSDEGEVDSSASGSEKDEEEGDDDAGVMGFLTPAEIKKLKESAKMVVEDFN AGDETPLNGTHPANGTNGTNGVHEIEEEDVTVIPDTNGTPADVNGTGIVPCDDTSKAV PDPMEMDTHELEKEIATVPEKAIIGGRSRHPSEPPRVEIPSLLRGTLREYQHDGLDWL ANLYESDTNGILADEMGLGKTIQTISVLAYIAVYRQKWGPHLVVVPTSVMLNWEMEFK KFLPGFKILTYYGDIAERKRKRVGWRNTGRDMINVVITSYQLILQDAAAFKMRAWQYL VLDEAHNIKNFKSQRWQTMLNLRTERRLLLTGTPLQNNIDELWSLLYFLMPAGFAGEG RIAGLEEFTMALKNPTSQILDQGRQQLDAEAQKIVKKLHEVLRPYLLRRLKADVEKQM PGKYEHVVYCKLSKRQRQLYDGFMGRASTKEILSSGNYMSIINCLMSLRKVCNHPDLF ETRAIVTSMAMPKSVAARFEIKNLLIQKRLSEGMEDKRVNLDALNLVFAHRERTSMLQ ARRSQQIRATRPLEDLIEIHTRRINKAAEPQSSSIQSTLADMANRERIAVRDQLRVCL KLTRARTQFLPIYGKGLIDRLTLDYESYAFGAREPDEQITRPPQPAYNPAYPPGMQYH HPNLFGQAPVSLPPVVGIKEGRRGRLGFRQPLRPALASLWHQQKINAFTEMIPTLEER AEALEPLVTRFTCVTPAVAAEELAPLTLSNTGVQLVRSSEMVRYRDPFHESRIRQSIA FPDKRLLQYDCGKLQRLATLLRDLQAGGHRALIFTQMTKVLDILEQFLNIHGHRYLRL DGATKIEQRQILTDRFNNDPRILCFILSSRSGGLGINLTGADTVIFYDLDWNPAMDKQ CQDRSHRIGQTRDVHIYKFVSEYTIEANILRKSNQKRLLDDVIIQKGDFTTDTFNRVT WKDALDDGLGDEAGAAMDRVLGEKAGLLGDARVMGTVEDTEDMAAATVAQKEIVDEIH DDQVDFSESTAATPGGATRAGSELGEEMPGGIDGERLRRHVDEYMLARFRDEYGREPY KPPTDRQKRNRKGGDVHRTQKKKRY CC84DRAFT_279875 MAHQPAWESSPQTRKAQSPPCLPQHTLRAVRGLSLHSLALTLML KPLTLPPYPLFYVHFSLSRITLVVFRTTGLALVEAANSLPTLAKSGEAGVVPGLSTPW QQASLSTRIGAYIRPPPHRALTQDGYTASTSTTIVQRHERPPPTRSPTTSEQMPPRTP HGEREEPGRLLLSMAHLGATGGVSDDVADQVERTLGGNMDELSGNIQLAIAHPPHAAA AAPNAADIADSYIRDSLNRLRRDLQALDGHFDDHGRAFRRLELPTIARYVNRGDCLSR PALTVKYNRDGRPNRINNWSTCTGTVMSQDDNTYDLIVQGPTARSVHYGRGFRIRWPA ARVRYRIDRDIPLSPEDTCRCVLFRTEYNRRFVQTLDWHDWMQNRIRCERRRTRRQAL GETGLFSTIPDHALVELRQGRALAGVDVSEYDVEITRRILEDPSGWGAVGSALRNRLW VDEVLEDGGNYVLL CC84DRAFT_279879 MDSHALGATVGNNKTRMMSYGRRQSFCCVCAWLWSLSKLNARFV ALTTYKCTVRLRGPPIQPIRVPSFLDLPDFPSTTPVLRRTISGERAGSVRLHRYAMDL QACRGEQAPHISQAILLHHKTSWALPPVGGASLGIRGWAAGEDGGRDEVREKVRESTN KATT CC84DRAFT_1263212 MSELQSTNGRTERAATDACSEQQTPTQNEPLANSTNSKSTPSED PNGYVFTPDFDFRSAAKKLKCDEDPDAVVSAIGILLEELHDCKMKIDFFETLFGYRAE HLMAYDVHLLRHDDIMFYGQRRLQGRALQQAMLEKNGHEPSLAELKGKSVILTVPEDH YDFAVVLPMKAKQRHAQDAQDVEENAGASTEDAAIELQRKTPAVRKAADREQLRNILS QDQDPAGHCAGDEMLPTPRKAAADAVEAQKNPTEEDAAPTEDRERKRKRAIVASDDEE DEQSAKASKFH CC84DRAFT_1180250 MRFSVIALAALLGTAYALPLVAEGPEDAVLRTPLTLRSAEPEAI PEADPKKHKHHQKGKDGKKKDHAARDASADPEAEAKKHHHKLGKDKHHKDKGTNTARG EKHDGKAAKSADKAAKHNEKAGKHDDKGKKHDGKGGKHEKGEKKGGKNPRDADPKKKH KEHYHKENHKEEKGKGNNP CC84DRAFT_1229978 MIVHRYWSEHPPVPFRMSLDTVDFLSHILTNRRSCHTVTNFHKV NIQEIITLCENFSRYNSFLDCYDPRDQIFVLLAISSDVADRIVPGYSEECTMETFFQK LLEGSMSLLPLAMAAAGNDLTRVECASWTYQERSPKYTELPARTRQQMEVFLQLADLV DPDDYMARHYNVRFPGLDLLDRGRTPSVTKNKRPRNGMIEVLEGDVIVALQGADSLFV LRSAGSKYRLVGDAWVDGLMNSEAYQGLGSDQVDVDIDII CC84DRAFT_279918 MFELSTNRRETLTVKALLAATGATFNASVSGLSRKCKTRTGRVC SGCNSLCSPSGGRNALAFPHSYHRTGVDCPNSCRAVTRVSLATSTSYCQDQTAFVFSR SIALSLIRLSARFKKSLTLIDATKRYHTFGARKRSPSKRSLSIPRVLVGVNTLDDKPQ ERSFRPQELRRTRIQDVSDRPDRHQSRRRGQESTGRIDGQDLSACNEGDCFTQAWPP CC84DRAFT_279923 MANSKYEYVRNFEQPDNLLPNTFVVVRIDGRGFTKLTTKYNFVK PNDRRALDLMNGAAEAVMKDLPDLVLAYGQSDEFSFVFHKDCMLFERRASKLVTTIVS TFTANYVLGWAKYFPDHPLTAPLPSFDGRAVCYPSNTNLRDYLSWRQADCHINNLYNT TFWALVQQGGLENRVAEKELSGTVSGDKNEILFKRFGINYNNEPDIFKKGSVLYRDFF PTSTQSSPTPAKSPIIHHPTPQSIARPMSQPLELTHHDLFRSSPASGTSTATASTPRG PTFLSTSTTPSPPPSPPTIPMPLFTEAFKDQTSVGYPSTFSLSTFSPLSPSANASAPR HAALQPIPLPPPTLKPSTRPPLSLNPPNSITHANTSPMPSPYVPSSAAYPSPTISNSK KPVKPISHSASASLSLHPKPLAPSYRPTPKRSPSLSVLESGLARGPPQIPQRFSSIPP EQPPRKLSLPTQKSHALLRQEASDLAVANPANTSSYRGAGRPRTPPSQLMTMKELPSP PTGEYDIGGVRGAVMGSSPQPWSQSPPPPTRGSAISAGGSSGHGEMENLIVNPVIPPS PPGASLRSHSRAGSSYSKSYSFPAPPSSGPPTSSGEQFASFDWGNKEEVEVPPEVPEK SKGRQIKGKGEKGKKVLGIEDEGWKEGLDGRPKTMSKTQKEKERKKRGKARIVTEHVD IIGDSFWERRPWILSGRVG CC84DRAFT_279958 MAISRSQGCMARDSKALPQWRTELGHANKWDMASPARLHSRRRE QVAVSGGGLAASSSPTGACQGREVELCLRRNSHTTCVSPKQPVIVVRYAQLGTRAAKV SCVPGSFAPANPRRSNLRSTPTFGTQACPTVASGYQDESCACPAAAVSPFVNSGRPGG ACPAFHLDRELVKPRPLPFFSLLTSGQLLPRCLPCLELKASLPV CC84DRAFT_1168335 MNAEGLLRRQGWRGAGFSLDTSDRGIKKPLLISHKQDQLGLGKK KASHTTDDQWWMRAFDESLQNLGSGKTSTLSQIQEKGINRGGLYGFFVKGEGLQGSIG EETSIPASGATTPPTSVDDSSTDESESDSDSMSSDDAASDSSKLTKAKKSQNKRKREA EEDVPATKKLKQETGGVKIGARAQASANGEVRTGMGPISADAWPQMCAVTSRIGKQVK ASVKKNEKAGAYGDATNVDDPKVPGTKLGKKAVKQQQQKVIRAAKKQMAKELVVEAIL NGDLPMLNPENLAKEDILQNYGKISKAIKAVENANKISNKTERQAKREQRKEAKGKVA SGKARSKAAAQQQPTEQSLIEAHLSRLSPEKQVDYAARAAEKGQTLEEYTLRRIEKKE AKRIEKEPKTEDEKAVATEAKGESGKIAPWRAQKKVQSKLKKEQKTKSEKLASKVKNK YEVGEKAKARNTKIRGDDEGGVKDMAGDQSFMEKAARFGAIAKPIAAAA CC84DRAFT_1168336 MKIADRTFIISGGASGLGLATARDLHTHGAYVSLLDLNSDAGSK IVSELGSRAKFFEVDVSDTDAIEKAITGTVAWIKETGKPIGGVVAGAGVGLPGLIIDK KNEPLPISSIDFVLNINLRGTLDLIRQALPHMTTAQPDGPDGERGVIIMVASSAAFDG QMGQVAYAASKGAVASLTLPLARDLSKYGIRAVTIAPALFESNMTKLLSGKVKQSLEN AMEFPKRAGQASEFARTVRDSIENSMLNGTVIRLDGAMRMPARL CC84DRAFT_1190346 MSSMRNAVQRRNHKERAQPEERKKWGLLEKRKDYKLRAHDHKAK QARLKILKEKASERNPDEFSFGMMSSTVDKAGRKVTDRGNKSLDMDVVKLLKTQDAGY IRTMLQMVRNEREELEKRLVLEDEEVRVLKDGDNERKGRHTVYVGRKEEQDEFDDDEW FGKGAEMPGRGGGKEPQVEDDDEEGTSKKQKRPSKRQQEAKDLAQKQEQLFMSKRERA QQRAAAHLEAVKARERALMAAEEELELQRAKMNHTVGGVNKNGVKFKIRERKR CC84DRAFT_1168338 MVSFDPAKDIPSLSGKVILVTGGNIGLGKQCILEYARHNPSRIY LAARSPSKAQAAIDEVKQQLGTSNSTPISILELDLSSLSSVKLAAAKLLGQESRLDIL MLNAGIMAAAPGLTKDGYEMQFGVNYLGHALLFKLLLPLLEKTSNLPGADVRAIMVTS VGHQLAPKEGIPFDQLQTDCENLGAFERYGASKLAQILWARHAATLYPNITFAAAHPG LVGGTGLGSSATGTSAFANMLMALSPLAATSLEKGARNQLWTSVGKDVKSGEWYAPET CIGVMQVGVGSQSGASALAKDNSLAERLWKWTETNLHSYSI CC84DRAFT_1190348 MKLEQVLVALAAIVRAAPLEPRDVDYPGLYFSNGKFEITMFNDL HLGDFGIQDDIQKGTWSDDLTVDVMNKVLDYEHGTNLAVLNGDITTCEWLAEKDSYRF LDKAMQPFLNRKLPFTATFGNHDWSATCNTRWMSEHIWRTANDRKNGGQLTFTTSSVA GDPNLVGTTNYFIPLYSNINGKQVLKMVLWFFDSKGGFGYTGDGNNKQDVYGYVHSDV VDWFKRTRKQLENEHGGKPVPSLVFAHIPILITDKYAQAGLISANKMPGIQWHEELGV QDDNKGIVFMQGLVDTPGLLGVFSGHDHKTDWCMKWTETPKPIPAGWPSDTQDGGLNI CFGRHTGYGGYSEVMRGGRHIVIFEDALGGDNAIETWNRLEDGEISGRVMLNSTYGID KYPRVEVKLSDGTIPKNNDDIGETSFLHEQAEYKDDGIII CC84DRAFT_1154812 MPVLNFAESQLMPALGVTFKSYRDGETIPLLVNKIYSEESELQF AYTELPFVCPPTGRLKAGRFSSGASISLNLGEVLRGDRITVSDYQLVMGQDEEAKFLC SREVSAAGIQKTKLLIQNEYRAEWIVDNLPGATSFMSTDKSRKYYAAGFKIGQVINPV DANLNFAIYNHVTLVLRWHRVPGMGANKGKKVIVGFEVYPKSISASGRKEDGLPPSGE VTHDPFILAVPSNATDVRDTPDTSITIPFTYSVYWREDEKLEWKHRWNMYFVASDDSS NVHWLAILNSFVIAGLLTAVVAVVFTRTIRGSIEDIERAAEISDGEEEELAGWKLVHG DVFRAPAYAGLFSAVVGSGTQLIFATVGIIILSAVGVLNPSFRGGYISVGIGLWLFGG LFAGYFSSRLYRTFGLEDWQQNVLCTASLVPGLLFAAIFILNLFVWIQASSTALPFTT LVALIALWLFVQLPLAYIGGWYGYTQAGAYNHPIKANAIPRQVPPHSWYLRSMQTFLF AGFAPFMVMYIELLFVFKSLWLDKSGYYYVFGFMSVVGVILGVTVVEAAVVATYLQLC SENYHWWWQSFLAGSSSALWIFGYLAYYFWTKLNITGFMSGLLFFAYGALACAVYGLL VGTIGFLASYVFVRRIYAAVKVD CC84DRAFT_1221733 MEFRRSELKSYFDNQLLKLYETIHKQLVRVQEKIPYELVAHMVL SGGFGNAAYNLQIRVVPEPQLVVCNGNVADRMQKLKSGRNVLGWRCCRTSYGTLCKVL YDPNDQLCYGVTTQIDPLYKKPYVMQYIDCFGQPVSSDEPIVQTFKRKGPPATAAQPS PTRMFPTEIICSELDASTLPNVMNAYKSQNPHNRSNICQLTHQPAVLCAA CC84DRAFT_280148 MVLDLLALTAIPTAVGASEAVHQQRLLDEEAESEERQAPFYLDV YCDAASRKRDEVHDAIVVLKDGKLRLWPKDAHTQLPKDDPDSHAAPHPFTGFYLPFPA EDLPHRPMPARPVLGLLNWIFADTETRELRYGPRAEAREHVVGPWDWTEDDEQGLTLN GDECLVAVEEAEGGRGWAVYWDDDDDRLKAHGIAQKHRVLRCSLERRLVEDDRRRIDV E CC84DRAFT_280082 MSPSKVSTIEFDKFYNIVDGKRRGSDNVHHGINPATGQENWPVP IGSEQDLNDAVEAAKKAFPAWRDTPLQKRKETLLKFCDYFSQFTDDLTTLLCKETGKP RKFAAIEVGGIVGFIQYHCSLDIPVDTVDDDEKTIYTEYTPLGVCAGIIPWNFPLVLS IGKVAPALLTGNAIIIKPSPFTPYTGLKVVEIMQEFFAPGLVQAVGGNNELGAQMCAH PDIAKISFTGSIATGKKVMETSAKTLKRVTLELGGNDASIILPDVDIKKVAPEVVMGA FQNSGQVCVATKRIYIHESIYKEFLEEMVKFTKSIKVGSPDDGDNLLGPVQNPMQYEK VKEFFADTKAKGYKFAVGEPDVASGKGFFIQPAIIDNPPNDSRIVAEEPFGPIVPTQP WSDLDEVVARANDTKMGLGACVWGKDVEKASQVARRLEAGSVFVNSWEKPTPQAIFGG HKESGIGGEWGKTGLLAFMNAHVIHVYKS CC84DRAFT_280404 MKSFVALSLPLLALAAPHALDASSAPPSFKINKVISGGSGCPQG SIDVNWTNNGILPIYFSKDFTASVGPSVTADQSRKNCQINLDISYSPGYSYSVYSADY TGYANIDSGVKGTVAAHYYFSGEQDTGSTSLTLQGPYNGKYVKQDDVVTSVWSPCGQQ SLLNVNAEVSLTPLGSYASGQLAVNKETGRFTNQLYIRWKQC CC84DRAFT_1128701 MAAQSTLRQPHDPLLALYKHYAVLLRTRVGDASKLTKLLSAITL ALTIIGSGYGARTWYQKTRAEKETGRRLLRRNSGLRGKDGSRTIYVPYRDSTSKVVIH PTKPTTFDAHRRLFLQPPRAARMADASNPQAPPPQTKPGLNLAFLHQFLSLLNIMIPR WNSKESGLLISHSVFLMLRTYLSLVVARLDGEIVRDLVAGNGKAFLWGIVKWLGVGTF SSYTNAMIKFLQSKVSIAFRTRLTRYIHDLYLNDHLNYYKLHNLDGGVGQGADQFITQ DLTLFCASAASLYSSLGKPFVDICVFNYQLIQSLGPLAFTGLLSNYFLTATILRRLSP PFGKLKAVEGRREGDFRALHSRLIANAEEVAFYGGDEMEKHFLDKGFKDLKHWMEGIY SLKIRYNMLEDFVLKYSWSAFGYLITSLPVFLPAWGGLGSTQELSGGEKQNRERNRMK DFITNKRLMLSLADAGGRMMYSIKDLSELAGYTSRVYTLISTLHRVHSDSYHPTPGTR PELYSVSDVQGTVHKGFDGVRLEHVPVVAPALYPMGGDELIESLSFIVHSGEHLLIAG PNGVGKSAVARIVAGLWPTYRGLVSRPRTIGTDGIMFLPQRPYLSTGTLRDQVIYPHT EVDMKAAGRRDIELSQILEEAKLGYIPDREGGWDTKKVWKDVFSGGEKQRIGIARLLY HEPRYAFIDEGTSAVSSDVEGLLYERAKAKGITLITISTRASLKRYHTYTLTLGMGEQ GDEWEFQRIGTESEKSSVEKELAELRERLAKVEQWTKRKQEIDAELARVWLEGGDELA PPAYAEVADEQESDAYAEVADEQELDAYAEVADEQELDAYAEVADEQESDAHQGGDVG DA CC84DRAFT_1101722 IHIGSIFYGLVVMCLKIAILLDWLRLFVQQGQRWMFWTLHALIW ANIIYYGSGTLIEVWRCHPHEKIWNPLFEGGSYPINIGANNFVSGIVNILSDFAILLL PQRRTWKLHISRPKRLGVSLLFANWTVVSTTSNVS CC84DRAFT_1199203 MGLHNDVLGLARFMPNWKKQFLRDVKKPRKHAWFVTNLGGYGRE AGKGHTKACRHCNGLTLDLRRDSRSLYTIVQICWQTSNATDFCSGHILSLNATGKLRN EFVAEAGKGSLLHPWLRWRSTMDRNYSYLEEAEREIAAFHGAEEGLLVGSVFEANVAV ETALPQPGDVLLYDKLVHASTHEGMKKSRAKHRVEFLHNDLESFRDTIIDILENDGSI REGKSTVLVAVESIYSMGGDVCPLREIVDIGEKLCSRQGNIQFIVDDAYSVGVIGPKG SGLVRELGLEKHVAVVVHSYRKPSRTRIQAHARLFFETLTSHPLWNKTKSTSLIRVPL AEGWEKRPFLTHIMTVSTRQRYTYWLFVHLMAASYSVFPVPCPIVPIGQNRLRIIFHS NNTE CC84DRAFT_1263222 MLFSSGIASNLKVALLHVQADLCDKPHDNKSLSIKITAWTTGII SIVAVILRFVSRHLGGSPLWWDDWLQLASIILVIPMTVSLLLNAEAGIGHHIWDLTYH QVKTIGQWTYITTICWALELLILKFSILCLYVRIFPNPWLKRAVMIFTVFTILYTGPL VFLAALQCIPVHAIWDLEAQKTAKCIDWIAVLRATVVFEVIAEVLIFVLPIPVVLRLK LRRSKKIQLLTFFGLGVCIIGISVARLPTLPGVVSSEDQPYTTVGATILGFVASVVGH VCAAVPTIRALVRLWCGSLDDSSSRSRPSRFGKGWGSSTASSGKRSSWFSRSQSYHSS NLLPSQNTDKEKSAGITVQQHFGVQPLLETREDVVLLDLQPIRERAYQPARPQRGVGQ WNSGRPRWVTDEEQPPSIIREPWKEADIDDSSSEKDVLPNQGFARTSSVRAIEEHIHS MEARMLELETEIQFYRSNSVRGQASRV CC84DRAFT_1128703 MDEATEKRLNGLTKLFNGFIHGHREIKSLGDCKRFLEAVCAQKD ASKCVESIVASSSGLTALAKAFRFTGDSAFLNGPAAEVLRLLADPSIKQLHDGQFLHR IVQHIVDPPTFWDAFSKAHFARALSEDANLAFAWLLVELLYDRSGDLPDVRNIAERVT EIESLIGSEERGVRNLGQKIKNFLENTSADITGTGPGGRHDNDHADYRKIKILPTADE FSSTERPFYRRADAMKSVDLYQRGHLHLDNQFRLLREDLLGELRNDFQISIGAKKGRR RVILRRLQFIGIDCGLPHRRRVCHIKLQCNADIPQLKHFKDTDARRKHVADNKNLLKH HSLGCLVSHGGVVAFVSVDRNEAELSQEPPVLRLRIADDDALMKVLIASKVSQEFEFI QVDTAVFAYESVLRCLQDMLEVPLQEQLLQSTSEAEEALSGIQPTQMIDHIGANMDQD LQHAVGATMSVKLDSAQAHSLLAGLSKRVSLIQGPPGTGKSFIGSLITKILHDQTQET ILVITYTNHALDQFLVDIQNIGVSKHSIVRLGYRGSDETKDLSISKQSSTYKIGRDAW QIRENNKVEAEGYHDLLVNAVSGFIQGNINDKAILEYLEFEDPDFFDAFQIPDTDEGE EIAGRHGKAIDRTYLINEWTQGRSAGAVGGASEQQFPNVWGLESSARAALRLNWIKGI YQERASHITNLVQKYNRCRHMVERINQEEKAFFLKQKRIIGCTTTAAAKYTEAIREAS PGIIIVEEAGEILESHILTALTSNTKQLVLIGDHKQLRPKVSNYSLTVEKGDGYDLNV SMFERLVLSGMPHTTLNLQHRMRPEISNLVRSLTYPELEDALGTKDRPMLRGFQNNVI FVSHDKPELNADFIADRRDEDQKSSKENEFEVDMVLKIVRYLGQQGYNTEQIVILTPY LGQLHRLVKTLSQDSDPWLNDLDSFELVRAGLAPPPSAGIPKQRVKICTIDNYQGEEN DIVIATLTRSNSVGDIGFMASPQRVNVLLSRARDALIMIGNASTFVNSRKGKDVWVPL MKQLNRGGHVYNGFPVKCEQHPNRTASIASPDSFDEICPDGGCSEPCEKYLKCNTHKC PQRCHQLQDHSKMKCRAIVDRICSLKHAFALECHEKDKKTCPNCREEIEAAEAKRQRE YQLEQERLVKQREYATKLAEIDDEMAHEKRLLRNASEDRDRKNVLAQKQRDLDNLRHQ VRDPDKSTVTPALDVSVPMVPNSWDAPAVPQMPKSNVSSAGSSISQVPPLQHHLPALV QSEAKDDWEWQKRFEGARNEALDALVAMIGKLYYTSIGTICLESVKQKFLAIKAKVDT QVRQNVSLKGERFGAALLGNPGTGKTTVARHYAKFLMSVGALPGDHFFETSGSKLAND GISTCKSHIDTILGAGGGVFFIDEAYQLVNGNNSGGKAVLDFLLAEMENLTGQVVFVL AGYRKQMEAFFAHNPGIPSRIPLEMKFEDYTDEELHHIFAHCVNKKYGGRMKVDAGMG GLYARIVARRIGRGRDQEGFGNARAMQNQIDRITERQAKRLRKQRRAGIPSDDHLLSK EDLIGPEPAIMLQSNKAWMKLQGMIGLQSVKDNIRVLLDSLQHNYHRELAEKPILQYS LNRCFVGSPGTGKTSVAKLYGRILADLGMLSDGEVIVKNPSDFVGDVLGATETKTKGI LASSMGKVLIVDEAYMLRTGAGRNPDIFKAAVIDTIVAEVQSVPDEDRCVLLLGYMEQ MEEMFRDVNPGLARRFPLDSAFVFDDFDDDDLRKILNLKLKDIGYSATDQGKKVALEA LKRARNKPNFGNAGEVDILLDRAKALHQKHLAAGKTKHLDTLGAIDFDPDFDRAQRAT TNLAKLFQDTVGCEDLIKRFQHYQNTAANLQSLGMDPRNEIPFNFLFKGPPGTGKTTT AQKMGKIFYDMGFLAHAKVEECSATDLIGEYVGHTGPKVQKQFEKALGKVLLIDEAYR LGEGQFAVEAMDEIVDCLTKPKFAGKLIMILAGYDHDIDRLLSKNPGLTSRFSETVYF YHLDPGTCIVLLTKDLQKRAAKAPLDLTMLTDAAPDFTRQLLGLFETLSKLNSWGNGR DVKSLAKAIFGELIANAIPPITQLILTEDRVLRAIQTMIDERSRRQQAVGVDRFPGSK KGRQPMSQQPHPPQPPTTGSSASSPNTTARTEVSPPAQPKSNKDSNCAENDENVSSDE EEEPDELAAILGILNVQRDPGISDEVWEQLERDKHAAVAQEREYLRLQEEKRQDEIKL EELRRAELAAMVNEERLKLERKRMQAEIDRRKRLEELAEAEKQKKIEKERQKNVRKLG RCPMNLLWIRQSGGYRCSGGSHWLSDSDVDAYYT CC84DRAFT_280472 MSFRPNRGYERAGFATRPGRGRGWGRGRGGAQHLVNRMVVKPDI VKHPLGQLLQTFRLADLQPSEDNTGKVNKITNCEYVASYSWTNSESPTILVPGRPPLW MPLQQPQRLPEDSGVYFRDPNSAKFPRHPTEPAVRALFKTDPAFPTGEVDVFACGSTM GSLLRFVLSIDKPFRFNVEVIGSTVFLIRKENDPREIIEGVRGFGHTFPEYYTTWEQD VKGSETHQRLCRYDLGRFNCVIRFECDGYLQTGSEKTSMVETKSSDLEDLSGNFKNVT VGQGTVNGLAKLLLKQGGAVVAQHTIFDMKTRSGRYRKEINMDEMYPQLWIKQIPNFI IAYHDGAGLFPTSDIQVQNVNYNVQAWERQNQAGISRLIVLLDKIVELARNEGTGLLE VYCPSVDCLEVRKQYGEGFHALPLELSAKWKAMDQDSTDPDHEHDTEPGHGGLQLGYD SDDEPDYTACGDDCGYCGKCTY CC84DRAFT_1221742 MACEAAKVAMNHLPAEEPVAKNAANFLAIEGFNITRIPGELLDE EFCSHLRLRAERALGRIDILVNNVGTGRDFTPDITIYTKKEWDRIFRTTVYAGFFLAR AATPKMPPGPSIIWTVSSVVANLVSSIHGYAASKGAVASLVQTLRNFSEPAKAPA CC84DRAFT_1101270 MYATVSSCNDSFKSGREGLLRRGACEESLAQRLSDRANIYCPGS QEFKNSTQRWSTLEAPTFRATVEVATEGDVMEVVKYANEHELPFLAVNNAHGAITTVG QLQNGIMIWMRQLNSVKITEDGQMATFGGGVLDKAVTDALWAAGKQTVTGGCECVSVI GPGLGGGHGLLQARHGLVSDQFVSLNMVMADGSLKTIDHASDLWFAVRGAGHNFGIVT SITSKIYNVQFPNWAFRNFTFTGDKLEALYSNINEHFLKDDEQPVDLFHYSVILKNAA IDANNPIISFYILQEGVDIVEDAVVAPFLALGPVSTFSGSGDYPALPSWIGWGNNAAT CQHSGLANTRFPIELQHYNITAQRSMYNLLSNTLNEIPALNRSVVLLEGYSQQGVKAF SSKESAYPFRGSNLLLAPVVRYIEEDEKLAKEGKDLGEALRDILHVGSGRVTKRTYVN YAFGTESFQEIYGDEFWRRKRLLALKKKYDPSGKFSFYAPIA CC84DRAFT_1168346 MSYYGPSFRTPQPRPTSLAASRANSVGYFAPRTPQQSRPTTPAA RAASMSAAPNPVTNLSRANSKTNEPADTSEATSFSSIQYNEKGEPKIGRVVGGKYVEE GNQDVDSDCGPIKTVDIDMPLTLTEMVTHNEKDYIILTFATGDKENPFNWNLWYKRSI STMLNLMTLFIGLATTAYSSGIGSMCAEFGVPTIYGQLGLFTFNIACAITPMILAPLC ELAGRKIVYAGAFLCFSLIFIGLALAQNISTIIGLRLLLGLFGCVGTILVGGTFDDMY EPHKRGRPMAMFSFVAIFGTVAAPIYAGFIDQSIGWRWIEGVQGLANVPLLIAVFIFF PETRGGVALHKRAKKLRDATGDERYVAEDDIYTPDLKSMLRASSVKAIRMLVTEPVVF AFGLWIAFCWAVVFLFLSVIPITFQEKRGWSEGVGGLPYISLAVGTFLGWVAHHLQMR KYDRLQADPNVQIVPEHRLYGSMFGAVWLPVGLFIYSFTQYGDLSWVGPVIGLAPISF GIYFVFESTYSYTADCYGENSSSAIAGQGLMRNTLGAVTPLFANAFFHNVGSQYAGLI LALFGTLLSLIPFVMFKYGHMLRARSKLAREYNGH CC84DRAFT_280511 MVQPDRNTRWTSERGTRPVRIANCSGYKADPGYHMRFQAELGDV DFITGDYLAEVNIAENAQAHAAGQHPGWEQTCWDGIEQTVDLLAEKRIKIVVNGGAHN PKGLAEKVQELVSGKGLDLKVAYVSGDNLIEEMKDIRSKGLPPHLDSDNGEVQYANHT LDLMEKENKPIVSANVYLGAREIVKGLEEGADIIITGRVADASPVIGAAWYWYSWSDT DYDRLAGALIAGHLIECSGYVTGSNFAGFTEYDLDELYDITFGIADVERDGTCVITKH DARKGFVTEDTVKCQFLYELQGNIYLNSDVKAILDDVQVQRQEGKNRVRLWGIRGAPP PPTTKLAIFYNAGYQSEIVINATGYGTTEKFDLYERMLRFGLKRLGIHDQFDVLEFQR IGIPEPNPRSQLRSTSYLRIFAESGDPKINLGLASLLGEFAMQHFSGFHATSDHRTAI PRPYISYYPAIYPQSDLKTSINILEPSKSVAATRTTNIPTTPPPKFEELAKRASYDTT SPIPLEQFGLTKPARIGDVVLARSGDKGANANIGFFIPTSLPSSYPPSSPLYAQSWDW LRSFLTIAKLKEMMGESWDDAFHVERVEFPGIMAVHFVVYGVLGRGVSGSSRLDSFAK GMGDWLRDVVVEVPVIFLDGRKKNKTERQRL CC84DRAFT_1168347 MATKGVNGHAQDAATYSIPHETRKVFREGILNNPLIASLLPEEI EECAQKVEFVGTDKPSIPINWRFAESIASLKGLEAAMVNVLLKRKYELEPQKAIINTD HAQLFFMSVLLWTIDPKGKAIDFSSIFTPEGKAEFDKCFKDCDLFTREPSPYQNSATN IYRCKDGRYFHIHGSMNPAPTQDSLGLPHSKDVASVEDSWKPYEERVAQLDSGELQKL ASDVYKQAGTICWTTDEYKNSEHGKANAHVGLYEVHPVKNAKQPPCWWPDIPETSALR PLAGLKVVDLTRVIAAPAVTRGLAELGASVMRVTASHITDMSILHCDLSWGKWSAHLD FREEADREKLRALIREADVVVQGYRPGVLDKYGFSLDGLLELTKDRERGLIVVRENCY GWNGPWSYRSGWQQISDANVGVSMEFGRAMGNDEPVTPVFPNSDFCTGTAGVVAVLDA ILRRGADGGSYKVDIALNYYSQWLVNSVGTYPTPVWEDVWSRNGKQVFRHYHNMQYTL GRLTAMLKQNAGPVVFNPEFFEVRHSKAIGKDIATPKPIIQFPEGKVQLKFNVGTRGN GVDQARWPKDLMTEVVA CC84DRAFT_280491 MSPQTKEVLETERLRLIRISDTSLDGDHVKWFHTTWSDPVATSW SLHGKTNTVEESQAWFTEHLEKFDTILYMVFVRFSADGAELPFPGEVIGNVGLRTQAD GASLPPFSRACAAPVSDQAPTDPSKPPLSDLEVEKPLNLRSIGYSFLQKAWGKGYATE AARAVLEAYREGTREVREKGDEVYYVEAIWGDGNPASGRVLGKLGFREIGYRKEEKVW LAGDWRSGYYVSGWYV CC84DRAFT_1154840 MDAHDDAPSAQLHLEMRDAMVRNLASRRPILHHLNADTSWLLQI PRPASAVKHGSRIYYNILIDPWLQGGQSDVAAWFSQQWHATESAVKTVAEVEEVARRI EIMAGGPRLGKKRSANNVEAAAEVETFIDAVAISHEFTDHCHKDTLLEVHPDVPVFAT EQAAKLVSGFNHFRTVVTTPTFTSENPDWRDYSLLPLPSWLSISRLTASGDALYYHSA LLITFASHAFASATPKKRASLSIYGDDDASSTDSDAAECVIYTPHGIPYKSLEPIATS DPPLNTLAFLHGLHDVSISAAQQLNLGAKNGVLAQRMLRAKYWVGTHDEVKKGGGLIS WFLRRKIWTLEDALKEAKAVVDSETTEQDGDEFKHVYFEDVGNGESRILE CC84DRAFT_1263231 MGNFQMPYEPDIGDRQVQSATRIVYNDAVGPGQFRLLELNGTDA HGLLQCSLKTHRLKDGDFPSYSAISYTWDPSERVWYGTYDPSADQPILLNGTLTAVSN KVANIMSLMYQQGRRSIWIDSICIDQRSIDDKSNQVSQMGAIYINAIEVISVLGSPSS KSDDALTADHWFSESYRDKHADQLAFGLYDVLAFNGYWRRAWVLQEITLARKSLLCCG SRLVDFETAKDFFATFQAQSSFSSLTNFRQTGEQLRYLKKVLVSQMDEGTSSEPFELG SIKSFRGQAFLDLLRGSRRFDRCQDPRDMIYSRLALATDAANLVDSPDYSLTPEQVYA RFAVNCVTKTGSLEILTHATRPTSARKMSSWIPDWTRQDKFEGTGIWKPSSMTEVNHA SMAAITWEKTDPPHVRWDEDVLELTVPARVLHAVPPKKQATASRAIWKAVTGHVKESW HEMLDTDDAEALITGDLVCVLPSCSQFVCLRPQGDHYTVVGRDPDWQKRIIKEILIEH VWSYEAEESGQKKRAKWRFRDPGAVSRMEVKRLKVR CC84DRAFT_1263232 MANQQRTVLSDMPREVIDDIASELLNLDVASLHAVNRALFQKTK YAYAKRYLTHIHVFLHPVSFDKLDRLAKDPIYARYIDHITISTYVLRAREDRRKGTSV IPFEQGESFLIEAADQAIMGALLKTTGLKSLTIADYPYGNVPPAWGINQLNHLTTFKR GSAVVTEYSNQDDIKVWPKRMMALEACLVGRSLANLPARVELRMVLEGNAPRPLSPKA HFLFRRTLASATHITTNLDTYAETPENADWLEKINPKLLDVDYDTPAWASVEVAPSLS LGAYNRLTSLRIVNSKTIGTELDNFLEAHAGTLRKVALQHVCLLWTDAGNYPWRTIFL TLAKISELNYLWLNTLSAFPVNHTDRKSDPCAAQEVAWKSKLHAHYALEILCDYYDRQ GASGLWVEMSHVEEVMMEKYGITI CC84DRAFT_1154845 MARSANFSLDTLGPFIVWQFIIPYAATWMQSIFYSIFIRAGEPK PMPGSPRFMKHRRQILIVTYLAYFFFTIYEADYNLQKSGNAYNDLGVPIDVTDNVVNS RFRRLTLKYHPDKFALKDQARATEFYNHLKSARDIVLDPAKRWAYDRFGPGIFYSCSK CVTIKEYTDSALFVAMGTYGALFLFLIGTNALGFLRDGAYWRYLAILAVAAYDVRTAM RPDHPPFVAQWLNPLVTGLKLRPAYLPFQVTSIVKKASISAAQFLGLLIPLYRDDPQK PAKPTDDTDESRHKQLDRLEAVVQAGNQDVTRILELESTIFKENERAKGALRGAMTTY MVQNVIHQEPEVRNAIGQRIGRRRADAPHGAQGTK CC84DRAFT_1168352 MPTSMPTRKPIWHHNGKWTRLHSAERLRRSSHALAVIDSTVYIF GGEVQPRQPVDAALDVLRLSTGSPTLATTSSSDAPSPRVGSAAAAVADRMYLFSGRGG PDMAALEERGAVWCLDPRSAAWTLLTPADAAAPYPPGRSYHCAASDGQRTLFVHAGCP ASGRLSDLWAFDVERRAWTRAADAPGPPRGGASIAYSGGKVYRMSGFDGTSEQGGCVD VYDVASDAWSTEPFEADGHAGPEARSVCALLPVRIAQKDKLLTLFGERDPSSLGHAGA GKMLGDVWVYDIGEKWWTKLEPDSGDGVPDPRGWFDADVLKAEEGNDSVVVHGGLGEN NERLKDVWLLSF CC84DRAFT_280586 MFCRCSTARAGLQDRLVCQITIRHDGGCLPQPSHGKTVLPWSTG ERKFPLPEEARNLKANKNRLLFQPRFHYSARNWLDGSNFSINSAGILSPCACVFFSYW YHWDRLPRNVRWISSAQLFL CC84DRAFT_1101515 MLAGRPSTLGAALLEDDAPPYDATGAQREDLPQYEPPAPSHNRE AHEVPLHTYYLRAPDRKNLVAVPYGLSAPGSFKFTSRGSRLFSKKPEMDVWRSVRASA PTEDEYVAGIWFDTDGPLPWCPRARFVRQDPTAGNQTYKMEARNFSDWTISVDGTLYS WILEAKPFSLVLRQDGRQDAVARFNFSACGLVATGGAEVGDLTLYRDGLSQDKAGVDV ILCGLVTALLQFKKMGRHYKNEPDAIAQRAMLPEERVPLHRSGVAQFWSYQQDGSTIG CC84DRAFT_1221754 MRIPIREQLGCLVLLSSLIGLAVIAVATWITNHNFVLDVRSSAL SLRASLKAAQVASSMLVMETTVRQTASRLAIQSALQRYNNLGNNTVENWSRARDDLDA IFTGEGDARLAVQAKLYPKNSSDIALIAMTKGTLQGLHLPDTPNGDTVFFGDNSSFIP ELYPKFRTYANRVNGSFTQWFAEYDGRQIHADSFLVSGPYAVNDSLSLISITMPIINN TSSIDTLGWLTTVLDANLIQKVVNALEGLDQTGLTIILGPNNATNMFPAGVVLNEDAP ESESIRFVLPPTKRQGQARHGNNDNPEPNAPFDWTNFDAVRQGFTVRTGAASNAGYDM SVRNELGNDVAVGYAIVQSTLVDWMVLLEMSHDEVWAPINHLRTLILACVFGTMGALL ILAFPVAHYSSRPIRRLRDATKRSVSPAVLDESSLGSEADGADDERDDAALARKEGWI GSIVHYRRNQKASRAEKREAERRRQFRIPSKVKDRKHFIHDELTDLTKTFNEMTDELM MQYERLEERVQQRTAELEQSKKAAEAANESKTLFIANISHELKTPLNGIMGMCAVCMS EDDPIKLKRSLGIIYKSGDLLLNLLTDLLTFSKNQVGQQISLDEKEFRLRDISSQVIA IFERQAKEGGIKLSVEFEGPYDANMDESGRPIGKGDLGPFGLGRLKDMILYGDQHRIL QVVINLVSNSLKFTPAGGSVTISIRCLGEAQLSDSRKASLQSRHSSTRGSRRARGTSS EVASVSVKGSQDQYSTANVINAHDRAQGYQYMMGLDRAPTPPPGRWLAFEFEVQDTGP GIPENIHAKIFEPFVQGDLGLSKKFGGTGLGLSICSQLAGLMKGTISLQSEVGQGSVF TMAIPLKHLMNRADSTASSSNINLGPSSGRQSLSNEDQGQMIRDDALSGRSVNSTNSV PIVPVSAPSSGPVAFESDSKPRLVGLSQPFFASNPPLESPNSQQKAMARVEAEATQRG DKVRVLVAEDNKTNQEVVLRMLKLEDVYDVTVAKDGQEALDKVKESMESHTPYNLIFM DVQMPNLDGLQSTRLIRQSGFNAPIVALTAYAEEQNVKECLDSGMNFFLSKPIRRPAL KHVLKTYCPPIPEEDGETTPPPIERSEPKANGGVTAPVQARPPGSSNVGHTPIARLEH KDSPAISPLTRPANEPPHSPPS CC84DRAFT_1190365 MFLRPPAVDHAKDGWLFPASAVMLEALLWGFPSVFGVFQDYYIT HPPFAGSQSTAVIGTCAMATMYLGIACGVCVMCLAQGLGSFSTSAPQLITSRGIVYTV GGGFAWTLILQYMPEWFDKMLSLAYGIVLAGSALAGVVLPPLVQWLLYAYGYQTTLRV CAIFMFLLAVPMLRTAGIFRSRNVSQNFLIAQAGEFIEAQGYFIPFVYLPSHVRLLGA NHFRRSLTVILLNGAACVACIVMGALMDRYHFSIGVHISSLGTIMSVFCFWVFSVLYG LLGCAWLCTRSGVVTEMQKQGRVKDPGLVFATLAAGQGTGSIASGPLSELLIRGRYGA ADAFTSVTAFVGNCSVVARRASWI CC84DRAFT_1230053 MKFSSSLIVLCVGFGLASGKLTPNYTSPLGVEVYNPDQLFNVSG PWSLMTKAGNLLYISGMRGFHPSNTTLAPVGLPRIEQAFSNMQQLAKLGGANLTDCIR LTVFVTDMYRWRPLVNTVTQELWKDVAPNYPARTIVEVQRLNDDDIVEVEGTFYLGNQ CC84DRAFT_1230055 MRVLESILGLSACAGGALGALQQVTGFGTNPTGVQMYISVPAKV ATNPAIIVALHPCGGTASQWYSGTKLPSYSESNGFILIYPQTTKYSNCWDVNNAGSLT HGGNGDALGIVSMVNYTLNKYNGDKSKVFVMGGSSGAMMTNVMVGSYPDVFAAGAAYS GVAFGCFAGSKSDPTPFGANQTCAQGLTHTADDWAKFVLNAYPGYTGKRPRFLVAHGL SDTLVRPQCAYEQLKQWSKVLGVTNTANQTGSGVDEGSQYTKMVYGDTNQLVGYLGQG VGHIAPPVEPVLLKFFGITS CC84DRAFT_1221758 MIGYPDPTDQDFEQPRELWHIIYKDKDGETQFLDNIIPTMKEIP SNLQEQVLKYFGRVEKSLEDAMRERLNSRK CC84DRAFT_1180277 MPFWDPFARKMDKSFNQLNIAQSEQATIRREHTGALDDVGIYGQ MRSALLNPKHAQIRGIQTMSLWLCEMMETNYDALVGLEDSILSKIDPAYPSHRCGGFD TDCTVPATPGGHINLFKSVALKRAKHVIAEDGTIDLVPLLSYPPTDFAHRGGLYFTHQ MCTIEIAVPLDHLTKENMLTLDFGDIWKELDFYSRRDQSYPKDLRRLRRNHNIIHGPI AHNANIAFAKMKDPSGIEKEAFIHVWLNEEAVNRLSEVVKEKTWMRKPEQGYALVESP WKE CC84DRAFT_1154856 MPLPFGVYRADHVGSFLRPKSVLDAREAVANEKSDTTELRKVED AAVATVAQQQIENGLRSVTDGEFRRAYFHLDFLQHLEGIEIKGQTGLIRPHGFSPPVL AVTGKLGHPKPIQVEDFKFLEEQIKKQGGGATTKVCIPSPTMVHFRGGRASIDIEAYP DLDEFFEDLARVYQEELDSLYQAGCRFVQLDDTNLAYLCDPDMRKQAEDRNEDLATLP RKYAALINAAISKRPADMKIGIHLCRGNYRSKWFASGGYEPVAEVLFKELNVDAYFLE YDDARSGDFKPLRHLPEHKVVVLGLMSSKKAALDDKAEIVERLKQAAEIAPKGLEQLC LSHQCGFSSTVEGNELSQEEQWAKVRLNVEIANEVWGKDLSK CC84DRAFT_1263239 MRLRLSVQRNNLPVSNILWSVPDTASAQAYTFARLLEDVNQILP LEAEQWGLEDYIVELEGFECLHFSPVLQTLKEDDRLSIRPLLTAEVRSRTLCGRTQIT DDGRHLVDGIPFGRPYLRQPLRPAVTIPPRKRLRLDEDTVAGTSAPEATGFIAAASEA QPAVGSTGPSKNKKEVQFSQADEEGSEDSEDDEDFVPGHVSEGSVDSDSDDDTSSAAS QASVQPTKSNKRRRSPEREAADTSSPSSTDAGSDSSSDSDSDSQIEADRKAETSAASD SESDSDSDSTDSDSDSDSDSSAPEVLSSKPTVPPWSGTKDTRRRNFRRKQLTKLKRLK AEGKLHRDATYADLQKYLGLFQEPESPIRTPAHTMSKSTGKRKRLGENAEDQHTQTQA DESAELERRREELMARLADTATDVEMTPVESLSSNLEQAEQTARVSASLAVTDASTSN RSTPTPTPLTKRLRPNVSAIGRILQRQAVNIERKTSKSVKPPVADPEPEGASDPDFWK SRINLSAFECWDEEYELSAPPFPFEQHWDPASKLMREKKQAKQKKKGRKSEQPTELLV YDNEDQEPEDTPVLDYDDSPETMKAASDPTDAAESQILQEVELAAKSDLPPLPEDVES LPTLQPHDVQVGAVVVFKVYAIDPVTVTPHISEYKTAAVEKEGDSGHGAGTFRLKLAN RDIPNKASEAVDERGHSVKNAVSGFRMDDEDEDEDDSVWEGTFGELVEPKLLKAAA CC84DRAFT_280667 MATTDTKHADQANVEQDVAVGTTYVPDLNDKAMERRVVRKIDMW ILPFICISYLINYLDRVNLGNARTLNNNTPESNLVKELDLTGNRYNIAVAVFFVPYVV FEAPSNFAMKFFTPSVWIGRIMISWGIITICTCAVKSFGGLLAIRFFLGVAEAGFFPG VVMYLCYWYKPSERATRLAIFAGSVAVAGAFSGLLATGISFLNGKAGLAGWQWLFVLT GIPAVIFGVIVWVWMPDYPQDAKFFTEEERAFAVARMGPFAPNKEDKTFNVKIAKQTL LDPLFWMYAISYFFMVNSLNAFSYFSPTIVANLGFSGYVGQLLTVPPNVFALIIILAN CIHSDYSKERIRHALAGLALVGSGYLILALVTNWIGRYVAVFLIACTNSAVMPFLAHR TATVSGSTATALATGVTIAISNCGGISAPFLFPGTNGPNYPMGNWTVFGMLCATFLMT IYLGFRLGTSSEYRDFAPGAAEQLAARTSIDGKIPNDARDSREFPTPKQDNENATKV CC84DRAFT_1168357 MSSDQGPAPTASSHGYTHNHGHDHSHPHPAPEDAWKTTGVRVIP GDSLEPVGGAHQTPGMDRAAAINFARVGASKLWAGTVHIHAGAKTGAHHHGHLESVIY VLKGRARMRWGEKLEFTAEAGPGDFIFVPPYVPHQEINASSEEKLECVLMRSDSEAVA INLPELEPVERPETVKWIDPTHPDGAGA CC84DRAFT_1180282 MTDPFSLPTGSFAVVSVVEVLLETSVECCCFLNALKDAHEEIDR LCTSIEEYQALVEALKANSTEVQGHKMPPAQGTLHKALELFDSSVRLLHRQLSALRTL KTWHKSKWKTYNAFKFYLDDRKMSRCSKNLENAESARGTALGLVEGQVN CC84DRAFT_1263242 MFRNLRPGLYGPLPTFFADDQDQAIDYDSYKKHLLNLATKGITP VCAGSLGEAVHLSPEERVELIRFIRTTLDEAGLPEMPIVAGVGGSSTRETIQLARAAA NAGADAGLVILPAYYAASLDADQEQVIQYYIDICESSPIPLFLYNFPANSAGQDMSSE VIESIIRRAPNLCGVKLTCGGSIGKLVRLSMTIRSEPEINSSRPYPFLLLDGLIADLT PWMQCGGHGTVSGIPNFAPSSSTRLWSLLNKDKLSADDKREVDRIQAILSKADVAAVP AGVRGMKYVLSELHGYGNAPRRPLLPLKDEEGSRLLELFSDMIALEKEYS CC84DRAFT_1154867 MDAEYGEVRVSNASSLHRELRPRHLQMIALGGVIGTGLFLGTAG NLQNGGPAGLLISYVIMSSLLYAVMSALGEMVSQFPLPGGQFALAHRFVSPELGFAMG WLFWYNYIIVLAAEVSAAAVLVTYWTHPGETCTEGICNNALWVGLMLIFVLAINFLGT RAYGEMEFWFAGIKVITIIGLIIVGVIISAGGGPNHQAIGFKYWEETGGFVQYQDIPG AKGRFFGFFSVLISAAFAFIGSELTAIAAAETGNPRKSVPSAIKGVWVRLVLFYLCSA FIIGLLVSPSDPSLSLDSTAAKSPFVIAIKNAGIKVLPSIINAALLSSAWSAGCADLY VSSRTLYGLYTRGHAPAFMGKTRKDGLPWVAVTIGAFFSLLSFMAASKGSAGKAFGYF ANMTAICGIISWICILFTYTRWYKGLRVQGVDRSTLPYRAPLQPYLSYYGMAVSIMVL IFGGFTAFMPTFDVSSFITTYFPIPFFAVLFIGYKFWTKSKMVPFEKMDFSTGASSEI PSGTVGQGWWRKIKDNI CC84DRAFT_280684 MESGDQSVADHQPVERRSVRANRACASCRVRKQRCILSSMTGQT ACQRCAHHGMPCSFETEPADAHQEQPGPTKLAQMVVQLQQRVNQHEARIAELEHLDAT PHKYPRLSSSSLPTTSLPITSDHESQSPVRIESHLTRVPQIFNTSIEQLELGAPIATL RALGALGDARDPANESTRMNTNRSCSDPIESGMLTETEASRAFNIYFEHCHPWAPVLS QKTRSMGISLRENSPTLFLAIVAIGARFWCEDNTSNDTMRLRSMHPHYFDVIKLLDSN MSRLLLRPCAASASLSNIQSLLLYLQWMPYDSCPLGPGHARSQTLVQTRYNEMSTWVV FGLALRYAEFCGLEQKVLGSFQDDRSLATATSEDMDAMRVWLNLVTYDCNLTLTSGMP SSLDPRPLSARAYRFCSHPAAQKPDDVRYASTVELACIIQNVRYQKDNITDRNQVLAV ITEANGEFNNWQRRWFDRLGNTKLQQCQMPFTSVRWYRLALNSSLLRAVLSQTQPELL SLPSWATQPMTVSLTAASQIFLSVSKCSFESVSTLESWRPSTFPEGSLLLDPEARRSL HHAVDSTWISYTFAITFLVLCYLRGLVDDDLQLCTSSRGPSISATMWPAKPRYASLLH RLSRLALEVFGGSEQASNFRPDNDYTTVVQNATSLILEAPTDAEPTLVQPENISSLQT FFDLMEDPTWDWGMSFVGEQTEWGTQL CC84DRAFT_1263244 MADNDTILITAGPYQFLAKFESNAPKTVNVFRGLLPYRKQLIHV RWSGEGLWVPLGDENLGINFENHTTHPSTGQILLYPGGYSETELLFCYGGVSFASKMG PLAANHFLTIVEGMENLKALGELILWKGAHDVLFETTDSGR CC84DRAFT_1263245 MSIHNLPRTYQNTWPITTSEGKLRLKIPASSISERGLKVTPVLV NGHSPFGAEIEGIDWSRPIPEGLVNELVQLQDKYAVLIFRNTGLDNARHIAFAQQLGK DLEVNPFFFGRENDRIGEPFLWDVGNINRDGTTVQPGQRRWEHSKGNALWHTDSSFHK PRAKYSLLLSHGNPAKGGSWTHFADTRGAYAALPQDKKDELESLIVEHDLWHSRKLAS PKAFKEPLCHERNLVPPVCHKLVQTAPSGNKTLYLAAHAKRIIGKSFEDSQELIWELI SHCTQSQFVFSMEWLQGGDMVWWDNRQSMHRANPYTESMTARDVRRATVNDDGPFALG VPTPSM CC84DRAFT_1230080 MFSRTTAWITLGLWALSPGASAVKLGGYPKDMFDKSMSFLDQLY DDSVGYLYWFYYPLAAGQHETRSTIWYVPGLLNRQRGDDVEQAIRILRNVIGDQEKNV EAQWYGDYTVYPEQPTVNSSAYPPSIYNSWDPNWRGFIGTTLMVVYEEFRHLLPGDVQ ELILESMYNNTVGDSYRVGGVDDDNLYPSYSNPAYMRAVASGWTGRKLQDENMTAAGE MYANEVLELFNMNNTLSEFNSPTYAGITIYALTLWAKYMPSDSVMGREGQRVLGEVWD LLASMYNPNLRNLAGPWDRTYGYDMNKYVGILSVYLWSMIGEEAAFGGYKESPFFRAH ADDMEIAPMVAILAPFHNSLVPNSTIQKLASCSGETLISRKAYAPPYDMEPRNITTWV SPNLTIGGESFNQRNLGGAREDRSSWNPGVIQWKRRDDSVGWFNVYPSETAMTIEVAP NSINFTYPNGNASSVFSFIVASNPLGGKRDITSIQDIEDLDIEVSGTVDVGSPSISFC GLVGGTCNIIHGFEFWNITWSMPSNTTDVPSINLKVNLS CC84DRAFT_1101423 MNADEVRLAEMGHVQELERHFSTLSLIGLASTTTISWTGLGLGI VTEINAGGPGAIIYGFVLVTMLQCFLGASLAEFVSSYPTEGGMYHWIAAVAPKTQSAF LSFLTGWFTVCGWIFTTASTNLIYAQTLGALIALYHPDMTVKTWEIFVIYQGLNLLTA SVVLFGNKIIPSLNKFSLFYLQIGWLVVLVTVVACAPTHQSPEFVFRTWINNTGWENN VVAFAVGLVNPLYSLGGLDGVTHITEEMPNPSRNAPLAIAITLTIAFFTGLTYLIGLM FSVQDYSALGTTNTGLPLAELFRQATQSAGGAFGLTFILFIALGPCVISSQLSTSRVL WAFARDGAMPWSKTWARVSSRFGIPFNAQLLVTAANAALGCIYLGSSTAFNAMLGSAV TINNIAYYIPILTNMLTGRRNMYKGAFHMGKWGWLVNGVTICWLTFAIVFFSFPYSKP VTVQSMNYTCVVVGSLPILIIGWWFWVGKSYKEKIAVAKQE CC84DRAFT_1230083 MRLIIREDADTAAEYIAEYIIERINTFAPTTERPFILGLPTGSS PVPIYRSLVTAYQMGRISFRHVITFNMDEYVGLPRDHPESYYTFMHTNLFNHVDIDPD NINILNGNAPDLQEECTMYEAKIQKYGGIHLFLGGVGSDGHIAFNEPGSSLSSRTRLK SLAYETMLANARFFNGDMGLVPRMALTVGVQTIMNAKEVVIIATGASKALAIQSAIEG GVNHMCTLSCLQLHPCSLVVVDQDATMELKVKTVAYFRGVERTIQKSAKTRRPLVQTN GIHAEPVEAETDELSPDSMSSRIQIPQSFPVRSATISYGST CC84DRAFT_1128757 MSGRDNAPSERRGRGASSPRAVSELEPKARKACVNCRKQKMRCI VGNGQACRRCHRAGLPCIFVPRANAASIAGLLPSDKTDANFKNDVLQRLQVIEGLLGI NTQDLAPEEAPRTSEGLSDAEFNGFDALWDALNTLQESCVTMSVPSTVWHRNTVSNLW ASFHDRMPGLHFMPRKQTFSSPQPLLLAAILYCSSTRGPPETAELSPYYFQVLTNAIA RLLLPENAFGRPPNSECAVEEWAFQTVLGIVLAGLLNEASIRETGLWISVAYRLILEH CPPHVDETSREWRKLFSGVQIVDLEHASLHLSCPVIPIESPLPGLQISHRDQLYRLSR MMHTGLTHFTGRGLPTIWSCFQHEQPLVSSPASSFTSVDAAVIRDWARQLDEWLEEFS RAVEGSQRNRDLVFRQYVLHRMVVLSIYHTARGCDLWSNNITPKEQHELLLSARATIR LHLHDETIWSNWDLVMITWAALILLQGIEGNAGEADDLDTIKVHLGKLQQMNDPKPLL HAKLIARLHGNLQRVNTPNPNTIHEPDLTTLDGDSSWNIFDQASLEQINFASWPYTEQ PQTMHWNETQA CC84DRAFT_1154882 MSSHDNHQSLVHDILQQFDIDSDDVSKVTQRFLRQLQEGLDHPR PWQLPSYVYHVPDGSEKGTFLAVDLGGTNCRICLVDLLGNSTYNVTQTKQTVPEHLRI NPRYQPLFSFIAKALREFLDRHKLRPENDCSAGARRIPLGFTFSFTCEQTSISKGTLI QWDKGWDIPEALGKDPCAMLQESIDEMELPVQVSALANDSVGTLLSRAYTSQEQGSTL AGVIIGTGTNAAYIEKVCNVQRLPRPERKRTHDSIVLNTEWGCFDDDLEVLPITSFDR ALDASSINPRQQQLEKRVSGMYLGELMRLVILHCHEQGVFNMILGEESPCNRPYGLDS SFLSLLAKDKAAESLGVASAIERVLSAQGSTLRDARVLAQLADGIVRRSARLAGSALG AIIIQSGRLSDTQSEKGTVQVTSLQTHRHRSQRPKHFGRGCGLLSRIVGWLKRSIPSS TGPRNVEDKPLSRGSEQDIIDIGVDGSLIQLYPGFEAHMRSALRDIQEIGPDGERKVR MGLAKDGSGVGAALMANAAKLS CC84DRAFT_1168360 MLFRGSAPGDQRAHPNGRCLGSDDSTDLCRCCFGACDSDAGQGL KVAMGSVCVSRRGVSDGEAAIPPARSNTDDRRRGSRSGPSRLAGKTGK CC84DRAFT_1168361 MISTCSGSNTWTQHALRMRTPDDAGLAFSNIAPPPDQFLFVSDL GQHDEKPTIPSALALTLSGESQPQDFSSGASSDRPFAAAALYPLQTSFAAANANAMHM GDALHGSCMSPPQTRFNSDAGGCGSYDSTNNFSADDHAYSATSTTPTQWSYAMDREPP TSKRRPESVHGQMDSAPARRRRSSDKAEPGSARAVYLEKNRHAASKCRTKQKRQQEDL VETARDAERKNKVLKSEVELLKSDLRGLMELVGQHHECPDGRLRTYLQLEADRLSQRG NRSTVGELRSPKSSVSGDSSSPGNT CC84DRAFT_280732 MYFCSHETSIRVSALSSPETPGSGCTFPRISSTERCAQHGRRLR HRHTLPGCCECRRHLDILLAARPQRALRVRSARRDNMQNGYSRHQGVEKHNLREELAV MHAISSTGLRRVKGLGTTVRCEAASCRARHLNFVSRLTTNGAATPSTLRVSAPSRSAG HQSMGNKHLHTV CC84DRAFT_280731 MAKGHPDINDTTSFVLYRYVPSLPAAIIFVVAFALTTFYHIFQI GRKRTWYFIPLAVGGAFEFVGYIGRAMSHFDQWKLGPFIMQSLLLLVAPALFAASIYI ILGRIILLTDGEKHSLIRQKWLTKIFVAGDVLSFLMQSAGGGIQASGTESAMKMGEKL IIIGLFLQLAFFGFFVVVAGLFHVRLQRNGPASQTVDLNALPWQKHLVALYVSSMLIL VRSVFRVIEYIMGNAGYLLRHELFLYIFDAVLMLFVMLIFNYFHPSEITELYHKRIAG EKGPHSRHVSDVEMQLQPKNGREVAGGPWS CC84DRAFT_1101434 MSTPIDEAETPEQQRAAKRKTHRKSRNGCFQCKQRHTKCNEEHP RCGNCVRLDIHCTWPSRERFSTKYPTPPESHAVATAERTLSVGPDVPVSSFGSPMSIA DLRLLHHWSTKSYQDMNADPARWPAWQIDCVEVAFDFPFLLRGILALAAIHKTSCDPQ ADRQSLLLQADTHISSALETYRKNLEHPSEETAMAMFLLSMVIVTYNLASAQLEAPED PIGALHHCFRLVQGVALVIQPHVTQIMNSKMMSKIVEQGPGITFEGKVPEVLRLKKLA ETKRTSAHDFYTLAIDDLHTHFLKTRQCPPENDRLAIAFSWSSHLSEEFLHLISTHDP ITALVLAHFAVLLTECRLAWWIAEWPLRIVLAAQKLLVATPELLAYLDWPLEIVKASA GER CC84DRAFT_280989 MAPTSLIFLILASSVCRAVSRQFSNDFNDPPIRYRPKFRYWLPD ASVPSNAVANDIRAAKAAGAGGLELLPFYLYGQGEESYRRNGAEVVPDLPDWSKYGFG TDAFVDLFKDSLQAAQDAGILLDYALSPNQGQGIPSEPATPGLAVELLMGQDTIAPHG SFNASIPQAQQPSSYILSGLSFMHPLEQFGTPNLIAVIAYSTENSVSNGTVHLVQNSF IDLSSLITENNTLRWTPPDRSKFWRVFSFWEAYTNQRSCDGGPNATTSLGNGSWTVDH FSGNGASRVTDFWDQHLLSDPKVAELLRNVGNYAWEDSMEMLAALYWTPGLVDRFKNT SGFDLLPYLPLLFSISNSWNGLLPAYNETFVFGNSTDSGESRYQLEYRKALNDGYQEY LEHFQKWSHSIGNQFSTQPAYNLPLQALSDIPLVDAPEGESLGFQELTDVYRQFAGPA HLANRQVISTELGAVNTPPYWLTVPDLLQKIKRSLAGGFTMNVIHGFPTLAPYANTTW PGYTPFIYQFTDMWNPMQPAWQHLKDSLDFVGRNQWVLQQGQPKVDLALYAFATPWTI ISRYNSDNLRELGYTYDYLGPDNIVSTDAFVRADKLGVPEYKAMIFNNQTVATTEAVE ALTKFAAQGLKIIFIGAPPNQSYPVDAASQKTFNSAMARLLSGPNIHHTDNIDQLPAL LREADINPRVELNCTPGAVSTVYRSSDGVDYIYFFNDQDESPRCGATVEAAGVVPFVY NGYTGTQSPLLQYTTSDTHISLAPTLKANETLIIALHRNVPQPACTMVQSSPYIRSVN ALEGNLHAIVTHSPYVLATSTGKTKQFDTSLPQTINLTTWNLTVEDWHSAPDRFAIEN EITNHTFSNISLVPWSQISAALQPVSGIGCYTTTFAAPSEADFSALVGYLSLPLIQHT ARVFLDGEWLGPIDTANPIVPLHGLEKDRQYELRVDVSTTLFNRVKAEADQVWMVGQV ASHQNEKYGSAPYEEYGLVGGVSIEWGYSVEVEC CC84DRAFT_1180294 MHALFGRANRHRLAKNLRPAARPHQRREPSQFTARNPLSASAAG TLQRALARKCAPLGVKAYGAFVAAARLEWLDKRARAQSRLRGGCHPQDLAMQLTSPVG CERALPTSSVTPPERPLSIHRPRKPDAVCSLRHGGDILQSGSSTASFRILSSTDAATP APIVGPSQRVCCG CC84DRAFT_280793 MDIDESRKYDGYVNNSPKGTEPGEKVYDDVQHGELRDNSDELQR HLGNRQIQLIAIGGSIGTALFVSIGGALNKAGPASLFMAYTFYSLVMAMVNNSIAEMS TYMPVSGGFVRLAGHWVDEALGFTAGWNFFLYEALLIPFEITALHTVLGFWRDDIPIW GVAIAVIVLYGACNVLAVQAYGEAEFWLSGGKVILIIMLYCFTFITMVGGNPRNDAYG FRYWNKPGAMHAYLAEGTKGEFEGFLAALWAASFCIVGPEYISMVAGEAKRPRTYIKN AYKTVYWRYGIFFIVGALCTGIVVPYNDPQLDAVNNGGEGAGTAAASPYVIAMRNLGI SVLPDLTNALLVTSIFSAGNTYTYAASRSLYSLSVQGHAPRFLRKCTKQGVPIWCFAI TMCFPFLSFLAVSSASNEVLTWLVGLLTASGLIDFIIMMITYIAFYKATVAQGIDRRT LPYRGYFQPYQTYFALAFEVIVVFVSGYRVFLPGNFTYDVFFTTYTMVGVAPCLYVFW KLFKKTKIIPSKEVDLVWDAPLIDAYEASFISPPTGFWTEMIQLVGFRRGVRDDVRNV CC84DRAFT_1221777 MAMVSRRRSGPVAKLNPKPKTHASPTRPSPPNLTLRGTHHRNTP RRSQSVASATPRPLAMALPPSRQRRAFAPPSPSYPTPTAHPPSQPRSPALLSDLPSRW RRARTAAATPLAQRLAMRALEVALLSLALFLVPACIQHFVRALDTTYEVVGRRARTSL DEVGWWRVGVGTGLLAAWHAVLVALSLRVIAGGGERGRRGKWSRVLGRVVVPGYLVVA LVGFAYALATRMASPLVVPGQAYGHASTPHG CC84DRAFT_280817 MTASEGGKAKRTRSSTPRSRTGCLTCRKRRIKCDETKPACLKCT QAGWTCDGFVAQPDPGSSAVTLTSSPPTLAITRYSIPFQVPGSQKDRQLLHYFCVQGS RELAGFLNLDFWTRTVFEQSHQEAAVRQALVSLSSLHLDYTTGALTRSGIARNDTLMQ YGKALRMLQRRMKTSDAEATRTALICSVLFYCFEATLGHNEAATHHLQGGLNMLSYWR SQGAEQTDDLAGISLEFERLDLQTTLFYDQPIPHRPFPWHVDGEEGSNVRSFQRLSDA HRALVKAIGRGWRLLCDNLNYKFSPSEDIPESILREKLHLQETLLQWKVSFDLFKEQH SERTQTAYGHQILVVHWHIARMLLDAILPADMDVWGASPNPRAAEMLRLIEDVLDREQ SIASSPASSISEPTQRVVTSEMGVIAPLFAMALKCADQDVSRRAFELLRSVQRREGLW EASHMSSLVSKLRRARVLRFGPRGEAALTAARSQSLEMLFYDELGSAEGLLSMDTKSP QLQKSVEALWASFEGADGGLGARDSESELIT CC84DRAFT_1221779 MGQGHSHSAPERPPTAPQEPERELDPEERARIQAEERERRAAAV QARLAGQQKRSGNGNGVGAGAGGTKKKPSALEQMSAENRGWRAADEQAELRSWN CC84DRAFT_1263256 MKWATFFAGCALFAPMVAGAMQTELTPRQSVDIPVCMKQCEDVG SSTCFQQFGQGKITCECRAALDSSAAQACLQKSCKPKDIFTTLNAVASACNEPLRDKG TSYRTICIVFFTLASIAVVGRFATHFTVGRTNLLDNANIGLGYLLNVVLFACCMKMSY LGLGRDMWTLEDDTITTTLLYFWVSEYVYFGSIGLIKTSFLIFFLQIFPLKSFRRIVW ICITVNLTATFACAIAAVFVCSPITFAWTQWDGEHQGKCVSNNDLAFAHAGIGIVMDF ITLALPISQIWNLHMSSKKKIGVLLMFSVGAFVTIVSILRLRSLVHFAKTQNMTWDYL EASLWSVIETEVGLICACMPSIRLGLARLFPKILGSSVNSNSKQTGANNSHGNTLGTN WSVNGIGVTTSVRVSHAMRPQTNDHASFVQLVEIDADQKSAKSNKSDDAK CC84DRAFT_1128780 MKTRIIVQALFVGFTAALPSFISSRQDPLPSPECPETWFFNIDS FSGPGCPDSAPGFNATHGRTDQGWGSHFMPGCHTPWAWFTLPYTEASVGAGVRESKTY CELRLKWREMKGDTYPIEVPLDQAAWKLKMHRNGTTMEAGYAIDEGVRADWRFTYWMD EADDNSKLVDKIVVRGPLANKTLPVKQLDWSQGEKKTLEWTAPQCGIAYVKVRVDLEL RAENAGAMGTVFPTRIGSGVAHDYGWPGPLLGISHDFEPCKKA CC84DRAFT_1168368 MPYVEHGSIRLFYTSHGALPDAPCIVLLHGWACDAHDWSHQITL LTGLGFRVVALDLRGHGRSSAPASISDYSMKAFAEDVFVLLHNLQIGPAIIMAHSMST IIASILAVEHQDIVEALILVHPIYCGTPPTLATMSERMCREPDFEPYLVAEFFERHMY TAQTPGWLKTWHTRRILGTDGVALAGCGQAIVDLFESVVGQTDTAKAFMRKRAAPRFV ITTNTLPAAAAWEAELGLEGLDECHTMNEGTFSHFVDSERFNDMLKAWLVRGRFTADR RANGDRAF CC84DRAFT_1190388 MGLYHRRRPSPIQIFPTRVRRQDATASQSPKSPESSAPSQVSSQ AATTEAPQSTAAPATPPPPPTPSSSSAQEQPRPSSSSSATRQPQQSSTPAVPTSVESS TAKESTSTSDERTSSSGIASSVPVALPVFSSSPTSTSQSTALPSPTGGSSFVSRRPAS SPPSQTGSSSALSDFEPSTTRSTFVPAQSELDGEREGLGRHRTEHQEGPIISKGAEAA AITLSILGFIALIAGLVWFLRHRRRRRNESSMRHAEDAFNPGNSGSLHAPETAHIDYD GPPPSLAHMTKSSTSSTELFAGAHYERPETVSTKSNNSRIRAAPSIAPPQPTPNPFAD PPRNKAYDQLRGRPRSTTLTDRGSWVGNPFKDPASERFDPFGELQEKARAERRKYVEE ARREAEDRRRREDEQDYLEKERAGLSVPKRDERKGSDVTVGGMGVLDRSGDGRWS CC84DRAFT_281227 MNALFNSALRQSTSIRKDLDLFADSATPSPALQGQISASLTSFA RTIEDYAKLAKQEPIQTKQEKAFERVKNFRVELDEYRDNFGRIRSANEDVQTTQARTE LLGRRPHHASTPENPYAQPNVTAAAAQHSAFAPNRPYNAAAPYTANPYSAGAPAGADY SRESHAFRENTFMNKTSEQLDEFLDRGRAVLGDLGNQRDMLKGTQKRLYSVANTLGIS GDTIRMVERRAKQDKWIFWAGVIVFFLFCWLVLHFLR CC84DRAFT_1168370 MGWVHVWENGGQRQYQVHDGLMRRHLPDIAMQYPRWIELTRMAQ TVSRSSGGLLLSNEALRPLQSFFNTAEKTANKDDFPTHVSNHLNDMVRRGSAIHKIRN HLTTIAYLCARGRAPSIPAYLTSFVAQHAQEIVAEDYLHLRHWEYAIKSLTKLGPTTV ALNELSRARNLGPGYPTHIQRPYSPPYNSSNPNYGPYGLSNLCRGRSPTRTGPRYRSR TMPPMILPAQIAPPDFLAIPASRGIMSGFPSPSVFARDPLEAEIQEMRDNQLYLEEQV AQLQVGQQELVDEVVQQQVAGYAPLQQLTWPS CC84DRAFT_1221785 MRFSTIFVAAAAAGAANAQVDSLVSSVLGDVTSGLGNDVTSVLN SLTSAAGSGIASATTGAGSALSSIESAASSAASGAGSAVSSVASSAASEASNIASSAS AALSSATNGAASSSIKSEASSRLSSLSSAASSAATSAHSSGMAVPTGAPAMGALAGGL LAMAGLL CC84DRAFT_281285 MSHARFHQAILHLGLRSCTRSQGNLACSILPALATVAVKITSPE YETRPVQEIEAPRLGSASKVLFLNAEVRLDGANVGRF CC84DRAFT_1055106 CAAVFFRDHFYTIDSVNGSSMAPTLSPLAHETGAKDRIFIKRGF DRRDIQRGDVITFWKPHKQSEISIKRVVGVEGDTVYPHRGYACNPEVVNGRRVEGWDG LGSREWALGGDGEVELGKVVVPNGHVWVEGDNWRRSYDSCDFGPVSLGLVDGKARWVW RDWLRLQPVGDEREKGRSKSMVVAGKGRVERRF CC84DRAFT_1168373 MSVTIPPGGTYFDTLRKSFVDVPITADNKISTTEFLEAAESLLT LFDVLGSAAFKPVKNDMSTNIKKIRDRQLAAPTLSDTLQDLVINELKEKKHVATEGLL WLTRGLDFTAQALRHNIANPTKELSDSFRDAYGNTLKPHHSFVIKPIFSAAMSATPYR KDFYAKLGDDESKVQPALESWLAALEKNVGILRTFTTSKEAKW CC84DRAFT_1128793 MLAGRAFAAPARQCLRRTTATSRWTPALVQSRTYASEVAKFKGQ KGNDGKYTVTLIEGDGIGPEIAQSVKDIYSAANVPIKWEPVDVTPRLKDGKTVIPDEA IASVERNLVALKGPLATPVGKGHVSLNLTLRRTFNLFANVRPCRSIVGFKTPYDNVDT VLIRENTEGEYSGIEHVVVDGVVQSIKLITREASERVLRYAFQHARDIGRKKVRAVHK ATIMKMSDGLFLSTARDVSKDFPDIEFDAELLDNTCLKMVTDPLPYNDKVLVMPNLYG DILSDMCAGLIGGLGLTPSGNIGDECSIFEAVHGSAPDIQGKQLANPTALLLSSMMML RHMGLGDHANNIEQAIFKTIAEGKTITGDLGGKAKTYEYADAVIKALK CC84DRAFT_1263265 MALRNFGPDALLGEWTDEKYNPKHNGKSFEESIRAAFNIPKSDN YVYRAQGETTLAITQRAIDGKRVHGMHDWYHDENRELSDPAHPSPDEISAYASLFSPA VSLPKTLNAFKASSKPRTIRAHISNHLQGRFHNTTTGLIPAKKDRFHINPYLSLWTYS CDELEWAGPWPNTVHTKIAHHILPVFYHHFGCIVPTYAALHVVAKLAQPAKPSKENVR PVLDIGSGNGYWSFMLRSFPLLENMKTLDVRPIDNGLSEYRVSWVADTIREDGISYLN NHDGGKGCVLLLVYPQATGNFTGPVLKAFKGDSIVVAGTQNGNGFTAFRDEVVDEWVE RELKEFELTLRMPLPSFAGKDEALFVFQRKAK CC84DRAFT_1168378 MTIQQEHQPTAHTSSIPTKSFAVLVAVLAITLACSTSVRSALSS VLPRNFTTPARSMASASAAPMKITKRPWSQRGHADHDWLYTYHTFSFASYYSPDPLHQ SFGPLRVINEDRVKPTTGFGAHSHAEFLIFSYIVNGTLEHRDSMGNLENLKRGEVQFT SAGTGIRHSEYNRDKNDEVHFLQIWAKPNKSRLAPHYETKKFTDEQKKDRLVRIMEDT SRLGEKTDTSPIGLQADLSMDASILSPGKSVTHDIVAEGPRKIFLQNIMHGRSQPKQG GAKIKVGDVVLGEGDGAYVEGLAGPGKVEVESVGDKPAEFLLFDMGTKDV CC84DRAFT_1168379 MCHQARCNTCGRATWWGCGRHISKVMNSIPREQWCICEPRVERN GMKYPPKGAMGSVLSEWSFLKR CC84DRAFT_281491 MANSIDSHSIVMFGRRRPLLGAALVVGASRSAARHEVEREAQRS AEMQWAAEKAAVDKRREEEERDRRTQLALDEAIAKERQRTESTQSSRQPGVGEKQAPN VRYCPSCGHACLRGAKFCSMCGEKQPEDDSLPQNMK CC84DRAFT_1168381 MLHLPINGLEPQEWPASHLAALLSACMISPSLSSSTHSPSQASR FFNADPSATTLHFSEEDVSFIPFPAIFPRHLAHGVGIQGACLRRQGALYAHWATDAGG VFLLLPRACEESFEFSTGLVGCRSRGYKGCGGMTSRILGSRWMMRRDMILLLLLLESL DLVHLFERLLALLSHVANWKRLAVSYMLRPLRGVNLIWMERSVQSVARG CC84DRAFT_1209271 MASGLCRDCIGVVLCCIHNFEICQSTQLIDRNMVKEGDVGVVGG VNVGLPVLMTMGAFLSIALYNVIELNFLIFAIFKRRKGVYFWSLLVATWGIVPHAIGF IFKFFRVISIPVLSSALVALGWPCMVTGQSLVLYSRLHLVVKNKRKIRWVLYMIIFNV VVLHFPVIALALSAEATHSNRIIRVFTTYDKVQIVVFFIQEAMISIIYIYETVHLLGC GGQYNDGPLRKLLGHLIFVNVVVLVFDATLLATQFTGHYEIQTTYKTAVYSIKLKIEF SILNRLVHVVKSKHLLGNQGRFGDDTVPLSTRTSRKKSQFTTSAGRQDSFVRVNDKKS RIGNGKKPTMKASDGSIEKGYNLDGKHEVSDSKLTPNDCCKPDKILTPPYGYDMH CC84DRAFT_1168384 MSLTVEAPQLTATVRRVPPIVDIEKSGHSDITPSESSGVREDHG SEDFQQGVERVRAITAIWSKTTLISMFILLYLIHFIDMFQNYVDSALNPYITSSFDKH GLLNVGNVISTALGGCIPLATAKAIDIWGRVEGFVFMLVVSVVGMIMKAVCQNMETYI AAHVLYWTGHIGVIYVVDVMCADMTSLKNRMIIFGIMGTPRIVATFTAPRVAAAFLRY VNFRWAFGAFAIILVVCSLPAMGVMVFMYRKARRAGLARRERSGRNVLQSLAFYFVEF DIFGILLLMFAFCLFMLPFTLTNYAPNGWKTGYIIAMIVQGVLLFPTFVVYERYVAPV PFLPWMYLKDPTIVGSCVLYGVMFLSVFCWNGYYGTYLQVVHRLSIENANYVLNSFSL TSSVFGPLIGWLISGTGNFKWIAMTGVPITLLGTGLLIPLRSPSTNPGVLAFMQILVG LGTGIFATCGQLAVMAPVTHQQIAVVNALWGLFGGFGSSIGFSISGALWTSILPKQLH KRLPEDSKNRTMEIFGDILVQMSFADGTPERDAVVGAFAHTERLMVIAGTCFIPLCIA SIWFWKNINVRKVEEETGKQTKGMVF CC84DRAFT_1168385 MVAVETRPTHWPGIGKAVNLTSTILMNYFGMWRLIVYCKTNARL DQAECNASRWNRTYNFRLE CC84DRAFT_1102011 MVSEERIQEPYHLYTVKEKWVLVAIAGAAASIPMLTFNMYLPAL GRIATDLEIGAEATNLTIMAYLMMQGVAPLFWGPLSDSFGRRSVYLATFSLYVTSCVV LSFSPTYAVLLFFRMAQAASIASSVSIGYSIIRDISTPPECDRFHCFFQGVRNGTLVL SPILGGLLSNWTDFRCLFVLLFALGTTVLVAIAFLLPETLRSIAGNGSVPLVNIHEPL VWKCKVFGKPAHTNEGLQPAARPITPRRKFLEPLYLFQNRVVLLSLIFNSIVFMIWMM MTVSTTTLFEKAFGLNGALVGLAFVPNFLGAIAGSALIGNLLDNDLRRAYSAYKHAHF LPSNTSLSRHSIPTDFALEHVRLRRVPIFITVLVTSLAFYGYTLAYSSLTSLGGWICI PLLLQFLIAATAHAICGVQQTLLSDLWPSDDSDAASAASNLARSVFAAIGVAVVEKII ECIRVGPTFLALGLVVMVLVPLPIVQWYFGESWRAARGANRGGAPTKGSRTTNV CC84DRAFT_1221797 MTSEQNMGMRTSSTFCTCIAEGVAKHRSAPRMQAQLPGQSRCAS PRMLVPCRARRASGFLSRSLRTVADTDATLVAVSIPGSLPNDGFTIVQRRPPGTGVLE TSSWVRQPLWLVAARPAQCCVLLLARRAPRSPETRARSQRTQGTPITAPSPPRRCTHW QLARAPSSEPLSLPRVAASACAIGLPNPAPSREALRLGLSARVLGASEHAAALHMSYG APQTSTPHAPALLSFHLARTGLLPCHDPSRLPSTMPAEQQPDRPPAP CC84DRAFT_1128814 MAALKGDADRAPASKAAGSPLARALRDFEALVQRFETRLLRARD VDGRDDEVRREACTLALAELGARHTKLEIRRSLSAAEAQGRRVEQLLHAARDGHDAAA HDDDDLRAIHHGYFRLYSTELFDLLGQPVDLRLGTVRFHHCDPSPPPTSRAPDQGPVS LELALGSSFFVADALETLPFHAFKVPRTPSLQNRGILSRKPADHGAFPPYDSWLLFTF LGHGCLKLEVPIEMCADVYGAPLAGRENEEVHFWGVFVDDDAP CC84DRAFT_1230114 MPPLPSPAYVNAEYQSTHYNLFKDSITTPLKSTLPPGVTQYAFD AAIIQLMAVVGHKQVLQGDALTEYIDPYELWEAEGKRKMPSAAVRPGNLEELKRVLAI SNEYGIPLWTFSRGKNLGYGGPAPRVNGSIALDLHRMNQIIEVNAEYAYAVVEPGVTF TELYDYCVAHKLKVWPSVPSLGWGSVIGNTLDRGTGFTPTATHHQHICGIEVMLANGE LVRTGQFAVSESPSSHLSKFTFGPSIEGLFLQSNLGIVCKLGIWLTPAPQAFMSCTFD MPDFEDVETIVNVFGPLRRDGLLPNTVYVSNLVEWLGMMGKREEIWPEGGAIPEWRLK ELQAQLNLGYWNAKFGLYGAKQVVQAHFKELKRIVAMQAPKGRLSGEMFSADNGDTLD PASVGEPHGGFFVGVPSLWSLPMVKFRLPKEGGGIGAHYDYSPIIPSNGGTVLDWVRA SKRVCEAEGFDLFCDFFMHERHVIFVNMMTFDKTNVDHRRAVQTIFMNLFEEGRRRGF SKYRSHINTMDLVASLYDFNDHAYRRFVETIKDALDPNGILSPGKQGIWPKRFRENAK LKAQL CC84DRAFT_1209275 MPPFGLYSLPRHHLYGGRTKSKTYNSNNFQGDQDEAAASDPALS ANIDGTPATEAVGPDAESREKVARKDKKRLLSLNGDKNAELERLLDRAEATPANNDLF KTKKKKKDRKHKKKHRRSDEGTHGAADVTPDPPSRGQKSDKNNDGQECRAVKKRKRDS EAHASTVNAQLVREEYLAGQQLNSLARFGPSPPKQPRQEAQAIDQPSKRKTKRISQNI QQKTTEHVQSAQGPGPDPGQLREHKTKRKVVKSVPGPSEAAAKNGFSKLPLLVPRDCV DGSDEFKVKEHKKNQDTRDLVSSPITPRPIPARVAKQTPIPFPQLRTPEANPAGKAHK NNGDDLPRFDLLVPETPPSKTPRRTPVPFPTNVEGRNDTVTAEYSLSNDYPQTGLISS PTPNGEVPAPSTAPAALEFSQTVSKLPAVPNALTDANLRSFKKPLADGTKPRPRTKTG TSTVASFPTSSASMSIVEAFARVGKPLVRSAAERDPFITTSDKPQGTREAREGIPLDI FTEKYREVTKIVNFDEEDQYLKEHLEWDARRDQGQVPCLHKMTGCSAKKEELLRLSKD ENMQILAQLDTPADDVAKLDEANNQSQRAEDLLMLATKARIPVPIGCIEGRWALYSPR YAETHFDKYGYGQRSLVISSIAGFKHKNTFTARMQLPPRTMAFSILAFQAPPHASFRI TTIKTASEGYTMDVVFLGNGFLHLRADLGRLLTGTTRKPNEDNHVLDFIGVHDQATQW WPDKKSEVEDENMV CC84DRAFT_1263272 MSAQQPFRNHIALISILLFSLLVSAQGATGGNRIESGQCPDNAE DTLVYSPFKPYFYWPFFRGTRQRCWVAADCLLEAAGESRKQQFAATALVMGLIPLTLK DIAWPERRLIHVTKRLNWAVEVLVLALGLVPLETGDARETRKRSRENNMLAKRAWAMS RSSIIMVILVCWVGVFASYAGMVIMEIYSKRSALGCTYPATVASWYVVALLPATMHAL FAAVRRSKHERRLVQLHLASSDALLAKDVAQVRAEEKKKIVSAVPGADEDWPVQMAWG VYYIAGTLIFTSIMAVTVVELVCWVGLGFAVTGSYKKDEDSLLYVFLPGTIAVNLARA GYSVLLIEAGGDESDDITTQILSLGSLSSSSSVNWSFFVKHSDDIERAKRYNLMVWKL NNGELWVGRDPAPEGHLDAVRLGVFYPRGATLGGSAIVNAAATFLPSDSDWDLFDKDV GDGVWSGRDMRRVFEKIEHNNYLEAGTPGHGFDGWLQTNIADRSLYSVQSPKIKVIEA ALRLLGKDPSQVVDYLVSDANYLDERRDKTQGLFALPFHVTKTWKRFSPRDRILATSK ETYRNGTLKFLLHLQLHSLTTRVLFSDQKADKKPKAIGVEYLEGPSVYKGDTRYNGTH GRTGRAFARKEVIVAGGTFNSPQILQLSGVGPRALLERHGIPVVVDLPGVGANLQENY ELPVVGLAHQSMLDPVDPSAPNCTLGAPGDPCVELWKQGTGPYARAGGNAYCVLLKTN HSSDGERDVLLFSTPGGAFRGFKPSTNQTFSDPPETMSWSTVKMHTQNRAGYVRIQSA NPQDVPEINFNHFAEGAETDVGAILDTVSFGRRTFFNTEAPVGPVRPREPPCPAEDIK EDGFCDDATPDKQWIQDQIFGHHPTSSNSVGPDSNPLAVLDTRLRVRGVERLRVVDAS AFPRLPGAFPAVATFMLSEKASELVLADAREP CC84DRAFT_1102443 MPASDDATYYHDDPAWGDVQPLAQDDGALHPLASIAYTDEYSEA MGYLRAVMAANEYSERVLALTEHIISMNPAHYTVWLYRAKALRETGADLAREIAWLNP TALQHLKNYQIWHHRQTIVDALGSPAGEADFIATMLEQDSKNYHVWSYRQWLVQRFDL FASDDELRWTEAMIDDDVRNNSAWNHRWFLVVGGHGGRMESPRLAERELEYAKYAIRK APQNQSPWNYIKGVVRAAGMPLSTLTSFALEFADMHRPDDIYSSHALDLLADIYADEE GSKEEAKKALDLLASRFDPIRAHYWNYRKALLEVPETAA CC84DRAFT_281648 MGVNEFLQSSQEQSRPWVETPLRESYALSQAAGCRIFLKLETLQ PSGSFKSRGIGHYLVKRVEELPAHINNPHIFCSSGGNAGLAAVHASRTLGVACTVVVP LTVKQLMVTKIKAAGAYEVLQHGDAWADADKYMREELMPQAGEAAIYAPPFDHPDIWA GNSSIMYEIATQMPDSPADAIICSVGGGGLLNGIAMALDDLRLSDSTTVVGVETAGAE SLAAAVRAGQLVTLPRITSQATSLGCARVTQLTLDTAQRQNFKGVVLPDAEAAMGCWR LADDERIMVELACGVNVALCYDGRLEKALGRKVRPDEKIVIVLCGGSNVTVNMLSEWR KEYAYLEEPVVRKEDAKVQDLPEEVPEIPRRAPVHRQWNEEVLQLEAKL CC84DRAFT_1102196 MEKHTLAPRDVEQAAPSHPPVAAPTRAGRATTKRVAIAVLVAVF LHVGFFLRHPLSHLVQSPSCHRLLDQLTVEQRAQKILRENPLIDGHNDLLISLRMRYK NLIYGHDFKEKFEHGGFPQHVDLPRLDQGMQGGAFWSAFMPCPPGNGTDFSDEKYAPI VKMTLEALDTYNRLGLAYPKYFTLTPDSYAAEQAFKAGRLISPVIIEGLHQIGNSIST LRLYHRLGVRYATLTWNCHNKYADAALESDENFAARIATPYWNGLSPAGRDLVLEMNR LGMLVDLAHVSTDTMRDVLIGNGTEEWKGSIAPPIFSHSSAYAICPHPRNVPDDILHL VKKRNSIVMVNFSPGFVSCKPGKTPSDLPEFDPENSTLDHVVKHIKHIGELIGYDHVG LGTDYDGIESTPEGLEDVSKFPNLVAELLRQGISEKDVGKIVGRNILRVWKEADEVAA KLQQTATPLEDDIRSPWSSDKDEL CC84DRAFT_1221805 MAVVSAYTIIRALALFHVTLAVFVLRNPRMVAEQNIVFLLGEAM QLPTPRDFLRPSAATGFIAVLLALLGISDLTALSMSDEAVEAHWGTQTPVRLAFLFGL TGYAYAFKPGGVLGSTARGAGDHLNNSVVFAFGFIELTVWFWVFITLRDERRQRAIRL MEKRRAEQDML CC84DRAFT_1168392 MSPISPHTILLLVANPVDILTYFARKLSGLPESQVLGTGTSLDS ARLRGILAQKAQVSPSSIDAYVLGEHGDSQFVAWSNASIGSTPLRLALSDQLTAEFKA DIESHTRGAAGAIIAAKGCTAYGIGNVSASICKYILFDSRTVRPLSFYQPNLSCCLSM PAVIGRKGILRAMPIQLDGDEQKLLEDCAAGLRAIIEGAEKELLADDELRHALEKHT CC84DRAFT_281699 MPDIHKAAVFVVSALSGLSNAREIYVSPTGTGSGTSTSPFGSIQ SAVNAAAAGDTIYLRAGTYKPATNIQFKTNGTRTSPITVRSYQTEKVIIDGEDLPGTP YGLDESLPNKERGVFHIQNANYWKFYNLELINGPYGIYARDASHNYYELITTHDNYET GFQIEGASANNTVLYLDSYRNRDPRKNGESADGFACKEGSGEGNVLRNARLWDNVDDG LDLFMFGSPVTIEEVYAWGNGINRWGFSDFNGDGNGFKLGITDNPPANHIVRNSIAFS NAKKGFIDNGNPGSLTMERNTAWNNGDVGFNFRSSSSTLKSNIAVSNVGSSQVTLVST VKASGNSWQSGTWSNSSFVSVDPSTLKKARLSDGRVPSSDFLIPKSGEALGATTKSDV CC84DRAFT_281785 MSEPPAYTGYFFPGRENLRHDIYSTICAAQSSLLKQPRKVVLIT GAGRGIGRATALQYAHADVSCIILCARTASQLDEVQSEIHNINESIRVHKFSMDVTDD SAVAHCAEEVQSTEGRLDVLVNNAGSSAPWVPISESDPERYWGSLTVNIKGPYLFLHA FLPLLKITARGYNTTTTVINMTSVGAHTVYPGLSEYCIGKVALNTLTQFVDAEYREHG IQAFAVHPGGIDTEMARSDETFIKGLEGLLIDTVELPGGFCVWLSAAERKWLSGRYLA APWDVERLEQMQDEIVAGDKLKAKLVL CC84DRAFT_1168394 MPPSQFRPLLHPPPPRLLPTLGLLQHRMVPSASASVSAAYSSTP LAPPVLFLEAVPPP CC84DRAFT_1199247 MATAQSCSAPLGHHMVFTIPRTASHLLLKLLNLPQQHSVYRHSN NQDGYMFLPAAALRFRQSLPGKAIQEWSEEEKTALKDAMQGSFDDWLGLIEEAEKQGK STFVKEHLNWMASPAAEARLYGHQKSNSSTTTQFQVHWKTQQISDSRKQDNITYLPDA FLLQEVKPTFLIRHPALTFPSCLRTAMDNEGASSVIDGEKIQQWECTYFWSLSLYTFY IESAAEFDRRSFVDGVKYPIVLDAQDLGDEALVRKYAKAVGLDEEKVRFTWKAAEETE LARLGKVEKRMKSTILASSGIEEGKLNAALDIEIQRLTKRSKA CC84DRAFT_290916 MRLSSSKASDIGVRRDWKSGLISSVHGLVMNDKRHVNPIGAWPT VPTLSAPHAPVAETQASRHFGFLFCLRYLRSVAWKSQGFPFLDGGVEPRRGDPNTNGR RTPNSVGTLYQPYFYNDEALAYSHAFSFFCKPQTPCARVAASGFLIDTTFSCRVCVRY NIMC CC84DRAFT_293319 MAPLLRILVLFNLSLYVTAQGKQFITGPCTSDADCATQCCGFRS GLCAAVIPAQERDGGCGFGNPAPNAGPNANTGAAAAGTRTITTSIITGSSPAATSAAG TGSGKAPGTQFITGVCASDADCASACCGRNSGKCAAVIPAQERDGGCGFGDAQPNSGP GANSLRRSMRVGRHTT CC84DRAFT_294100 MPMTSVAFTLACRNQPCAGDAGLDCAIDPEHAGSHKRRLARRGG RAKAHRRHQRRCGTFHRRVQPAAGRSWAEWERLANLANCGLWAKTCDSAREATPPAAR WSLASTTQTSEMICISFAKETAQDWVECGTTARPRRTPPCHHDVDCSERGHDAVMAGR LEVEAASLLSAAATSWRSRRVIGVVGSAKACAGAVGKASPGACARSHGWVCSCAWPPE VQRRPSTCWRWWGVGGGCGWEASACGKRLRHKHQQAGGGTC CC84DRAFT_1180328 MLLLLCCWAALAAGLLRSRECGRETRPRRPLPSRAAVVSGRRQL DSSLSTHRAGGVSATAHLQQPRPLHLPLLAASALRSVHDGVPAMSGPHVGPWPLRRQ CC84DRAFT_1180329 MVKAGLLGFAGRRKSQGNIMEDAKPLPAPDAASGAESPSGSGGG FRLMTTSEAEARKTEEKRRAQEKSASKFRPFSSFSAGNKARNRSFDEDSPGSSKRDSK SSSGTQSSRPYNNGQYGSTSTLPSSADTDPNDNIFAIPRPHMSQHSSSQSGLSANTYK KQLPAVPQSEYGGYGEPTSPVGGFGRVRAMTSSSYASTAKPPTLDADMNFGSTSFDDL FSGIDRKPSPEHAAESPGRSLLMGKRTFHAEPIKIDRKLDVEPPLKSWDSRNSADNLM SSPRSPEDDSPPPPVPAHKYGQYAPVASYSPELNGANEFIDNDASTVRKPVMSQKSQR ESSPEQEPTSKSSSSAPSLETPLSSRSGSDITTPKAALRTATSPSSEDGDEDIFASPK PKHMAMAKPSALAPRDEPRPQPPTSDSGRRVLTQAEFRAQQQRAMAQPSDESSDEEDY EDEEEAQERAAQEAIARRKKQQMDLARDHMRRTTTAPGNLNRPDSVSEPFSMGFPSET SLQAEEWEDEDVPLGILAQHGFPSKNHNKAPTQPSNAIPSYFPDRPASAGPGDNRASM FRPVFARNLPDDPHASFIGGGLVRPTNRESMGFGNRGPASIAGDSVSNAHMNMPMMGY PEPQLSQPSLVEQIHMRDMTKQKYMGGASTKKPHEGPFTGMLGAQMNPPVQSGPGTRM SMMPGMQGMGAGMGMGMNMNMNMMNSPMGMPMMGGQMAYPMQQNDMMQMQHFQQMQQM LAAQQMQIQQMQMQQAQDPRMSMAFPSNVADPRMSMAFPNQQMIPPPHDPRMSMAAPS LLNVPSAQRPMSIMPIGNQQTQQPPRPFSTPIGGSMAPPPQLNGYTPSIAPSERSNIG LSARYRPVATHSDAKSSSSMTLQASAGVGQNPVMVKGILKNKSPIVQEDDDDGWGKMA ARKSKFNMGGGARGGADDSLHELVRGMDGL CC84DRAFT_1168402 MNASRLFRPSPRIAQRFRVARHAYSTRPTDPAPPRPHPPTQPSS STQAPPPPKKDPSRVGTFYRNNTYPVLKAFLLALFTYQLAYYVWLKLEVVEEKSEQQG TIQGLEEEVKRAVAQQKRKASDVAAKVAGSGDELPEEKKRKGWLW CC84DRAFT_1168403 MAQTPQQRAANARYARREEGKMGKPVEERVKRTKQDYKSPISKG WIIVLGFVLAGGAIFELLKLFF CC84DRAFT_1221815 MDLKSTALMKLTYLEMFGHDMSWASFNVLEVMSSPKWRHKRTGY LAAVQSYRRDTDVLLLAENQLKKDISSPTPPVISLPLVAIPHVINPSMASSVLSDLLP RLTHSHASIRKKTVVTLYRLALVYPETLRPAWPKIKERLQDDSEDPTVTAAIVNVICE LGWRRPQDFLPLAPRLFDLLVGGGNNWMAIKLIKLFATLTPLEPRLIKKLLPPLTKII RETPAMSLLYECISGIIQGGILEAVEGTTEGEEVARLCVGKLRGMMAIEGDANLKYVA LLAFDKIVQSHPYLVSQQQDVILECIDDPDISIRMRALDLVVGMVNSDNLTAIVGRLM RQLRNAPIATSADNPANDRARATGVTPYAESDDSDAEESLRQHEQRSDQPPPLPEDYR VNVIRRILDMCSRDTYSNITDFEWYIDVLVQLVRVSPATSSSAVEEQGNLDQADDIGR GIGRELQNVAIRVKSVRPEAVDAAQSLIFVDLRDQMFPSSGNGGQGVLEYAGWLVGEF ASYLTKPEPVLSSLLHPTSLQLPSKTLAVYLQAFPKVFTSLASNEQISWTPERKTLMS LLMARIIHFLEPLSTHPNLEVQERAVEYLELMRLASEAASGTETGVEHGEFTEPPLLL TQAMPALFSGAELNPVAPGAQRKVPMPDDLDLDTPINPNLQYLLSQAELEGFEADEDD VYAAYTEPPVSYTEAQTPAAISASERLDATHKEPASYQNATEDEYLDPDIIARRKAER KERYKDDPFYIDPEGDRGSGAATPLGRIVRENNTELDIDSIPIMDLELEKRGPSPGGE DIARARSPRRAPRRVEIMGDETLGSSDAPSDASREDVISPRFASAQARGKKSLLEVDS SGLGSLSLDDDDSPGRKLTRYELERKEQEEAEMKRALAEVERLRLEMQRASERLEVKG ETVVKKKKKKVRKAEVEGALTQEYAGDAGGANGDGEGEVAPVKKKKKKVKTEGGDVAE EGKEAEGVVKKKKKKRRVVSIDEGAAPVDAEE CC84DRAFT_1102108 MAHANLLTPPSRIPPQTALQLSQKAPLVLSSAPTSSLAWPLSLL FSRETPETWAIHENLLLAALRTGDDAAARQILDRLTTRFGADNERMVALKGMYEEALA KDDKDLEKVFNDYEKVLKDDPTNMSVRKRRIAVLKSLGRTADAITALTVLVENSPTDA EAWAELSELYASTGAWGQAIFCLEEVLLIMPNAWSAHAQVATLHYLSSSTSVPALTLA LRHFARAVELNDSYLRGYYGLKLVSQKLLPLLSESSGSKRNAEEDDIAPPKPQSVQKL EEIATAKLGEIVRQYSASKQGQSGYYEAEIIAARELLDRDGRAER CC84DRAFT_1221817 MSDARSSDMPMTAEDFYTEEQKKLVNSPLYSLLRQQLIADMEAY AIEHGIYHDDGPIPESIYKKGHIRNVIGFINFCISDALYNNPYKVVPVVYLASAGLLV LCIVIKEYVIFQATRRFLKEPNHADLFEKSLDTMASIMPVVVTLVLFLFPPLIANT CC84DRAFT_1102352 MEGSKILRNAKLIKDNSNTQKRHYVRHKTHAEENVPLRFELFIL DDGQQKIEVREETKVPNTTVFTFNKEDHTLGNLISQRLLKYDYITFSAYKVPHPLFAT FELRVTTDGSITPKDAVVRCCKDVIQDLSKVSMSFQGEWLNQKIIEEGQRDAEVRDQG NY CC84DRAFT_1102159 MFSGSNSYLGGGNSARPGQQPQYGQQQSFQQPGGFPGALGPQPT GFAGGPLQQQFTGYPGQPQGFQQQQPQQPQFTGYPGQQAQQFQQAPQQQPFQTGAPPA QQAAPPQPQRPQPTGMTSSQMADSFRGTASAQQAPPPVPAKTSKIPNIRLSFITAQDQ AKFEQLFKSAVGTAQALSGDQARDLLMRSKLPGDALSHIWTLSDTTKSGQLLFPEFAL AMYLCNLKLTGKDLPNSLPERVKNEVSSMVDIISFGVVDERPGRSTPASNAPKFDEPL NQSSQNVPTIQQPQPQQSNSQLLTSLASQPTGFGGLQGGLQAQATGFGQNLGGGYTGP RPPMPPMPTGFGGGLNPQPTGLAPQPTGFAPLNAQPTGAPGQWGLVNNPTTGLPNIEA LAQRMMPQTGREASGNYTTTGLSGNATIPWAITKGEKKLYDDTFKAWDGLSKGYISGE QALEIFGQSGLAKPDLERIWTLADSADRGRLNLDEFAVAMHLIYRKLNGYPVPNRLPP ELIPPSTRNINASIGAMKSLLSRDAEERKNTGAFLQPQKTGVSYLKSHSFQAGSPATG GRKDATVFRNNDDNMGYRSSARHRVGAGGRSPSPATPTSPGSERSDQEYSLDQLRKLV REKQILLDAVDIRDENAADEDDALDRRDRREADDLYRRIRRVQEDIDAHPQAALRGND SDAERRALKRQLQNLTDRLPELASNVRRTEREIADAQLELFRLKDAKAHPGSASSIVG TGPGGSITESDRLKARAKAMMQARSAALTGKPVPTTGDDTGAAAERLEKESSRIRSER ENNERMIRDVEDSVSEYTKGLEASLKEGGHDNTSEHERRRWEDALGVEDEVKDFIFDL QRSSRSARVRKEDTSRHQTTSRGEENRELTSSSRYESPARSAEPPPRPSSTTSGTGSY SSYKTPEERAAFIKQQAEARMAERLAALGLRPPVKGGENAQQRQEREKQEMEEKRRKA EEEDAKREEERQRRLQEESIAPPVGAKTAGKKPPPPPASRKQKLDQTEAEGKRADHDM AERALREQQQAEEAERKRMEDEERRQEKELAQQEEEAEARLRAMQEQVEQGKLKKAEQ KKQKEAAKREAKEKESQLAAQRRKLDEIRERERQLQLQLQSLDDEESSSDDEGPQNIT PNDTTPTASQELHRDAASQSAPPPAPPMPQIVPPVPQQMGTPPSSVASPPTSSLTSPH PAHGESETKNPFLKKMQLSNQDTHASTPPPLPSNTSEVSTNPFHRLTQENANKAAFSE PAAPTRTRGRQEEDDWSVVDSDDSSDDEDEAPQGGAKQLASLLFGTMAPPRPLSSMDN KSDRAESPAVTSPAAIPPPPPMPTGGAPPPPPGPPPPPAGGPPPPPGMPPMRPPGGGL DRGALLGQIQAGKGLRKVETKDRSQAPTAGRVL CC84DRAFT_292194 MSGERNGDTGGHVEPDFAQAFKELARGEGAATAMENHLDALEKK IEEMLAKAEEDERALASRPKSSATDPSTNDERRPAA CC84DRAFT_1190415 MVLQAIKYSRGQLEILDQLKLPHAEEYDHIYSSTDAWHAIKEMR TRGAPAIAIVAALALAVELTNMKLPSIAEEVKVFIIEKLDYLVTSRPTAVNLADAARK LKKATEESATREGATGDSVREAYVSASEQMLIDDVSDNENIGRHGADWIVKHTIAAKK GPVSMLTHCNTGSLATAGYGTALGVIRSLHAQGNLKHAFCSETRPYNQGSRLTAFELV HDKIPATLVTDSMAAALLRLRGPSENIAGIVVGADRVAANGDTANKIGTYSLAILAKH HGVKFLVAAPRTTIDLDTKSGADIVIEERPGKEVTLVKGPRHDGVNLDLGVVETVSIA ANGIGVWNPAFDVTPAELIDGIITEVGVVEKDSKGVFHLDKVFEVAGSTIKPSSVGEI CC84DRAFT_1209294 MASKLSLTSALTLPNSKYKIPHIGFGVYQSPLSTCVNSCLTAIT AGYRHIDTAQYYANETSVGRAVRDSSVSRSELYITTKILSPGKDIESTYKSIEDSVEK LDGKNGYVDLFLIHSPNGGKESRKLMWQALEKAKANGKVRDIGVSNYGVGHIEEIKEF GQVWPPAVNQIELHPWCQQREAVEYCKKNNIVVEAYCPIVRNQKVDDKTLNEIANKHK TSPNQVLIRYALEKGWVPLPKSDSPKRIEKNADVYGFELDVKDMEILDGLDQGKSGAI VQAVSNVPS CC84DRAFT_1221823 MWGSGLTRSNTEDSRRNPWHNEAQNHPDRLEEVETPPEDSAASE SDEDGYRPNAGGTWGERDAGDIDTRHAAEEFAVLRERLTTMSRTQSRASERADGLVKT ISRRSGRSGVQRRHSVAATESNTGGDHEDAATEKEDDFELGAFMKEGHFEKRKNGQSA KKVGVIWKNLTVQGVGSTSTFVRTLPDAIIGTFGPDLYKLVSRFIPALKLSRHSQTRT LIHDFQGCVRDGEMMLVLGRPGSGCSTLLKVLANQRESYASVEGDVSYGGIPAKEQAK KFRGEVNYNAEDDVHFAELNVWQTLYFALLNKTKKKDRDHIPVILEALLKIFGISHTR YTPVGDEFVRGVSGGERKRVSIAETLATKSTCVCWDNSTRGLDASTALDYAKSLRIMT DISNRTTFVTLYQAGEGIYELMDKVMVIDQGRCIYQGPANEASKYFFDLGFKAPERQT TADFLTACTDPGERQFREGYEHKTPKTPEELEAAFRQSESYKRVLREVDTYEVELRRS DYVDAREFEGAVQEGKSKTVSKKSPYTVSFVRQVLACTQRELWLTWGDKTTLFTKFFI IISNGLIVGSLFYGESLDSSGAFSRGGALFFSILFLGWLQLSELLKAVSGRVIVKRHN DYAFYRPSAVALARVLQDFPLLLFQVVPFVLIMYFMTELDVDVSKFFIYMLFVYTTTI CITSLYRMFAALSPTIDDAVRFSGIALNLLILYTGYVIPKPQLLNKYIWFGWLEWVNP ISYAFEGVISNEFSDRVMECSPSQLVPQGPDVDPTFQGCALTGAQPNARTVPGSAYLA TSFNYSRSNLWRNWAVVIAFAVLYIIVTVWATEKFSFSSAGGGALIFKKSKRSKKAVK QAPSDEEKAVAGDSTGSDLSGETTEAQHEEALEQISSSESVFTWQNVEYTVPYQGGER KLLNGVSGYVKPGQMIALVGASGAGKTTLLNTLSQRQKIGVVSGDMLVDGKPLDVGFQ RGTGFCEQMDLHDGTATIREALEFSAILRQDRKIPRQEKIEYVDKIIDLLELQQSQDA LVSSLGVEQKKRLTIGVELSAKPSLLLFLDEPTSGLDSNSAYSIVRFLKKLSSAGQAI ICTIHQPSSVLIQQFDMILALNPGGNTFYFGRVGENGKDVTEYFADRGVECPPSKNVA EFILETAAKPVKRKDGTRINWNEEWAKSSNNKEMLQEITRVNSERSQKASENKHDEAT EFAAPVWLQTTMVTKRVFTQYWRDPSYLYGKLFVAVIVGIFNGFTFWKLGNSIGDMQN RMFTSFLILLIPPTIVNAVVPKFYQNMALWQARELPSRIYGWVAFTTAQVLAEVPIAI VSSVLYWLLWYFPTGLPTDSSTAGYVFLMTMLFYLFISSWGQWICAFAPSFTVISNVL PFFFVMFSLFNGVVRPYTQLAVFWKYGMYYVNPSTYWIGGVLAATLHGIPVECESSET ALFDTPPGQTCSSYADGFLSQSPGYLLNPDATSGCMYCPYSSGDDYLAALNISASDKW RDFGIFLAFCISNWALVYFFIWSVRIKGWSFGFGAIGRAIGKAIRGMKGKGKKKDGEG EA CC84DRAFT_1102171 MGLGILEDHKLEHVPGTANVLEDERRRQEEQGQARDAGLKYDKT GKILLVPQPSDDPNDPLNWPLWQRDLIVCILSLISVIAATLSPLLAADTVSLAIYFQR SFTDMALLTGYHLLGVGLAGFLFVPSARIWGKRHVFLIGAVTVIHSSAWGGANGKNYK VLLWARIIQGVGLAPFEALVNASVGDLYFVHERGLRMALSNLALFGGAFFTPVLVGKI AAEIGYEWTFYFVAIFTAMLFPLLFFFCPETTYKREQRFDIDTMGNMVEQTPPPVSKE IAVESSADTSGELDRAGSGANAESTGQQPHLRTKVSWKRSLLPFNGRHTDERYLHLLL RPFPLFFHPGILWACLIQGALIGWTVMIGVVLAAIMLGPPLWFGEEKTGYMYSGAFIG ALIGFILCGLVSDWSARFLTRLNHGVYEPEFRMFLVLPQLVLGCAGLYGFGFTGGDPY KYGWFWPDFFFALEVAGMVCGAVASALYIVDAHRDMSVEGFTCLLVFKNIFSFALTFK GFEWIQRGKVRPVFTAIASVQVGICMLTIPMYIFGKKSRSFFHRHNVFFKVTDAIADK IMMPLDWSVRKLLGH CC84DRAFT_1190419 MSDTEMDGGVALNGADAMHAASPPNAAMSMTDSTLATRRPVTVD KPTPYTFDLGNLLANDPNPVARDADEAALQANARDAAQVLVNQLLSTCEIKSSADGVH LVLPPCTTPLPREKPIPAEKPPTKWELFAKKKGITKNKNDKTKLVYDEATGEWVPRWG YKGKNKEGDNEWLVEVDDKREKETGEAHDARADSRAARKEKIRRNERKQRANERNAKK SG CC84DRAFT_1190420 MPPPTCLRSFSQLSLDSAARASMASRARAHAQMACFSTSAARYA NPVSKKKGMAQTKKGNKTLNVKKGRNTVQDTGKRPAQGERKAARKRIVLTNDNALEVA TLKDMTKADVLSEANQGRVLGIPEATVDALRAVEAFKTTQGWSLFRRPATLMRKETIE LAKVMKAAEDDKKTLRRVLSGERLSGKSTLLLQGLSMAFVRQWIVINLPEAQDIINAH TEYAPLPDSQPTQYTQETYTASLLSQILKANNTAENPMLEKIKVTTSPALPLALPADA TLKQLIELGIVNPEASWPVFVALWQELTQPGRPPIMLAVDGTAHMMKESDYLNAEVKP IHSFDLTIIRHFIDHLSGAKSLPNGGVILAATSQSNAPSTPALDFSVQVAEARQVDPS KTPRWNPYKNIDPRVMEALKDLHSPTAKEQLKPLDVIKVGGLSKDEARSIMEYYAESG MLRAKIDDGFVTEKWSLAGMGNIGELERASVRLRI CC84DRAFT_1101859 MEGAQRLREDYPWIQTPLVVGAPMRLIALADMAVEISKAGGLGF IGVGTDTSNLSSDLQAAAKLIEKSSLTMNHDVMPIGIGFINWGADLDTAISLIAQYRP AAVWFFAPTSISSLCEWTAQTRKASSRTKIWVQIGSMKEAAEVMHAARPDALVVQGTD AGGHGLVQGASLITLLPEVSDNVYAMCKEEGISPAILIAAGGVVDARGAAAALALGAS GVVLGTRFLASPEANISKGYRDEILRASDGGQSTARSKVYDNLRGTTGWSETHNARGV INKSYVDAMSGMDEEENKKLYEEEMKKGDAGWGFEARMTTYAGSGVGLVREVKPAGDI VREVRDGSTAVLEGLRGSPIEVKL CC84DRAFT_1180339 MSLFPRFTQEFAPLFRLMDDYDRRVFRPDLDEQFRSIKAFTPKF DVKEVEDAYELHGEFPGIDQKDINIEWTDSHTLTVSGRHETLREEGERPTAAIEGAPA KDKQPSVEEEGTEAEGGQQLAKQGSQEVAKNDEAPKHKYWVSERSVGEFHRSFSFPQR VDQDAVKASLKNGILSILVPKAKNPQPRKVTIV CC84DRAFT_292353 MKRQRQWWSGRRPLQKGCLGSRWMRCSLLLSTRMSLCMKCGNNS GRQPMVVDHHAHPISPAVSASNHDNTADTLVAESTRPSSSKTGNKVIGSEQNPINVKD EDVKDEEEHAVSMHMVAREKRATPDPSRQREQPVPKTSQPHRKQSPVVDVSKNRSRNP KSRKGSADVAIGSKLNKHVPQGYADSFQAHSLENSILLKKPKQLQTP CC84DRAFT_1168416 MEFVSSLNDRLLFAVPKKGRLNQACLDLLHGSDIQFHRHSRLDI ALVKNLPLALIFLPAADIPTFVGEGRVDLGITGRDQVAEHECAEPPTATTGVVEVMDL DFGRCKLQVQVPQKGDMTKPEDLIGKNVVTSFTNLTEQYFRKLEAQQAGDATNGDATG EKTKLKTKIKYVGGSVEAACALGVADGIVDLVESGETMRAAGLHAISTVVDTSAILIK SKHPSDTKLVELITARIKGVITAQRYVLCTYNVERSKLEVASKITPGKRAPTVNSLEE DGWVAVSVMVERKQIAIVMDKLTEAGACDILVTKIENARAQ CC84DRAFT_292848 MRGWAMSTARGPQRTAEAAVLHLACWPAPPVSRRLFALKFGGRA PIMTTPASSLAHARCLPRRSALAAHSGLAARRAVSRGSSLRTLSF CC84DRAFT_1155009 MDTAKVPVKLVKVTRVLGRTGSRGGVTQCRVEFMDDTTRSIIRN VKGPVRENDILCLLESEREARRLR CC84DRAFT_1102471 MATPATLSNPARETQDLDDKAADAYEKGHDADVASDHAHASSNL DVEKGVQSAALSTNSDDRTLGDDPTAEAEQDPDIVDWDGPEDPENPLNWPDRQKWILI FILAMVTLVTPLASSFFAPGVPQVMATFGVKSNILAGLVVSIYILGFAIGPLIIAPMS EMYGRFMTYNVCNVFFVIFTVACALSNSMGMLIAFRVLAGMAGAAPLTIGGGTIADIF PVHKRASAMAIWSMGPLLGPVLGPVAGGFLVKAKGWRWVFWIIAIFAGFFAICLYVFG RETYHPTLLARKTKRLQKETGNMRLRSKLDSGLPPREIFARSIVRPIKILIFSPIVLL MSIYVSINYGIMYLFFTTMTFVFEGKYHFSSSSVGLAYLGMGVGLIIGMGYVGKMSDV YIRRAQASPSGAKPENRLELPLTVPGAVLLPIGVFIYGWTTDKGVHWIVPIIGTAFVG LGNLTGMMTIQTYLVDAFTVHAASAIAANTVLRSIFGAFLPLAGLDMFDALGLGWGNS LLGFVALALIPVPLFFRFRGESMRTNPKFRVNF CC84DRAFT_294399 MAAPSNNQLRSRRPAPASQASSSSASEMIGNFKRLEHIGKGSFA EVYRGIHVEKRQSVAIKSVNMSKLNKKLKDNLASEISILRSLLHPHVVALIDCHETPS RMHIIMEFCELGDLSSFIKKRADLVNHPSTQRMIEKYPNPDVGGLNEVIVRHFAKQTA SALEFLRSKNYIHRDLKPQNLLLNPSSMFYSQSGILDRMPLAASANSLIPAAGVESLP MLKIADFGFARILPNTSLAETLCGSPLYMAPEILRYEKYDAKADLWSVGTVLFEMMCA RPPFRANNHVELLKKIEDRKDQIRFSEGLVASRAMKNLIRALLKRHPLERMSYESFFS DPVIREEIPGLVDEDLPQSASQSIAPEPIAEPKRAPKTPVEMERRASESPYSQSPRGT NYGTTPPPRPSSRPSSGQFTAAPLRPSSSARRMSNTPQSPVEQHPSQREQRRPSLTNA ATAPGRTATLHDQVVDPSYAGSRRRVSREEVLPPPSGLKEHLERERMPQRPEVAMREA AERAAQDTAIDEGFVFVEKRRVEIDALADEIAASPQTARDRNITRETLMKRRATTQGS PTSTTGATVPSRAIQIQQKQTAVHQRTGSYERRYRPSFESATSALSKAMNMVNIRGLG LSPPMMKGASPPQGYAGFPVYPTAQSSLLLVGESGKIADKSEDAAIIKQIEQLAQLSH VVYGFAEVKYKQLVPVAPSDKGLGIGARGVRRANNDELEDDEDDDLTPDTILVISEEA LVLYVKALGMLNKAMDITASYWNRNGRGPASEGSNRAATNTERFQDVVKWLRDRFNEC CIKSEIVARKLKQAQILLPSDHPSHPANRSTASGSATTVGSADNILLTTGVTAEKLMY DRALEMSRTAAVNELVGVDLPGCDLNYWTAILMLEAILEDEDESSSQKLGSEELDGLE NDDRQAIQKLLEGMKARHAALKKKLQLQKAQKRASITSAPTPHSATRSSPSSQGAVR CC84DRAFT_1230203 MARLSGLQRDVLSLYRQCLRAARAKPATNRGHFQALARNEFHKH RAVDKKDFSAIEYLLRRGRRQLEMYEEAGVRDVKV CC84DRAFT_1180344 MSPKSQDSEFDLERFQARTQHTVRDPSPDGSANEHAETDLAALG AAFWGRRESDSRPDSLDLSEGEEIARDGVRMTERAELLSDADAVVAGDAVLRRSPATP TPTQTKHGAYIQPSDMIQVREIRGRRARRARKSRRASDPGSRSSAGTVERDRRTSDTS NDDGGQDVADDADQRGRSATPTPYFPQSYTPSHHSQQTEEEHGEGRGPLSPNPWSSNF LYNAQQRERTEAIEDVDVHFPADQQPRAEQAYREDSLCRIPCEFRPACSIPLPGILSV FAQGRRKHNVLRHPEHEQRQLYKYVGAPQGPRSAMRWQYHITQMYFSVSRPTLRLTRR GPPLALLGTTAFRKHGPCSRVGLRACWSECFAAATAHAIALSSIRTSAPSMSSRA CC84DRAFT_1168424 MHPNVGRASVAQSSGAPRLRGRSPSSSTPILTTACWPWQPSSGP NQLTLTSTVQTSNLPPGNFRLNTP CC84DRAFT_1168427 MSTFYIENKSVGDSKNSEDWRIRGYNPLTPPDLLQHEIAQTPKS KETTVQARNEAADIVHGRDAQRRLMVVVGPCSIHDPKAALEYCDRLLKLKDKYKDDLL IVMRAYLEKPRTTVGWKGLINDPDIDNSFKINKGLRVSRQLFVDLTDKGMPIASEMLD TISPQFLADMLSVGAIGARTTESQLHRELASGLSFPVGFKNGTDGTLDVAVDALGSVK HPHHFLSVTKPGVVAIVGTTGNEDAFVIMRGGKKGTNYDSASIKEAREKLESKNLNPR LMIDCSHGNSEKKHMNQIKVAHNIAEQIAAGETAISSVMIESNINEGNQSVPKEGREG LKYGVSITDACISWEDTERVLEELATAVAKRRAQLGVNGAH CC84DRAFT_294610 MALNYASLTTIPISYASCSLGPPSSPPPPPLLDRLDAISAANFT AIELSFPDILSYGETVLGHSPEPKDYDELVKVAEAIKKECDKRKLGVMMLQPFANFEG WKEGSDGRKDAWERARGWVRIMQAAGTDMLQVGSTDTPLENLDLDKVVPDLQGLCDML KPHGFKVAYENWCWSSHAPDWKDVWRIVDEVGRDNIGLCLDTFQTAGGEWADPTTESG LLDDVSKIELIHRFSASLEDLSKTIPKDKIYLLQISDAYEVPEPLSKEADESGLRPRG RWSHDFRPLPYSGGYLPVVDVATAVLRTGFRGWFSYEVFDGGPDGKGKKQDLASYAQT AFNVQQRLVAECAGKKFPQKQ CC84DRAFT_294451 MWIPFDERTSIAVPDALHHETFASLYLGSPAIWKTDVCSIGSLR KWLETDKKFGYVTDEEHRSHDEIVLGGDYTGPLNWRFATMTESTFANIQSQVQSCHGW AERSPALDPDRQAIDEHFIFIFGADDAVSRGSSLRRRLTKVVNPGCYRMLIFRGARRT LGIR CC84DRAFT_294450 MVDEATVQTLSVFCDHTCSYTIAPASYGRGTHLLLNGFPNSSYD WRHTIKELTAEGFGVVAPDLLGYGANSKPTAVEECTQEEASGPIVEILQHEGISAW CC84DRAFT_294636 MISNIAIALLAAHTVTGAAIKRDEPTISCTEGQASSTNLATRPS ASVPSYSNVVPSSSASISSSVVLNSSSTPTLSSYPIGLLSSSPLPSFTGDISSATSSM LSSSSTNANSSIISSTTPASSTLTPSPVTSSRSGLRTRSPNHSSSVMPSSLAFVSSSL TPTPQSSGYFVRQSSTATVSSDTSLTALPIVGGSSGCVAAFTETIYATASVPTTIMEM LTTIHTTSTVAVTLPALSSVPMLSELAPTPVPQSSGYPSSEQSSSLSSPSPISTIPET SIVPSMTSDSVSVPGSSGAPVYVPSSARASAPASSSAILSLPVVPSPFLPGFSYPAST PGQPTASFYTGPPPSSYGINNSTGAYSNGTAASASTTMISTGLGRIVSTNTISSNSVP IPTGNYSMATSSSPVSPSSSSTVASSPSVLSSPTQGYQTGAPSLTTTTSHSIIMVIPT STNGVQYKRDIISINLAFSAAQSPSKPLSKSTVGNEVKTIVVPQDPRCPYPYPAIRCG EPSTTVTTVKKATPTSKQSTKKTGSVAWCMYPYPGGKGEACP CC84DRAFT_1128902 MASSDGILLKGAPFEDPAAVSSRNASAYRPLHTFELPKGSEKHY AHQFADMYFVRLAQLKEAVKQKAHEAWDAFELAGDKAKYVERVLDVRQGELCWVVGTV YMEMAMKPNVLDDISKEHWIAAPPSRDTYVSPSGTDDMMLEDESGRLRVAGNSLNSHY VTGCILAALGTEQADGSFQVIATQYADLPRQPQRWEAEDASLTVAKEPTPKREKAGKL AIVSGLDMTGKDEDDVTLDLLVEYLSGEAGGPDQASASSITRLVIAGNSLSNASPILS REEFDVRKGAKRNYGYDSSSYNATPAEKFDAFLAEILPALPVSVLPGEQDPANVALPQ QPLHPALFPLSRAYQYPPALANETLEGLDLVTNPWEADVEGWRILGTAGQTLDDLLKY VDGVWPLDAMEMMLRWRCIAPTAPDTLWCYPFQDDDPLILKECPHIYFAGNREKFQAK FVKGPAGQQVLIVGVPKFSKTGQIVLVDMETLEVETVKLGYVKPGP CC84DRAFT_294631 MVQHGIMGTKLPCTGSHEGSGTVVAVGSSVKNFKVGDRVMGGII YHSCGTCGDCRGPETSTQYCTNAAYCGVKGADGYFAEYARIDSRWAAKLPDKVSFETA APLACAGCTVYKGVVLAGLKKGEWIALVGSGGGLGHLGVQFAKALGLKVVGVDARDEG LELTRKGGADLVVDARKGDEAAVKEVKHVTNGEGVAATVNVSDAKTAAATSCAITRMH GTVVQVAQPDNVTIPFQELIFRDIRLRGSLICSAQEANDMLQLVSKHNISVNANAFKG LGDMEKLIELAESGKMKGKGIVIMDQSQIDAEGKGVM CC84DRAFT_1128904 MPNVGKPSKGCAHCRARKVKCDLKRPSCSQCIRAGRECHGYRDP LSMMFKNESHVVMNKAQKRYDALSKKRKERETFSGTLPVRHLASKETPDGSSSSTSSE SQHTSSTSTRSGSVARSIAPPIENQALSFFAANNILQPAVVGRGNYQWLFQMLSGPGI DATLQSSAHAASLATLATANKSPFLMKKAQEHYANALTLTNRALGDSKKVCEDSTLVS VILLGVYETFVFEKHSMGAWMQHLKGAEILFALRGENQFKSDLARQIFVQFYRTTITK GVELGTPIPEKIARLYRFLTSLKDYTMHGVEHQVEVLEKAITLMQDEEGDPASIVSDI MKLDGELNLIKFMLQTLWRYEVVRLERPAEHVFGSFYHIYTNPWVINMWNILRMCRIR LFKFVRAQVNKGLRCSPPRFSEEEAHVHLNACKDVISSQMLDMCASTPQLTGQIAFPH QVKQDLDLLSKGIEAVNLRNNMFKLHAQGTFLEPFKSTGLDHLIGPLYEIGRSDYGPR LTRWAADQLYFIARTIGTRQAIMLAGELEEKLKDESNFMVWNDPCPTSAQLIALANTV PRR CC84DRAFT_1128909 MDANIEDILHTLTLEEKVSLLAGKDFWQTVPVPEKGVPAIKVSD GPNGARGETFSGGTRAACFPASCCSASTWNPQLIRQVGHALAEETQTKGARVLLGPTM CNHRHPLGGRNFESFSEDPLLTGKLAAQYVIGLQEKGVAGTCKHFAANEQETDRLTVN EIISERALREIYLKPFEIAVKEANPWAFMTSYNQVNGIHADASKFLLEKVLRGEWGWK GLVMSDWGGTNSTADSLNAGLDLEMPGPTRRRTYRDVKDAIKNGELTEETINDRTRNV LELIRKIGAFKNPEIPAERSEDKPEHRKLIRDVGGQGLVLLRNENDVLPLKKDEVKGK KIAMLGLAKEALIHGGGSASLHSHYRISPWEGMHNTYGKDVEFKFAKGAHTYRLLPPL ATGCTDSKGKPGWTLQAFEAGKGSLPTMTIHGHKEAAFTGLFNEDVKWKDLELTTTFV PTETGEHYIAGSGIGPTRVKINGKVVFEQKHSTNDSMGFLFGGNPETEFTYSFTAGQS YSIRIESEAPGKEGSDFGGILAGMPGFRLGFMYAKEHDEDLVSQAVNVAKECDYAIVF TGHTPVWETEGQDQAAFHLPNKDRSQDKLVEAVAAANPKTIVVNSTGVVVAMPWLSKV SAVIQAWFLGQEAGNAIADVISGAVNPSGRLPVSWPKHIEDAPAHGNFPGEKKDGQLT VKYAEGVFVGYRHYDRMSQDKVQFPFGFGLSYTTFSLKDARVSQTSNGKFTAEVTVTN TGRRAGATVAQLYIGRSKQSSEHPIKTLAAFKKVFLDAGTKEQITLSIDLRDFAYFDQ TSYKWKVDNGRYDFSFGQSTQHIENVVSVDIEGASRELKL CC84DRAFT_1168435 MSAFRVADELKRRHPLQRLDAPSKGISGAAHVIGLVSFYRSFKF LVDNPNIINYSFGWHLQYLTVLGLSISTTCFTIGLLADMTSSQQLFTLKNYLALVAAP IEIIISMLYWGLRAIDRELVIPPDLPTPPVMADLGFHLFPTLLLSLDALFLSPPWPTS PINPRASTLSLMISTAIAFLYWFWIELCHSRNGFYPYPIFALLNTTQRIGLFALSGVA MWAAGAGLRWCYRVVNGIERDTVIEHGEDKKSK CC84DRAFT_294795 MASANIPLHCSICPKRPNFSDVSHLLTHIASKGHLSNYYKVKVR SGNDDAARRLVESYDRWYSEWNVEDLMSERMTQKDKRRSRARPVARQTPTPKIEAPIP RLARPRTAVGNLLDPRLSEQQLIKLESAVSSTPTPQPGPVLRQRPPRLFGAHMQYWTG TDSRASSRSYTNDYDTSSEYSDPSERRRYQSVTREDSCAIEDDPTDAGSADPMAVSES TKLKGVLWPGMAIFDSATPEMRRKRNQKKDSSVVEQLEINSQEVEPTELIFTPTGSFK RQRRISSSVYDDEEDEMVKVESPTPSLARPALADLDVNASRRQRPVTRAQPFYAACSS YEDEHSQSDRANSYGDRAPKRKRRFEVFQDNEVSFGQPAPFQTLTAGFQHQVSPTPAP TVPLFKNFNQNPFQFEPRIENKENMPPMLHHGSAYEHHHAHQPAAFHYQAFTYGLAPE HPAIQYQNQLYMPMHGYHPSQDDDDSRTITAPPSPSTA CC84DRAFT_294794 MSLVSGPGRGMASLPAETRLVVDKHVRYIQSLDTRKDELEYWLT EHLRLNGLYWGMTALHLLGHPDALPREGILKFVFSCLHESGGFGAAPGHDAHMLYTCS AVQIIATLDAFDELEAHMEDGRRKIGQWIASLQDTATGTFAGDEWGEKDTRFLFNAFL SLSLMGLLDLVNVDKAVQHVNSCVNFDGAYGTSPGAESHSGQVYTCVGALTIAGRLDL VNQEKLGAWLSERQLKNGGLNGRPEKTEDVCYSWWVMSSLAMINKLHWIDGEKLTAFI LGCQDPDLGGLSDRPGDMVDVFHTHFGIAGLSLLKYPGLAEVDPVYCMPRDVVQRCLR K CC84DRAFT_1102414 MPKSSSLSLAMRPKGGKDNVNSPLSPISPSSPDMNGNAPNGATP TAPYHDMPASPASPKPRKDSKSIFSNFSATRSSSRLTNPENSSRQLPEPRESQTAIYV NGRSGNSTPDLSRPVQTPNSDGKSHANTTLTATDNRSETIPFEQRSGSGKSSEAAEIG PDTPNSKRDKSKAKKQSGMLGRSRSIKTDEGSGRTKLNKVQPAKLSPDATASWTQNGD GALLKSAPMEKDKSWRSNMAFGKLRTHSADRHDASQLAPRDHDDARRDRGEQSSVASG SYNESRGAQLMSNMGSGAMKVGEKLNSARKGLFGKLGRSSSNHERELQIPKEQYQFKI IHTPLVEQTRLTRISNRLENSKDKTEFWMPALPWRCIDYLNMKGCEEEGLYRVPGAAH QIRYYEQKFDQDRDIDLIADENLNDPNVIGSLFKNWLRQLPDEIFPKAIQSRIQQECQ GAKSTPQLLKDELSKLPPFNYYLLFAITCHISLLHSCSEFNKMNYNNLCICFQPAIKI DAFCFQFLILDWRNCWQGCWTEKEYLAEEVKLLDTSAMQTQPHPTPSRNGHSSGKTKG SQSSGFLGDNFKSPSARNDSRDRKAPSSRGVSSDRSLASGRATPPNSSLLSSEKSNSS KRGGTHERDMSADRAISSSDSREAFNARSTPKRAPASVSADTIDDEDTSATPTQAQHS RGPSESQFRLDLNNPPSSPFSIDFNGPNGR CC84DRAFT_1155043 MATTPRTQDVYLLPLADNGAPKVDGQYILLPPPNKDSPYHVCFE VQGTSSICREGSLWVNIPAKGEKFQRDHYREYKLDPDFNGTIKHDIPIYEANAYSFYT TYTPLPQWSFTDVAPTKPTRTPTYYIDVCPRLTLHGEQVPIESISLFTCLSKFMGSYP TDWNNHLRGISERGYNMVHFTPLNIRGDSNSPYSIYDQHHFDPAMFPNGEKDIASLVQ KMHKDYNLLAMTDVVWNHTANNSKWLEEHPESGYNVDTAPWLRPALDLDTALLKYSRE LPSLGLPTKISSQDDLLRIMDGVKSRVLGETKLWQYYVVDKETAATSVVQSWTAGQVK FPDDSFREAGLRGLDAVKKWSLKKKADWIVDHALLGGDRLGERYRRSVDADSGAALLT ALFGRYDTRTSDAPDESAANEAIRQIIDELNLHFYREYDADAAEIMEQLYNRIKYCRL DEHGPKMGEITDNSPLIEPYFTRLPLNETTKKHDPEALALVNNGWVWAADAMRDNAGP KSRAYLRREVIVWGDCVKLRYGNGPEDNPYLWEHMAKYTRLMAKYFQGFRIDNCHSTP IHLASYMLDQARTVNPNLFCVAELFSGSEEMDFKFVKELGIHALIRECMQAWSTQELS RLVHRHGGVPIGSFDTDEVMNADTSATTMTPDGARRIVKKIKKSPVHALFMDCTHDNE TPAQKRDARDTLSSAALVAMCACATGSVFGFDEVYPELIELVHEKRLYASDNSTGEII KAQAGEGGIGGIRKILNKVHVEMGKKEYTETYIHHDKEYITVHRVNPRTRKGYFLIAH TAFPGYGNGNGGFGPTNLPGTQAKLIGAWNLEVDNSAETRKAVIGDKVLRGLPSRTKD VQGVVVESAGDASTIRIPDNFPPGSIALFETSVPSAEHDDGLDKFVTSGAQEAFSKCD LADLNHILYRCDQEERDASDNKDGTYDIPGHGPLVYAGLQGWWSVLRTVVDENNLGHP ICNHLREGQWALDYCVGRLKRLAAKEQYRGIVGPATWLEERCDRIRQIPSFLLPRSFA MIMQTAYNAAVDRAVELMGDKVRNGPAFLRSLSLVSLQMTGLMNTTSLWPEKSVPSMA AGLPHFAYDWARCWGRDICISARGLLMGTGRYNDAREHILAFASVVKHGMIPNLLSSG KLPRYNSRDSVWWFTQNVQDYTKIVPSGLELLQDKAPRRFLPYDDTWFPYNDERAYSQ SSTVEDVIQEILQRHATGLDFREYDAGPNIDSQMRDEGFNIKVQTDWETGLIFGGNQW NCGTWMDKMGESEKAGSKGVPGTPRDGAPVEIIGLLYSCLNWVANLHDQGKYKYEGVT LEGGKKAISFRAWADKIKANFERTFYIPRTHEEDANYDVNPKIINRRGIYKDTYRGGK EYEDYQLRPNFSIAMTVAPELFDPDHALYALEVADKNLLGPVGMKTLDPSDYNYRPFY INSEDSTDFHTSKGRNYHQGPEWGWPLGFHCRALLKFDLQRRKTPEDRVESYQQVTRR MAGMMRQIEESPWAGLTELSQKDGAFCGDSCPTQAWSASCLIDVFQDAWEYERGEDLA CC84DRAFT_1168441 MAGQKRPSCDDHGGYEPPRTPLGASASRGYAPARASSAARAPKS ARTGYDSLPARTAPGSSQYDPLVIDDESDEDDASQEVQDSSQSVGETDMSYVLYGVIS TKIVGVRYYTGHANVGEIAIPRREPNNQYDRNAIQVLNVHRQQIGHIPKTQAAKLAAF MDCHSLIVEATISGRKQYFECPLMLRIYGPSDPNTRQLLVENMRNAGLPPSSWKDATQ RQKAEREREKIAAQAAKRAKKQGGAVVGVGRGQQHENGLAEYAAGSSQGVEQGLSLED IIGGSERFNPRNAEQFVEEFGIKESDLAAMPKASQPQALSTELHDFQLQGLHWLLDKE SPKLPAQGTRDVVQLWKPHPEMRGAFINIATNFSVTNPALASGGILADDMGLGKTIQV ISLIMADRELGRRASDACNATLILAPVSVMSNWSTQIKKHIKEEHALRVMFYHSNRKE PITPKSIANFDVVISTYDSVSSEWHSQKSTALPRKSGVFSVKWRRVILDEGHNIRNPK AKKTIAASNLLAQSRWALTGTPIINNLKDLFSLVRFLRLSGGLNSLDIFHAAIMRPVM AGDALGNQALQMLMAGICLRRKKEMSFIDLRLPELAEYVHKVTLLPHEQEKYDAFEAQ AKGTLDLYRKEARGRNASDTYRHLLEVLLRMRQLCNHWKMISEERIDSIMQQLEAKGT VDLTEENKATLQKMLQLSIDSQEDCPVCLDSYKDPVITKCAHIFCTACIERVIETQHK CPMCRAELESLATTTVKPPKELAVLPAPTQEQLADKKSLESNASSKVNALMSILTASA QDPANKTIVFSQWTTFLTLLEPHLRAANMGYTRIDGSMPATARDAALEQFDSSPTCTI MLASLSVCSVGLNLVAANQVVLSDSWWAPAIEDQAVDRVHRLGQKRETKVFRLVVEGS IEERVLGIQEDKRKLMGLAFAEKESGKKRRAAGVADLERLLGTQPRKW CC84DRAFT_297107 MVEYICVLKRPEPLSVLQNCKDHSTSHADQQHCRTSQQHRVSVP TSAQEKPIRIAGRSLWPYSVSCLNYCESHFRSRLQLPFSVTITHVKLPNLRNRTPGFL NCATRIQYNAPWTWRIPTVCLMVTVEAEQQRSLLQLLASAVSLKKTHVERAARVYALA CTNESIQGRSPSS CC84DRAFT_1221848 MKNWAGDDTVYFAYHDSNVTRHDMSTLADEHGWLEDNVINFWIQ YIENEEMHNFPKARIELFAPSMSTFMKLEQDPSVAKSVLAQMPDLAANKTTHIFIPVN GDRRSDHDTDAVKFGDGQETAGIHWSLLVISLIDNVAFHYDSMNGLNSPDARDLLKGF EAALPVSRSLRFVDMVDSPQQTDGWNCGIFALVIMKHLLLKRLLRADANQKITMSMKD QDIDYEGARKTIRTLIEERREEAIRKQSFNSDGVPSIPFQTADTTTTKRSSS CC84DRAFT_1180360 MVSFSCEGCGDVLTKKKLDPHRNQCYGASYTCLDCMVHFDGTSY RAHTSCITEDQKYQGKLYREPKQNKKQQPQHQKKDSVQQHSQALVPRNAYVEDAADTD NNAVAIVDAPPRAPTPPPAAHSLGYKEQAALPDVNVFDFLDTSETPAPARTRAPIDES RMIEDSMPPAYQEEEPKISATDVMKFQVPDDEDFTGNGFAYGDEPVRPSNERFDSSYD IREQNPQYSYTTPAPKSKHSRTKSRDKNIDTTISKSERKRKRNSPAELDMSLVRAQEE RDTAMVEAPPILHSGLTGGLNRLLHSSQFPPTPDHSGDWVENSPLSPMKRAKQGASKA FLRAQKDFELQQEKDRKAEIKEERVREKEERKERERGRDRERKERKEIKASTALVKIR PKMKRRDDSERSSHKHREGGERRRRRETDSSGSPPRPRKTVKAIEYRRSESQSSVPDN DRQLIVRPNGDVVPVRTDHEARAELFMSFINKGPESERGMSVNKTLKRYHRERHDYDH HVSKADEEKELWKSLRLKRNDRGEIVLFFAPPDIEAA CC84DRAFT_1044939 LLALFGMALFTFLILGGHRSIDGQPSPIQQAKLNTQGGGSSGAV LTGHAIAPKLGNATAKAELGRAAWKVLHTTFARFPEKPTEEEKEALRSYVHLFQRLYP CGECAEHFGKVLAKYPPQVSSRSAAAMWGCMVHNIVNKRLKKPEFNCEDIGDAYDCGC GDDE CC84DRAFT_1168445 MSDYPPPPVNTIDWSNVGFKIREVAGHVESHYSVKTGQWTTPAF VEDPFLRIHGMAPALNYGQQCYEGLKAFRGPDGNISIFRPQKNAERMQHSASFVSMPE VPTDHFLECCKLAVGMNAAYVPPHETGAAMYVRPLLFGSSAQLGLNPPEEYTFVVFVI PTGVYHGTHPVDALILEHFDRAAPEGTGSAKIGGNYAPVLRWSEKASKAGYGITLHLD SKTRTVIDEFSTSAFIGVKKNGDKYKLVSSNSKNVIKSVTGDSILKIAESFGWEAESR EIPYEELHTFDEVLAAGTAAALVPIRSITLESKGEKISYKDAAEEPGPACVKLLTTLK GIQLGKIEDKQGWRLNVERPQQWGKNGVNGAEETVDQLP CC84DRAFT_1168447 MGVLENVAGPLGSLSSNAPTLVLAIAGFFSFIVLAIVLNVLSQV LLKKSNEPPMVFHWLPWIGSTVTYGMDPYKFFFANKEKHGDVFTFVLLGRKMTVCLDT KGNNFILNGKAKDVNAEEIYNPLTGPVFGKDVVYDCPNSKLMEQKKFVKFGLTSDALR SYVELITHEVQDFVKRHKAFKGQKGTFNVPKVMAELTIYTASRSLQGEEVRNMFDSKF AALFHDLDLGFSPLNFQLSWFPLPHNRKRDAAHNAMVAVYSEIVRKRRAGEVKRGNSH DMVWHLMECEYKDGTPLPDHEIAGIMIALLMAGQHSSSSTISWIMLRLATKPEIVEEL LAEQKRILGDDLPAFTNDDLPRLSLHAQVVKETLRLHSPIHSIMRKVKQPLVVEGTNY IIPTSHTLMSSPGVSARMDSHFPNPSVWDPHRWDALNFEEDDDKVDYGFGMISKGGKS PYLPFGAGRHRCVGEQFAYVQLQTILATLVREFKVRNVGGSKDIIGTDYTSLFTKPLS PAVVEWERRTAEL CC84DRAFT_1263322 MRFLTLAVTLMQVVIGVARPIDEPAPPAFMIMTAREPGTQGTQG TTNLPNYDMLILHIDTNQRGQWYHIDRIMVKYSTCMAWIDVDKLGGISSLESFKNQQG LPFYCFNYASTDCSGDTYWWKGVIRLDSLPSNWDNKIRSTRCHHGRFPDDIPPPDAKR EEATLLVGSTTHEPS CC84DRAFT_1168449 MASEKSQLKRGRQSQGEQVEPKKPRRSERNTSPSDHAAHLKQGP LPSPVTHKADSTATDEFKEGTVTPPSKGSSEQSQRGGLRSPPRTGGANSLSSPPQDTQ PFSQFTYPTNDRSWAVDDEEGEGVWGYLVPLDTRSGDVMVLRRRSACPVSSSRVANTS GTEKVAKTKFKKQEEGYEQEKVENGVTSGGYLIGRHPECDRQLESPTVSNRHCLLFPE NKGGDAIAVLEDLSGNGTFVNDTIVGRNKRRELQEGDEISILDQARFVFRYPSYRDTN GFRQQYSLQEQLGKGHFASVYLCIEKNSGMRYAVKKFEKRTGPVDKSKVDGLQQEIAV LMGVSHPTLLCLKDTFDEADGVYLVLELAPEGELFNWIVMKQKLTESEARKVFVQLFQ GVKYLHERNIVHRDIKPENILLTDKNLSVKLADFGLAKIIGEESFTTTLCGTPSYVAP EILESTNHRRYTRAVDVWSLGVVLYICLCGFPPFSDELYSAENPYTLSQQIKMGRFDY PSPYWDSVGDPALDLIDRMLTVDVDSRITIDQCLEHPWITQAEINPNDSTDGLTGAIA SLDFSKRKIYRERTLLSSINDIKVTRVIEGSEGQQAVKVYEKNKDKAKNCVKDLNGAH QKEETPFANRDPDEFIQLGGKGDQQLFDAAEHSIYPDDLSSVAPAAKT CC84DRAFT_1168450 MKPVASPPHLSASEFHTACTTLVDSFNALRDTSTKQRWLDISFD PDENNLRISKELTVTRTSHQEHDPHDDPEIDDDDDERITSTANSTPLIHYDVLFSPSY SVPVLYFYVSDTLHRYPPTMDTLYLDIIAREYVDQTKDAGVLGGITVTDHPVLNQPVF FIHPCRTAEVMEASLGGREIPSFEYLLMWIGAMGKTVGLDVQIELVLMAKELIENT CC84DRAFT_1209325 MATTMSQSLENALIDPDDNAACHTLHQLLIRKTTPASPARPATA KAYLEAFCDQRRHVIRRRWTGLLLARLLESCLDVARHLRRTPDDLFRIGAVILGLNEA EETKIVAGLIIRAGLSQGIKFSDFWSEKKVHATSTLFPTEADTSWMKAFQDYLDTISG LSPKSSSPKLVLLYPVAFTAADGYRWSPHDENLPLLLIESHMLTIITPASALHEIEFV YIPFGSIKNVRCKPSSPYDSQGGVVPTTPWAVVLEFISIPTPYQVNCSEQSGNEFTVI METRADAKDCERFINDTVRPQRNTSDFTDTPSPALSSDSNKGKVMKGSTQALSQGGVI MFDRSQREGSIVQGSQQAHQAALKAAQAPRTEAAHSKCGVLPEVKKLLRLDSLQSVPD ATLTDFEFPDHSPSIKRPSKSRTKKLPVNRTGNAVLQTSAPNSNTRKPAASKSKLKSQ ERSQSDADDDQIEIIDKEDVSDPRAHQIEKATEVTKESKPIDKEIRGPQRRQADVFGI PEEMQEDKKGARGTRRTRAVPMTYKEDTSSEEESAASDFVKRERAKTSRNRASRTVTK KEPLSLSPARSATSKRRARKAKAGTRPLQLLKGSLLSNLQPTAVAKRDHKAKLAGAGD EQRGQGKSTVLAETKHKTQAPSAPRQENRSSEAHILGRLNDIDCDDEPGRTNALKNKD SVASRKRTAASITPSTPRFKRAKLDHDEMRANAEVDESMSMKPPSTVAPVRVLQEPSS PCGSRNMQAPPKPVSEEPIRMISPKTNTMVRSRKQLDERQTPVHQLGKRSHSGMSASL ELLSSNSKPTPASPRAASTAISGHADQRQVIMEQAQGEYKIEKSDPFRANRRKISEFT RRLTGSTGQQSKPTEGMSQNHPIELGDSPSSASSEALPPPVQSPVTKSSHTGISQPID ILQIRTPKRSRSRFVERSVAETLPTMESPQVHPGYLSRHEASLHDGTPQIKTGYPLRQ ETSHCNGNRQDHQGAVEALRANNSEIGGDTLVDFEEPPQVQDEAFLPDLRSSPPPMGS PSSHSSTSAESEPKTDPPVTTSQAEEMEWEASLQPYQRDLKDQLLRVSNRVLQHIIDN ESAASDIADTYAKDGRHSLDLLFQSHEQEFNAMHKDVKQKKANLNEATEKVLSKLRLV RQTIEDETE CC84DRAFT_295657 MPSSREHEDAPSAEASVVPNIGSTRLHLIILGLWLCLFVSAMDT TIITTALFRISSEFYALDRGPWLVTAYLLAYNSFLMITAKLSDIWGLRTILLAFNGFF LVFSMACSAAQTMNQLIVFRALQGIGGSALYSLVFVTIMKLIVPDKIAFYSGVISSVF ALANLSGPLIGGAISDHTNWRWIFWLNGLVIPISMAILLSSMPGINDNKSTKERLRGF DIIGGVLSVCWPVPLLFALQEAGVSYDWNSGVIIGTLVTAFVLLTFFIAYESWASYKT KIDVIFPARFVANPAAALLLFSMFLLGMPFMSMFIQLPQRFQGVNFVSAERAGILLLP VSLMTPVGAMLGGLLNKKFPAECVLLLGTAVIGIGTGLLSSLPIDNGIADATYGYEII TGAGLGLASTPYYIMLYTSVEEKDVPIGTGILNMLRTLGGAVAVAICSALHNSVLHED LSAFLNAEQIAGVKASGTFIARLPEATRIQLGRVFGRSYNKQFKVILAFALLNFLVAI ALAVARKKKGIFGKMPIRTLENEFTKIDRKHEGEKEQAVTARANETTERIEGTTH CC84DRAFT_297222 MASAMEKERASNDISDEKLEAQNREYLDAVQTHTNDPIPDPDAG LSAEERAVLDKKLLWKLDRYLIPWLSFLYLISFLDRTNIGNAKIDGLQEDLHMTNGQY NATLSIFFVSYSVFEPLTNVLLKKMRPSIFLPLIMIGWGICMTTMGLVHNYAGLMTAR WFLGMCEAGLFPGVNYYLSCWYKRSEFGIRAAIFFSAAALAGSFGGLLAAGIAQMSGI GGKAGWAWIFILEGLATILVGIASYWMVHDFPDEATFLSDADRARVLRRLRSDQQASA SHESFRWKYFWASVADYKTWCYAVIYMGADGALYAFSLFLPTIIRQLYPQHSSTVTNL LSVPPYAAGAIVTIAIGWLADRTHQRGLCNIGISLVGIVGFSMLLGSSNPSVNYAGTF LGAMGIYPCIANTISWASNNVEGVYKRGVSIGFIIGWGNLNGVISSNIYRSKDSPRFK VGHGVVLAYLVLWLFGGSVVTRFLLARENKKRARGDRDEWVDGKSEEQIRDMGDKRPD FMYTL CC84DRAFT_1190446 MAPPEVNHLFHHPIADHSFSADRQTLAVARDNVVDLFQRSGTKY TLQDELTGHDKTVTGVDIAPNSGKIVTCSQDRNAYVWEPTPEGWKPTLVLLRINRAAT CVRWAPSETKFAVGSGARLIPVCYFEEEDNWWVSKHIKKPIRSTITAVAWHPNSVLLA AGSTDGHARVLSAFVKGVDARPEPSVWGERLPFNTVCGEFLNNTAGWVHSVAFSPSGN ALAFAAHDSTLTVVYPSGPEEPPRAVVSISTQVLPFMSLVWSTESEIIAAGYNCEAYR LQGDENGWQLAGSLESKGRPGVQDSREESALNMFKQMDLKGSTKDDTKLKTVHQNTIS TIRSFEESGGQVTKISSTGVDGRVVIWTL CC84DRAFT_1168457 MIVRRPLLNAAATAVSAPKICIRNLQHSIPIRPAPKPTPFIPDH ASFLTAIGRGLSAHAAKIPSWEALFTLSSAQLKELGIEPARSRKYLLHWREKFRNGEY GIGGDCKHVTDGVAELKLMEAPVGPHPVPGMHARSAMSSATHDPGTRKVVVNVPAGSE VPTEPIESLSSVQGVVVKGAKTLKGSYIEPVKGTAGLKARIRLQEGIWEVRRGHKVDG GERRKAEVRAKRRAAENKEKAR CC84DRAFT_1221860 MPKEESLPTRKKMRKGTHSCFECRRRKIRCIYPVDNPNVCSECF ARGSRCIDQEHADPEVVVDHRKNLRERVSRLEALVDSLLEEKTDRSTSDSTSMTHSNS VSRTPNVVTKDTFPPTPLSSEASSTINILKEAQRAPSDRGHHVPILSIFEDALNDAEE RIKARMEPLRKDLNPASASSERYTLSYTNPGDVMESGNSFGQQAKRERTKQALLAMLP SYEKLDKILTTNSEWWQTWRRKCSGTSASDETLPQFASKALSSGNIGAMGTVVLAVGI CSDDEKEVEKFIEIVDRWVLGDDEFAATLEGMECLILKAKWYADVGQPRRAWLAYRKG LMYTQLMGLHRKRTSSAAHESIWWALYHGDRFLSLLLGLPYGISDTHCDLSLPDIPNG PYMAPLIFMNKLSILAGKVIDRNQGIAEQSFAWALQLDQELEDLYKKLDPAWLEYSEL LADIESNAAELRERIMAQMVYHQIRVYLHLPFMLKSAVNSRFTYSRTACVNGSREVLK LYQALRTGEVQPLYECKAVDFIGFTSAVLIVLGLFNYGATNTPISPAAREADIRLIEQ SIDIFRRASSEKGGKVALQSAEVLEKMLNKLKVEIGQPASNSNPNELDDCPGMSEFVI PYFGTLHIRRGGRPVCHTDMSHHDKQMKLGARSTSPLNTAIAAKSTNAQFTPRSDLTS SAGDFFSQSSMSNPGLVTPSTTNTSATSPNQASGFTDPFISYDGFYNWGTALDTQSQA GTASGTQINDDSLNNFPLPTNNFSWQNMPMDIDQDWSWFLNDGGNGSNATTNTNAAVN PDVFAQQGFVGFG CC84DRAFT_1190449 MEAAPPRQRHGQRHFPPSPPSSPTARRRHKKKGSDDPFLRLGHP ANHALTASPPSSPPNEADIADEPLYKRVILTPFLFTSFLVSLFLIDTQNAVARTKNSS LFSFLDPEPYQDPYDSRWGRRGSTPHVKPPDSLNPDQRSGGEKRKRRSWHLHRKIRKI AKLEISDAFEMRGRVMVAMVLILLMALYAAWYAFRWILNMF CC84DRAFT_1180372 MSPIQHPPLSPGTRPALPSDIPRLGLLYVASFHYSPNAKWARPY YPQYPEDMLAQYTAWSIQYLEDPQFIVLVAIDAFDPSERSKTDAHFPEGVTTGLGEDV KEGDQVPVATLGHRDAMINVENAARVRCGFEKLVELDMLVTHPAYWGRRHGTKLVKWS LEYSRLNKVGQGVVGPPMGMRVYLKEGFVEKEKMTWEGDEISPEGLAKQIAIYDVE CC84DRAFT_1190450 MTQRVFPRISQTSPERRDASLTEPSFDVKALSPSDIDAASEKGK TILYLAYGSNLCKETFREKRGIKPLSQINVLVPSLRLTFDLPGVPYIEPCFGNSAMRE PDAMQDPDAMDSEDYHKDRWKKGLVGCVYEVTPSDYAQIIATEGGGAGYQDILVDCYP LPDGDTVPEKPTTQRFLAHTLFAPPEKSHARPDPSYAQPSARYLNLITTGAAELSLPR EYQLYLNEIRPYTITTKKQQMGKVLFASIWLPFLQLLFALNAQFQDDKGRSPPWLAQL AALLFLGIWRCYDGAFKKVFGDGERTKGGETSSKKGDEMDEAQWRRIGENNGWFRSHE KIEHMA CC84DRAFT_1263334 MTSITYAVLAVLPVIAYLIYVQLYHWRFKKFKDIPQLPNSFFIG HLPYIASGFKKFGDARRHIDYIFEGMAEDAGRPDVLFVDVRPANYPLTVVNSHAVAEQ ISRISKGFPTSVQKSPTIFEFTRLIGHESVLSKEGDAWKTLRKRFNPGFAPQHLTTLL PAIVDKTTTFIGKLDSLANSGSEFALEPLCTNVTFDIIGAVIMNLDFEAQDLETGGHP VVFHTRNLLHTFANSGRPGLIPWWTNVPLVISRIHHSNKADAAIKRCIEDKFDEIRAS QKAGDKQAQDRSVLALALKDVDRLSSNVVQSIADQVKTFLFAGHDTTSILLQWLYYAL SIHPKCLETIRAEHDAVFGDQDPREVYLAKPDETTKALSYTSACIKEALRLWPPAGSA RMSRIGSGFKVRLNDGREICTDGTILYLNHFIIQRDPKVYGETANDFVPERWLGDSDT TSASKDDTWDSKQSGDHKIPISAWRPFERGPRNCIGQELANLEARVILACTVRKYDFT KVGAGEVELNEKGIPTLDDSGRYKLKSELFSAPVITAKPFDSCMMRVKFHEKA CC84DRAFT_297650 MQPLLIHFCDLCSTSTAIPFSASVFSQNNSCSHCGALSTGINIK TCGKQQESNMQQDELAQLFSAHMRLSQNRNNGSQDSQVAPQQQPAQQQEQVSQPIIYA SQHYTHSYHVAPTRQLDPESEKTHIEPSELHGVLLRNSIDPTLLFPSQIDLFQNADND QRLRLLELWRISPPSGSTARQLYDWPPTSLAQEEAMAKLRYERMIEERVQQDEIQKHQ QQLDQSMDQSTDTAAVQAARDILVGSPDTAAEPYIVSGYDMLARREYEESAKSAGNYL RESTSYNQATDPVYIHTGQTGLWEKNVGSILDMENQYGAFAHARDFDVHRTYGDDEMV M CC84DRAFT_1102144 MSLQRHLRTAQSLPPRLINFFEKYPPQSLLHFTAPAAPTAETAS TVAPAEPVASPVHAEPTAQEPLRGDFSVPRNVPAEAQAGLPYPNPFLPRKNHTTGRWY GPVFGLRQQADLVKLAQKHDVLDLLPWTIKKPGAKEQRRIERGLQVKGTGEGQKVKGK LWERTLKGRLEMRKKAMMEMPALIQEWKQKGHGRGWKKYPSGKKGKLN CC84DRAFT_1155096 MSSPFSINGGACVAMVGKDCVAIACDLRLGMQALTVSNNFPKIF QYGDVFLGLTGLATDVSTVSDLFRYKVNMYRLREERNISPQTMANLVSSSLYERRFGP YFVSPVIAGINQTTGKPFICGFDSIGCIDFAKDFIVSGTASDQLFGTCEGLWEPDLGP EDLFETCSQALLNAVDRDALSGWGAHVYIIEKDKVTKRLLKGRQD CC84DRAFT_1128976 MATIAGARAPPPPRDPPPPPPEDIPPPPPPPSSEPPPPPPPSIE PPPPPPPEEDGPNFGQPKKKKGFASQNKKQPPSIDDILKAKREKEAAAAKPKFLSKAE RERIALERRQKEVEDARKRKEATNGATSSYPSNGVSSNGSRNSAAVPTGPRAMRAEPP SGPASMRSKSDMPPPSAPKPGDKRGAKRPVAEDAEAQMVRQRYMGAEINQSTFSAKKK RRRTTEKKFNFEWNDEEDTSPDYNPIYDQRADVNLFGRGTLGGFAKEVDREQGVDANI RKYIEAMIRADPENGRQRAEQILEMERRRNEAPHAQLDKHWSDKKLEHMRERDWRIFK EDFDIATKGGSIPNPMRNWGEAGLPDNILRIVESAGYNEPTPIQRASIPIALQARDLI GVAQTGSGKTAAFVLPLLVYISRLPRLSAANKNDGPYALVLAPTRELAQQIEVEARKF AAPLGYNTAVIVGGHAIEEQAFQLRDGAEIIIATPGRLLDCIERRVLVLSQCCYVIMD EADRMIDMGFEEPVQKILDALPVNNEKPDTEEAEDSRLMTQGQYRQTMMYTATMPTAV ERIARKYLRRPAIVTIGKQGEAVETVEQRVEFVPGEDKRKKRLQEILYSNEFAPPMIV FVNIKRNCDSVARDIQKMGFSAVTLHGSKTQEQREAALLSLRDGRTQVLVATDLAGRG LDVPDVSLVVNFNMAHNIESYTHRIGRTGRAGKTGVAITFWDNNDADVLYDLKQMLAN STKSKLPEDLRKHEAAQQKGGKGKKTDGGKGFGG CC84DRAFT_297603 MRDIHHTPHLKIYLHSLWSPDGCPGASSPNIHLPLLYPYTPRHH LLSPSRPRVLGELLPCSSSILTYLCLRLCIASPARSAFISVHLLVVEVLFG CC84DRAFT_1209336 MGRFETLKPETQDMVNAIIPAIASSYKIDPENFKDIIPEDIRMR QWDCERRDHIKDKPEDEPRNWGVEFLKSLQAIARLNGGDLGAVQARLRELVAKHDEKH PWARLEDIRQIKAECLNPRLRNPNAQEPASESSSDDSLDSYLEELVEQDLPKGMKRGQ NEAYESRVQGWWKPAKPKKPKKPVRLRRDPDVGWDRRGKDKSKSRDFRQISTDSGTPD PLPEDSPAPKPKRRRLNSKAAVIKKESPTVIDEESDEDVPVEVKKLQAELEAAEAELE VKRKRFKFLEAKEKAQAAKVAREASTGSASGPSLNSRYSVNRYTSYNNYEDDDNRNGH NKHNGFTGFQRFDGSDINHGFRGFNGFKGFTDRNGHSPYPLQTNYPARKYSDSQNLPL PEDYYQNSDEEDPEDVDDDDE CC84DRAFT_297684 MALKREHSPPSDVSTTIFRSEKIQHETSAFIGAFSPTLSAKALQ TLPEFRTASHKIAAWRTRSKQKSLTPASKVLYDLGHDDDGEKWAGSRLQHVLNDTGTE GVVVVARWYGGQNIGPIRFTHIETCAKQAIWSWKVADDAAKKEHAAKKHKVEEETTRK ELEENLQERDLNIFVLRKLLADKKAKLEDTEPAPPTPSKSLQDYSKMSMEALNRADKA RDATIGFILKQIDKVDEELKLAKELDETTEDDWKDAEEASAERAAADSGSRDDGK CC84DRAFT_1199291 MNALRCLRAVARPSAAPASLLTPAATSRFSMPSSARSISLLAPR RPMLQNPATGFTLPTPGSATSPAIPSAEALDLASKISAHPAIGSMQIRCGPRDTYNPS HLVRKRRHGFLSRIRTKKGRKLLMRRLKKGRWNLSH CC84DRAFT_297689 MHAETLAPHRVVSSQSITLEKAASILDKYLANSEVHSHLHPDAL ITPSGTTFGAQGGSTGGVIMHNLRRVAAGLKGNYLEPEPTPEPEGGERNAVDGTKDQP NAGMTDGTAAEDWQDMSEFEREEGAIEVGEVGDRSNFVAQEEIEPGHLSGEGKKRKTD DAGKLDKAARKKAKKAKDKEFRAQKEKARAEQSA CC84DRAFT_1128989 MSATGSLRKKAPSGRGILKHTTSHSTQTPEQSPIDSMPAQLLSQ IPQTGAPATKPAMQRKQSSPMMPPFMVSSPGKVIVYGEHAVVHGKPAIAAAISLRSYL HVSFLSKSHRTVTIRFPDIELEHTWNIDELPWDVFNAPGKKKHYYDLVTSLDPELLEA LQPHLDVVSPKIPESIRKIHHASACSFLYLFLSLASRKTPPCVYTLRSTIPLRAGLGS SASISVCISSALLLQIRALSGPHQDQPPQESELQIERINRWAFVGEMCIHGNPSGIDN TVASGGKAVLYQKFKNGPPRIVPLHSFPELPLLLVNTRQSRSTAVEVAKVATLLENHP ILTENILDAIGQITESAYKLLTAPDFDPESHASLKYLGDLVTINHGLLVSLGVSHPKL ERIRELIDHTGIGWTKLTGAGGGGCAITIIKPRPTSAANGNGNGVHHDHSSSESDSEP DSPVAASIISSGTKLKHAILDSLEVKLENEGFEKFETTLAGDGVGVLWPAVLHNGNEE EGGEEIDQEKFLRAEGTEGIERLVGVTNGIRKNVEREVREGWKFWRPWETNQN CC84DRAFT_1263342 MGLAAPKNRSKISNDPQNTTWANNTERFGHKILTSQGWTPGASL GATDAAHKAHYTAASQSHIRVFLKDDNLGLGAKRGSERAENFGLAGFASILGRLNGKE EEVKKEEERQEKIQQRAYVYKKFGMMNFVSGGYLVGDKIEKGVKKEVEVKVEVKFEPA SSDNEVTEKKSKKRKRSGEEDVKKEDEEEPKLKRKKKSVSLREEAAKEDAALEATSKW KKDKKEKKDKKSKSVSETPATDSEPLTDKARRKAEKRARKEEKKLKKALKKAAKEAAK SNPTTEDPSSESESEEESTPASSVPTTGTSTPSGLTYNPRGMHAVRQRYIRQKKMASM DPQALKEIFMIKTPS CC84DRAFT_1053361 AAALCTIVAAAPLAPWEVMAPPPSGTQFYQLQTKSATAAVSGQW VALKTGSTSYSLAAQQAAATKFFVNKYAPTGTFAVHNADDTRQLALQGPNGVLLSLVD ATNPTTDSIPKGTLMEWATFTMDNNVLFVKDGSTLTNRTFVAVKGSGSDYSIALYDGA STTTSTITPVTINIVK CC84DRAFT_1230319 MLFTTTFLSALVAVGGALAQTTPPGFIPAVNKTLDVYYGTQYIT PGLMVKKSSVSKQPTIGVTGQTLTGKYLLAMIDIDAPGTPRGTVLHALLQDWTPSGQT QNGSSILATKATGPASYFGPAPPAETPKHPHNYIFLLHAQPDNFAVPAAHKSAVQQRL GINWPKFITDAGLSSPIAANYLQVQSGDNTKIFVS CC84DRAFT_1230324 MSTLEITDLESSLATLRLAAPLPVINSADAVTNPLDLVRSLLAG ILSQLVESSPEAAYRSVQLPNSIYDGDLDIVLPKLRPGCKAGELAIDLARQFPIDHPL FPLPFLEGVHWRVLLRVNTLARLLFPFILERGNTYGTDKKVGSKDPSSPKGARKKLIV EFSSPNITNEFHGKHLRSTIIGAFLSRFYESRGWDVTRLNYLGDWGKNIALLKVGWER FADEVVFQARPIEHLLEVYDQINNLFQPEVAASRQARDEAARNGQDEGQAQTEIENQG IYAQRNTAFKKLEEGDEEAIAFWKQVRDVNIENYKDFYSQLGIEFDEYTGESQVKTET MNEVEALLKEKKICEESSGAWVIHMQNHGLKAGTAVIRGRDGATTYLLRDLAAMIERS KKYNFNKMIIVAANDHNSLHFTHLHHILIAMGMKDLAEKIQHLKFNETSSMAETLGTG FKPQAILSHVEQAMSAGLEADAAKTAMFGNLSKSADRLSVASLLVHELSTRTTSAHSF DLSAMTSFKHGTGPDLQYWYAKLCTILKTRNGDVQLSDEDYDFLGEDDSAANLLRLLA QYPEVTRATYNSTSPQPDDIVKYLAGVTEQLADCLSDDEDEVDDGVKGETEAKAEQKT FGAGHLTLFEATRIVLENGLKLLGITPYVADGHERADTPIAE CC84DRAFT_297722 MRIAPGPMTDENTLHQENLGYPWPNSFFEDRNFPEEKSFLEARN QINPTDDDILNFLLDYDENTAEEEIITKFCSGVHHSALTNPRAQHSRRAWLDDRRWDR PTKPSSREEEAEKQMTPPVNMAKESESKDLVDEARTSLQDRLKYKIDSSFREYEPPLS ALDLFRYLKRKRFGHGSLPDADRRLIYVWRLTPVYVHALVRTCTQHQSAALKATLYMH IARKTDMGVNISYNSIDTFELYFYMPFYTLKVPDDPDIRHDGLNGSSHPACLDIFSIT GKSSQVLEMAHIAINICGVADRRWTGYAFVDGDECMSEEDFDYTTIVPDQFALNGEVD ANRPIWSPREYFMRILPSRVEQIVRRWSWIIQNIESGAQEDILSPTAGYGENEEIAST FKRTQKAAKTLGVLHDVLSESNDAWAEFSAPGGDIIYFEHTTGLSATSQKQIKRCLQD LKGKFRRMRALQRRLETLERRYQRAVDSLERLLVVESNKAAKSSGAHAELMVAWISPV AIVSAFFSIPAPFVPRTLIAFTVAMLVVAGFLQLVIRLQRKGWRWQIQRFCSHVLHQR ESRPVVSGTTEQIHDQQTSPFRVDTGQTLVDSGV CC84DRAFT_297759 MARKRHIFVWHCCACGQASNNIGVGHCIRCGHGRCAYCQTEKVQ IRAAISVTRLKYCLYQTIDESTRSFGTARPTYSTVLRYRAPQQYHHSGSRTAAAVATA MAESFESLDHPSSEAGSIIDPHAWPGDLQAYGTCFPIAWTRLVSSRFG CC84DRAFT_1102450 LSQQQEVELLQYIKQLTEHILTPIRQMIQSFASYIAKKQVSISW VDRFRQRNKESLISQ CC84DRAFT_297787 MMIWHLSLLALVANRAIAVGDTSQQNSDISPKLSEHASAELLYL HRKLVETASITGSEKNVGQWIASYLRDKNFTVETQEVSENRHNILAYGSKRSTTILVS SHIDTVPPYWPYYYNKTTDVIGGRGSVDAKGSAAAQIVAVQALREHLRDDLSLLFVVG EETGGDGMRAFSSWSERPRPNYEVVIFGEPTEGKLVCGHKGILSFTLRIKGKAAHSGY PWLGVSANDVLTEALSNLLELRANGLPWSEKYGNTTMNFGKIEGGVAGNVVAETASAN IAVRLAAGTPSDVRGLVISALSDVKTRIEKQGGGLEIEWAGEGYPPVNIDCDIKSFDT LTVNYGTDVPLLAGDHRRYLYGPGSILVAHSDHEALERKELEQAVLDYRRLILEASRM DHQYQQEL CC84DRAFT_1168474 MKFTLIAAVAAFVAPIAAGPAQQTPNEFFSLTKRATLPVPKSKG SVTYKKAQSISGTFDGGYKTYGRGVKCTGQVEGGDADAVFILENGATLKNVIIGADQI EGVHCKGACTIENVWWTSVCEDALSLKGDGNALVKGGGAQGAEDKVIQHNGKGTVTID GFTVMDFGKLYRACGNCKNSVSRSVVIKNVKAYNGKLLAGINPNFGGTATISSTCASS VKTVCEEFKGTTPGNEPKSVSKGPSNYCKYTASTIKSC CC84DRAFT_1263347 MAELVNMNQFMTAIVIVVGYLSIQGEIFGYRKTAKSFFVSATIL SFMLYLLNLMVFLLRHYLRGKGAPTRILTRQLDQFLLDRENNGKVNGHSLVSGLMLVQ ENCRDAQLN CC84DRAFT_1263348 MEYYGMNELPHSYKRYKGLTGYFEKWLLNVAKERGLEIANQVEA EAAKRQKTGGSKSHHILMKDLLPMAEAVAKSGQPSKDISGLADLDDAIRIRKEVTQWY RLQHMSDEEHPFFTSVLADVRKVFKDWIFVTPTQPEAHKNLEESRGNKDQNPFKQVAF IFSYFDDSADMDDSIDGPDTDLNVEAGPYRSKAGTESDQRLISLNPPDAESQVPKADL QIDREFEVLCFLYDLHLLRQRIKIVWSDWSQRKIGTMTAAIVSDLAMAYIQQRVTSLS QELNDDGNGQDILGIVDKLMELIPEDQREVPLSDEGNGLQEYPRDLLCDEAICTMSEY WRLGAAGAAATHELPRHDSFRLRFLLHFKVIGAGKLEAPAMDKFTESLCSADTRSKDW LPFGFQVLLDIQRLLLLENKTMGEIRDDVLDHGLYIEEVMRQHIDYEDKMCDVGEKPG YLSTADFKFSNTYLPTLMRLLDWRLQLHHDDCEEVSGMRNLLFVAAHPIFCGMTMWFY HRMYHSSAINTICWFVVGLAHLYNACRQVGGLNSTWADLEFVIKSQGSSRIFVGGPPT EPDTFYQRVRLALGHSVCEHASDYYRHKIDRPKSVRRQKRGLTNYPLLEAKILAYYQT KSQEKRSSLLHNIFVFLHEDLENAAAKGSSTIPNEEASKTSQVQEKVRIIFRAIAIKR ALRTKNKKTRKKNKSKIPYFSDKGALHDKSLHHATSQLAEHELYANFDHFAFFRRAYK IMKRIRTEVLWDSNKALTTLDATQEAPNDLSLLVGLLINLAPPQDKKDPACTAKHALG MKKLKMIAKIMQGFILEQGDLEWKNAEEQMKRRREHGTVPREKLSLEPIQEATTSEIN AQVDTLLQIEEKPVKKVALEVPATDQESGTEPPVEPQPVQFRSQAEQFISELADASQP SLFGNKIVQPGNQSNKSFHCGNEPVQSSNQGHKHFRFGNRKHSTFDFDRAVDIAAKAR GAPSPFYEAENKKSSRPEDAVIETEPANPFYKFLNQRNSELEDAAVETEAANPFLEFV KKKSSEHEDVAVKPRVISGSAGAPMKPEASSALEAPVLQPEAICSPKGSELKLDPAPE PQRDEVDESAQDENLNTYQGEGDATVTGKLSASHHDMSFPTAVQTHLDQENQGGSDGW SDADEDIEVDGLAEDRTLESHLSKYLAFRQATRAQYPSIASPVSEPQPDGGTCPANPL SLPPRPENITDYVTGGRRPGYYMFDVYHRFTYRSTRSTRQPKYISSTRLDRLLARTRT ASEPRRRLVGAVKHRVCHNCYGRCMLGHALLGNVHDAWRHGAVNEDDGWDTDDEWDTD EWCSGDE CC84DRAFT_1168477 MDTTMSENAEERFITDNSKSTKPQPKQQLSKAMEHMRFAVTVDP IPRSLSSSTPTSPPRSDTNTPQPTAEPESDAYGVPASIGPIRKPAHRSHSPTNNQKRS DPFAFGSRFLEEGDNIFEFNAWDHVDVDPAYQTFSSEQYERQRAEPVSDFDKKRFNDN PEKWWNIFYKNNKTNFFKNRKWLAQEFPVLDEVTKEDSAPAVVLEVGAGAGNSAFPIL QKRKNKGLKIHACDFSKKAVELIRGHELYDPEFIQADVWDVAASPESENGGLPPGITE GSVDVVLMIFIFSALNPKQWDQAVKNVWRVLKPGGQVLFRDYGRGDLAQVRFKKGRYM EENFYVRGDGTRVYFFEKEELEEIWGGKERSSLPEPDTQSGEQTNGQAESAQQLSGLQ FDKEAGASGEISDATSPRPKFEIVHFGIDRRMLVNRQRRLKMYRCWMQAVFRKPGHQS EVPTSTLRQDKEKEGGEGEGEGEGEGEGEEA CC84DRAFT_1168478 MAAPRTLPRLFRGPTHRLANLQQRRTFLSNPFQSSLNPLAGPAQ PQTLTAKRILSYPAAPIYSIVSDVQSYSSFLPYCQSSAVTRWSAPDSTYARKWPSEAS LVVGWGNLTESFTSRVFCVPGRIVESIGGDTETNLNAKDIAHHMEGAQNNNRGARGAG SGLMTHLRSRWTIEALGENETEVSLALEFAFSNPMYTALSAGAAPKVADIMVKAFEER VQTLLDGNPEVAKAELGKLEGSALKR CC84DRAFT_1180392 MSAHGVDYYKVLEVSDKASQQQIRDAYKKQALKHHPDRVASDSP ERAARTKRFQQINDAYYTLSDPARRRDYDSARSYQSFTGAPEPATPDFEEEIPRQSNA GGGGAFGGFPWSAFGFGSAPAGDTEESHNRFSNDQFGGVFEEMLREEGMAEGADAHPT GKFWSMIGGASGAAMGFIVANFPGAVAGAVAGNRLGAVRDARGKSVYSVFQELPQADK ARMLSDLAAKVFAHAVGS CC84DRAFT_1101976 PLWGRPPGGRGATGVVGKYLEADEDVYRFQEVDSGDENNGALLD QGYNMASSVSNGKQPFNPDELYFNDMDIRAWERRAAEQDGLAYGDDYDYGYGYVEDEG YYEDAGNMISPAEYEELLFQRTLDKIRLARATGEADVSLSPEELEVYQNRMWRQRAPA ARPQARPMPHSAPVVPSATTSSSTSAPGSSSPRSKKNQRRSSLFGGKDKSKKEKSGNR ARATSNATESTIQQAPPGFLVPGPNGQPMFAPINAYQANNGRDTRQPGPKDARQSQTP PQSPLRLTTTSRDIPGAFPSSPVSLRMPTPPLTARPGSSSSRLSAQDHSDRQTSSGGR SRSSPTLQPPKLVPFPVAEYKHHNTEPFQYQAAGHLAQPSAQPQYARRVAPAESPYAT MPRRVPVPGQPSTGRQGISSSNSDSAISRVGAMVDAIEDGAHTASPTDDSGSSVQAGK ISGKQGSGSGAGKEGERRRKSGRSRRKN CC84DRAFT_1155131 MSRLSIPIDALTSRLNLGGRFEGLQSQSLSGRFANLRPVTEFFD VKRISKPANFGEVQSRVNYNLSYFSSNYAAVFAMLIIYSLVTNLTLLFVILMLVGGMY GIGKLQGNDLQLGSWRATTSQLYTTLLVICIPLGFWASPLTTVLWLIGASGVTILGHA AFMDKPIESAFSEEAV CC84DRAFT_1168479 MKPSALSALVLCLFAAFAAAWTKEDHEIFRLRDEVALHSGENVT FYDLLGVKPSASQDELTKAYRKKSRDLHPDKARRNFEAKRVLENKKKGKKVTKPASKK EIDAYMKEATSAYQRLSVVANLLKGESRERYDHFLRNGFPKWKGTGYYYSRYRPGLGS VLFGLFAVMGGGVHYYTLVMGWKRRREFVERYIRHARRTAWGDDTGINGIPGVDTPPV NTENWGDDSDEAPQEMAPMNRRQKRAMEKENKKDKKSAVAKKARSSGISTPVEAKLTS GPQGAKKRIVADNGKVLVVDSAGNVFLEEESDEGLKQEFLLDPDEEPKPTIFDTMLFK LPKYAYNQTAGRVLGNTQAVEEPLLEPSDVPEEEAALNNATANNLNGETRKRKAKARA VR CC84DRAFT_297830 MWNLLSFSYALCVGALVAADFTQPDSSLADFVQQGHIGDTIDIA WNAGWNWGKGAQPNVADLFIMWSDRPTAFSQLVHANVNLSTSGSYSWIVDVPNDKIAA TPKFVFRFSQHTEEGVFDIDLPRMPSRAFLLVQKASTSSPSTSSSLSITTKATVSSEA SQTTPVSRSNPDQSNNAEPSGIAAAEKKTSTAAIAAGVLGSLVGIALVLGGVLLLLRQ ARKRREAKSAERRAELEGIKGQDVYSYYYAQELPGAPPSELPGDRHGQSRELDGSTPR DWH CC84DRAFT_297831 MSPRLQNRIAIVTGAASGIGRAIALRYAAEGAKVICADLTESTW RIDSPSDEARDSTHARIVASGGEATFIQCDVTDPASIESVVKQTVQLHGRLDIMVNNA GIAPESSTPAPIWATTLETYHKTLRVNLDGVYYGTRAAAAHMITQDPINSHGDRGWIL NACSVYGLVGSRHASAYATSKGGVANLTRSAALDCAPYGVHVNAVNPGYVRSHLTDAV FRSEDPGLKKAVEQIEGMHPLHGVGNPEDVTGAYVFLASEDARWMTGVNLAVDGGFTA Q CC84DRAFT_297864 MPWVPGSFEEEHIIIRYDNLRQHSGYVRTKCVHVLHELFSRISD WAIPVHTLPPLANQASTVTPPTAERGPESFFERIHAPFHRQRYGYDKLPTQYSFRLVK VHIARPSVQCEIETFNLISPPPFKALSYCWGKGGQHSDIWCNDRLFKVSSNLKKGLQR LHKYGAWSRVEWFWIDQICINQNDFEERMHQVRLMRAIYQRAQNTLIWLGADDTSARG AISIIGDLYRIVLETQEKETAARVEGRSLDNVQVTLPSESDERWNSLSWFLDLPWFER CWIIQEVVVSPGDPTLLCGGFQLLWSRFQEAMAALLRYHPKFRAERMKLMKGILDLSS KSELWELSSLLQSTRPFQATDPRDKIFALLGLAGESRTPEKWPKALMPDYSRSTQDVY MAVALYCIDKTSSLTILSQACSHWGTDEASVDGSHRSWVPQWLETQRECELSTYITTR SGSGWKTLVEKYNNASDSHPVSRNPHTPSHILRLEGIQVADVALCFPIVTSEAAPSSS SSSSSSSSSLSLIDNPHPKLLKTTAELFTVCRSHLMHLSNEAFLRTFFLVTTAGLTHE KNDARHEPMTHLRAFLRIVIPKKLEAGSGEGSMYSVRRAFRSNLSISTLTPSLTPPIS TESFQTPPLERRESSSENLLSVSGSEGEETQADPTRYTSALAPMLYRRLFVTSTGHLG LGPAGMMSGDTVVVLFGGRVLYVLRRVDDVCWRFIGECYVDGFMYGEAMQPRDEATGS RNGRVEHEWFDLV CC84DRAFT_1168481 MAAIDMSLAASQLTKRANFASREPGVIVVFAIVGAVAILVIGLL ISKRMAARKAGVV CC84DRAFT_1155137 MAPPKKPVGQVVQAEIVLQQSLKNCLVNLPSSLVSVLVNANTVC VAQNVVVELSYRQPPPPGASEPKNASPQKSVFLGWTGMQSKRKLATVVGRDGLTGTRG GPAASQQDVQTVEVDTTFARLTGLNEGQKVGILLHVDPPQAHTINIEPLTPVDWEMIE LHAQFLELNFLSQIRALPNPQGQSSHPLTLHLSPTTTANITVTSVTPAPPIESQFVKI SPDAEVIVAPKTRQKAERTSSGRESRSVGGTSRKSGKSTASTVRRRSGRDEQTSRGVV FLRGVDRTVAQEWFDEEDASGAEGLKIWVDKDVLLTKALRGVSWVSVAVVKPAGLQEP VDMSKEQEQEKAAARVVARIETWDDAPDSRHVALSSDLCRVLGCAGFVGGLVRLEAAP NQTAKPSSIKPQGLGSKDKDPFVKAIRILPFSSVGSQVNASLRFGGESKQDQEEAIKR VREAYGKMNGLLNGPVTDGMVLPPTSASGLDWQGGIVRFEPPQPSSGISWISFPERKP AMELGAEVPTPSSWTRGVHQGDSLPTTQPTLVGIDTLIEQLRSHLTHNSSVLLTGGLG AGKTECAQVLAHQLRSEFLFNTVYFSCRKLVTDEIRVSTIKETLTRLFASAAWGARNG GSAVVILDDLDKLCPVETELQVGNENGRSRHVSESIVAIVRQYCTLDSGVVLLATAQG KESLNNVVVGGHIVREIVSLKAPNKDGRRKVLEMLAHKDEKPQARLSNGAPSSGHAFP ASPSNSRPSTSHRSQASGSSLQYNAATEEDGFVLEPSIDFLDLAGQTDGYMPGDLVLL TSRARNEALIRSVTDSSSTGTISLTREDYTQALTGFTPASLRNVTLQSSTTKWDSIGG LHDTRQILLETLQYPTTYAPIFAQCPLRLRSGLLLYGYPGCGKTLLASAVAGECGLNF ISVKGPEILNKYIGASEKSVRDLFERAEAARPCVLFFDEFDSIAPKRGHDSTGVTDRV VNQLLTQMDGAEGLSGVYVLAATSRPDLIDPALLRPGRLDKSLICDMPALEERVDILK AVTRKLHLAPSLLETGPEGQNLREIAQRTEGYSGADLQAVVYNAQLEAIHDVLGDSEQ GDPTKSGDPKKKSSSDTGGKQGKENRVPEFTHFRFGDANTTSNGAKPIPSSQLTERAL IVQKIQTLQAMRRKQKHLQHQQRPGSKDEDDETKEGEDRKEPQIQWSHIESSLATTRS SISAQERRRLESIYREFVVGRNGEMPSGQGGTEIGGRSSLM CC84DRAFT_1129019 MALLTEKSLVNYEEQQAAFVDFLQNFKSSSTEAADQLRNLNLNG DGDNDEYDMLDDSDDPAPNADRRRTRTKAKYVEMLQDIADRTRSNVLIDLNDLETWEK AADPEGQFKLVESIERNCHHYIEIFSRAVDQLLPRPSEEPNFKDDVLDIIMTQRSKRN EKMQEQQGGQDGAQAPPELIFPPALTRRYTLNFKPIIPSGASSERSMKALAVRNVRGE HLGHLITVRGIATRVSDVKPAIQVNAYSCDRCGCEVFQPVTSKQFTPLAECPSEECKK NKTKGQLFLSTRASKFLPFQEVKIQEMADQVPVGHIPRQLTIHCHGDLVRQINPGDVM DCAGIFLPTPYTGFKAIRAGLLTDTYLEAQYVMQHKKAYDDIVLAQPTLKRMNELERT GQLYEYLSRSIAPEIFGHVDVKKALLLQLIGGVTKEVGDGMRIRGDINVCLMGDPGVA KSQLLKYITKVAPRGVYTTGRGSSGVGLTAAVMRDPVTDEMVLEGGALVLADNGMCCI DEFDKMDDSDRTAIHEVMEQQTISISKAGISTTLNARTSILAAANPLYGRYNPRISPV ENINLPAALLSRFDVLFLILDTPSRDSDEELARHVTHVHMHNRHPEAAGGVIFSPAEV RQWVARARSYRPTIPKEVSDYLVGAFVRMRQQQKRDEGTKKAFTHTSARTLLGVLRLS QALARLRFAEEVITEDVDEALRLIEVSKASLYVDEGRRDDHTPSSKIYHLIKTMEASG AAAVGDGSRGELDLRRVRERVLAKGFTADQFEQAIDEYSMLDVWQTTGEGTRLVFIEA GNEEMEDDD CC84DRAFT_1102549 MAAGTFGTFLIIGPVCFFLGILFSSFPYDYNVIWTTPEGPRDVY FNILEDHLKFLHASPPIISRILHIVIGTGLLGFLTKLYKASQANMLFDGASLVLYMAG ITVYITNIVKGLRVVTAGIYGNVDPSEDENAEDYISREDTLRVFAASNTILALVLVGV LVLQAGQWYALRKEEAEIKEIEEKEQERKTAGKKKQ CC84DRAFT_300963 MELGRCIYHRLGGERGNPAWNYRLQRVRLFFYFTSCPVLSSFLL FSHLLTSLPFDRPLGIHDGTSPHSGQADHQTEWRSRAFKELWGRATEENTYSIVSGCY TVHKSNKMGRKAMAISAKHSPRVPRTILMFLDVASAPVVPLLRHPFACCAGLGRGFPK LPAVIAVAPSVKTPANHTRFLPAQTIVYHDAFFFRPFRSHYQPRRL CC84DRAFT_1168485 MVVSRSEKILAPVSKRSLVPDMAKLQDAAVHAVGPTLQRIKNDR KRDLPILQKALCYATALQLEKSGIKWELAQGWTIYLFSAGSSGYVAVREKRQLVEEAK AELDAIIKQVIDEEASYCANQEKNILPCRLLLSNIAAGADADEVALFLSEFKYDIRNI KMLGRDSTSRTQTALVDMYTKDAAKQASYTMGSIFGLLVKICLATEGD CC84DRAFT_1263361 MASVLNKRQQARNERTLQDLIKNVPGNSTCADCGARNPGWASWS VGIFLCMRCAALHRKLGTHISKVKSLSMDKWDSAQVDNMKRIGNTESNKSYNPRNVKP QIPIDIDEVDGAMERYIRQKYEQRLFMTDSRPGTRSNTGSSSSVEDRPPPLPPKPTKG LGFGLRKASTQHSVTPPISPGISNFNGHDASPPRVNKPSRVFGSSVTGDGLESKLATL RDMGFPDDKRNSTVLKGLNGNLDRAVEALIRLGEQNPGKSRGSTPTPPDKLDVNGLML NKAHTMPATTTASSNNPFDALDAAPLPQQQQQQVAPIQTHYGGSQTQPTSPTNPFYQF ASQQQSNQYQQQIYQQPQTFAQSNPFGQQQQSLEQSFQGMQLSSQQQPQQQQQTPQPL FPNRTGSYAQQPNPPFAQSNPFQQSFTPPPMPQMPPQYASFYQPSQSPAPQSQYQSMS SPSSPGNPFLKSTRSQMFSSTTSYNANPFGQIPDQQQQQQQQQPQYHQQSQIQPQQTG NPFMMQQQTQTPIQQAPNPYQNQQANYAQFNHAQQAHAQQSSNPFDKNSILALYNRPQ LAPQRPEQAQTPPVQMAASAPAVQPGGQNPFAAMQSQAGAQQPASALPRQVQQGPRHV SSSSSSSRHHSSSRPGYARSYAGSSYSSSSRHHASTSRYKRSPRDGYIQYLYHKFREL LHRLWAYARRHPFKVFFMVIMPLVSGGVLTKLARKFGVNLPDMGGAQGARGGGGYSGG GHQGGYYGSEGYGREGGAGGGIGGSGMNLQNVASSIGGLASLAKMAQSFM CC84DRAFT_1180402 MSQNQATGNQSIMSTRGGSSSSGRDQSRSKPDEWSEVKDPNERR KIQNKLAQRRFRDKVREQKEEAEREVENQRRAGSSYAAPDPENIEQNRDLSGLPWGGI SMKHIVETGKSREEKSQRSSRESSVYAAASRTGGSSR CC84DRAFT_301069 MSAEELSKLSLRDTMVTTRAGAARLRTNPPQTPQESPTSSPISP TPSLIESTNGHIYDISTFDDELRRRAKIGLVKDDNNIRMRFCRETDDGAFFFNLDDDI TIKVEEGRVPKCSCGNVQNEKACKHIFWFIGQLSSVLPTNQTIQLAGDGSSVKNTHPA EVLKMRSLQAFADHFHWVVEGEDLPDTDELIDEVANMMSCFEPNGLLPAEFKSEDTRE LSEQSRMFRELRPIVIQHAIRYPAFFMQLQELCSPEFQVKVFFEKINKRIDEAFNALD EYIERGPTNHRTPSLDVVSCAARLEGLVEKIEEYREDSLVSNAGERRDDTEIERLAAL AYVRMLEEVTSRNNDAYANSPWVTTEPPSDPMRNNLFVRLIGPASEENGFFVLDKLQD MAPHVVRHHGVDLGEIENRVRNTPLTPPAYLSVLRSLIQESRKRAASQSGGNSPKRTM Q CC84DRAFT_301096 MSSDRNSPPLPSPGFSDRRRASVTGQTFRDIFGQSSAPVQSQPY PGPITTAAVNAQRRRLSLTTIGLSASPNQSSPFQTRPRTESISSANSGSVDESPFEDD PMPSANSNPATPFARRLSFGARAMRDVRQSNGSVGNSNGEGYSFAENLRTRAERASMS GAKPMQPQPPPHQRAKSVAIMEPPVREMPKQPKVPDAMQERILKGDFYMD CC84DRAFT_1168489 MATQVPPPSKRQKREAIETSRTQAPAASIPDGSIRIRFVDQSTG TSGTLPVLTVPLSQATTANLNLLLNSLKDQLDDDSIPYRFYHGESPEALGDKEDVYNS LVKPGRVTAEEEVVLQYAPQAVFRVKAVSRCAAAVSGHGDNILAVQFSPKNSSRMASG SGDKTVRIWDCDTGTPAHTLKGHSRWVLAVSYSPDGALLSSGGYDNEVRIWDPETGKQ IGGPLKGHTNFITSLTWEPYHLQQEGRPRVASSSKDGTVRVWDAVGGKMEYALSGHKG SVTCVKWGGTGKIYTSSHDKTIKVWNGHDGTLVHTLSGHAHWVNHLALSTDFVLRTSY HDHTGKVPATYAEKLAKAKSRFEKAATINGEVVERLATASEDCTLFLWTPLSSNKPVT RMTGHQKQINQVCFSPDGNLLASTAWDNHVKLWSARDGKFLFTLRAHVGPVYMASFSA DSRLLASCSKDTTLKVWDMRTGKLKQDLPGHQDQVFALDWSPDGERVGSGGADKQIRI WRN CC84DRAFT_1101971 MAHRSRPPTSYSTSAGASSYPSYSYGYGEYNTTTTSRPRTRRST GRPSTARPRTGASTIARVEAQTVVCAVAESRGISPTVGISFVNMDTGDAVLCQICDSQ TYVKTIHKLRVYCPSEILTVSTSASPKSKLFSIIEENLDIMDSKMTLLDRKYWAEGAG LDYIKTLALDDDVEAIKLSVSGSYYAVCCIAAVLKYIELELGVKFPYHSLRMKYEPSE GSMMIDVSTIYSLELLQNLHNPKSRDCLFGLLNETQTLMGARLLRSNVLQPLTDTDTL KKRYDAVDELQTREDMFYGTRSALKGFLDADKILTALIIVPTKPTIQTTEQSINQIIM LKQFITSVSPIYEALTGARSWMLNNIRELCAPENVAPVYALINEYINEDTTYAKQPLE LRNQRNYAVKSGVNGLLDVARTTYKEAMEDAYQHATELSEEYDIKLDLKYDNGRNFYI RIHAAELEDRTLPPVFTNVFKKKHMIECQTVELMKRNQKINISHQEVVLMSDEAVQTL IEEVRGHMSALFKICEAIAMLDMLSAFAHLVTVNDYVRPRISDTLGIKAARHPIREKI MQTKFIPNDVYATQQCRFQIITGCNMSGKSTLIRSVALLSIMAQVGSFVPASSASFPI LHNLFARVGMDDSIESNASTFAAEMREIAFILRNLDRRSMAIVDELGRGTSTRDGLAI AIAVAEALVDSRALVWFATHFKDLATILSERNGVICLHLAVEISDDDTMTMLYRAIEG SVKESHYGLALARLVPLPPQVIADATYYAHKLERRLQQKKKISENVIAERRRKLILDL KEHLVQAFHGTLEGDLLASWLKELQKEFINRMTAINEEEKGTTESEDEDEEGDVEMRE EESGDEEMTEARDDTRIATTESRQQLPIMISNYSKVSSSTASTSTISPASNTTSSVRA VSENLY CC84DRAFT_1168493 MGIWAWGLNLHYLSLIRIDVPSLIRYPARNSPHHPPHYLSCYRV ALSLTVPLVLSLLLFWSLTNGSPADIAAWEILPNLYLIVLVIGFIVPIPFVSRNGRHR TLATLKRISIGGIAEAQDGKFGDILLADALTSYAKVLGDLFISLCMFFSSKHSSTGPP NRNCGGFFWVPFIISVPSLIRLRQCLTEYGRVKKANKQAGQASAATGWGGVHLANALK YSTAFPVIILSAMQRSPDPSKYGMSDKTLFRLWLAAVFVNSAYSFWWDVSRDWDLTLL LSKRERENPEHPYGLRRHRWFHAKEFYYTAIGIDAVLRCTWSIKLSPHLDHFNDLEGG IFMMEALEVFRRWVWIFFRVETEWVRNHRGPAPDDILLGDFANASSKYDEDD CC84DRAFT_1102093 MSGRLTIPKIFMAGGAVGFTYYMFMRQYWFPNPYKTREVQNIED RFTAGGGTPYSTPAAGTPRGDPKDTTLRQTSEHKGPQTDHFKENFSSQKNTSVWPDKF YETHSGNAKGK CC84DRAFT_301216 MSFPTTPAFVHAGTWDDETRKHPAMKWMENFTRTFNKREEWDKE ESEWLSQDFTFVKPDGKSSTGNAVAFAESKNMYQHFTSEFHEPYFIITWETKDGWEMI GQAHIFANLRGNATAQEKKVQDLSGRDWDIKIPGAFHFWYVKQDGAPHGGILMKKKEV MSDSLPVVQILTTRGVLQQP CC84DRAFT_1190484 MPESKPESTDDELSPHKAKQEFIKSIRNELFTLNFYRAHMLYFI VVIAISSVIVYGEGIKNGPKDGYYDSHVSYMNALFLTCSAMTTTGMSNPQPSQWLNSV NLGDLSGFQQAVLCVLLMVGNIPFVSMVVVLIRQSMFRKRMSEVVKHSRAMQRLVQDI ETSHENGQNNGSGDIGLRQRTHAERGRGRDDEGREAEPKKRKIQPLNKRRTFHHQTGF GFVPTPWETKLAKNLFARIFDNITSELRPEQHDYVSFKPHLDSRGRFLELSEHDRLEL GGVEYRALQALLFILIGYQLFWYVFGIVFLMPYAYRSETRDILREAQPGGLNPGWWAF FSTVTEFANGGLNVLNANFVPFSGMPYILIIAGALALIGQTQFPIFLRLTIWIMQKMF LLQHPRRCFIYLFPSRQTWYLLAIQITIDITAWLCFEILNIGMPDVEALTTGTRILDG LFQATGLRTSGAYIITFSSLAPACLVAYLVIMYISSYPLVMTLRKTNTYEERSIGLQE GDDSAAAYDIWFQFLAFFLVCIIERAHLRAADPGFDMFSLLFEVTSAYGTDISLSGRF AGLSKVVMLFVMVRGRHRGLPLAIDRSILLPGEELMKRLDLEYGGGADASVNDKNELV RDFEEGTTQAGGSKPIQSAERENVGESATPSQ CC84DRAFT_1168497 MDSRTLQVVVLHAILVCRSQCISSIAGYCSGLKSIFQHLGKPVP SYYLPCIPPSLKYFTVVHPLQGYITRALVPPK CC84DRAFT_301417 MQEPDQEIKIKREMATQRESPANRYSDRVTHETRLGLYPAMVSP FSFASRFQFDASKRYGRNPVWWLVRHMWCKERTASVRLAGAYGSHLIEINTQTSTGER VIATGLWALACVKRNRQRRAQCVVRMSHFMEPLARLDVRRMRGNHSTR CC84DRAFT_1180411 MPGGAKRPGGDRTTHPALERELLQPRPGTASSACRLSTVARRQP RFLCDPERAAPAAPGATTAARQAARGVVVVDVVVLGRRQRAAPGREGVWDGGARQKTA TDGPGACGRVCMRGQRAFQPGCMGKLHPRRAFPHDPHPLLPLPRRLPVLTSSSHAASR SASCSDLSRCTESIVGTANAAPSQHLASTQPAPSRRPASSFARTAADMHITQTFTSSR RVTARHPAAPWQLHPRTRVPHPRTCPPHWERGLPAARDGAHATSWTADLHLTDG CC84DRAFT_1168498 MDRKLPPLRQVVDMNGKPRETPAPLMASWTPINQQPRPEGPVSP RTRHANPQVNGQPSPPSHHQDRSENPTLPQDRTTAISSSTNSTHGGSSFDGHPAHFSP GEHQTAGKPINARQDSAVFPSATSQAPTTVLPGNTSQSTRSPELHHRSARDTAAHPLT PPSDPLSHQSYSRNVLTHPPAYGRPPAPPSIGSQQDAPNMNSQRRRERSDSDVNRTDA PPLPHTVLFNETNKMPPPPIPPSTLPSEPLTTEATSPPSSTHVLQQTPRHPQDASDND EEVVKCPYCHDTWSHPPPDTEKFYPTPSLNFQDFNRNMTTLSTFSQTYQRERDASYTK WRDTHLRGHGHCNCTERQSGSKRKLEESVENTSPPSKSQKRDSESPPRISHLTPPPDQ ANGGTASGPFHVDPKPDPRLPDTIARVLGPYKPPRHDSDGMFHDVHGEGHRVKTEETA H CC84DRAFT_301429 MQVAIRRLCAAPHAAQSIMTEEGASSSHNERQTGTPRPLDVQRV GINAEAWQCLQIAEIEDPLLVEGGSARTSSTASCCPSHGVSGSRGWVRIRVANEVSVF RGDGCRSGKGSQTLTLPGPCSLNRFPEKDRLWRGARASGWCRRGRVKLA CC84DRAFT_1180413 MDALCGWIERTGIAQFMLVNNINVSMRICDPVIKVLLQIALNGG HLAPADVTVITQGLFPPNCRPSRPLHGVPGILNDEDTGESDDIDNNQEDPDPPTTCHQ TSDVVTVISNLEAAFQYRRCCCKKNKEPGTPPCRTVVSLSQDLRPPHLITRLNSLALL EAVYQICGTTVVSSERSVP CC84DRAFT_1230401 MSRGKQHRRKLYELQEPIPLDPWPSCRQPLSPSSEPPRKKRLIH RAALALVQQPATEQVGGRSSFFKFPREIRNKVYHHLWKDTPHMAVACDATSTYKAILR ARAVITAIYDSWPDHKNGKLDNIHALWLDRTHFTNTCFHIGSMKTLPQWLLANKQILI EGLEQFQNRGVWCVSDPAELSRDLAIQQLLHPAIAEDMIVCLENMFNMYYLEGYPTRL TRQWAIPKHDSLTALYRLLLDNGGGEPRLKLLEFRSTTRYLRLDYHPQLYEFFLGLQK ACADEEAGDDDEDEEENDDHLWYYYPDNAPDLDVVIHDDYPLTGLDEDLFDLSYLAPP PQLRKSLQQLHVQARFPDDHDVPPCAAQEMMYGGMAKLLCIIFPNHDMSTTSPLQNTS KTTKLPPKSTSTARKILDMTSGRVLDSDGAGGRYNGARWEFRRGPFRLSRERERGGAW AVRKRDGCEVEYFPECLERCPFSAPTAPPTSSAGGIHSTLTWPPTMAMLSALMPSRTT PGSRAAVRYFMSLWPPVAASASESRSAVATTPSRSRLRPQRLQLRASAEFR CC84DRAFT_1221907 MRGEYRLADVGGFYHCGREVVDNHNLQALPQWLLSSKQILQESL DRIRRNSTWYVFGPKCLDNPFTRLPPLMHLRNAQDLVVLLADIYNDYNPAGLPYGMRR IWGIDPRTTHALHPLWLSLLADANLQSLCIDTFLGYREWSNVSNICVLYRAQEVEPDE DVYFDDG CC84DRAFT_1209367 MSTGPRFVYPFATSPDVIRSHQKDAYFSAVLLEQLTTLLRKVKG ARYAHTYTSETRVFGELLYLGLTTLIGNRTLGEEYCDIIQVEGESGRLPGLARRAAYI LSCVLGPYVLGRILPAFRKRIRAKLEANLKRYGRQRAKAQQHAHESESIKPISTPLAA RVQNYILQNLDTITSPSPVYALSLATFYFAGSYYHLSKRIWNLRYIFTRHVQESDQRM GYEVLGVLLILQMAVQAYLHLQNTVSRDVPQTSALGTSMGTSAMVGGGAEVSLDPNAY SANNALLFEASTGQTTPPTELQKWTNTPVADKPRYELNDDETMAWIGENNRKCTLCLE GMKDPSVTTCGHVFCWTCISDWAREKPECHLKDMMLSNRRSVSKSRSSSFSTRSKVWL CKHYLASPSDRASNNCRGSRIRGAVIVTLGIAMVAVVEGLRVGLRDAAELALAPEMKL RIRPVAVEAVASVCKALAVLYVVDAEEIPFPGLAILVAAVLVNGCEAGADRCRNASRP PGYQARATGVDVSIVVSRGPRMSSKGGHGTVTYVVDIALALAADAELVPTHVRALPPE LLVLLDIAALGVLVEHHVAVGLGAPGRIEGCTLTMDAVEIEVRRGGEDEGGQSGHKFH IHALKVTPRVKP CC84DRAFT_1168501 MPPHEGLVHLEEYDWRDSNVALINSDIDHKVKHQSASTEPAWNN GIIGCETGLFIWRIESFEVVPWPQEQYGAFHEGDSYIILKSERVKKAAGEQSGNEDKL VHDIFFWLGSHTSQDEAGTAAYKTVELDEFLHGTAIQHRELQSAPSDAFSALFPRLKI LRGGVRSGFTHVDTNEEQTHTDTLLRIFKHPSAGAGRDSILVHEVEPTWQSLDEDDVF VLDKGDKIFVWQGKNCAPMEKLKAAQVVNDMTIAKHVEVEVLSQAESRSRVVVDYLGG GGINPLQTRFKSPRPVSSATAREVKKLFRLSDSEGQLSFSLAKEGNRIEMNDLDGSDV FLLDSGRTVWVWEGRGASRAEKAMWLKVVQRYVAQQGDAAGVSFVKVSQGSEGRAFVA ALQA CC84DRAFT_1221911 MSRIQPDAPNPFHGRFNHALRNIPGTMQLQIPLVTGPSFPCYFL DYVCDNQICTGRYTQTEQMLPFEPTGNQAAPEVLVEDSLGSATEETLRMIDDQLHETL LEAFSHRVDPIVRVLHWPSFQEKCSIFRRRRQSQMQMPVGAAYTGAYVQDPHYSAQQT SPFTGSAIPTIQGPTLGGDKQSSATFDRAFATLLCTVYYASIISIIHGPNPSDLAQSI NAVGLWSSLRREMTSRLNIMDESFVQAASMELLQAMVLYLSVELGTVNPQRQWLQLGT TIHMAQSLGVHRDPSTFGLGPIEIETRRRLWAQICILDSRLAEQLCREPAINPDTFDI SLPLSIADQNLTELDIHSRSAHQDSDMDLARFQEVEDSQEHAVPFSPMALLIVEAETA RQQQQLLCLRYNPRDRPLRTSSTSPIAKRAIPFSGRTDRMQWANELQARFSARYNWDH YDNSDPLQYLVVEICQINLLKIKLMGSMTQRKEISATSQYAHSEILSTFHDALHLASR CAGLMHQYSNSPYNWYTRRVRDVYSCSFLAVALSSDQQLSVEDTSTAWSVLDQLFPAD AAQRPMEPGMRETLLEKIVTKARMKKELRLHIPVGTHLGEHAMQASAEGYPAHIAPHP SATSPANFGQRSAFQTTGNIFEDWDALVQEQIWPGSLPGDNNYWV CC84DRAFT_1190487 MGVFGILSLIITPFAQLVFSVFGFVFTALVLRIVYCQFFHPLSQ FPGPWWATSFSLVGAIISVKHREPQFLTYLVNRYGTDRPIRISPTMLIFPRPSAARDI YWDPKCNLKSGLYGTGALGPPSLFTTLDGEQHRQLRKALSNAPWTIGQLKNRWEHRFD EQVIIFIEKMREHVEAGRVVCLSDKVAEFAADIMSMISFTNAFGCVKNQRDEKLILTN WRIGLDFFGFVGRFRFFREKIIPMPYVGRYFLPSIDNELGMGWLMCEADRQVTAREKL VAEKGFDDTPDFLQHCLEARYSDGSPLTPVQKRSHVTLLIQAGADTTGTALGSILRFI TTTPGVFDRARAEIDEADRAGHLSTPILYEETRRYLPYFVACIKEGVRLNPSATNLFA RITPKGGKVIDGHFIPEGAEITTYAYVMQRDKKFYGEDANDFRPERWLESEKRSNELE AVQFSFGMGPRICIGKDIAYMEMFKLLPEVVRRFDIEVLQKGKYVVAGGVAYNQHFMG RFVERMKGKGIM CC84DRAFT_1209370 MDRPPERPAHANENLTVSEIGVCVGSIVLVTITIILRYTGRWIL QQRMNAGKGRRGERIWGLDDLFNVLAFLSFYGLVGAVLVAIHRGMGVHVDVIVYDRGL AGVTAYRQAIYVSALFYNFTLGMIKLSVLSLYHRILRGVQSTALRTVVWIVFGIVAAN TFANVLVAMFQCHPIKAAWDSSLKPEDFRCVDINAFYLGNAITGVSTDAIVYFLSIPI VLPLHLDKKTKLQLLATMLVGGFAVVTSAVRLGFIPALLKDTDISYAMGIPMNWSVAE PAIGILVSSMPAIRAIRYVWRKPGEDSYGSGSGEAQSTLRSRDGQIQLSEVRTGTDAE SAKTNESEEGLVVDRQSGSPGQGKISRTTEFEVSYSTAE CC84DRAFT_1155177 MAPKFTYLGMGAMGRAMSKNLVEKGNLDKPVTFWNRTHKVASEW AAFVGPGANAVEDLLDAVKDADIIWSCLATQDAVFSCFSRMLDTNVRGKFFVESSTVT SEASNRLAERVREAGAEFISMPVFGEPTIAMAGKLVAVPAGPKESVTKILPYLEGVVA RGVVNLSEQQVGTASHLKLIGNVMILMMIEAVAETHVLAEKTGLMNEVMHDAINILWP GAAGVYSRQMLSGQYYVDNVSPLVPIDMAVKVSSHVLNLAEAHGAELPAYKAVRRQIE AVKNHCGSQGDLLGVYGAGRLQSGLPYEKEKVLKEDGTRMN CC84DRAFT_1209372 MPSPFIFSAFSTVFFASPFATPTSCSFFRPSSEIVPTCGAAGVE EKSALRPAAKLKVVFAILPSFAYLGACLEPAMPVASAVPGISRGGFAGVALGNGVGVI MGAPHQMSGLAELVSVGVVRGLVRGCGFMADVHVPGMGWVNELRLGLEERERLDLSHL LGALPERWAVEVGFALPAAIEVGALDLLGDLGKSLVERLT CC84DRAFT_1102137 MWILPLLGYVGVVLGFAFLTLAIASGLYYLSELVEEHTVLAKKL LTRLIIGVVAIQILLLLVDGFPLGLSALSVVSHVIYAQNLRRFPIVKLSDPLFLVSCG LVILNHYAWFRHFSAPPPRDSYSYRDPYAPAMPTFTEIASYFGLCVWLVPFALFVSLS AGENVLPSMGSEYATGEGSSFISPGKTPAAGFGSGAAVTGTEGRRRQRSGTNAGMAKK AINGVRDWVGETGEVMGLWKGERTRPTF CC84DRAFT_1168507 MSRPEDILPPDLFYNDSEARKYTTSSRIQRIQNDMTHRALSLLD LHSPSFILDVGCGSGLSGEILSNSEEEGTPGGPHVWIGFDISSSMLGVALEKEVEGDL FLADAGQGVPFRPGTFDAAISISAIQWLCNAETSEDSPLGRLSRFFGGLYASLRRGGR AVCQFYPKNDEQKKMVSQAAIKAGFGAGLLEDDEGTKNAKTYLVLTVGGGDLDGDITG VVRGMDGVDVMARPGVARGAKRGQELKGSKGWILKKKEQMERKGKVVKANSKYTGRKR RVQF CC84DRAFT_1168509 MLSRSSQKTAQTLLRGARPASRCIAGPSMATRSMATVNHDIFKP TKFGGKYTVTLIPGDGIGAEVSESVKQIFKADNVPIEWEQVNVTGVETGNKHSEELFR EAIASLKRNKLGLKGILHTPIERSGHQSFNVALRQELDIYASIVLIKNIPGYETRHKN IDLCIIRENTEGEYSGLEHQSVDGVVESLKIITRAKSERIAKFAFAFALANNRKKVTC IHKANIMKLADGLFRNTCKKVSEDYPTIEYNDMIVDNASMQCVSRPQQFDVMVMPNLY GGILSNIGAGLVGGPGIVPGCNMGRNVAVFEPGCRHVGLDIKGKDQANPSALILSAAM LLRHLGLDDHANRISQSVYRVIEDGQVRTRDMGGNSTTQEFTRAILAAMEKA CC84DRAFT_1168510 MEEPSIGWVGLGNAGYPMAACLAKKGHRLLVRDADPTRGIEFVE KYATSRIATSDPDSFQNCDVVITMLPNGTVVNDVLLGANGIAPHLKPGSVVVDTSSSS PFDTRALGLELSRLRVDLVDSPITQEQLHAIDQGGATLMVGADSAEALERVMPILKDM STHVFPMGGLGAGHTMKTLNNYVSIGSIIALNDALVTGQKLGLDPQTMIDVMNVGTGV NFSTKYSHKNLKSYDTGYQLELLVKDVKIAKDVIAKSGFRTELPALAERYLEDSLKVV EKGADHAESLKSWEQRAGVEIKKTERREGSP CC84DRAFT_1209377 MKVDEKGTDRRAELHIHKHEQVEELAEYGQYIDPDDAAVCCYVP IEDGNIVRVAGRFNGTTLAIHWDLRVDGVLRKANNMISKVVKAQKGVKLDVEEALYLM DDGLSEAKLLETQMKIVPVSGFNFTKNRDSLETVGTIEIRLYVLRTFGAEFPRDTFMT YLDDEDEEEKDDMDRKKATYLTIAPDYMVDFEKNCQELDKKTANAWKKKLNTKRPSKN PWAIFRFHYRSKEAINAQGMKLTHNPKTKGKGTKTHTLELDPVPTLAIGAKPAKPNDG GSTRDDSPTPSRPGTPLKLDSPLPRQFTPRRYTSPPRPFAQRSTAVEDASSEAATAEE QPPGVEQSIEKITNVEDLKDKKEEITNIEAPIEKAMNTGERDSGNEVRPTRPNALHAR TNCTNASNLRPHPQNHAPTTTATLKRPASLPLPAGPDHKRTKTLTIAETRRQIKAMKA RRETVAKKRADLDSELEPYTAKMREEQERLAKELEEETKMWREESQALRDDAAMLAEM KRVQGGEYEMRGDGGA CC84DRAFT_1168513 MPTPIDRAVSQRGPFLAFAAIVTGVAAWSIWGEDLFPSQDPKGD PETWTHEQCVTWLKKRNLHPSPLATTPELLERIKANMRIAKSGP CC84DRAFT_1221921 MPSEVSDIKQFIEICRRKDARSARIKKNKKAGNIKFKVRCERFI YTLVLKDTDKAEKLKQSLPPGLTISDVGKKNPKGKRSA CC84DRAFT_1263385 MKHLTIDLATSGLPTKDHVAAKAFPEAKTTKVHPSNAKEQNTNA TLYFIGTATTILEWEGTRILTDPNFLHAGDHVHLGPGVSGTRQTNPAIDLEELPRIDA VLLSHYHEDHFDRLVEDKLKRELPIITTPHAKKCLEGKGEESFTHVHALDFFESLQLD VKGEQATKQKKPSIKITGMPGKHVPPGPLAVANDLLGAVPPINGWMIELGYAEGPEQF ENGYRIYISGDTLMIDELKEIPERYRGKNIDLMLIHMGGTTIPGPSMPLLMVTMDAKQ GVQLMQLINPDLTIPIHYDDYDVFLSPLSDFKKAVLEAGFSDKVVYLDRKDQFKFNVR QCEGCGSGSSGSMAEKLATQAV CC84DRAFT_1129074 MYSRDPFNLRKAPPSALTYLQSAASHATTVAQRATGKAAEAVSE TVQAATDKVKDADMSFKLPSNVPNFDNAQRRFEDHVWNKFSGNEKELPMYKDKPTYGA RKGGWFSGKRRVGIIALVVLGTLYWIGWSNRGGSEGGMEEGKKTSWIPGGQTGKKGID WNTRRESVKDAFLLSWKGYEEHGWGYDEYHPVARTGRFMAQPNGMGWIIVDALDTLMV MNLTKELKHAREWVSTTLNYEKDQDVNVFETTIRMLGGLLSAHYLQEALPGIKPENAN DEDLFLEKAVDLADRLMGAFESPSGVPWASVILKTGEGKPSHADGGASSTAEATTLQL ELKYLAYLTGEPHYWERAEKVMEVIDNNGAKDGLVPIFVYADKGNFRGEEIRLGSRGD SYYEYLIKQYLQTQKQEPIYLDMWNESLGGVKKHLLTYSKTAHLTVLAERPSGLGGHV HPKMDHLVCFYPGTIALGVTGGLTVAEARKQPGWGKTQEDDLILARELMKTCMATYRV NPTGLAGEITHFELDDPPRMYRQEVLQSKGNLETDEDADWKNDLIVKPADSHNLQRPE TVESLFYMWRITGDELYREWGWEMFDNFVKHTIVENGGGFTSVNDVRTVPPPGRDNME SFWLAETLKYFYLLFSPNDLLPLDQIVLNTEAHPFPRFDASKKRFKTGWKRIPRDDKG DLIKS CC84DRAFT_1230422 MSLFGLFVLLLQLTLPSATPLIAEGPQGSCCPCILYPWRGRDPQ ENSDLACQTCVLDLLRTPQDLRPNHNNEYHDYNSKMHRDEYSQDYGHDHIWSRNLVGH NHHNVIRNNHLHITLDCNYHSNCTGHLISDIAYYLCDNNACWHNYSIYSTNGLLQIHW AMLRLVVRPNFDQSSSTLRAKGCSCYVTSQPASTQVVTATTKTSSTKTSTTTVSTIFV PFRTTTVTLTVVIGAMSTTTTTSITTATEQSFSTVYETVTSTTTSTATGPTVTATVTV PVGYTQTHRKDLVFS CC84DRAFT_1168516 MSKTIFITGGSGYIGAVIIEYAVAQGYSVTALSRSESSDSKLRA LGANPVRGDIKSLDVLTAEASKADIVINMADAIASDFNISQDERWATNYAANNALAAG VKGTNKPLIITSGAMTAQPHPEDEETDEKSPGWPEGHIYARGFESNKQTYLDQGVRVA YVRLAPYVYGRGGSGVRLYMDSFAPRGKGFFIKPGTALTTTVHVEDAARLYLILAEKA VAGESYNATSETDIQQKQISEAICETLGFPCEELDVEEVKAKMGMFLAIFMTAKCRSS NKKAREQLGWSVQAEKGILEEIRSGSYVDVAKAMKKQAK CC84DRAFT_1221926 MSSANTDSTIDSIVFEMRQHHALRSRLYTSPRLPTYQSFLDQLR DLDTGSLTPGAYCPICRVPFSESQTISSNAGSEYQYGLALETLPFHIEDSHALIDSPV RLPCASQHIVGKACIVTWATEGGGTTCPLDREELFILGAEDTFSVTDDEHRFNTGLRA IEKLPIRQLLVRFRAATESGIVRVNARAMTKRLATLIFRIVFETHGVPEAATLRWKWP RIGRPLYGYNEASAFRSVVKQWVDGEPLEEDGGYPRHIVTLLNPALPHVFALLYRLAR FFQARSMSLDELACAMQQHMHVFFKDFAYVVTDEKVLRSITFKTIDIWTTQELLVTQL RTATNQPDEWAGIVGPRDRPVTPYFGLRGRGRIGYWHFNRESERERRERRATLGEAAG LLVYDDDEDVPQGESGRERPGVSRSPDLGASVRPWSPLSGETEEEFTLLTSGIIINDR RNPRGLREEA CC84DRAFT_1168517 MNHTRPPSPPLAAARPRNSSSSIASQHATTSNSTLAGPSSSKAR GSTPSRSPAQAADGRPGRAASKDSLKQKMAAKIPEEPPRPSKADEQLKVLRSEFDSLR AHLTCKICDRLLYQPYTIACGHTYCYSCLCTWFVNNKQRKTCPDCRTVVTHVPAPAYV IRDMTSVLIGRAELLPSGSGDTIEQHKQWQKEEADAVQLDKDNTDPRTGGLFKGCFKS AHARGPSLQVIRDQEDGVERCPVCSWELEDGECVQCGLFFDENGELTWTDSFTGFSDM DEMSEQDLSGEDLDAEMDMEDPDFDPYGPPPGNWEDYYGPDEGNFMMQRFLQHGIPQH APFSRRPMSHSEAGSRRSYSQSIVSDLLPEEMDTVEEEDEEDMEEDSSMNDFIDDDED QSSASAGASSTPGQTPQPSNSQTRINAINRRARRVIEESETSSTISSVIEEEEEEEEE DEEDQGPIRRGQRNRAQTRVLNRANGSREPSGPPSSTSTDVSTDDLDEDTQALLQSQG WMLQHHGPDEMGEDDDSDGGRTTVGSSTVGWDATAISNDRVRMGGSLTPTADRPRPNN TIRPPSRVGNPLRRQASALSTANAHYEDGEADDDDSDVDQDGDITMAINSLRNRRSRA QLRNAAAFANFNNRYSNRGLPQGNPIDADAEGDSDHSQDGRRRTRQQAYNPRISRLFA DHLGALQEIQGGLLVDIEPRSITPIARPRTSNRNRPSPAQAYSPFLPPAPVRMRTPLM NNTANPALPRAPASPPRRTAHTPSLSEVLHSENAANASRSASVTSGSSSSVVLTPGTS TPTSQQSNSTASQPQAGLSIDMGHRPPSRVNSRPPSAAGRRNSAGFSPVYPQFPHSNV GLNIQGRIFPSQAGNPWGAFVQPRIRNLNSRQVLREQSSTATLRAVSSRANVREPAAS PQAPRTQASRIDLRAQPSRRRLNTQSSTRTLRASEHARPPPSPTAGAASGGAQPASRP TRYTPEETDRLARELINTRMQVLRPPGPTPARNNPFVPGFRRPANPSEATAGATIASY HTRSNSNESATSGGSSHTTTLTSSSPGLTRRRSNRHMGVAPPGVLPATSNGTFPTAAS GYYARQRQNSIGSSTSAFDMPLDANARGMSPMLATSLI CC84DRAFT_1168519 MSDHSADMMATVAVQTTVAPAVARLRAVPKFDPVRHLARAFPEK NVSMQELGFAEDVGVSPVAVSGPFQLFTSEAVNIMRDEIFCVPDKYKFSSNIAKSQLR GYAKDCAPFTWDAWNHPETIALISEIAEVELVPAMPYEIAHINLSSKSKEDAQQELAK YQEHQRRYQEDEGIGGCREVVETPIVGWHTDSYPFVCVLMMSDVRGMVGGETAIRMVN GKVLKMRGPSQGCAVIMQGRYITHQAMRALGAQERITSVTSFRPKSALLKDDTELRTV RGVSDLNELYYDFAEYRLKLLEEQIQHERERVQAARKAGGEFATAQHKQFLGNVTAFS QQSSHELVDQCEVQKGYIEKVDFPDAAIDI CC84DRAFT_301742 MLKDQQGATTLLCRTLQNELGCHSCEPYQSVACRRSLLPLSCTT CAAGIPLSRPAQSPLHREVSTSPIVLLAHLRHVSVPSLLILLIVPLFHCTVSL CC84DRAFT_1102461 MSKKDTPTASAPGDAPSTDNQWTGPTATRFENKAYSEYFDPCQE AANKSIRCLNRNGGDREMCSDFFQAYRDCKEQWTAARKEARRKSSWFG CC84DRAFT_1263391 MIIRSLTRRPGALQTLAQHASRAPYARRLHGVPSLLNHDELVNN GIPGLFSKKQFDIAYTQYQGHIVESLNIATAGSAYENRDPKSLIVDIARDPLMAYPWN LASMAFNNHFFFRGLNTNPNIASAPSADLVPLINRSFHSLETLQETMMLTADAMFGPG FVWLVQTPDAKDQPLKLLTTYIAGSPLSGAHNRKQPADMNTQNTESYAGSFGAAARQK ENRPKKALGGVDVVPLLCVNTWEHVWLHDYGVAGKMSFLEKWWAKIDWAQVQQNVLFE KPQTQSAGGGFRQFYSS CC84DRAFT_1168522 MSRQAGPKLSRISLLLLAAVMIPAATASSLFLRAEPVCGGKSNL QQCGGDLPASFCCASDTTCTTVNSTIQAVICCPKGKDCSTIQTVPCDTTQYNATLFPD NQIHFAETADVELPKCGDACCPLGYKCSNGMCIASQDTPSSTVPIPTSSPTTSPASAS QSSGCPPDALPPPASSFDAKSFAAGFFPGLLLGALGVIAFIWLTKRRRDAKAARYSGD FGHVARTISDPIYDPQHAQRTDFIRRGSHSVSSTPSVQKPIGTRAAGGGGHGLTPRIK SMWDRTPKLAMGVWSGLPLTPTLNLQPPPPAMRAGDPLRDPYRTPGQTPSQPSPESDE GATRTHSRRRKRKHSAKPSRRPLETPTRSTSSETIDVLMPAPSFLEPPKAPAMRENRM TADSGHTTFTKLMERAGYGEDSRESVRNLSSAGRI CC84DRAFT_301789 MATLGAHKKHKVTIVGSGNWGTTMAKLVAENVTANPTLFEETVS VWVYEEEVTLPKESRHFDPGSELATKPQKLSELFNKLHENIKYLPGITLPPNVVANPD LVDSCKDSTILVFVLPHQFIPRICDQLAGKIVPFARAICCTKGVDVSEKGIRLMSEAI GMRLNIYCGALSGANIATEIAQEKYSETTVAYDPPPMDSRAPTPKGSPNASMVDLISP SRSVPSERSARLKALPNEYPPLSQDNVRKLFHRPYFHVRIVDDVAGVSLGGALKNIIA LAAGFVDGLGWGDNAKAAVMRVGILEMVKFGKEFFPDSSKTSTFTEESCGVADVITSC MGGRNFRCAKMATQRGESIYEIEQKELNGQKLQGTSTAYEVNSFLKQQGLESEFPLFT AVYNILEGNAKPQDIPELIEPKY CC84DRAFT_1168525 MLYTTLLIASAAFSGLAAAQNGSSSDLPPTIEPCCNVPANTIPD TTKSTWCTASQNTCPEICGGQGQIASGGNTCNENTLQYTCKCRNGTEPTMSDYVQTVP GQMCRYWYAACTNATFASDGEGNATQQFACDQAQQQQCGNLTTKDASTTSASSSGGSR PTSTGSSDSSSETGSQTSSSASGTSSTPGAAVRLAQEFGAPVLAGGMIALFGIAL CC84DRAFT_1129098 MEANYFACTLGQASALNAESKPYRTINEFLVYQSRTCGSKPAVG FPLPHNQDAWEYELLTFDDVFTGTQVFAQRLSKSFGSASAREQTVALMAHSSPEFLFT WLGLMYLGHSVLLLAPQCQPGAITHLCKACSVSLFFYDAGHSQRAGEAAKLQQDEGGT KFSICQTPLGAEEDIFQVIRTPRPNYAPSPKVDESSVAYLHHTSGTSSGLPKPIPQSH HGAIGVLPHLACPSPRATFTTTPLYHGGIADTFRSWTSDSPISLFPSKDVPITARNIC KCLEVAASTAQLPAIKYFSSVPYVLQMMEADDRCLDNLKRMDIVGVGGAALPVEVGDR LVRSNVNLISRFGSAECGFLLSSYRDFAQDKDWQYLRNYNPGKLVNFENRDDGLAELV VKPGWPHMAKTNREDGSFATADLFERHSKIENAWFYHSRADSQLTLITGKKFDPAPVE AAIATAEELDDVLIFGDNHPYPGILLLRSEKWGRRSDQELLDAIWPQIGRLNQESQDH ARIPRHMLVPVEHQERQLEKSSKGTILRKVAEARFKELIEAAYKMDNEHTIKNLDDKD LPQYLAKLVQAMTQSPEPPEEDADLFSYGVDSIACMRLRSQLHQLTPNYNQRLPLSIV EDCGTIRGLSSYILRKRHGETDVNAEDENQVMLDLVNELGNFSGHEPDPQEQPNGTTG KTTSGEVVVLTGATGALGAHTLDLLRSSDTVSAIYCLVRGADLAAAKERVSKALAQRD LPDLSLQSSTNDKIKIIQAALGEPRLGLSDDIYNYLAAEATSILHVAWTVNFRLRLRS FAKDNLAGVRYLIDLALAGGRAEPPRFAYCSSTAAAMNGVRDDSGRLSERLSDDPSSA SPLGYSRSKWVAEHICLSAHHQTRLKGRISVMRVGQLSGDSQTGIWNTKEAWPMMFST VRLISCLPQLGNEPLDWLPVDIAAQAFVQAATRPVGSGEDIQVYHILNPHREPTWATM LKWMKKKELFKAVPPEEWIRRLRDCKEDGHSAMKLFGLWKEAYGDGKKKEEVEGRGAG FSIEETEKSVEAIRNVRPLDEEYVGRVWDWVQKNVD CC84DRAFT_1129101 MARVFAAVSLSDCNISNQDQTTPYTGPDAFGAFQSVFRQVAAVP PHHSESEGRAKKRRKLLNSHHANVQESFDPSKSAVLATVMLDLAPSESQTPPSLPNDA RTSIPLQLASFSRQDESRITLSVFDPATSTTLAICASAAPAVLESLATHLETAASVGA EWEKSSPSSRNDYIPFCRCVLLAPSSDQQAPRLEIELRWPFGASLVGTWRARFQHRAN FKILSTYLPNTSAGDKSPWTLSDFYDAVHVPPQNSDIPARLADSLPSTTLYPYQQRSV QWMMQREGASPTPELNKYPVSFSPAQDCTGKKCYVSGARGMVVDNLERDWDSTGLHGG ILAEEMGLGKSVELISLMCLNKRDITKEDVHDLYLGKTVKASSATLIITPPAILEQWR TEINRHAPHLKVFHYQGMARQGLSKNGIDETTVEHLLQFDVVLTSYNILSRELHHVTP PPDRSLRRKKVHERRNSPLVDISWWRVCLDEAQMVESGVSQAATVARIIPRCNAWAVS GTPLRNNVTDLVGLLVFLRCEPFASTKDLFGRLDKTSFRDLISRMALRHTKDQIRSEL DIPPQRRVVITVPFTEIEEEYYKDMFRQMHEACFLNSEGVPIRDDRGLDHPETIERMR DWLVRLRQTCLHSHVGEKNRKAFGTKNKTLRTVQEVLQAMIDQNDTKLKAEARDMILS QLKCGHICAFTKNLTNSFDKAILKYQEALQSALYYVKSCREEVQAEADALQLTDSGDA SEHEGSDGADDREETSAKFARITTLRRSLRSFLELEHACYFFIGNLHYQKKDNIALTM PDSDEFHQLEKLEKEWYDKAQFIRQELLKETEGRAQKQMRKIKDLTNQHQRLELTEIE DLGGIESSSVLEKLDVISNVINTQSAKLKEWRNKIIEILTMPLVDNDEGNETTGEEYE TSLKVQDELYVYIQAFRTIVADMMASVQGTEDRLVNHEMDQAVRQARDDDPARRGHAP ELLLQLAQERGRLRSKPEHGSLKGVVASARQLITSLQYRADTADQRAAAELAIVQKYF TQIQKMFTMENELTADLEKEQEQFRLTMNLRLEFYRQIQHISETVEDYKDKMDDSFDF RAYGTQEGLIGIRKMKIQGFKVRQTYLHNLRTSATEPQGPRRCDVCFESYDLGVLTTC GHKYCRDCMFTWWKQNHNCPECRTQLTRADMKEITYNQNDIATAQEEDHSAATPPSPS SSSSGSMSIYSGIRDSTMNEIKTVKLDDSYGSKVDMMAKHLLWIRNNDPGAKTIIYSQ FADFLKVLRMALKRFKIGVSGIRDTNGIEKFKNDPSVECFLLDAKSNSSGLNLVNATY VFLCEPLINPALELQAIARVHRIGQKRATTVFMYIVGNTVEEAIYDISVKRRLEHVGR DAGSRSGTATPAVNEQVIERNLDVANSEEIKTAALSKLVREGNHGEVVVEDDLWKCLF GKPRNTGPANGHAATENERMRLETRIRREHFLQQMDALDTPGTSTSQIIIQTAEDRAA VDAAVSSGDMDELRGLLDNVRERE CC84DRAFT_1230462 MTTIVDRTPEGIFYRAAKTYPVPDLDILTLLFDSEHSLAREGTP IHISAADPSLQLTVSRCRALTHSTSAALRKHFKIGAYGSGKDIVSAISTGHYLLPTLF YGVIGAGGVFSAASAASTAGELSKQIVQAGSRILVTCETTRDVAVRAAEEAGWGPNGG GRVVVMSEGAHWTLKIVQENGSLGTNLVNEEEKLEWERITDRTALENSLVVLIYSSGT TGLPKGVKLSHTNLVAEAVIPGDMFKSWLTTRNAQPNRPKFEYRTLAHLPVAHIAGIQ GYLINPFYMGGPVYWMPRFSFPEFLSYNLEYRITFFFTVPPIYLLIAKSPEVTNQFAT LEIAISGAAPLGKELQHASSAKLGGPDCFISQTWGLSETTGSATIMPMDMRDDTGSVS PLIPNMIARIVDDDGHDVEPGKPGEVLVQGPVVCKGYYQNEEANAEAFTGDWFHTGDI AEFREGLFYIVDRKKELIKYKGLQVAPAELEALLLNHEDILDAAVIGVDADGGTNEVP RAYVVADSKKISGKQIQEYVKANVASHKQLRGGVVFLDAIPKSPSGKILRKDLRVLAK REQGAKL CC84DRAFT_1168529 MAIGDSSEQGTRKCLGADCEKEAGPLQCPTCLKAGVQDSYFCSQ ECFKRNWTQHRIIHKKAQAQNGIIDKFVTPKADSQPDTDTGNYNPFPTFSFTGSVRPV YPLSPRRAIPKSIKHPDWAVTGIPKGEQRLNRYKIDLLNAKGQEAMRKVCRLAREVLD ITAAAVKPGVTTDFLDEICHKACIERESYPSPLNYSRFPKSLCTSPNEVVCHGIPDQR ALVDGDIINLDISLYHGGYHADLNETYYVGDKAKANADAVRLVETTRECLDMAIATVK PGTPIRDFGRVIEKHAKSRGLSVMATWGGHGIGTEFHPPPWIPHYSKNKAVGICKPGM TFTIEPILTLGKPREVYWPDEWTNVTVDGKWTAQFEQTLLVTETGVEILTAGNENSPG GPIPIPIPTE CC84DRAFT_1102360 MDTAGPNGSIDRSTFKLIDHLQTPQYVRLYDETQKLKERVNDLT SYQQAHPRPSKTPSTREEIDAEKKIQNQLDQLEKRLRAQLAMTRTVYRACVMKIREEK AETAEKKAANDALILGLHNLKYEEQSLRSEIAAAQNYDHKYTKLPLIPTDAFVEKYPQ YADASEHELTIARIEQEHQDRVELEARRQEKLKQKQKLIAEVKKSKDDLTKLDGMVEK FVEHFGPVRKFLDAE CC84DRAFT_1168531 MSDHLKPSGASTADSEKTAAVSKAPSMADYEKTDAFSTQASARE LSAEPQTAPGTANSKEQAVVDEADQAEEAVEDEDEYPKAWRLAVITIALCLSVFCMAL DNTIIATAIPRITDQFKALNDVGWYGSAYLLTTCATQLIYGKFYTFYSIKWVYVSALV IFEIGSLICGVAPNSTALIIGRAIAGIGSAGIFSGAVLIITVSVPLRQRPAYMGLIGG MYGIASVAGPLLGGAFTDHLTWRWCFYINLPLGAVTAAFIIPFFKVKRRNAKINATLF EQAKKFDLEGSALFLPAIICLLLALQWGGSKYEWKNGRIIALLIIAGLLIIGFLAIQV WKQEDATVPPRVFKNRNVWGSALFVAMLGAAFFVMVYYIPIWFQSVKGVSATKSGIMN LPAILGLVIVSMIAGAAVTVLGYYTPFMLMSSVLMSIGAGLLTTFETDTHSAKWIGYQ FIFGAGVGLGMQQALVAVQTALPKNDVPIGTAIMMFSQTLGGALFISVGQNVFTNQLV KNLQAAVPGLDPKIVLAVGATELKNQIQEKFLGGVLIAYNKALTETFYVSVATAVMSI VGAAFVEWKSMKGKEIVMGAA CC84DRAFT_1155234 MSLRESRREAIDAGCSPPHAETPASSRAEASSSHTKRSDVLRHV FPRSHLARNSFATSGIASRVVKLSHKNGPPLSPARRSKPRVAFHRALTSFFNTGHTAI TVPIPHDPNNSASSSIRSRTNSNSLHGSMPSLEFVSSGAFIFSGPSLRSRSGSFNNST PRLPSLREDSEDFNMLHRVHFDTFSFDDIAVVHSLSDESSAAPSANRSLLSSALAAVF ITYLDGASLEALRSTCKEWRAAIDRNTPSRQTASHCLPTEILHNVFRYLGPRSFNAAR HTCRHWMRASLDRKLLRTMLERGGWLSYVENLHTANLADHQPTPSNASNLWSLSRMLS RECSLSFEWTGSGVPAKNRQDSGVFAEVSHTDFTDLAGGHSGSEARGSAGLVFTTSRC GLYVLVGRETIMYVYHVQGSSLEPVTSIVCPRRVLAMSMDISYGRNAVAALLEGRMGM VCELHLGWDLSNDPPGEILVRGNNSLYETTARGASIHSSQTKNFETGINTADRCSRFA ARPDSQIPYIESVDVKSNHQATSLHAMHDQRMHAQNYINHTTNLNLQGPRHNASFTSI SDYDQGSCTLPVEDGTSTFYRHLCSEDDPPRSVSICPQHHCVAFGCAAGIELHWIDAL TGQSLSRWFPLTAPSDYLHFLAPRPGFDSAKKLRLISSAARPNDKPGIRRKFFFEHPN VSSFWGSFGFQNHPRRLGSPHIDHYHAVPLSDGHHILFIDPKTEKLFLGCDAPLGGPM KLLRKVMFLPPCVGSQAPRLYTAAFDMSLGARVVVVFDDTLVLYSIPPDVYHWLNWWD EPSPSERAGNSTIWPVAIRGQEIGKLQGVSELAIHTEPDITIWGFTLDAQCKTWQMRN HADPIIHCRYYICRGGAVHEVYLTGKPGRAVATHASSASFGSRSPVTSRIASPDFIAY GPERPVGFDGHCSQTLHAPNHGSSTYSEPRPVKRLPGAMNVKNDEWVDFLDVRGGDAW FEENGDVMMVRSCHPDDYIEMGGLLTSCIAAVGCARWRYGAGRGD CC84DRAFT_1168533 MDDDDKKKCGEQGWEQWAGLNPRDLRIQIYLSLALGISAFLLFC YLRPRWKGLYSARKKQNNQATALPELPDSFFGWILPLWRITDEQVLKSAGLDAYVYLA FFKLAIKFFLVALFFALAVIKPVHDTHKDVKIPEDGRKKHDKREDLSMLVAMASDYES YTDYLWMYLVFAYFFTAFLLYLIVSETRKIIEVRQKYLGSQKTITDRTIRLSGIPLEL RSETKIKEFIEDLEIGTVESVTLCRNWKELDERVVERQTVLRKLEEAWTVYLGNRRVE RSLETLPLVQPRPPAPEVHHDDDEHGDESSQLLNDTDRDPDYAIPYSRPRPMAKIWFG RFKMRFRNVDAINYYEEKLRRLDDDIKALRQKEFEPTPLAFVTMDSVPSAQMAIQAVL DPSPLQLLANNSPAPPDVVWTNTYLSRGKRMLRAWSITVLIGLLTVFWTALLVPIAGA LNTCAIHEVFPGLADVLKDHPVLQSLVNTQLPTLAVTLLNVLVPFLYDWLANQQGMIS QGDVELSVISKNFFFTFFNFFFVFTILGTGSGFIALLESFKEKLRDASVIANTLAKSL AKLLGFYTNFIILQGFGLFPLRLLEFGALSLYPINLIGAKTPRDYAELVQPPVFSYGF FLPQTILIFIICLVYSVLRDSWQVLLSGLAYFMIGHFVHKYQLLYAMEHRQHSTGRGW TMMCDRVIVGLVLFQLTLAGQLALKTAFKRAVLIAPLAAGTLWFAYVYGRTYRPLMKF IALRSLKQAEHSDLGRGVQEEASSEGQQTLDEAREKEMRFAHPSLVMSLDDVWIVDKR ARSQNGSAAYSD CC84DRAFT_302035 MTSSPTVTSSPSVSGRSLLSRLRAPLKSKTRNFTEFYIQPDDPH RQYAPGDIISGSVIVKIIKPLRITHLVISLHGYAQVFKNPNSPGDAYKNYSTTVGSGK GKKTGSYFGNGFVSLFEDEAVLCGEGRLGEGVYHFNFELEFPSKGLPSSIDFERGTIS YMLTASLTKPTTMSPVSSCDTKVNFMDVIDVAAIPEPKPRAISLEPISRRGRTRTPKK LPQVQEATLQVPDGVEPTRSARASDANLGIEEADHPRSPAPSDTSFESQMSSGNASGT EYGVRSVNTALDSTLASANQTNVKGKIITASIELSKGGFLRGDNIALRISVNHTKHVR SLKGIIITLYRQARVDMHPALPVLPNSKNDKAKSEDYYPKSRTGLGGLSLSSAGSSHL FRKDLSQSFAPLFVDPRTLTAEVKCSVRVPDEAFPTISNVPGAMISFRYFVEVVVDIQ GKLSGLDRILPAAGLVPMSSNSGMAGLGPEDSNGSMFSSWGGNFADTDSIRREKGVVS SLFEVVIGTKDSEKNGKRKAQPTEVRPDVQEIPFEQTPSVGGEQQDQDYYGYDQDGQY YDNYAYDGVYDEAPGYHDSGSSQAPYIPQAENEEGLSEKERIRRAEARLLPSQPPQEG GPSAPSEIPRGIPPSAPVLDDDEDLHPPYVAAESSAAASGPSSHPPSFSAATASSSQV LTRTVTDDESRTITTGSSLTINAHTTQPPPSTAKDPNATRNLPALPPPSPAAAPDYSP SSAHVQPTDDKQELQRRRLQMEASAPPTDPEESSSSLHPSAPPTDTMHNLTLAPSAPM LDDDDEGLVSAVRPVPSRGHSEVPGSEQLPEYQR CC84DRAFT_1263404 MPAIMAPSPHLSIKTSSTTSQAASRRGARQVKQHVELYEEEVTN DHNAANDLDMDNAEAEDLTGDTLTEPSQEASQPNPVQQLLRDMQADQHKRNEHRKRIV YKAYKDAHASTQDAITSHFDEHEASAAGAHEAQMARLKELLRLKAEIEREMAEKLGKL RGDYLYHSKAMEQVIEHRMNQLK CC84DRAFT_1155240 MPGPQTTLLIEGTFEELADELAQYIDSLKKSQGDESSAIQAETV ELLKENKKDEVLKKLVMGSQVLNQAPEKEFIAAYNLLIHLVRQSPNVPMFLPKICAHL SAPISSSPANGGGLALSVLSTVFNTLPSDHSELRFHVLLAILRVIRATSNFETLRPQL KQLDKWLEDWETEEADDRKLYLAVSDVAADAGEDEQAYTYLLRALRTFSADQVSSQEA RDLSIRALKSALIHPSHFDFQDLTGLDSVQALRNSDPIYFQLLEIFNSELLDDFNDFK DEHDGWIDEVGLDGAALNRKMRLLTLASIAASAAQTRELPYAHIAKSLQIPSEDVEMW VIDVIRAGLVEGKLSQLKQTFLIHRSTYRVFGEKQWREVSSRLDMWRSGLEAVLQVVQ QEKARFAQEKEDEQNRIEQKLAQVNRGGARGGNNNRQQKPMIDDEMD CC84DRAFT_1168537 MPAIEKLGATTRTSPLWSADKVTVIFVLGGPGAGKGTQCSKLVS DYGFKHLSAGDLLREEQDRPGSEFGEMIKTYIKEGTIVPMEVTIQLLENAMKKAMEEE NKSTFLIDGFPRKLDQAHAFERSVVPSKFTLFFDAPEDVMLERLLNRGKTSGRADDNI ESIKKRFRTFVETSMPVVDEFESQKRVIKVNATRTPDEVYQDVQTRLKEWDIEPITLK FVKTDA CC84DRAFT_1155243 MNKKCGTLAHTRPRVTLKKRVGFLELPGEIRNQIYEYHFQRGFK CEFVEMGAKLGHTQRECLKFLSRNRRSSDIYAHYLRRPAPVADVRFSRVLGRYERIQG LDTRWNTSLTGLIFICKQVYTECIGFFYLNTTFVFDSPSRIANFLKVPSATNLANVAK IQLHYRVYGEPWETKDVRWKEKHLHSWNRTCKTVAKKLTNLQHIDIWIYTRERSLRFG MDEPYLKPFCWFCKRLDPSRTLAKSLITSNVHLITAYNRWDSFTDRSLQLASLHLHKL FEEAIGCVILGANPEVAMKDFNGAWENQYTQWHHHLQFARTGW CC84DRAFT_302083 MPRLDQATGSRPFHHTHPGTGEYQGGALPSFGEVLFWSTGSKSS PLQNEHDGKFDQQEPVLTHPYLGNHSTGIFTSIPYVSDRCACLAMMLHPLLYIRQSFS IPHAPGIQPLPPPRLFDESRAADIVAGNVLLQLVGTLFFVLRIYSRLWLTKSWKVEDS LLTIAWVFATAFSTCQYGQVAHGAGHHLGVVLHNDPKDAVESQKWAYAANLILFPALA FPKLSICDAYSRIFGESLMNRRMIYALIVLVAIPNIPFFFLSVFQCTPIHVYWTEGRP FEKCHLIDFKMFYIHGGLNIFCDVALMIIVMPRVLELHVGRRQKWALVGIVGLGSLAV IAGIVRMTRLSLTLSKPNFDASWDAYDVSIWTSTEIYVSLICAAAPGIKPVVSLVLPK LLGSSLGSRARTTTGDGHGGAAPIELHSKMRRATIGSARTRRNTHESILDEADGPYAE VGRGVDTESLGSKEVKPARRHTKKVSEILIIQSNAV CC84DRAFT_1230482 MDTTIVKKGMSSIYVEICGASPGITNTISTDLRFIGLIRSKRVA ISLPPSATLMVQGRDDTPYSFRKATIDSLISRTNLGMSGLRISAMFRDEYILFNRNNL RGMQETVDGVLDALNHSLSGEEVWQNLCTESDCARNWVALSFGFTKGDKLEDGADLDG PDGFDMNPIMMCSFAAGAPIKDVTKGTAVSVKLKKNSNGQKYVDPDDWEFPTHIVYH CC84DRAFT_1263409 MSAIFSGAPPQGGPGYSFKQTPTAAPSGERQHGFYPYTDNGGST LGISGADFTILAGDTRSTSGYNINTRYAPKLFKIGGEGPENKGARIVLSVVGFAADGD ALKERLDTIVKMYKYQHGKPMSVSACAQRLSHILYNKRFFPYYVHAILGGLDEDGKGA LYSYDPVGSYEREQCRAAGAAASLIMPFLDNQVNFKNQYMPGSGTGHNLKAKAAEPLP QDHVEDLVRDAFTSAVERHIEVGDGLQMMIITADGIAETYTPLKRD CC84DRAFT_1263410 MLGIDYVSSDEEDVAPVVKPELSKPPSRPAAASIAEPVPAPAPL PSEKVPTLTALPEGPSQGPSAIPQIEYDEPTEGASPGSPYTSNRLMIQNLTLPNVPNL NIPPSPPGSPPRRATQKFAQFLELKKKGQHFNQRLENSSVVRDPNHSSKLMDFASITD EDQHASTLPEGLGVPVLWPDWAYGEALNASQKKITKARESERSRVPRGLVDFVPAKSG TSSGSGTPAGKGPRQSTAEKVVRGTDNRSREKR CC84DRAFT_1168542 MNHLPQAWGRPRDDVYGAYDHSHFQTAAGPNQHTQQPIVTGTSV VAAKFKDGVVIAADNLASYGSLARFTDVKRLRKFNETVVVGFGGDVSDMQYLDRLLNS LDIRENYASSDDPLNAKNLHTYLAKVMYKRRSDFNPLWNHLLIAGLDGESKPFLASAD LLGTTFSSPTLATGFGAHLAQPIMRRTIPDEASVENVTKEDAVKLVKECMKVLFYRDA RSMDKYSIATITKEGVELHEDEKLENQSWAFAEQIRGYGTQTA CC84DRAFT_1209403 MANILKGGSIPVHPWLLTFRFLQALFAVLIIATTAYSLSIDSGG PFKAPLISTIIIALLTLLSVLPLTTPLHISQRHLYDPRIALVLDLVASLFWLASFAAL ASYLDIFHEYGKALRVVDEVFQICSKCRGAWKSGVAAAVFVVIEFLLFLFTTIAFAYY YHCHLAHEPAYGLSEKKKDSIVHDTTYSIPYSATAPNANSVATEHHLRSPTDTQSQNH SQNECQNQTPMHMHTPMIQHTPSPPSHEATHILHSQPSEYGAFRSSDHPPTPHPKTGG YSSAFRTSHRPTSGYGAPPAVNMEASPIREESGREMEVEMGREGAESPPLPPRSPSRL ERGTGEYGFEHGMGYVGTGAGARP CC84DRAFT_1168543 MSKPKITLFVDIVSPFAYLAFYALHNFPVFKQCEINYVPVFLAG IMKACGNTPPLKIKNKDKYINTERLRWSSLLNIPISQSPPPGFPTNTILIQRVLTAIS LSHPTSLPSALALFWQSYWVHWNDPSTEENMLAILRTVVGGDQEARKVLEKSRGEEVK QKLGEDTERAVGEGAFGLPWFVATNNKGETERYWGVDHLGQLCDHLGLERPVGRGWKA LL CC84DRAFT_1168544 MSKRHALEPLEDVADAPSPASKRARVEDEDMSTPEPPSGTTNGH LMLPRVRDRDVIDILGADAEEHAELVEAGALDEDDDDDENEPAIGAPRRQKAPEAGYT DLYLDTIDRKVLDFDFEKLCSVTLSNINVYACLVCGKYFQGRGPKSQAYFHALDENHH VYVNMETKKVYVLPEGYEVLSKSLEDIKFVVDPRLSKSEVARLDKDPKVSWDLSNREY IPGFIGMNNIKANDYFNVVIQALSHVVPLRNYFMLENLSSRPQLAQRFSTLVRKIWNP RAFKTHVSPHELLQDIALRSSKRFTLTDQSDPVDFLSWFLNNLHLAVGGSKTKPGSSI IQKIFQGTLKVESQEITARADAGDRLRFEDAAVKTDITKFLVLTLDLPAAPLFQDALE KNIIPQVPLTTILNKYNGLHAQEKMKNRVRYRLLHPLPPYLLFHIKRFSKNKFVSERN PTIVTFPAQSLDMAPYVEPNPKEYPPGEPIYYDLVANITHEAVKKKDDSVEGEQDMKV WRVQLKDRSRDEWYGVQDLFVEKERGETLFTKESYVQVWERRRGQAGPNAVNKGKAKA CC84DRAFT_1155260 MSYQNPTQIFAEDVTEEKGENARLSAFVGAIAVGDLVKSTLGPK GMDKILQSASTGEIMVTNDGATILKAIALDNAAAKVLVNISKVQDDEVGDGTTSVTVL AAELLREAEKLVDQKIHPQTIIEGYRIASTAALQALEKLAVNNSSNEEAFRRDLEAIA RTTLSSKVLSQDRTQFAKLAVDAVLRMKGSTDLTHIQIIKKAGGKLKDSYLDEGFILD KKFGVNQPKRIENAKILVANTAMDTDKIKIFGARVKVDSTGALADLEKAEREKMKAKV ERIKSHGINCFVNRQLIYNWPEQLFADAGICSIEHADFDGVERLALVTGGEITSTFDH PEQVKLGHCDLIEEVIIGEDTLIRFSGVAAGRACTIVLRGATEQLLDEAERSLHDALA VLSQTVKEPRVTLGGGCAEMNMAKAVAEAAQNVAGKKAIAVESFSKALQQLPTILADN AGLDSSDLVSRLRKAVYSGLTSSGLDLITPGGGITDMRELGVIESYKLKRAVVSSASE AAELLLRVDNIIRSAPRRRERM CC84DRAFT_1102081 MPRRRPPGAGPALSAKLVAINLLFALPWISTAAQQQQSLDRAHG RSPSEGGSLHTILPPKPNNEPSRNRLDTAATRRAFTYIQGKDERAVGTYSSAPAISAV GAQYGRDAADSGVLTPGARSIEDWTIEDFMLLATVDGHIHARNRYNGMEIWELDVGKP MLETIYNTKNDSDPTDGWRSKPFIWIVEPKEDGALYILMPGPRPVLQSLGLTVKQLTD DLNPYASDDPPVVYTAKKESIMLVVDASTGRVTKSFSTDGYMSPGEPESCHTKTPEYF TGSKQHECKGFFNLGQTEYTVSIHDKNTGMHICTIKYSEWTSNNRDRDLQTQYRQTKD EMYLVSRYNGDVIAYDKKRSNFAKNYVFQQKLGVPVTRVFDVARKGDDNDPDPALVLL PQPPSLDISQDEATNVWLNTTEAGSWYALSEANYPAVTDGAPKASCYTYGNYLGGADS YILPERRGLVGVHRLNYQQEPAQTWSAIAAPTGNDEQQVPDKKPSVPAGIPQPIPTSH LTLDPPKPPPIQTRSLWTNVMTISLTSLVGLALVLWSIQKQQGPAFKKWVSKFNTKLN KTRQLEAPVPVPFEPSPAPVTVTASEAPAVEPVTEPIVFEEPQATIGEVKEVALQVEA PTPPEPEKKKVTFATLPEDEEDEDLSLSRTTTIDASLSAEAATGNMSELEGATQTAGT DDISPEQAAPATPKKKKTHRGKRGGQKGRNRRLKDGDEIDQIVDAAKHLNEPLAMHPD EMSNVGDDVQDVSNIKRIGKLTIDFDRLLGNGSGGTFVFEGKWNDRDVAVKRMLPQYF GLAEQEVKLLQESDLHPNVIRYFDDEKDENFLYIAVELCQASLFDLYRDGRPGSDLTD THLRLVTEINSNVSRALHQLAEGVHHLHSLRIIHRDIKPQNILIAYPQRNQKNGPRLV ISDFGLCKTLPDNMSTLIGTTGNAGTVGWKAPELILQPKDIGYGSSTGHSRDSSASTD PVSQGVKRAVDIFSLGCVFYYVLTNGSHPFDDDEGWAQMREYNIKKNKASYERLSLGP DSEEPIRLISWMLSNRPEDRPTAAEVMNHPFFWSAEKRLTFLCDCSDHWEREIRDPPS THLSILETYSEEVLDKKRNFLAKLDNSFIASLGKQRKYTGDKMLDLLRALRNKKNHYE DMDDSVKAKVGPLPHGYLQYWTSKFPKLLMSCFDCVVECGLQGEPRFKPYFPQANH CC84DRAFT_1180462 MPLSVEQCTPQDIPSLVSLSTAAFSAPTPANVFPDTPAVNAFRT KRLEHTLAEDPFAVFTKIVDTDLEDEIVAFAKWMRPHSREEAEKSGYVDLVMSRDELP RECRREVIWGREEVKQKKVEEVMGEKRFYYLQALATHPAHGGRGCAGRLVRWGMQRAE EEGVECYVEAQDSSKPIFLKYGWDEVGVMGEGEEKWATVLVYKPAKGLNK CC84DRAFT_1199357 MGTFDQTKAYAPQEETFFDDGREIELLHFIYSHPKIQQIRGSPK EVLAAIDEFGRTKKYLMNVGEDKGRIVCDLIADVKPKTMVELGGYVGYSCILYADAVR KAGGEIYFSFERNPEFAAVISSLVDLAGLTDIVKVVVGSSDESIARLHSSGALKHIDL MFLDHYKPAYTTDLKLCEELGLVTPGSVLAADNVIKPGNPPYLEYVCSSVAEKKKKRA GEGKGDSTQGIAERTVKQYANRYESEKFSNSPGNPNLVYESKLVNSFEPTGVPNILLP LLSLITLPFSAALVILSLVYSKLFPQPRQIPFRDASERKTILVTGVSMTKGLVIARIL AQNTPHRVIGADTSALSPGRFSSSLAKFCILTPPDGNDAEPYIDSLLHVIKSEKVDLW ISCSSVIAAVEDGEVVRLAEKERGPGFHAVQFRSDVVKRLHEKDHFIDYIRSLELPVP ESHRSTSSAEVVKILIKEKKGNGKDKKFILKPIGVDDRARANMMTLLPFEKREDTVSY IKNLHISSNNPFQVQQFISGAEYCTHALVIRGRVVAFTCCPSSELLMHYEAIPSSSHL FKQMLEFTQRVAEDGGESFSGHLSFDFLAEGEGTVSKLYPIECNPRAHTAVALFSNTP QMASAYLSAFEPSKPKDMEIVTPRSPTSSYYWLGHDIVALLILPVLDLLWGIRTVSEV LDCMTDFWEHVVSWRDGTFSIQDPVPFFVLYHVYWPLKFLTSLVKGKRWSRINVSTTK VFVQ CC84DRAFT_1155272 MSDLKASVVETQNGFHVEGYEKIEYDFTFLDGVFNPQNKQLADC YQRWGRALAVMDLNIYNLYGEQMQKYFDHHKLELKIHKTMIGEKAKSMDTLLSIVDSM TDFGIIRKEPVLVVGGGLVTDVAGFACASYRRNTNFIRIPTTVIGLIDASVSIKVAVN YGNYKNRLGAYHAPMHTFLDFTFLKTLPEGQVRNGFAELIKISTCAHLRTFDLLDQFC ERLIATKFGRVDDGSGEVRKAADEINRSGIYEMLKLETPNLHEIGLDRVIAYGHTWSP LHELTPDVPLRHGHAISIDMAYSATLANGRKLITDEEHHRLLNLFSRAGLSMDHVDFN EEILGKATAAILKTRDGKLRAAVPSPIGSCVFLNDVTAEEMNSALRRHKEIMKEYPRN GEGIDAFVDSSDTGYTLNDVPVEAVTKGMPSTNGTHANGLTQKVANGLPNGLKEVLAN GYENGYKN CC84DRAFT_302296 MSRLLQSPGRRRFNDDGDHEDPLLHGNRDSSDDDVELADLGLDG RERTPVNHYKRQDEPSKRGVEWYWIASALTVCVAGFTLNTEATAYFEDVLDWKKPFAT LYLTHGSLVLPWVCHIFYLRWKNRSTPYRSWVRDYNNTLRSSISTIDAFATSGPSLIL KRRGQQGGPLDYLAATMAIVTVVLTISGVSWFTSLSLTTPADLTAIYNCATFFAAAFS VPILKEKLGRLSILAVALSIGGTFTIAYGDTTAEHAESTIGASRFLGNIIACAGAVAF GLYEVLFKKWACSSRPETQESSVPLTLAASALTGFYTLGVLWIAFPILHIFGIEEFAL PSLHALLWIVIAVLSAAVSITLLAILVVWTDPVFGSMANVLSVFFVALADWYVWGLSP SSATYIGGALILVAFGMLTYDTLGKEKEKD CC84DRAFT_1168549 MEVLLGITGKDFTLIAASKAAMRGATILKASDDKTRELNPHTLM AFSGEAGDTVQFAEYIQANVQLYSMRNGMELSPSATANFVRGELARALRSRRPYTVNL LLGGYDNIADKPTLYWIDYLASLAPVPYAAHGYAQYYCLSLLDKHHHPDIDFEEGMKI LRMCTEELKRRLPIDFKGVLVKVITKDGVKELDYEDDANVKVP CC84DRAFT_1101902 MPITKIHARTVYDSRGNPTVEVDLVSETGLHRAIVPSGASTGSH EACELRDGDKSKWGGKGVTKAVANVNDVIAPALIKEKIDVKDQSAIDEFLNKLDGTKN KEKLGANAILGVSMAVAKAAAAEKGVPLYAHISDLAGTKKPYVLPVPFQNVVNGGSHA GGRLAFQEFMIVPSEAPSFSEAMRQGAEVYQKLKGLAKKRYGQSAGNVGDEGGVAPDI QTPEEALDLITDAIEEAGYTGQIKIAMDVASSEFYKTEEKKYDLDFKNPDSDKSKWLT YEQLADLYKSLAEKYPIVSIEDPFAEDDWEAWSYFFKTSDFQIVGDDLTVTNPEFIKK AIELKSCNALLLKVNQIGTVSEAIQAAKDAFGAGWGVMVSHRSGETEDVTIADIVVGL RSGQIKTGAPARSERLAKLNQILRIEEELGSNAIYAGSNFRTAVNL CC84DRAFT_302447 MADIRTVSPIIHRNSAVKHPRIASFGTSLKVITAMGRPQTTDDV GSESIYTISSFYYPKSTIQDPSEVPDLSMCAHNRAHTDDHHDFETSQEHSKVKRRYSD TPQGNSLPILRPLSPLRNPFSRTPSLVNRSAHSVVDRLQTPPTPMECNSTEPAVMESP QDLGWGDFRSSRASFDGADPETPYTSWPKSDKQMPSLLPLVGARPDYEKENKNKKVPI ASVEKAFKSRKHSDMESLMKVQKFGNELRMVYHEGCKGEGQEMWNAIRRLKKEKRELK PTKASLKAPWAARSPRTRAAQKEQLDAIHVAAMEMPPHKELAVSPPISKQPQPLGVVR SPIIPEPSPGFFFSEARGREGSQVRTTSMYTYHSRDVPITAARSVLYDSKENIIDHPQ NVKAFAPKLAPAPKSRAFQEKGLPPPPIRCPGEPEPPELARQREAYRRRVGTSPPRHG EVKPPPASQHPVGPKFTNTAAKPQNKHPAERFLAVSQDFPYAASNPPSHSTSLDDRQT ERPRHQKEPKKAKPYKAPKKPLADAFSTFFDPPSHHKDIRPKYPFTDLPTLTKDKARA KHQQKLKEAISGPRPVMAPVGGGVNFATEAGGVGGKGAAVAVAPPVSNPEWAALEEKR KAKKDAGKLGLSTKPNFGFLHTAFVKEIGVREIGEEMNGTGKKDRKAKRAKGSRRDSD ASMVCAGAALVSQIVKGKQKADDGPGPKMDMMVFKGPVEQFSDQQVREVKDSGPSRQM VEWPPVEDERLVPKPLGVRKVSETGETYSKYENVLREYREDGSVWI CC84DRAFT_1168554 MAAAIKALNAKIRSNKYADYFCSTHFWGPASNFGIPLAAIADMS KDPEIISGPMTAALSLYSGTFMRYALAVTPANYLLFGCHAINFTSQLVQGYRYVNYHK MGGKELAVQAREAAKQAEGKVEAAVKSVGK CC84DRAFT_1168555 MNLVLADTEEFRRTRRKGKAAPGATQQVTETEERRAIGLTIIRG AQVISVSVDGPPPADPATRLGAATGGQSTAAALASGPGIARPAGRGLPVGLTGPAPGV GGPGPGFGPPGGFPGGPGGFGPPGFGRGGPPPPGFPPGGPPAGFGGPPPFGGAPPGFP PGGRGGPPGFGGR CC84DRAFT_1221967 MVRNNSTPHADSKASNPMRELRIQKLVLNISVGESGDRLTRAAK VLEQLSGQTPVYSKARYTVRTFGIRRNEKISVHVTIRGPKAEEILERGLKVKEYELRK RNFSKSGNFGFGISEHIDLGIKYDPSIGIYGMDFYVCMTRPGERVAKRRRCQSKIGAS HRVNNNETIKWYKNRFEGIVR CC84DRAFT_1102017 MATNDKKNPTVTIEKRKSGDSLAPPTKSPRAARFAEATSVYSPI DPPQRPLEYPTNHYQPQAQVSDVGFGYVQPIEMEETDRKYLPPPTPKTPLRSALKSPG APPRTPGAETMILSPTFREEQILEKREQSTDKEQQKDLVLRVRVAKIFLRGINFACSL IVLSMLATAFSIFNATKHLPMRNGLPPWAENQKIWPQVLLLCIACVSLFFSIFVLVAY SRGGHNRAEKVAAYYTVFAVGFFIFSIIMWAVGAAAFNQSKAQGGNKDMWGWSCVDNK RRHLFEDDVSYALMCRLQNWSLVCCIIEVVVETLCIIIYAIVFYRFWSKRKLRKSMAM RDKARSDLYLAQLRSQSAPNTPGFGPLSPRSGGWRPPPGHPQYYDPQSAAENGDADKV QYAYAREIAQPQPFTLGAPPIKVTGATPHIEQNGFDAPARTQTSSPPASPGFQERQND HVGAVPGEQQYASVPIPGAYQPLASPSHPQQATPGFDFGPNVTGPR CC84DRAFT_1180473 MAATLYPPALTIPLYAIFTAIGIILTSLRFWVRLSYEPRLYNGR KLYLDDLFIVLGLLVTCSCTGIQFHNAIDGASGEVVTGGHVKSEVAIVEHKVDFTMIV IEKLSFGAVKLSLLYFYRRLFGNMGHFKRINNLLIWLVALWTVSFFLADLLLCGLHPE LQWALDQMLARKGCGDKGGLLIAFAATSVLTDGAVLLLPLLYVQKLKLRHSEMIAVSV IFTLGGLSTVAGVLRTIFLCVAYPMGRITFGWVAPPFDETPLILRVFNPTFFAMLEML LGVWAANLPVISPLVFFRKVGV CC84DRAFT_1168559 MKLLHLPPAARVQIWSAQFRPRKLSALQPQGYTALLSSTTSPFP TNLHRRYATVAVGNNDSLSKTTQAPLKDDLPDLAPDEVSLVNDVRAYLVRCPKEESEA VLANLQWCIENKAYYEESHSWSRVLRRIRGRLDNSATPAAVQEIVEAVIEETLWAKRY REYGYSPRIFFRLEHTPIKLLELCNRPELGSKISPEVVTVKNVSNFHLHLNIPGIADD RTFGAGPSETIAKRRAWRAMLLRLDATGALPKLLYPEAFRKVLNPHGPSVAHTKLAEE IELDWVEDDIVKQEKDGISDIYNYAAKFGLVPRIEACMVTRRIRGSASSKARKVAQVS IQLPEQKIKVVSHGLGPRAVASAVLAFKSAAKKLQMQYDQVSDANNDYSSLNTSTAVE FVNMVRRLEGDFDLTFTNKTMEGTGSVLNICQLNSNGEPIGQQAIGWTVRDAEQVALL TAAIELARKSPDILSQFAISLAGGSSGKSRILERCPPVRTEVNAAALDIMEKTLLKAK QAGLSEYQEQLAAMSHHLTKFRERRLPREATCVTSERLLDRLQQFEADPNTIELRNAI AALPMSRYSSKVLDMVFSNVYSIVIGATGSGKTTQVPQIILDDAIRRSKGGNCNVICT QPRRLAAISVARRVATERDERLGLSVGYQVRGDSNLPQPGGSITYCTTGILLERLKWN ADDVLDNASHLVVDEVHERDIYIDFLLIVLKKAITARHLAGKTVPKVVLMSATIDKNL FSAYLPNKINGKPTPCPYLEVPGRTFPVKEKYLDEILSEMTELHPGLSKQLAAREKDD TKAYLIAEKAFEHTDVEVNSVTANIDGKKQDELDDPRSGEKEEALVPIALLVATIAHI CKISPDGAILAFLPGVSEIVATEEEMKRNHVFGLDFSNGEAFRIHLLHSLVPAEQQRE IFEPLPTGCRRIILSTNIAETSITVPDVKHVVDLGKLRQNTYLPADRVTALQTVWESG SNARQRAGRAGRVSDGSYYALYSRKRREAMPESGLPELLRADLQETCLSIKAQRFEES VSSFLSAAIEPPAPEAIQFAVENLKAIEAFTEDEEMTALGGVLSKLPVHPALGKMILL GLIFRCLDPVIIIGSMYGERALFVNPPGMRPLVRASKQHFNVANSDHITSLKAFQYLR QYSRDVNQRSVRQKATTCLIHYGAFRSISQTAKQVAEILVDAGLLDANMARNEDTLEI GGEALNRNSHNYDLIKCLIVAGVYPNIAVKPSLSARSFRTESKKNIINHPSSVNALTK KDGPSKAQHIFAFTTLARDRGSDTLFMRESSLVTPLAAMLFGGHLQQEDNLVMDDWLP FEIDYRQAGGQIDTIMQFRNAKDRMLNGVFKLLADPNETDTAVNVMEIMTEGLVKALE ADAVGREKDQVLFRQATKVGGKIPQELAWSNISQLYRSNNLARMEEL CC84DRAFT_304926 MVVPAFGFSVGDFIAGTNLLLDVLSAFRSADGASSGYASDISFL SSLTATLSRIEEHTQKYSQDETTRDITKLVVLSQRPLMHFKAFLDKYENSLGAAGFGK GPKRSAKKGVGVVRYTLAQISDEVGKLKEGVERPLGQIHVLLSLQIL CC84DRAFT_1263430 MAQRTTVRTTYDIERTLQPIYSGGSIALSEDGRILAACLGEDAL ITDVTNGKELGRVEGDGEPITALILTPSASHLIVCSRSTSMHIYSLSPSETEDGTIEL ELLRSLRPHTSPVVTLAVDKTGTLLATGGADGIVKVWDIRAGYTTHTFHGRGGVISAL HFFQVEVAGKEDAGDSSKKRKRQSQQDASAETDGAESTLEYRLASGAEDGKIHIWDLH KRKPAAVLDSHVSVVRALDFSPEQNTLVSGSRDKTLILWDAKKWKVKRTIAVLETLES AGFVAGGHLTYSGGENGRIRLWSSETSQEITREQEPGIETDAIVEVLHYPDLPYIISV HADQVLNFHSLSSVESPLVEEIIDPLPVFRRVSGTHDEVIDLAYIGSDKSLLALNTNS EDVRIVALKDDGTNETAAEGKYIGADVALLKGHEDLIISLDVDWSGHWLATGSKDNTA RLWRIDPENDSYTCAAVLTGHAESLGAIALPHAAPPESSAAYTDPLANPPAFLITGSQ DRTIKRWDTSKDMKQKLRAKYTRKAHDKDINAVDIDPSSTLFASASQDRTVKIYSAAD GEAVGVLRGHRRGVWTVKFQPKESQVTGSGGKGLIATGSADKTVKIWSLADYSCLLTL EGHSNSVLKLAWLPYRPVDARDKRGSQVASAAGDGLVKIWDSSSGETMSTLDNHTDRV WALAAQLSNGALVSGGADSVITFWKDTTSATLEAATTAETERVELDQKLQNYAFAGNY REAITLALQMDQPGRLFSLFKSVVESETPDEGSLTGLIAVDEVVGNLADEQLYKLLLR LRDWNTNVRSAPVAQKILWAVVKSYPASRLSSLRPAGKVGAKGSLKDVLDAIRAYSER HYKRVEELVDESYLLDFTLREMDEVGDVKSLTNGTAQLGLGGDVVMVE CC84DRAFT_1263431 MGRQAYLTKIALGRSAFEPPQTTASTSECVELASAQQERAHEHG RRLREAQNDVLASIGVVERRRSPSQGLPSSYEERLRALEDEDTAGHSLAITSTLSENL CTWWVGSLRERLLTFRYPHLHSFSSIVASEQALSGKTLIYSGFPSRLLSQLCAQSTVY AAHVLRPVHRLVMQHRSVRIRYVYRRWRSVLNTAFRLSLELLFYPFSYHSYLQRIGLV SARPLLPPLRSFLPFSRQSPLLPFSVNYSALASPMEFLLAFATSPFVFVCLEHLLECW VYAIINAPIQATILRPSNPDMISSEEGNRERTTSILGLRKQSPIIVGDTIARFIRFIG WGNVDVTASQSISISSQAHGLQNSNAIEVGGRRASNVSRLQVPGMLQGRLMETPEGPG TNTVPMSDLNEVLPFSPFPSPPLSPTASQTSHNDSDPRIRITTRGDLVEMEVRLPPQV ISSHTEIAGSGPSTPVPRDIASPTPTRSPGLSPYHRVTQLSSEPSAMIGSICKAQIVS LVSLPLKLVTLRLVASHYLTSREEFAGSRSVLGAFVLPSEVNWKSTGVLLSRVALCGT LELAIDLSLWGCQYWTVLWLGTRVFDWGTL CC84DRAFT_304870 MTSHALPRPSRPSIAPPTGPLPSLPVAKSRVSNVGSASRSPPGS LLPSRANNSRAVSSPQRPVTRGHTSSTSNVPTIPTLTATESPAGPLPPGKTLRKTVSI GAFPQPPKHASRLGSHPPSPLSASSTPNGETVDSRIAPGFKPPISPARRTSLKKTAPR VSNVGGRSLIGKSPLSPPSLLNGSGESLAVDGNHLSLPSPPQSRNSSAQGSYATSATT FEDIGDEDARSHPGASIMPDDNATQKDGKGNVIVSVRVRPDAGAKDSKQETEWEVSNK KALISYRGRDGGDHYYDNVFDTQDNNARVYDAAAKRLVRRVMEGYHGTVFAYGMTGTG KTFSMQGTATNPGVIPLAITDIFSYIRETPHREFLLRVSYLEIYNEKIHDLLAGPIVG ANGQPGAQEEIKLREDSKRGVYATPLKEEIVQSPTQLLRVIARGDNARRVAGTQFNAR SSRSHAVVQIVVESRERGAAGTFKESKRTPITPGGVRVSTLSLIDLAGSEKAADNKER RTEGSHINKSLLTLGTVIARLSGDKDKAISDKDKSSKEKHLPYRDSKLTRLLQGALSG GSLVSILCTIQIGASGSIAATNTHVGETLSTLKFASRAKNNIVSHAKKAEESLGAAGD AGSRALLDRYRLEIEELRKQLEEKKKAEETQSEPPEQEKDEEEEKARELEDRYRREEQ MVEMQIARTALKERIDHLNRLILSSKSLGVNNSRSFSSMSLQRGSTVSDFRPVSVRSS ASHATLEVPKRGSQTTLSAVPMDRDEDEDSLGDNGDGTASQSAQIHSLQSDLADKNRY IATLEKRLLQARRTSHSRVSMSLSQKVGGSSEEGGLLSTIAEKDAEISNLRAQLEDKE RMIAALTSARKKNEAAQDLGSEGSPGSRRTSQQRSDGGSGSGPVRGSPVATSPRAFSM TSAVAERHVGTASPRTLSLTSARPERNAQQEIDKLLEQLMKPNEMRRGSAEGIRRSSL PGDANAIGAQRRSSLRPVNEL CC84DRAFT_1221974 MLNQATKSFRKMHARRNPNNLTVGSTASYSQLSPSITSATLLSP NVASRRGLLGPSPPPSPSLPSLIPRHGKKQSSTSQTRLVKRLLIGGCGVAVLIWLILR QMYAHHQQASPYEEAGEWEMVGGNLLPEEPSAVIVQDAKGKSKWTVSIPASYEFPLKP QHYRDICGQSMELQAQLREEAQGKSGIARRMLEYYQKDQYYVDIGDAEAQALLPKTKP MARPKGFVDDTAIANKESTYGQQVCDRTLTYVMETSDAGFGNTLMRLWMSYGLAMAEN RTFFIDDTRWPYGKYSTFFLPPPAAGCLPPPPSHMTPCPHSARHLVVSAATVTSTFGH AFTEEYEDPTKQYVQRQRKIFALVRTGYETLFKLRADDATYVLDRTRALYDPIKADGG LSIGLHVRRGDKHPYEFQYREDYIPLARYMDTARDLYISRIESKAAKSRSTSAADENA LAARHTSSKLVLASDDPTVYEAGELGPNALRAQDRIILATKAALEAAQGKKNPWIDEI TGWEGGFYRDVFFSLGQPSKDAQAPHDPANVPESARSLRELVGRAYLMDLAVVGAADA VVCTVSSVGCRILGVMVGWDRAFGKGEGKGDWVNVDGEFDWRGIVW CC84DRAFT_1209423 MWHRMYAEVATLSSEGTRTVVAGQFGAHGHTEPRTGSQYASDPD NIGAISKHAGVTSKALQTTSKHERSNTDVIDHLISGFSADVVDLLKLFIGTTTTALET DELDENGQYVGCTMESALLDSAKRLLWALVHPKAAQRLSSTFRLGIQSTGEEPAARLL RASGTIVADPKCGLDAIELSLSMKSSLQQNLNGIESVPLQTIRFGFKDSEAWP CC84DRAFT_1168564 MFARLPTALLFLLSTASASTLSLHIPRDSNSKASADYTSDSLFK STILNVTNTYRKQHNATSLKWNDTLADFAKDWSEKCNFEHSGGPNGENLSSGYPNASQ SIIAWGHERVDYDFKKGEFTHETGHFSQLVWKATKTVGCGRTECDGQDKGKAPGWFVV CEYYPPGNVIGSFIENVQAQVPKDEQPEGPSDPDVPEQEPDAKECPLGGDCSSGVRIG VSSLAVAVWVTVWWL CC84DRAFT_1129187 MLFQLTLAGLLTVAAASPVAAPVTPVRRQAATLCDQYGYYAANG YEFNNNNWGKGAASSGSQCTTVQSTSSSGVSWSTNWNWQGGQNNVKSYANAGKQFAKG LVIANIKSMPTNIQWDYQPRDIRANVAYDVFTAADPNHDKSSGDYELMIWLARIGGVY PIGNKVTTVNVAGYSWDLYVGPNGSMKVFSFIPSDGSWKLSFNADVKQFFNYLAQNQG YPINNQNLIVFQQGTEPFTGAAKYTVNSYSASINV CC84DRAFT_1190542 MFTKIVSPAVLPLLAPKPFTVDVDPAVIEEIRVKASKFRQTEPI DAPAWFDGAPTSEINAIAKYWAEDYDWSKVQDGINKNFTHFYTTVPSPGGNYGSNESL DLHFIHQRSDRDDAIPILFLHGWPSTSLEWEKIILPLTNPEDDSQPAFHVVAPDLPGY GFSPAPKAPGLGPAEHATVFASLMAQLGYDRYVLYSTDLGTVVAMSYIVDYAPRIINH VTDFYIVFPSDADNARLAANQTTPEETAYINPMNTFFTNHSAYSSIHSTLPLSLAYAL NDSPVGFLAWRYQLAWTMSDVSYTPDELITETMLLYLPGVYGNIRSYKELFALTAFTP RVPFTVPTTVLQFGDTSHYPELANVNYAPRDWVERTANVTYFKRHEKGGHFPALSQPQ AVIDDIRTALA CC84DRAFT_1230529 MPLVIALPHQRAASHSSPRSLSRRPVRRRAPSASSSRISRTTSA STISISPARTVSLSPSREDRGDTNVEIQFRRNITINNSTGAEHYAYTDEEEDSSGVQV SSGSQGYTDESEEDDEEWDYIEDEIDPSDSASRPAARVVKHPHHTVTAPAPPSRPAQG ARRKSARHTPVHEAPRHRSSSRHDLEHDSRPRRRRPQSVHSESLDSHDDYPYGPPRPG PSPHHPHDQWAHVPQQPTPSGYAPSMMSDPRYHPFQGGPGGPPTAPDQLVPFGGRPDQ YGYNANPFAPGAGGPNPFASPHAAGGPNGYFDGHAPGAHGAHGGRRGHRNSMPPPPPG EMGPGAMMPYAYPPQGYYPPYGMPPYGAPGGMPGMHPMYPPYPPREHSPPHHRSRSQK STPAPREDHMQPPPPPPSAPPPPQQVAVVPPPMPQVFMPPPPDPKEDQVLSKLEMLLL GKQEMEEKKAEDAKFSRLEQLLIEQQQARIEKEAAKRKAAEEAAAAAAAAKLKGDEDK LEKLEKLILAQKEEQLKREAAVDAQRKADKDEADAKVAKEAAEAAAQAEAASKLLEAA KAAREEAEKKAAKEAEETKAAHEKALEEAKKAQEELEKAKKAAEEEAAKLKPSDAPKP PIKFKDAVGRKFSFPWHLCKTWKGMEELIKQAFLHVDVIGPHVHEGHYDLVGPDGEII LPQVWETMVQPDWTITMHMWPMPEPPPPPPPAPVEIMQPHPGPPPKKSSKKPGKKRES AHHIPPPPPMVMMDPMPPPPPPPPSGPAAHPMDPPIQILSPHPAPSVAGSRPKKKSAP SPFMKWAAGGSGSGRSSSVKKAKK CC84DRAFT_1168569 MRRRSRYSARPFALTILAVSVLVLLAWAKSGQEDSARTEFGPSA GFVRRDIAVRDQECRLVHHAKDKCAFVRENCPDEEAGIFSYLTLYYCRLPHAKPVAFT ILVLWLALLFSTIGIAASDFFCVNLSTIANLLGMSESMAGVTFLAFGNGSPDVFSTFA AFNTNSASLAIGELIGAAGFITAVVAGSMALVRPFKVARRSFVRDVSFFIVAATFSMV FIWDGKLTLWESCVMVGFYVFYVCFVMVWHWWLGRRKARKLRAAAMRSHFVVPGGEEE EIPQEYHDEDDAPASAGRPSLHRGVSADDFAALERGDDSSDSLEADENEEMRERWLGE LNDNMRVSRPQVGRRRNTHTPIRPSLVGALEFRAVLSSLQKSRNIQTIPLNLRRYSDD PTYTTAQQQDLISGPSDPAQRPPYDVEQGPTEQTPVVTRPTLEIQRNLPNRMRAVSAN DVGNARLDPDTLRPWAVPTIHFGPASVDNTFIEQNAADLQPPPSPVISLSPPASEYDS SATSAQVPTLIPNPTPNLLAPPIWDGSGSTARSTNTTPSPLSSPILESTVPSRPQPKL VLSGPVSPPIPFPAYTEYPGSAHSSRAPSLRLPPPSVSAASLSSDYFADHIMEGSDRA KVPWWWPSTILPPPIVLLSTLFPTLWNWRDKNVWDKMLGVVAAPSVFLLTITLPVVEQ EQRDDAGGSDPITVSSLSLPDALLTPTHHRSMVSGPDVENPSNENPRRDHSAISLGKS DLRGRNGSAGTTRQPQQIIATAESGIMQSPEQLPSASPSSGPKTWNRWLVIVQTFTAP FFIILIVWANTELEYPRALIRPTLWSLLVSLCTLAFLLATTTPTRPPQWRSALCFLGF AVSIAWISSIANEVVGVLKTLGVILNMSDAILGLTIFAVGNSLGDFVADITVARLGFP VMALSACFGGPMLNILLGIGLSGCWIILKGGRHHHEKHPDRPMKFKPGHPGRASGCGT METVEDGQDNWLGTGGAVVHQHSCQRGRRGVGRQ CC84DRAFT_1155315 MPRPSSVYSAAETPQRSSTTKVPLNDDKQEKAKRRYDKLRRESM RASATPKRNRRSLGTQDSPHTPAIDQDIDMPDVTGTAITPMKRPVPLLANFDEWIRLA ADNKINANNAFNYALIDYFHDMSLLKEGDGISFQKASVTLDGCVKIYTSRVDSINTDT SKLLSGLAESGNKKKRGGDAEGSGDEDEDGDGEEGEDGQKKKKKRAPRAKESSLADSF EQLQIKKMDLDLSVDPLFKKASADFDEGGAKGLLLNHLSIDSNGRIVFDSSDDIKDGT QPSRETPAPEGLGVEADDEEEVDEPSEDAVIDISGLAAKYFPDLSRLDGQDICPSMKA FELGDPNASTNLPFLKAIEEEEEEEEPKHQDDDEAANQSGMFLDGDNAPDFGDDDGAG FDLPPEVGFGEGGELYANQIALEPQARVHNIEAAEGDEEVGEAGDGELNADDPYTLTH GQKPGQEQENILSYFDEALKKNWAGPEHWRIRRVKDSGKTVAPPKRKEKEPFKIDFFS PMSQELANALYAPAPSAASIMLPKSQWKSKTRNLAPDDKHFNSRQLLRLFLKPNVRLG ARRVNRPTYGDRPSATANDEPDAAQWAALADERAAEDEELAPQNDYDANFFEDGPLPM VGGMDDDGETFADARDHFSPPPSDNMVPMSSMPPPTEEGAFGTQLVTGIGRTRPIMLN YARKAKNVDVRLLKDNLWTGMGLEKIEAPPSKDAMDDTASKAVDGSLKFTQVLKDLKP MYHPQKYNEISTSYGFICLLHLANEKGLEIINQENYEDLSIKRDFTADLSVGV CC84DRAFT_1221981 MVTECCISGFQWDGTPIGSEGRIADNNAYIVGDSNKVAILVIAD LFGWSFTNTRLLCDAYAAETPATVYMPDFFGGQVIDPAIIANTNRWNEFDLEEWSKQN AKDVRWLEILGVARALRAKYDKVGVIGYCYGGWSLFRLGDKNLNGLVDCISTAHPTWL TRDEIDTIGVPVQICAPEIDAAFTPELKAYANEVIPTKGVPYDYQYFHGVEHAFATRG NLEDERERRAMVRAKRAMVAWMREWLRGED CC84DRAFT_1221982 MIDLHNFNQIADTHQTMMQLYNPPSSPVRSRPLTYPSGIDNKSI MPQAWYSSPFNSEETSPVENYGLDQSSAYLPTQQAYNGGYDWSTDAKPATNSYLGPDS TTYRGLPYAQHNIRNVASSDALSHSMTSLQLTLPERPHTRSGLPVSQRPHLPIPQPSP AQTTRNVVDQLQDRRLRSVQAMGGSSLSNGGFVKPPLPFSSDTDVHGTTTVEVLSTQI TSSAPASTTDTLSCFANTTTSTEAIPVTPAPQFDFSTSPLFDAMPAPAQPAYSNFRDS QDYKALTTSPTKDMISMARQLSRNNLYSVASASSSKNASGASDSMLVSGHRYTPLSQT QNHPQHQESSKSASNNTAFPVHRSVPATFNRTY CC84DRAFT_1155318 MAVLAAILPLVSQSSALALVLYRLAAVDAYAAKELLRAAKAISN LALIVKEVGTIIKEDDRLPSAEAFETIEDILDQTSTIFNEIDLLVSGQDAQRKRRSYD EHQDGNQAPTLTPQMLARLHYLDAHLDSLRTTLSVMLQTLYTAQSIMWARVRPTVSPR QCATAVANEKQQLETLIIDQQISILQASKLYERARPDARLLMENDSSLSLSIVDENAP SPASLARYQDKFIATLDTQSSDEQQWLASVCGISKSQLDRLLDRWTRLHQFEEELLDA ERKVQAKKRETQQPFVESDSEDDINPLPKFGSSGVGLDSHVPHRPDAIPPLFTESSSL QTPKSTTHQNCTVPASPRSSISTLPVEAAAAVEAKDKDVGLDLEIPWTLRTRRYYWKY VDGKVQESNTDAPSSEAFAHRHSWTEVQASWVCKEALQESGYSHTQVQKEIPDGRRTK FETWFCIDQPLTFDQVQHLVERTVELYRKTQPPSPPLVRERTRRTSFERRTQGYVPPD ASNDRDRTPIPPQARPPPLERSTTAYHISQPPPLDRALSMPGQAAPSYPPNPRSSNIH LPPPSPRTSNPQIPPPQATPIPIPPHPSTNPSFLAPSPRSTRSFVPPTPYQPPPPPPR HLQPPMSTYGQSPLRASLDGARYEHYSSTSGASDSESVTRSRKRDGSRRRRRGSDERR RKKGHGHGKAGALMGVAGLTALLDGLVGI CC84DRAFT_1168573 MGVAKKTRKFAQMKRVIGQRDARLKKNQMSGELEAKKKADTEKA KREIPQAPSSMFFMANEALGPPYRVLIDTNFLSHTVRGKLELQKSLMDLLYAKATPII SSCVMAELEKLGPKYRIALRIARDERWERIKCDHKGTYADDCIVDMVTKHRIYLVGTN DRDLKRRIRKIPGVPIVSVAKGKYVIERLPDNPDSK CC84DRAFT_1155324 MRISIRLAGVLALAAPVVLAQNNQDSKTTNQPSATQATDKETQT SAAAQTTDAAKTTNAPSKTEDNTSADATQTQGGAGNFLSLLPSQAGVGIPVVGVPDTA GAAFMQKSDLPDGTVFICVGAILAFFGLGVLVWRGLVAWSLHRSVKRAALAQNLADLK DQSAFPGGRKRGMYNVVGASSTMSLDHLSAAPTGTSRPPKPFANNATSTPPKSNSLFF SPTAGGSTGLRDSVANRSSQYLPAGYYAAGNAQAAQGSPVTHVGGAGSHLSTHSLAMP GNRFSSRSGISPPQSPSLPPSRGYDRAPPSRDGLSIYNRNSVATLGSPSGRAGVYGND HGSASQLSLNVPGGSTTGGRAPSAYLDDLFENHGNGPRERF CC84DRAFT_305401 MEDERIAYNAAIEALRLREYPMLQDTTYLDHAGTTLYAKSLIER FSADMIGNLYGNPHSASNSSQLTTRRIEDVRLRLLALFKADPDDFDVVFVANATAGIK LVTEVFRDQEEGYWYGYHRDAHTSLIGARETATQHRCFTTDAEVNAWVEEDGTASPRL GLFAYPAQSNMNGRRLPLDWTRHIRTRKQGSVYTLLDAAALVSTSPLDLSDANSAPDF TVMSLYKIFGFPDLGALIIRKAAGSVFDRRRYFGGGTVEMVVCLKEQWHAKKETLHER LEDGTLPIHSIMALDTAMSVHKELYNSLDEVSNHTAFLASKLYDGLTSLRHGNGTSVC HVYKDASSTYGDPSTQGPIVAFNLRNHCSGWVSNAEVEKLAAVRNLQLRTGGLCNPGG VASSLDLAPWEMKENFSAGQRCGNDNDIIRAKPTGMIRVSLGAMSTLKDVTLFVDFLS EFFVEDTPPLLVPPVVSLDMKPPTHSRLHVESLSVYPIKSCGAFGVPPGVAWGVRREG LAWDREWCLVHQGTGAALSQKRYPKMALIRPSIDLEAGVLRVQLTGLLRYSTFANEVT VPLSADPSLFAKDSMYKDGSAQVCGDQIQAKTYRSPQISAFFSQALGVACHLARFPAV GNGSGPLACVRHSKPHLQKSQRSSKMRVPGAFPETRPLMPGMTVSKPILLSNESPILT ISRSSLNRLNEQIKAEGGKAAQSEVFRANIVVAENPHYPPGAEQPYAEDEWRYLQIGQ QYFELLGPCRRCQMICVDQQTAERNQEPFVTLSKTRRFDGRVYFGEHTSHLARDSPYP LAQEAAIMVGDTVRTYFEDEACYDDVLHALIS CC84DRAFT_305403 MATTDSKPALSALKTPVSATYPSELRSPMVFSATTPTFASLKRE DAIKTPITPPTAYLDFLKTLSPVLMSPMSTGTSEKFVFHGNRPTSMASVTSSASSGSF TITSGPADKDKSITSTPATSRASSCSNCDHIKQEPKESIKETPMTAPLPKEQPKEQTV TIPPPSPFRRPSSARTPRLYIPQSPYHSPATVLSPASANSAKSTNSPYSAVSPRTWEV EKKTGRTRRVSVREVVTRTVTYSSTPVDTKAPSFPQIDPAPRGKRRKLE CC84DRAFT_1209435 MPKSGGRRSATLHEWGTPLTRNARHVLILKVQSDIINTSISWFT SDDIVDKITDDEPQSAELQILPHRSTTPVSSESTSAATANRDLTTSARRREAPYHRRA SSKKQLSRFQRRHGFPALIWFPPLRVHWPYPRPTAAFQLQDPILVSTRTKNHQTFDVA SSNIRAARALSTALSLRDHRSYTAYRPP CC84DRAFT_305477 MDDENISNFVAITSCEPAKAAQYLSLTEGNLEQAIQLYFDSPNL DFGGAPAAQPAASNANNPIPIDSDDDMSDFDPAAFEADAPPRAQPNTEDDEAMARRLQ EEMYGAGGGAAAQDEVRAPMERRTETLVGPDANWGPGSEDDVNQLVQEQLARRARNPT ARAGIFNQRNTQASVWENSSDSSARRRELATATGGASEQSSKMNMLAELFRPPFEIMF QQPWERARDLGKEESKWIIVNVQDPAIFDCQRLNRDIWKNDDIKDTVRENFIFIQYAK DDPRGQEYMNYYFQNRESADAYPHIAIVDPRTGEQVKVWSGPPIPEAVDFHAQLHEFL DRYSLNLNVKNPVATRKPENSKKDVGRMTEEEMLEMALQNSLGNQDQGPKDEDPDALT KGDAANKGKAKAEEPAEAAEAAEVTTNGDSPFARISSDNPHTEPTSTDATVSTRIQFR GGPGRPIVRRFNLSDPVRRIYEWIKSGHPWEGKEGADFDLSFMGKNLLEVLDSSIQEA GLKGASVMVEFTDSE CC84DRAFT_305415 MASTQSPEARELALVGKVEMRIALADSEQKLQDLLKLYLTPLLL KLGSESVAVRNKVISICQHINTRIKPQEIKLPVAALLKQFKENGDVALIRHFDILYIQ QGISRLPVSERLELLPILIQGISSDYKKSNQHTSQLFHLILRLLTHFKLPLRGSKEDD ELRSALGVQSEDAKFLSHWFGKLLLLTVTRTANPDVAASMRCPGLAVEEYKFLTLQGK PDAWDPSSDAGLNLIESKALVTRLLASGMFTEDEKFLPALFASADTNSRISEVGEDVL KRVIPSTDLEDAEVIKTLLGLYFGSSAPEGAPAVKVPLRIRILGILSKSVTCTKFPKD IARIVEEGLLSPELSATNKTTAGREASKYRSAIFALVNFVARRGAADHLATVAEGLVG NLRAFLQDQGWPTPDRDQDMELRGYGYETIGLLAKASPKSILLEPNLDLLEWLFRSLR EDASGKEVAVSIDEALSSVLGAFSRSLSDSIRTKFRQILLKYSASVDSSSEKDGKILR STRYTATRFANRCLSYDDVLARWIDILAVSGGSSERHEVVEEGRKGLDPFWFNMSNSL PGIDADGDKLPFPDFDELVQFIFARQGDDDDEMDVDSNGDAVSQAQLFQQQFSHTLPI VIKFCSEVAMHAALADKGIHEKLAEDWGRKLETLMSSDLRARQAFRAFAAVDAHATSL AILLRASFDRLTQDELSDVGDIGSSFVRFLALCPDKIWKRASLLRDFRALEPSVLSNN GNRRVAATHAYGLLASHEDVEVEDAQKSLSLLHRKIETWKDAVGGEINQINGAIAALG FYLSRAHWRGRSSSIDEDSLKKTLDVVFKILAESKDATLKASVFTCIDQLSLFQVISP SVIASYANFQDVAQQIYDSAKAGTTSAILALGHLSTTTDETSNDDDKSDYSFIADKLY ELHEVRQSEVQFSVGEALSCFASGWGSKALVAELDIEHATQQHEPWDATPITPSGPNR ERTLTTVLEKTLKGCKQTKPSLKKASVIWLLCLLQYCGHKSEIQQYLPQCQLAFKYCL SDRDEVVQEAASRGLGLVYEKGDRQLKDDLVRDLVGSFSDNKAKMSGTVSEDTQLFEP GALPTGDGSITTYKDILSLASEVGDSSLVYRFMSLASNNSIWSSRAAFGRFGLSNIFS DSSVDGYLAENPKLYPKLYRYRFDPNPNVQRSMNDIWNALVKDSSATIDKNFDAIMDD LLTSILTKEWRVRQASCAAISDLVQGRSIEKYEKYLGDIWDKCFKVLDDIKESVRAAA ASLARVLTGILTRSLEAGDSSIKTADAMLSRVLPFLFSGSGLESSAEEVRLFAVHTLL QIVKKSNAKTLNPHVPELVERLLGLLSSLEPEAVNYIHLNASKYNLTEQKIDDMRLQS VRSSPLTESIERCLDLADADTMKALVPRIEAAMKAAVGLPSKVGCSRILVTLSTRHNF VFQPYADGFLKTVQKYVHDRNDTVSSSYAAAAGYVARLASDKQLIATIAFCHKLYFDS EDEKSRLTAGDIILSISKNAADRFTSLSSELLPFIFLAKHDTSEQVKRLFEDTWSDNV AGSRAVLLYLREIVSLSDKYLESPKWVLKHAAAKTIADAVTSITTGSETISKTNAEII WPSLDKAMGGKTWDGKETVLEAFVRFVERGESLWKEDKKVAKQIEKVALREAKRQNKP YRPHALDALGKICLARTDLELSGAVVEIVQTVLEETLGVDDDGEKMDVDGGEPLKDAQ LQDRTLSACIAALTLAPNTTLLSPPALSTHLSTLLPLVVKANATHRSCCLTRASRGCP RRCG CC84DRAFT_1230556 MGVLELRGKKLIAIILMTAGFDFLLVGYDQGLFGGILGGERFKN TLGNPNPTMTGLVTAIYDIGCALGAIFAFMFGEQIGRKKSIILANIIVIIGASVQTAS FEYWQMFVSRIIGGIGVGFSTVAIPILQSETLPAHKRGALLVVQSALIIIGVALASWL CFACLYANSSLQWRFPVSCQILFSLLVLSLSPWLCESPRWLAQRGRVEEARTIISRLL DKPLDDDQVMGQLQEILDAIEAEKDEEKPSWGEVFSNATKTRNLHRVILGMGPYMMNQ WSGVNALCYYLAYILENYMGYSPSMALILASVAFTQYAVFSWPPYFYIDRIGRRWSVM LSSGGCAICMAIIAGGLAKNSFSSAAAAVAFIFLYLDCFTSGILPVSWSYSSEIQPLR VRNKATAVGVFSHWISNFVVVMVTPIGLDAIKGNYFWVWAVICASFVPLTYFFGVETS GRTLEQIDAMFFNEPRLLMGLNPENRRVVRGGRMDEEQRYTDLAHRKEKEEVVMSERV SESN CC84DRAFT_1168582 MADDPLTTSSTEQPRIYRPIPRRNFSTQTDASDSPAHAFVPSTP PLVAETQNRPSDFLAQLNARLLRTYNAGLNLNEDGDAEGAPPPRNKSLMNLTKSTLFG IYDDDESTPVEQSVPETPWGTGAETPGRRHSGMNRWDSGTDSPAMGLTMQPRKKTAKG MDRAPRSPSSRHAPKPERKSMWKYTVSLGKLAALFLFGVTYGVIVSHLHDTRELAAVR VEGVDRGNWVYLASWGVAGLVLGSCLPYVELTWGGQTASDQEDEGAPEHETETSFSEQ WSDIVRSVGAFLAIAFAIRRLPWQSTLQLTLTLALVNPALWYILDRTKPGFSYSLLVT SILTSFIFLSDPNILPSPSLPATVNGTHTPSAARMQGQQDMFVGVVSYETLAVVTWVG SVLFCSCVCFGSIGRRLAVWDHHESGRKREI CC84DRAFT_1129223 MASADDFTFIDNDGLHNPPQIFPDFQQLLNGKGFDPDVQLVTAL REKHPELIVTSVPATNINLLQFAAAGYAQAELDEDTEPVIRWRGFVGPGHRGGSGHLA DAVFYGRYNYTWNNERFIVYTVIIGLSSMQYILKEPQGNETTTSHSSVVDRLLATVGA WLTKEEPAIYIYDSGWMRSTKLWEEVKKAKWEDVILDPKMKKALTEVANKFFDNEEIY REYGVPWKRGLIFHGPVGNGKTISLKALMHTVQERKKPVVTLYVKSAPYPWNIRQVFQ MARSMTPCMLVLEDIDTIVTKQTRSYFFNEVDGLENNDGMLMIATTNHLDELDPGLSK RPSRFDRKYLFPLPSKGERAQYAQYWRHKLKNKKSIDFPSKLCDAVADITEDFSFAYL KEAFVATLLDLARAHDGDGEDEDSASKEDDPLDKYEFWRAFKAQVKILRREMGSDEGR GDDDGDGDHVDPQPRITVAGISGTEYVGMSPTTEYERMMPMFRKMRLQGSSHKAASPQ GAAGSQPGRQSAPSARSPIDAFAPLAENRSKSVWDL CC84DRAFT_305569 MASNAQRMSTLTQKLSSEEGWQDLISWDGELYDPTSSAGNPYGG DSFSSNTHAPESVSSEQFYETSTTASVFDGPTSFDFAVSRPPSVNEGGSWLSGSPSYT TSATSPLAAQVGMLYQGSIEACNSMLSPGLGYSSSPFLDAHSYGSSSSYQTAPTSGLF NPHVAGTSHSFSGLDVYASQALDNVGTWVEPSVEPIIEVHQEDGTGAIPIPIPQTGPQ RFSNTFSSHPWTDHSSYHQAQHGQPRSITIPQPQIRVSSSPSDYRARAAMERHPSLRQ QSPHWSRRVPPVLSASPEQQRSPRPALLTRSLSNPRRSRNKLASPSPTTDNLGWVSYQ PNTQHRLVPSGAEGSRRRQRGRIGALTAQQRSHAALMRLVGSCSNCKKRKEKCDPGTP CKSCIDHYKGDLVNHPCRDRLVSGLASVFLAERHGWHPTARPIEASFGAYRVLPGSYS IPITFGFGSVLHVPVALIHFDNHTGPLLHAHTVYAWPPTAAPPQTRTHAVLPAVLTPE AMQSLEELLDTHLALLVREHFRAFPPFTSPLRVLRHVYTYYRALPPASPAAHLLAQSL KLLILVHVGGDITLPAASSSPALSALVSSIPDLPEGVLPTPCFIRAQLGAILPSLALK LMREVLLSLEQLLLGRAEKEWPLAVATLVTLCMTVESVQYHAAKLPYHNAHDPATPPE SVRQHDFQGDEDAVRQLREFYGRCFAGCHARLSPEWRGDVEAGLRPDAKKMELPPEDK FIENMREAVRGAGPAYLAAKAGAERHGDDMGWFFDRVVAGLLMLKVSEV CC84DRAFT_1129230 MPRAAPRNGGGTSTAVAMPMPAEGDAPPVLRATRGYGTFDAGEL QPRPRPTAPRRPSSSFLIHGGTPCVDQQDFHALLGGFLAELNCRLDRIDSYGQLKLDT GVEYAYSTLLAVRESCSKISDGALEVGRRQAAVFVETLEGRYQHALGSKETLAEKVVE GILLIEDWVTDLETRAYAFRDAGLETVNQELVRARSFDPRELLQHAVDAGLDKAHRAR QSIELKIDFAVDRALARAKVHGLIRYEDLPAPWQANEHILTGYRFYDGHWACIRSICG IHNESTNIWTHLLGVMVIVGLAFYFYPQHINFHASTTTDIVIAAIFFFAACKCLLCSC IMHTMNGISHQTLLERYACIDYTGISLLVGASIMTFEYTAFYCEPRSRWAYMALTAAL SLGGVYLPWNPTFNRNDLAWLRVTFYVVLALTSLLPFFQLIALHGLEYTASFYYPAVP SMLIYFIGALIYAARVPERWLPGKFDYLGASHNIWHVAVLCGIVAHYFAMQEMFRQAH LRSSAECPALPAFS CC84DRAFT_1155353 MARGSHPQSRNKVLIHVLDDIARDNPSKIFAEIPNSPTTFADGF RKVTYQELSNAVNGIAAHLERGLGKGKSTETLAYIGLQDLRYVILLLGAVKAGYSMLF FSPRFPPLAAATLFRQTGIKTILISNPRLPFLAPILEQHPLQELGIPDLQALLDTEYP HYAYNVSFEEARNQPFITVHTSGSTGFPKPIIATHDWVASIAEEIYLEPPEGYMSTLK PLFDTKIYFQFPFFHMSFVIGNVILPLLTGTTVVYPPAGVPPSATSVAEVAEANDISL IALSPLTILQLHQDDKLLDRIAARVPRLLYSGGDIPTAVGDALSKKVHLANAMASTEC GIYHGIVPASLPVGTAWHSLGFHPASNVTFVQREGDIYEAIIRRTENKHTQPIFRIFP DKQEYATGDLYRRHSQLEGLWDHQGRNDDMLVFASGEKFWPVDVESRISQHPSVSEAL VIGTGRQRAALLLELQPTAGERTTKETLDEVWPAIEATHAMCSPAARILRAFVVVSDQ ERPFVKTPKGTVARGPTATLYAAALAELDRAATQRGVAAPESAVEISTGGVQL CC84DRAFT_1199385 MCYQFRSFIWDSDTHLKSHEERKLLRKLDFSILTIGCLGFFLKY LDQGNLSNAYVSGMQEDLKMLGNEYTYAVTCYTVAYAIMQIPSNIIIQYIRPSYWLAA MEICWGTFTFAQAGVRTSNMLYAFRFLVGFFESSFFPALLFVLGSWYTKTELAKRIAI FHMTAPVGTAFGGYLQAAVYKSLDGHHGLAGWRWLYIVCGCMTVPVGFATFWILPDTP YTTKAWFLSEEEKELAIQRVRASGKAAPVPLTFATFKVILTRWRWYAFVLGYVLYGSS CGAGDYFGIWLKSEKFSVVDRNLIPTGSKLISGFCVVLWGFLSDYTGSRFALVLCPLI YGLIPNGILAFWPASQGVKMFAFMTIGVQLMTAIFYSWANEVCADNNEERAIVISSMN GFQYAVAAWLPIVIFPQTMAPTFRYGFPATWGLVIAALIAIVGIQVLHTREQRKKRLN RDTNLRPGQLHNRPEASASLSVNTTLF CC84DRAFT_1129237 MMYSSILLAALAHSSAVLAATMQVTVGTNNQFVFTPDTVVAQPG DMIAFNFVSQNHSVASSNANSPCTPQRNAIFSDFQPVAAPAGANTNAAATGNGGNAKN RNGRNKNNKRQTGNTPMFMVPVTDNNPIYIYCSQAQHCQQGMVMVVNPPAAGMGVAQY RQLAAQAKQNKSPRGGISGGQIVNNAAGTNPPNGVVGAAAAAAQTNNGNGKGKGNKKG AKKNN CC84DRAFT_305614 MAPLMLHFAIVLLACTGIVTAAPHPAATTTLPPKGAATIGAVKV DNPDVDSEGNGCRAGSVGAAFTTDNTALTLIFDNFQAAVGPSAGTLKKRAFCRVNVTL ASPGWAFEVSSVDFRSYVNIAKGVDVSLVSRWKWIDSKGVDMKGKVGQRQPSVPAMGV FTRVGKCEEGSKRAFSRRLPSAQGRRSVR CC84DRAFT_305634 MTDEPSNLLKTFKSDVQLARAVKSLFIQCRSPCSTPVQRINELR EFLAEALPLFVNLTTFRSDHRIATIKLIETTLYHNSGGYSMGIPINLENVRTLELFGA DYIYKYNYILRLPQLRRVLLHSMKIIDLDGDDRAYPDDWGWMSHTIKELEIHQGFRTW NSSPYRLWSNSLQALSRSMPALSYLRLFHQECTFYPRQSRHLLGFFRPQLRNSLRRLA VEDGRIDRRHPELGYNYLAEDVSILEDITASSLEYLSIDLHTLFTRIHHVGLAEAIHA VSLPPSLRHLRLRHTEVNDMSSVGNTSTLFNWNPEMAVQELARKCLLLSKIDLELRLL RNPDYAMLERYKTAFASQGIRFNTLIHECPAQRRTSIICYRAA CC84DRAFT_1263455 MAQLGAAADIQAAPYKRKHGLVEFDSFPTPLEMHDVLRFGGLLL LASVSVQARGIPTIPLVDTDGKLSLSGISSIVVDSQYAESTNTKGETLIPPSLEEFAK TFAEDLRGLHVNVSCQTGANASAGSIFLTLGEPEAYLDVAGRESAEGYSLSVSSDGIQ IAGASSLGAWWATRTVLQQAILGNGSIPYGSFSDTPGWGIRGMMLDAGRHYYPPDFLM EMCAYMSFFKQNTFHVHLSDNLYNNVKIYSRERSLDLYARFRLWSDDDAVAGLNNYKN ESYTREQFDEIQSACAARGVTILPEIEAPGHSLPFVQWKPELGLADDLSLLNISHPET IPTMKTVWSTFLPWFHTKTVHIGADEYTAEVNDYNIFVNSMADHIHNEANKSTRIWGT FPPNYTDPDYINIYENVSVQHWEFFEDNPLYDYILNNYTVLNSDDTYYVVNKWSGSYP PQVNVSATFIGNPNTGGGLWYPYVFDARNSSNNPSRDEPHVLGEIAPLWNDYGPNATV YSETFYAWRRGIPALADKQWGGNLTADEFDAILEELRTYIPGQNLERSVPSVTSTILD YSFDEGYATGSTVSDRSGNGYDGNSDCSRTERGSLLVDACTLTTPLLSKGRDYTLTLS LLVSSLGNATDATLISGLDSTLMLTPNITLFQGGNHYRLNSTLALNQRLDLSIIGRGN QTFARINKGREEEFLTKMGINGERFEWGPLAIEAPVNKLGGENAGWRGEIYALKLKNV A CC84DRAFT_1199388 MVNFNLSFGLLSLALASTSQASPLTQRACDLPTKYKWTSTGALA QPKNGWVSLKDFTTAPYNGKHLVYATTHDSGSSWGSMAFGLVSNWNDLGSASQTKQNA AAVAPTLFFHQPKNVWVLAYQWGPTAFSYKTSSDPTNPNGWGAAQPLFTGSISGSGTG PIDQTLIADSQNMYLFFAGDNGKIYRSSMPLGNFPGSFGSSSTVVMSDSTNNLFEAVQ VYTLTGLNKYLMIVEAIGSNGRYFRSFTATSLGGSWTPNAATESNPFAGKANSGATWT NDISHGELVRTANDQTFPVDPCNLQLLYQGRSPSSGGDYGQLPYRPGVLTMSK CC84DRAFT_1222001 MPHNTRYECPRAGNMHQVGGEVYYCSFHDKFAQDRCQAFFDFRG SGMQCPKLHTMIDDDTGRKFCEKHEPASEISEVLDWKARMAEWLTSVALAELPREHDS NLPEDVRNEGYSAISKPEGNRDSEPEHHVEIDAGPEGKPDTESDTEPKTYPMPTTQDA EPTPKHSYTASKFNTEQEQDQEPRYESGPTPEQKSDQEFGQEFDKDFGSESTREFAFE SDQNPEQKPEYELVLLFQNEHIAALYKQCNICLESHDAADMKKIASCGHQYRESCLQD FPRRKGVRRYNCAGCRTWLQSHQEP CC84DRAFT_1168594 MISDYIHLALTILGFLPKFAATLLLQRISALAHRRTYKALPEDQ IKNVVVVGGSFGGFKAVQRLAATLPTGYRVVLVEKNSHLNYVFSFPRFSVLKGHEQDA FIPYSGLSKGAPEGIFRHVQDTVTEVTERFVELKSGEKLRYEYLVIATGTSSRLPSKV VSTDSRDAEHELRGMQDEIQQARRIAIVGGGAVGVELASDIKDFYPEKEVTLVHSRAQ LLSSFGPRLQNHVAETLTDMGIILRLNARPKFSSQTSTLHFENGEEEAYELIIPCTGQ QPNSGIISAMSPASISKETSHIQVKPTMQVADDMFPRIFAFGDVAATGGTKMARAAIF QSEVVLDNIHKMIKGSKDLSTYTPNVVFESAIKLTLGKTRVALYMHKENGREILVSAN FGAEEGDAKKQWAFFGADVKELEERKAHDDSIAPYCNA CC84DRAFT_1168595 MFSILLPVFVASFACVEAQHGSGAEGTEMGPVAFLWPPDRNWTA QGDNIAPCGSPDGPSNRTEFPLTQGAVALTIADEAWNVAIRLATGNDPRTQDDFVDDQ VVSNVSEVDPGHQCYKLQNLEGMTAGMNATIQMEYWGEFEGENDGKNQSFFACADITF VSVSDFTTAVPCFNVTSDEFEAPSPSNTSSPTSSSSISPSSTSNASSSESQSRGSGLS TGAKAGIAVGSIIGGLALIGVVAVLMLRRGKAQGLKGKEEYELRAKSLGEPRPGGDQR G CC84DRAFT_1168596 MVTSCLLLAYFLALTTASFTPDAFFATLLKRQAPGSPEFACHDN CGTAITVSKASSGYCTDDVFLYDYENCLQCAGPDNVDIWKYYGGSLTTAAGKCSGLET EPRSGKQEDVGDAKHPGGSNSDGSSAISTAAPSETAEPVTVPAESTATTGDSAVSSAV SPVSETPTALPVHTVSGAPYGTSNGTSVEITPTASAPPEFSGGAETVQVRDVVALIGA VAAVGAFY CC84DRAFT_1102143 MRNETELDVIEPSSRGTLAPLYQEPDAASTGSKLERNITAVSND GRRRGKWQVAAILTALFLSLFVAALDATIIATAAPTISADLQSSAGYTWIGAAYLLAN ASSGPIWAKLSDIWGRKPIVLAALAIFFGASVVCATAKTMMALIVGRAFQGMAGGGLI LLVHVCISDLFSMRVRGLIMGFTEGIWAIAGGVGPILGGAFASLVSWRWCFYINLPVC GIAAILIILFLDIRHEHTSFFEGIRAIDWTGIVTFLAFTLMVLLGLNFGGAIFPWDSA KVIALLVVGSLMIFAFVYSETKIAKYPLIPMDLFKNRSCVAALGVVTFHGFVFISAEY YLPLYLQSVMELNPLKSGLLLLPFIVPGCIAGVICGVIIHRTGHFRPIIWVGSVLLCL GFGLLISLDANAAIGPLVAFLVVAGLGSGVLFEAPLIAVQSQVEQKDVATATSTLSFI RNMSLTVSVVIGGTIFQNSMDNRAGFLKAAGLPQDALDKLAGESAMANVHLPSTFQNK AWEQAAKEAFAYATRNMWITYAAFAGLGVVASVFVGNAHLSSDHVETVTGLRKEKGAE GEIGAEPGHV CC84DRAFT_1180509 MQTTPARSSRITKSRKPSVAAALGLRRSVSSPSSGSPRRKSAQS VKSENFDYDDKLDDTGVIASLAADLNFRDVPQYMEYIRSRMFSDIPLRNSGLNSTRIA ETLNYRKALPPIVTLAHLDALGTSSTRTEREIAELSQAGILRRIAIPNRGTGIASVGD GIASVQEWGRLVRSHTGLTPEVQSKYLTAMHANPTSTIVASTAFTPGEISSLSAAGFL TTSTAPESRSSLFAMPGTGSLSALSTAGSRHASGSIGAVGGASATQHMHGGTGQRMVS TTYYNFSLPNTGSHTKLIVEARTHFLSLLKRSKYREAPLDLLRERWDGGILGKEEIAD KKKARGEFAGILPGRTKKWKQFHGLRFEWILEECVGAGLVELFETGSVGKAARIL CC84DRAFT_1168598 MSLNPEPSQPAERAEHNLEPKSYAAAAEEALQDEPAPEREPQSH ESQTDGTVADANEALTKKVDEHHSENHTNGTKIIRIVPAEEYEGEGQDNSPKSPTRNS HRRKSSLKSNGFLGHKHGEQLQHELFAKHKDGNGDTLTSVKPTADYESETRKDKKPKQ RRNSELKSGRQAGEGWSKSKIRFAPLHVPLQRRLQTVAVLLHTLSVAGLVGIFFFLCA IPILWPILLPYLLYVLFSKAGESGELSFRSERWRRSKLWSLFASYFPARLHRSQELEP TRKYVFGYHPHGIISHGAFAAFATEALGFSQLFPGITNTLLTLDGNFKLPLYREYALR MGLASVSRESCENILSKGGPNNEGMGRAITIVVGGARESLESKPGSLRLVVRRRKGFV KLAIRKGADLVPVIGFGENEIYEQLDPHAHPWINRFQLLVKKVMGWTIPLFHARGIFN YDVGMMPYRRPMNIVVGRPIRVVMQQQPDKEYVEKVHAEYETELLRIWNDHKDQFAKE RLEELRIIE CC84DRAFT_1230624 MDKKIHLEVGSNSSSEKIEKIDTSGNTDAAQLQELSGIEQTAAS KGAWLISFVISLGGLLFGYDTGYISSVLVTIGTSLGHELSSSEQELVTSLTSGGAFVG AICAGLTADRFGRKMPIWSACIVFIIGTVLQTAAYHVAQFAVGRFVVGLGVGSAAMIC PLYISELAPAKYRGRMVAFNNMSVTFGQLFASALGAGFAHVKGEGWRATVGIGAVPAI LLGGLLFTCPESPRQLVAHGKMEQAEQVLLRLYPGSTEQQRRAKMASIELSIHQATQT MVDDSLWKTFKSIFKNMATLRAVGTACIIMALSQFSGFNTLMYYSATLFKIVGFNNPT GVAIAVSGANFVFSALLLVVVDKFGRRKILLVTVFGMFVCLTIAAVAFSYIPVDLHTL EVTSDQIGWPAYLLIAMIIIFIGFYASGIATIAWIGTEFIPMEVRAVGTMLNTATCWS TNIIVASTFLSMMKGITPSGAFGFYAGLMFIGWIFIIFCFPEVRGMPLEAVREVFENG FGVKYANQWQRENKEFAKMHDQGPAFGH CC84DRAFT_1101809 MESFTFPVKINELPSTLNPLLRTLQSSSILPALKPSSVPDGIAA FLFLLSALGYVTRGRLWDKPDLHYKVWFERPQLAGGASSIKGVTTRNVAQRLEEGDYQ AVIFWGSQSGTAERFAETLGRECYTIFGINALVADISDYDAESIADLQQKHFAIFLLS TYGEGDPSDNAAGLWDWIKRNKDQATRVESLRYLAFGLGNSNYKYYNRVLDVVVDALD AAGATSLIPPQRADDAEGATEEDFQSWKDDLFALFRVLGYEQKAVAYQPTVSVEFGDS AQSEKDDSVHQISVHHQQTSLNSAIFPLPVRISRELFTAGDRNCIHMELDLGNNDVVY KTGDHIGIWPCNPDEEVERLLETLGLSDRRKDTLTIVALDDSAKPKVPSGSTLEAALR HHLEICASVSRKIAFDLAQFAPTPEARAMLTEIGQDRTRYEQFTSSTHITLARLLKLS SPLEPWTALPLSFVLENLLSLQPRYYSISSSSVISPRRIALTALVVNKEVADENKSTI HGLSSNYLLSASKLPSNAKAATPSFQHTSSVSGEVGTVFAHVRKSKFKLPITSSTPLV LIAAGTGFAPFRAFLAERAKLHALGKPVGRMLLFFGCRSPESDYIYRDELEKLQETLG DRLQIVTAFSRDGNNRKVYVQDRVAEESETVLEMLNAGANMYICGKAGMAREVDGKIE EAATQQKGMNGSEVKNWSDGLKKRGKWRADVWG CC84DRAFT_1263462 MATTSLPYAVAFGTCILLYFVVYPVIVYFRDVNGLRRYPNMHPL SGISWLPFIILSHSGERSLRLSKLHQKYPVIRTGPNALSYGDLRAIKDIYGHNTPCTK DGQYVVGAGSHYHLADVVDKHDHARKRKTLSSAYALKNLEGWEHKVADKTYKLIQHLD KCCTAPLRSGEVVKPEDVNVDFRKWSNFFTLDAIADIGLSEKLGFLDRGHDRMTGRRT DGTTYECDFRPALYQTARKQSLLIWNYEWYPFIDKVSNLIPFYGRMGKLSKDWEGIPM ELAHRRLQRYRTGEKLDDFFQALMEDKNGYPNNLEWGEIVAEISIMMNAGSATTAIAI TNIIYQLIKNPEILKKVTEEIDAAVEDNEDEDESGVLAYDKIKHLPYLRACLDESLRL FPPTPHGLPRETPPDGANILGDYIPGGVTVSMSALVAHRQESAFPQADKFIPERFLGE EGKRLQPYFLSFSAGARGCIGRNISYLEQAVVVASLLRRYSFALTSPDWQLERLETLN WILGDMPVKVWRRKRKSASSE CC84DRAFT_1180513 MHAIDADAWRDVSDSQDRKKIQNRLAQRRRRQRLKMTEGVMEEG TTTQPPSLTMSESSRSPQSPQQTATMDQTGHDQWTIQDGDRFFMSPDLELDALVDPSL FPNNSPESYPFPMSDFNTFMASSNPSPASHQQTKSIAEGYITPSSISQGSVQGFPSAE GLQDAQKRISRLRENLAGPPIQATPNQADGVRFNHIFKAMTAAGFNNFDEMATAYYTT DFARSSAAYDMQRQSRLRHLRTFLSSVKANAIQWGARERRGWTEEIVAAAEEFLTIEV QRLLEAMRNGNVEGMKEMESSPQAQAAFFQELLPDLWSLLTQIVATSGLEQPYSSKVV LSAVQVLIGFGPMR CC84DRAFT_1155381 MISPGHKHSSPSLSQKRSPNDINHISPFSNSAFSANSSLSLSWP VKDPFEARLLHHYIVACSDWIDVCDSRRHFTREVPKRAAHFPVILNAILGLASRHLWL IEKLEEDHSQPYVDQCLQSLIIALEDPLAHWDENFLVAVILLRLHEEIGEDDEQCHHF GTARILNSISSFAADGGLRESASWVSLRQHIYVSLTKQQPFNLSLDNYKHSCVFREYD DEAWANRIVYQFALILQHVFEDAEHTNALSREKWTELNADTDEWYRTKPWSFAPLYSD PKAGDSFDGSWPALPTSQGVVAIGLQYYHLCKILLTIYSPNASLVGLAGLRARKSTDA AIRKHIRIIIGYGVSNSHCSNVMFQGSHILSACGAYIVDKAEQQACVEYLTSLQRLIG WRTDKVLAEVREQWAS CC84DRAFT_1263465 MAPRKLQIAAAGLGRMGHRHALNFLNRAPRAQLVAAFSPDSKEH EWAREHLEPHGVTLYTDYDEMLKQEGLDAVVIGTATSVHAEEAIKAMQKNLHVLCEKP LSTSVDVCRQVVEEAKKRPHLKVMCGFSRRFDDSYQSAYGKIEQGQIGRPTILRSQTC DKHDPSGFFVEYAAWSGGVFVDMSVHDIDLTLWFFGNQIVPKSISAHGCIAVQPGLAQ HKDVDNAVGIVEFWGGQIAYYYCSRMMAHGQEDVTEVIGTEGKLSVNLNPQINLVQTY SGKGITKEVPAHYYGRFEMAFVKEANDFAAACLDDTPLPMPIENAVKAVQIGSWLQEA LVTKRQIHFDQSGKRLGKANL CC84DRAFT_1155386 MRDPNFSHKHMSAPDRLPTRELLSNSGTPPGQNEASPRGGEINE RIADRIVRVQWDLTNLKDFWKVHSSPHRSERLNEYLDAELKVLEWMPFQAYDQNERVD YLLLKNFLRNQLSEVHRDAELDSKALAFLGGFFPLWMANMIEARQRVDDLDQKKAAES LVHCTRLVILVTELIANKRETAEPVVAFRATKNLEEFKVHWQEWYNFYDGYDPLFSYW ISEPYPKLQKSLQGLIDTIKKVCLGLDTEDEDTIVGEPIGRSGILKALDTEVIPYTPE ELITIAWKEYAWCESEMQKASDALGFQHWRNGLEYVKNLYVEPGKQPSLVRDLTREAT AYVRKHDSVTVPPVCAETIQTFMMSPKAQKMNPFFLGGDEIIVSYPTQTMNHEQKLMS LRGNNVHFSRATVFHEMIPGHHLHMHYMARHRPYRQIFQTPFCIEGWAFYWEMVLWDS PSWTKTPENRIGMLFWRMHRCARIIFSLKYHLGEMTAQECVELLIDMVGHERATAEGE VRRSVMGDYGPLYQAGYMLGGLQIYALRKEVVENGDWSEKEFHDTFLTANQLNIELFR ALILGKDLDKDYKSQWRFYKDIKRH CC84DRAFT_1129270 MLSKLVRATLALTLTSSCVVQAQFVTQIPAAEASAIAGIVEDDP ANDPQFRKIGSPAYTLYSAALPIPEVATPKYKITNPVTQKEIWYYEFEIKPFTQQVYP KLKPARLVGYDGKSPGPTIIIPKGTESVVRFVNNADRENSVHLHGSPSRAPFDGWAAD LTNRGEYKDYYYPNYQSARFLWYHDHAIHWTAENAYFGQAGAYLITDPEEDQLGLPSG YGKQDIPLVLSSKQYNSDGTLFSTQGETKSLWGDVIHVNGQPWPFHNVEPRKYRFRFL NAAVSRNFDLFFVTSTATNTRIPFKVIASDAGLLEAPIQVSDLKVAAAERYEVVFDFS QYAGKTLYLRNDEDAGGIGVDEAYDNTNQVMKFVVSSTPVTDTSVVPSTLRTVPFPPA GHTSIDHAFRFHRSGGEWKINDVGFADAANRVLAKVPRGKVEIWELENNSGGWTHPIH VHLVDFRVIQRNGRGVEPYESAGLKDVVWLGVNEVVLVEAHYAPWNGVYMFHCHNLIH EDQDASSMMAAFNATQLTDFGYDEVTDYGDPEDPRWSARPYVYTDFQQGTGPFSEQSV TDRVQEIAREQPYSELAEVEAALDVAWADGTAQKRSGVIPRFRRFIM CC84DRAFT_1168604 MSAASGAATATRGAAENNDQRPRRPRNRNRNPNGQVANPPQQEA GQAQGAQAPHAPAARGGRGGRGRGRGRGRGQAATGNREPEAGVPTEPRFQNRRGRGGP HHPRVPPRAVAQLAAEHENTPPSQNSDLQADAPEFTPGRPAAPQRTRRPPREKRPQGP RSTAQDIATRTHEDIDNGHYECAICTEEVRRTTRGIWSCRTCWMVFHLSCIKKWSTNE GSVAARQQAPEGVMPPPRQWRCPGCNYPKDELPRVSSCWCEKEMDPKSLPGLPPFSCG QTCARPRILPKKCPHPCSTTCHAGPCPPCTLMGPTQHCFCGKNSVTRRCLDTDYENGW SCGTVCGQVMACGEHTCPQPCHEGPCGACEVRIPARCYCGQEEKDILCCERAQQRDSS VLHQLDDGSSTVERWVGIFECPNFCQRPFDCGKHFCGKECHRQDTQAAHCPRSPDVVS HCPCGKTPLHQVSDHPRTSCEDPIPNCSRPCDTKLSCGHACQKRCHQGKCPPCLQTVS INCRCGRTTSTTVCHQGVEEPPHCMRICRISLNCGRHECGERCCSGERKAGERQSQRR KHRPIDSARRPHPDDGFESEHICTRPCGRQLRCGNPDHRCQELCHKGPCGTCRDAIFD EISCNCGRTVLQPPLPCGTQPPPCRYPCERPKDCGHPQVSHNCHGGDENCPICPFLTT KHCLCGKNTLKNQPCHLNEVRCAEVCGQLLSCGSHRCRKQCHRPGECEEPCAQACGKE LSACGHPCMAPCHFPMLCKEEKPCMHKILITCECQRIKQEAKCNASKNGEGNLKKTLK CDEECARLQRNQILAAALHVDPDRQDDHVPYSADTLNMYQRNSTWAAAQEKILRLFAA NPEEKRMRFKPMQSQQRAFVHSLAEDFGLDTESMDPEPHRHVALFKTPRFVMAPMKTL ADCVRIRQRERATAATTARTTTTYTPAPQPKPNKTVDPYNGFLITNPRFALTIEEITS VLRATMPKTSYPLELEVAFLPSEEISLKPPIAARVSVTEREIQTMLESIKPAVSAAIA AESIGKLQLVRTDASLNVQRRESDDAPGAGWSQVAKGNPVRKAPTNTPLGVKSGFAVL SLPRRKKKERVEVADDWEVEEEKEEEKEAEQEAEQERGASGASSDAEFVPEKTGTVEE EITLPERLSGAWADSE CC84DRAFT_1168605 MAKSIIGALALASLPSALAFRNTSPYFLFSTADLNIPSDDADVA RANAVVDQMASALKGCPTKTYFVVRQNGVSSADFSDAHRSAPRLASHLSGKSGFVKST MVVPEVIEENFTAAGITKYLQSKCGAEVLSEDATASTSDKQRIVEVTFTAPPPDKDLR APELGQRDAELQKLISKHADFEDFTVIYTSTPQTKFQSEHPPYEMENPFGGAVQMELK RDLSSHKRAEKSKAGLFERYQYFTPGLFMGLSAMVPLFLIVLVGVKALLSLEVSYFAF SKEMGPAAQRQQK CC84DRAFT_1222017 MDSPNSRATTPDLSVTKGTSDMHTLPSTPKTPTLATALELTTTA QDLASGSGTPNQDIALSDSTAQAVSNAVLLPRSPVSTAQEPVASSTTTVPCAQMFAFL TRFEQLAYDKIPNANSISETMHDLDQLAESVKTYQSACSRARTYPDPKSFNVQDLLTE ASFIQSTAARLAGTTETYIPTLRGWVRDIKTLMRDAGKTLRFAEGVGTSKHTEMYKLL DALGLLQDSARHVLSQSQNHSVLRQWRADSFAILVREAERLPSESSLVARRWFSNRGP KMLEAVPDTEDRPVTGPVEGLQRMPNNMGETDGVEGCP CC84DRAFT_1180521 MSYPGRTASVHALTPLTSSDSSPPGKLPSPRSAKPPSETMHATA PSRNAPSSAPVKNGADTITPVHTPPETRKSIFPADGILGSKRLYDPSLDPKLDKKDKQ KYKAKYAPIKQLDDPSEIPDPRLVIAGYAVGQYRIKTAPGSASPKSKLRMAPKTLKPY SFDERTSCGPGPATQVVITGFDQLTTDAQLRAFGSSFGEVESINNRVHPNTGSPLGIC LVKFRDGPAPRGGQAVKASDSAKKAEERGNNERIGMSRVRVFRDREGLRAKRLVHMIM KKEDDKRKAAIAAQSATATPATPTATSFDLPANVPKGPSGKGARPPPPARETLSRKAQ LSHLIEREPIKPKLKRRPYIFIAHQYVPVLSTTIEHLRKRLKAFRMQEVRCDQTGYYV VFEESKWGEDETVRCYKQFHMTPLFTYVMNMECNQYGDPNYERSPSPERVVAIKKRKA EDDRAAREEAEDLEYDKQQRALALDPVAAALEILKQELQERLVGEVKTRIAGPQLLKL MAPERHIEKRRRLNISDPHVKEETIRPSSMLLPAMDASALAPKSRTAALRGRGRKALG PRDHNRDRGGRKPLRPVDVFVDERRKATHKPRREVHRNPYQKLLEMDMDESDDEGRSS INRGTEEQESRPISRAATSDIEDDDEEDSARSRRFKRRRVEAGWGLEDSDDEMMDDSH ARSLLAHCIHKDPEQMAEKELEQVLAILPRSSPIWKKADKALRGFKRLRKIEQEADAI FGVEPSLDEKFEPEVIITQDEDEAAKPIQTTEVAEPAKATKKKSVAKPKKKTKNQLEE DAKTAQVTVSEVVEPAEEDIVLPEVAEPTPVVAKAEVEETPEEEERDGVYWGVSAVEP RRTVQDDSSVVMDIDGWQHLVKDEEDLLLLKTALDKIVPANIGDANSWAWKQKSIKHL NRPGEEGVSRSETKITGYFVPNKTGAARTEGVLKIKESEKSKYLPHRLRVMREREERQ RQAQQEDRTGTTVVEGFRFQTGGAKAATTVNSRANRANNRRLVNDISISKNMSGAEGD ALRFNQLKKRKKLVKFDRSAIHNWGLYAQEHIAANDMIIEYVGEKVRQRVADLREARY DQQGVGSSYLFRIDEDTVIDATKMGGIARFINHSCTPNCTAKIIRVEGTKRIVIYALR DIGQDEELTYDYKFERELDATDRIPCLCGSVGCKGFLN CC84DRAFT_1155401 MTTLKGPGEAKTYDGSGLRIGIIHARWNTKIIDALLDGTKKALK AAGVKDENIVLQSVPGSYELPYAVKQLYAASQAQASSSTVIGAAADLLGSVSDLSTEP SKPISSTLSTPFDAIIAIGVLIKGETMHFEYIADAVSHGLMRVQLDNNVPLIFGLLTL LSDEQGLQRAGIGGASGKEGHNHGEDWGSAAVELGVKRKGWVEGKYVE CC84DRAFT_1222020 MCGIFGYINYLVEKDRKYILNTLINGKCPRPGLQRLEYRGYDSA GLAIDGDKKNEVFAVKEVGKVASLKKLVEESNFDESKVFDSHAGIAHTRWATHGPPSR INCHPHRSDPNWEFSIVHNGIITNYKELKVLLEGKGFKFETETDTEAIAKLAKYIYDE HPELSFVQLAKTVIKELQGAFGLLMKSVHFPHEVVAARKGSPLVVGVRTQKKMKVDFV DVEYQDDAALPAERASQNVALKNANSLLAPPDKSLLHRSQSRAFLSDDGVPMPTEFFL SSDPSSIVEHTKKVLYLEDDDIAHIHEGSLNIHRLTKEDGASNVRAIQTLEIELQEIM KGRFDHFMQKEIFEQPESVVNAMRGRLDPENKTVTLGGLRQYITTIRRCRRIIFIACG TSYHSCMAVRGIFEELTEIPIAVELASDFLDRAAPVFRDDTCVFVSQSGETADSLMAL RYCLERGALTVGIVNSVGSSISLLTHCGVHINAGPEIGVASTKAYTSQFVCMVMFALS LSEDRASKIKRREEIIDGLTKISDQFREVLKLDQPIKKLCERFKDQKSLLLLGRGSQH ATALEGALKIKEISYLHCEAVMSGELKHGVLALVDENLPIVMILTRDGIFAKSLNAYQ QVIARAGRPIIICNENDPEFPADKTDKIEVPQQVDCLQGLINVIPLQLMSYWMAVAEG VNVDMPRNLAKSVTVE CC84DRAFT_1180524 MQPGNNVSTMPPTANQYSAPCCRALFETGRGCSRLLVPSTAASS SHKGAGSGRRVVAPRSSPLGHLRAHQQPCLHLPQWQKRCYQTVSNDSHACTQTAPLRA SSRARRLYRAAGLSRHAAVQRESEAALRVSAAMASRLQFAARKCTKRLQPCG CC84DRAFT_307913 MLVACYAINLLTTMSISAIATNGTVRGGGAYYLISRSLGPEFGG SIGIVFYLGLVFNTSMNAVGLIDCFIENFGVESGSWSPIMLEGFKWQFLWATIVLLVC TLVCLAGSGLFARCSNGLLFLLVVSIVSIPLSALVLHPFSDAREGIEFTGLSLQTLRE NLLPHFTKGADGSQDKHHRENWSNLFGILFPACSGIFAGASMSGDLKHPSKAIPKGTL YGLGLTFVFYTVVILAMAASITRESFIYNTNVIQLTNISGVVILLGEFATSSFSVLMG VIGSAKLLQALARDHLLPGLSIFGQGTAKSDDPTYAIMITYLLAQLTMLSDINQIASF VTMTYLMTFLVTNLATFALKIGSAPNFRPSFHFFNWQTAATGAIVSGATMFFVDGVYA SGCVALLIVVFLLIHYTTPPKPWGDVSQSLIYHQVRKYLLRLRQEHVKFWRPQILLLV NDPRRQYKLIQFCNSLKKGGLFVLGHVIISQDFGAAVPEARQQQQSWTKYIDFSKIKA FVNITISPMVEWGARNLVLSAGLGGMRPNVVVMGFYNLGELRQSKPLIDIPSPQPSRP VSKATNHPLSRQAIQALARRKQTPDKLSGILPTDAMKPENAIGIQSYVTVVEDLVLRL QINVALAKGFHELEVPNPKPTKSQQLLSTLRLADLEEDDPTKKYIDLWPIQMSAEITT AGEDLSRKNVLTTNFDTYTLILQLGCILHTVPSWKRTYKLRVAVFVEYETDVEEERVR VTTLLRNLRIEAEVLVFWLASGSLKMYEIIVNGKNGSDFNEAAQDVNDTLDDEEWWAD IQRLRSPQDITASQELIQASDLLEAVVNWPTSSFQHGRRESKPKRFAELKRLLRRTKR KTSVGDLERSGATIGMHAQRLPAGLLPDSDSEASSSSDGAFDDYQEEAVDTGSSVGME NSLDEYDLDASDSDDEGRPLGLLQRAQTTTATKSLPFITTKFGIRKALWKAPSQEERP TADSVTPLKPHPDATTSDTALLTTGKVDESLFNGHATFRETQPVPVRPPPIRHQSLPK FTSNPTPRTAVAPEETAGPSIMFVDTPSPSAARKKDPIASAVASTHLSSPSSSTAPLP PAASQASGYPLHQSIPLSFNDLPCRAQHLILNELIRQHSNDTAVVFTTLPSPVEGTSA SEAESVKYISDLEVLCQGLPPCLLVHSNSMTVTMNL CC84DRAFT_1102334 MANDSPKPTEPRAVPSSSRASEHSSPSASISRGQSIARLGSPVP SVPVGSVPVQQIPTPAQLASEEPSNNQTPLPGREGVQSSLPGPGESALSSALKESFGR SPPRFGTPPIRPLSPAAETAPRDTLSQYGSYDARSRSPGVPYEDPEIIRRHLAGPQKP SISNLSARYGSPSRSHAGFAADADNVSRGRRGSSDDEEEFDSLQLQGGDITRQIYRWS AQQEEEQRQKMKRSQSFSADRARAHEPLLDINSIHQPGGFRRNFIRRAADQSPAPGPS GYGTVAQKPQPVVFTNNFLEFLTLYGHFAGESLEEDDEVLGPDEYFSSDALTSGEHTE DEREYGENSALLTPGKRRRRKTKVAGKGSPTGAAMLLLKSFVGTGVLFLPRAYLNGGM LFSNVVLLSVAALSYFCFVLLVNTRLAVEHSFGDMGLHLYGKWMRNLINFSLVISQLG FSSAYTVFVAENMQAFVLAVSDCKTHISIGLMILIQMVIFLPLSLYRNINHIQKLALI ADLFIVLGLVYLYYFDIFTIVDQGGISDIANFNKSDWTLFIGTAIFTFEGIGLVIPVQ SGMKNPKKFPKVLGMVMIVITVIFISMGALSYAAFGSKTKTVIILNMPQDNKFVNGVQ FIYSLAILLSTPLQLYPAIEITSQQLFSRTGKYNPYVKWKKNFFRFFMVGICATVAWV GANDLDKFVSLVGSFACIPLVYIYPPMLHYRAVARTTTARILDVLVGIVGLVSMVYTT TLTINSWVHGGDAKAPGYCDERAP CC84DRAFT_1168615 MAKRKREIADRTKPVKAVKSEKEATASTPKSKVQIESPARNSKE AAVNDKAGANHKATATAPANAPAKEVADITTVQIITGSYERVLHGFSAAIPQALITGQ DDGAEQDLPKIGFSDTFLFNAHTSAIRCLALTPPTDESSKVILATGSTDERINLYTLS TAPPALSKSKPQLPSLNGTSISENSKNKELGALLHHSSSITGLYFPSRSKLLSSSEDS TITITRTRDWAPLSTIKAPIPKAQGRPSGDTAAPGEVPAGVNDFAVHPSNKLMVSVGK GEKCMRLWNLITGHKAGVLQFSREILASVGEGRFGSGEGRKVVWDGEGEELAVAFERG VVVFSLDMKPKAKILPAPRTKIHQMRYLPSDSHPGILLVSTEDGRIMFYDTNSTSEPA ESEEREDDIPQSNLVAAIGGPAAGFSGRVKDFDLLRISDTSFLIISGSSDGTVRLWSL RTDELKAPASSEQGAGFTAGQVGRLIGTYTTGTRITCLKSFVMTGTPDVEEEEEEEIP AAENESSSEDSE CC84DRAFT_1168616 MSLDSPRDARFREKKNEIAHCDGSLKRKLRELYRYTALIHTGLP PEVPYCHNNDEPDENEADFLDKNDITKRRRFNDSTLAYPLPLTSPLQKPASPAFAKSS PSTAVGSSLEEQLRAASASPSVATQIAPVDEESTQTDLKFTTKSASLPSGVETPVSAS PVDATDHDQTSSEQPQAPSITEAPVVQISRGEKVDSDGQAVDPRAAIPPSSEDTALSE NAPVNGRRPKAPKAPQVVHLPPQDVQETRLQETEQKLEKIAEKERKEEERLTLPNGNI PTDLASSPSSTVGPYSQATPHPQHHSPDTSPETESFRERRQSLVDAGLVDPVAEQAKE DHERALQEQMRQARENARADSNAEEDDVERQIEDEQAIRLARDGKARLNESDNAPPAG PEALSDHAKDVVREIEMEQVETAAEQPAADSLPTPTTTAPDPSTAEPETSESAVEEHR QRSSLGPEHPTPPADNDVEMDEAPVPAKEQPASSINPDSESTPTLLSSGTQQQKSIAQ IIEERAEKSPAKVVLTPRSSNILPTTSPARVLKEHKRREVSTIIFAKPDLNRATKALQ LYNEDYASLQGASQDSSRDYLQGLFNYQAHHPPRASPLMDLVTSARKTVSTAGVLATI REAHDYKILKRVYQLQNANRWSLRQLKKSAEPERPVTHQDHLLAEMKWMQTDFKEERK WKQSLAATFAGWCADYVNSTPEERTSLRIKARIPKSSAADLDMDDAPTPDLVHSNGDA ESESYVDDDELLSPLYLNPPASLFSLGFNDVVLKIDRTPASDTLLHELPLYEPILEGA SDVTPLSFVDPPLAPVSKFVCGKLVSQVRGPPRKRSRYEYDSEDEPSTPPSRSGTSAE HSMPSTPGRRLFHRNDLPPEMSDVALFHPENRHIRDRLQASHQFRPPTEFPMPSVSFF ENRQSSQWLWEEDQKLRALVKEYTFNWSLVAQQLALPSMLVAGSGRRTPWECFERWVQ LEGLPAEMSKTQYFRTYQSRLEQAQRTVAAQHQAQQQLIQQQQQSGQNIPAQTPRRRT NQPIRVERRRENRHLAIIDGMRKLARKRESIAHKQAESQKAAALRKAQEPMQPKSNVH TPQEFSRLKWEREQRIKQKLLEQQQQEMHARQMAQRTAQMQAQGLANGSGQQPRMGTP GSNPNLTPQMANAAQQGSNPAANAAMAARVQQNQAMQQGFPNANMNGGMAMGSTGVPQ AQMQANMSNSQRMGPPNQAQMAAMQRGQFPNANAQHQFQLQQQQINMASNLTQNMGIN NMPNANMMASMVGQNMNGNMTPGMNGMSNNAGSPRINQATPNMQTPARPLSSGHMPQL LQIQNNLKVQHPDWSQEQVQKMASDHLARFLTKQRAQAMNAAAGSPGMAHSPQIGNNM YLQNGNMGANSPSPNAVQHYQQQLVRQQQLMSQQRAQAGSPGMNAARPSSRSATPQNA QLQQSPGVQQAQT CC84DRAFT_1168617 MAKHKVLLLGAHGETGGDILTGLVEDGDFEISCLVQPSSTEKPA VKALAARGLPIVVGNLSGDVNELAKALEGFDTVISAIHPHQILAQVKLVDAAKKAGVK RFVPCDFAVVIPPGGLMKLRDEKEVVHQRVWHAHLPYTFIEIGYWHQISLFRVPSGRF DYALTFDRPEVIGDGNTRTLLSDKRDIGRFVARIIRDPRTLNRSVVAWSDEISQNEII SLIEAKTGETPSVSTVSAERLEASITTAERMIKEEPGNMLAWLKMNSGQYDRSKWIRA DGTLENAKYLGYLDAKELYPDLKPVNFEAFLDELVEGKVTRPYEETVLKTFVGQKGGG KERFVLRIGE CC84DRAFT_1263479 MSSFAQDQDVSPSWAKINRVRTQQEIVTSVLLVFMMTLLANRAY VKVCLVRQCHWDDGALFMAVIGTLILYSTTLWISARGNLDKGPPGENILEALEDDAFV TPAFVLLTVLPVTYGFLKATFFILYLQLFYQLRWMRISATVGLCVSVAAYTAFTVATL YLSIMRNIVVYGMVAIPISAFGLASDITILLLPIIAVANLNVARRKKFGAMLIFLSGL LACICSAVALYFRTRKGTAENVIYLLFATNIAM CC84DRAFT_307826 MDSNVEKAEGPDRTQTPDNTFLPIQGQGAPLTRTKSATSNALAR VSSRLTTRSITNPGPPPDGGLRAWVQVFCAWLAVTNTWGFVNSFGAFQTYYASILPEP NSTISWIGSTQACLLFVVGIFSGRAFDAGWFRPTVLLGIFVQILGIFAMSAAKNYWQL LLTQGICTGIGGGIFFMPVMSLVATYFAKRRGLAVGLVTVGNSVGGMIYPTVVRQLLP QVGFGWTVRVLGFINVVCLTVVIAFTKPRLPPRKSGPLWDKDSLKDIPYILFVLGICC LMPAIYFVFYYVASFARDELGMPYTQSLNLVIILNGVGLPFRILPGFVGDRYLGPLNT FSLCTFFASVILFCWLGVTSIPTYYTFISFYGIFAAAFQSLFTTAIMALSHDITKTGT RLGMAMTVIGFSALVGGPLSGAILKASDYTTTICWAGASSFMGWGLCTAARICKHGWV VRKKC CC84DRAFT_308005 MPRHVTSNSDNEEPLDDKTAALQLHSKRTERQKKQQAKRNRKRD AIISLTKLPTELLIETLIYLRPGDVLSFSSACRRFRSLVDANANVIGNAIIQQRYPLL AQCLVLPKLLADIEPSARPLLLSERRQEFLGIQRRPYQHLQPPDKHFICTCLSCILAW NNLCVVLDFAHWQTHLDTGEPLPIIKRGTAPEWNITLVRRNADFVQSALQSPLWHARI LEIHLGSTIRAIRRQGKNKGNQRKHVDMTEDDAARGTDTFLSKPGPLSLEFPYLRDEY HMLEAYLPNRYWKKSEERWIYGTAVHHDRDLAYVIRCENSIGIKC CC84DRAFT_1101932 MTTAEFIHIDPTSFTGKPWAKVDGPGWSYKQNPHQRDVISLRGQ EHKFNTDNAGFAVYKSAAKEKLFEDDTTVRNEYYPEVEAMLRSKLPGIKKVVMFDHTI RRRRKDAPRQPVQQVHVDQTPAAAAARVRRHLPKDEAEELLKGRYQIINVWRPIENPA SDMPLAVVDYRSTEPKDFVPVDLLYPKTSINSEYDARGKEVLPNPESTTSTEGYEVFG ETLSVAPNDKHKFYYMKDMTPEEVMLLKCYDSRGDGEPGGNPNTALRVAHTAFVDPNT PADAPGRQSIEVRCLVFYE CC84DRAFT_1222030 MALGGYKHVARASLFGGKRAQQRGQKRQPEEQILGCSPTSSNAA PKQKLSHKLEQTGSPLGATDSQKKGKRKLSTPEKERFKSSESWNSEQQRLRNEKKKQL KKLKKQQRRRERKKRSPSDPRAKPMETVEETAKMQKEGAVLENSEAASESESHSFPIR VVEVDEGMKYDLAVKFGWIDPKEDNSGSMQDNFVDSAYGNDHHPQLPRKNTVTLVPDT LHEIIPPRHQSPPIDYVPRTPTNSPSPQEPMTPNRPIRTPDVLVVTPSRRRPSPQLEF IVSPKSSAGHQAAANMLVALKSRTARLNTQIAGFNTHLLGLLNRLTLPAKETRSDSTA KAKVLRKIITAMEEHSNQVFMMEFALRDLVDEGYEDLSESLKKTEGEFVELVKVYERK VYELMGKLSPGALEKTMRDPGDTQSVRALDPEQ CC84DRAFT_1155429 MPSWKEATAVRKLSSNTYECTLQGDWCIGTVPNGGYVTGCVLEV VSAHFATTLAKQNQPHTIALHIEFLRRTEVGPATFHVEDVKLGRQASVVHVRMSQEGR EEVIAYATNSNIDTEQGVSFDTEYKLQPPAASVVLSDLAKDGDKNWYLEENMPFPKFR KASRQVKFHFPRNGQVKPNIADEWLCFRDGSNFTNSSIGFVADMFPQIVEAYRDQSQG PFWYPTLLLNLDVKKSLPTEGVKWLAIRVQTKKVKNGRMDLEVHVHDEQGDLIALSHH VTFVLDAARNTAARRKPDAKI CC84DRAFT_1222032 MSGVEDVEASGRKHKPPYTPHHPVPTVQRYRQEKQDREQQYGHP DADGQDDRSKTNRLTDAYTALRHGKEAVNPNENTQPYKSINKNLEDTDENDIADDHAG RVKPKSNDDKFRRKSDGDDDHDDTPKDTTEGNLVSQDPKKARKDMKKWSADGTEREVT DPVTHLPVTIHDYTEKDLKMTPKNGPPAGTEARSATGALAMNKSDEQLQDEQKESQDA HSGVDPLFPPPDFTMTREGITDAYRKAITAGLGLVAASIVTVVALFQFTRNSTGWSRA FFLLLEIAACVGASGAVIMGTRQWTENKINNVWETEVWQAEREKGQKLIKTNIPESAQ WLNSFLASVWPLINPDLFTSISDTLEDVMQASLPRMVRMVSVDDIGQGSESLRILGVR WLPTGAAARSVGEDGKLKKGGEKDKTTSDRSIPGQGEVDDKAEGKDEDGDGQDDGIAE GMEAEEGDFVNVEIAFAYRPSTGRKRMKDRAKKAHLYMAFYLPSNIKLPVWVEVEGFV GVMRMRLQLTPDPPFFSLCTFTFLGQPKVDISCVPLLKQAPNLMDFPLISRFVQSSVD AAMAEYVAPKSLTLDLKDMMMGDDFKKDTNARGVIVVTIKRAYDFKEGDGGIGPIKGS ADPYVSVGWAKFGKPMWSTRVQTKNMEPYWHETCFLLVTSDELNVDERLRLQLWDADR MSADDDLGRIEVDVKHLMKDKATNGKMHDREDGFQSLKKGEGMPGKLCWSLGYYSKTR ITDDQLTAQEEDSDIENIDQLKEKVYKESERKLREASKDEGEEIEQQKAQDLKAREDD LIIASPPPSNYPSGILSVVVHQITGLGFESLNRKKQNDDASEEEEEGDDLPSAYCTII LNHQKIFRTRTKPKNSKPFFNAGCERFIRDWRNSEVHVSIRDARVHEDDALLGVVYLP LSRILKHRSQLVSNFPIAGGVGYGRARISIVFRSVQLQAPPSMLGWEFGTLDIKPVVK AIKITDDLKNLRMKIRTSLSRGKLHSGTQDSEANQHDGHTVWKTRKDNSIRLPVRKRY ASPLVVEFRKDSALSDRTPAFAILWLKDIPDNEEQTVRLIVWKGDLNRAENNVLESYG DKVGEIELTMTFWSGLSGYHQPLAKKDKHLGQVMEVLDACNDNDDMDWNNGSDSDNNS DSSDSDTDKSDFIPSILHPNSNSSLESDGKRGLMDQVRDYKQNAKQLHRRNRGAMQWK GPRTLVYLKHLAQRGENKVENMFKMEGKGGKGIETEA CC84DRAFT_1044346 MAASLNVIALISGGKDSFFSILHCLANGHRLVALANLHPPEDGD EDINSFMYQTIGSSLIPLYGKALDVPLYRQEIRGSAVIHDRDYREEKDDETEDLVPLL RKVMEQHPEANAVSTGAILSTYQRTRVESVALRLGLTPLSFLWQYPFLPPYSQSSLLS DMHAVGQHSKIIKVASGGLDESFLGENVADPKTVSRMKKALGRFSENGDGALVGEGGE FETLVVDGPSLLWKRKLEIPGEGEIVRQEGGTATWKATAKLDLRKPPTFDDEFVRILK SPLETPTITRLSEKRPRPITLPTSRHFQHAETLIFSNLIGLSHPPSTYSDPSHPSIKL QLTNIFLRLIKLLESYQTMPDHITHCTLLLRDMKDFTSINALYAHFFRFTNPPSRVTI AVGDTIPAGLDVMLTAIAHPAGQRTGLHVQSQSYWAPANIGPYSQAISVPLRRGDGEG RELHIAGQIPLDPVSMTLYTKDGFNGEAVLALQHLWRIGRTQGVK CC84DRAFT_1102467 MGQAPFFYYNPDPTSEKARQHGHFTPHPSGQQQQMFHAQMLLQR PSSSSSSPSTPYQTSFGHSLMTPVASPQPMYQKPTILVQPQDSPFLHPIDTDFTFSPA TPPLSSCGSSVSSPPSSCDVLPTPVQPFFPGEGIEGVKQGCEEEVFTEILAPGADWSG SASPPMTPVYIQPQAPALGQGSYLLSATSCPSLTPSPSPRPQFAEAENNFCNPQDLLN IAASSGPCLPTLFSGDEEHRVILKGETAKPFEPVRSFNPNGLPAWEPLLDFELDSEDD FSTSFVSYPSTENSHYLGSKRLRTEVPDLITPEEDSFTDESYLDSEDDFVIHGLPLTP CSFDSEMPSESAPKKRSSKKAAKDTSDSESTEQQQTSGDNSQSGASSQRDSGANDNAM TSSSDDNASPPPQPTSRRGRKQSLTEDPSKTFVCQLCNRRFRRQEHLKRHYRSLHTHE KPFECTDCGKKFSRSDNLSQHQRTHGAGAMVMGVLEPNEISAQQDVNYAHQDPAMLGQ ILYSAAANISSSSSSDGFSTDLEASPISKMRKRRRDE CC84DRAFT_308055 MPGPEPADRLLEAEGTSDLPGGLLPESCSSWGVVEAKGCVASEA CARRKECDIGGPKLQTYVSILTDSSLPEGIVKHKLVWDTDGVGLSGCSCVGTCIRGAV DHRRGRFSSLWGKKRMGDDAIGRARCPPRRFACRRACSERGFARIKQRHN CC84DRAFT_1129318 MSGSTQISSPEQLRTLLSSSRIVVVNFYNEWNPACKTISPVYDQ LAASLARPGVVSFAKVNAEQQTQIAQSYGATNPPLFVIFKNGNQVSKFTGTNPQQLSD NIRKLAAEVESGEGGFGEASGSSGGAGGWRGAGLPRGYTDVTDQVDVRGLDLLNSDSE FGGVRTLFDTTQPSSAGKGKAAGESKRDWVESDVDEQLMLYLPFTSTLKVHTIQITSF PPKADDDDDDEDEVPLRPKTIHLYTNRQHNLGFEEAEDIAPTQTFELQPSDWDESTGT AKLELRFVKFQNVYSLVLFVVDGDGDGEKTRIDRIRIIGESGEKREMGKLEKVGADD CC84DRAFT_308863 MSHVVVFNASARSHKIPTTPVKYLTEVRDEACQKFGVNKEQFTL KYNNKPVSLSQQIRHVNLPQGARLELVQASRSPTVISVALSLPPSEKSARLMQKFASN TSLWELLRHFESGQGANYNFTQRGVPEMNGTGTGAGRLNYEMPVITVMPGHKEYGSFV DLQKTLSQLGFDSGSASLKLGFKNSGTPLEEAMTQISQYFKSTDPAPSGAHSESSAQA SSIPDPGKAAPEAIKTVAGETIRSDEPDASPMDVDQDPIDAEPSMPSVDGPAHVPSTT SSAPLSPPPEPTPATQQPSSSTLPRNIQIFSAPTSSTPQAARHAFNEADYQPSVDQLR GLQASYKDRSKNTRLLSDKELEQQEAERQEKIAAMASKGGIIRVRLPDGAFVQFSVSK TDTAAAVYDFVTSCLEHKNEPFHLSYRDAKGQFVQMDRTSKLLFQDMKFSSNELLTFR WDDGASAAVRGVKPVLSKAWQQKAAPLKIEEPVVNEPAQASSSLKGQTLGEGKPKKEY SAAEKENKLKSLLNKSIFKKK CC84DRAFT_1222037 MPKEVKQKSGLIVGPNAGHQVTPRTPATRISRRKGFLSKRTAFV REITREVAGLAPYEKRVIELLRNAKDKRARRLAKKRLGTFGRAKRKVEEMTNVIQESR RAGHH CC84DRAFT_1168631 MGFLRQYGALQRASRNALRRPAPAAGAGAAANRIRSITAASSGR VPLSRSFLSVARPANSTSFYPTTSTSPRHFAAESTLRRTLAPRQSTTTLPNQVRHCSH RRNMCRQFGADNEGSAMAVNQAREVLPTNVKPLHYDLTLEPDFEKATYEGSVTIDLDV VENSTEITLNTIDLKIHSAKVTSGDQVVSSSPELTHDEEGQTTKVSFKDTISSGSKAA LTLTFTGTLNDNMAGFYRSSFKAEDGSKTWLATTQMEPTDARRAFPCFDEPALKSTFT VTLVAEDKMTCLSNMDVASEKKVDSLVVKGEKRKAVTFNPTPLMSTYLLAFIVGELNY IETNDFRVPVRVYAPKDRDIEHGRFSLDLAAKTLAFYEKTFNSPFPLPKMDMVAIPDF SAGAMENWGLITYRVVDVLIDEKNSGASLKQRVAETVQHELAHQWFGNLVTMDFWDGL WLNEGFATWMSWYSCNVFYPDWKVWEGYVTDNLASALSLDSLRSSHPIEVPVKRADEI NQIFDAISYSKGSCVIRMISKYLGEDVFMEGIRRYLKKHAYGNTQTGDLWAALSDASG KDVEKVMDIWTKHVGFPVVTVTENSDSIHLKQNRFLRTADVKPEEDETLYPIFLGLRT KEGVDQELALTTREADFKVNDLDFFKLNADHTGIYRTSYSPERLRKLGLAAKEGLLTV EDRAGMIADAGALATSGYQKTSGILSLLDSFKSETEFVVWQEISSRISVLRSAWQFED QAVKDALKTFQLKLTQDKAHELGWTFTEKDGHLEQQFKAMMFGTAGIAKDDTIVKASF DMFEKFKNGDRSAIHPNLRGSVYAIVLSNGGAAEYDVVKYEAINAKTSDERNTAMRAL GRAKTPELIQRTLDLSLSDDVKAQDIYLPLSSLRTHPEGIKALWQWTKDNWKELEKRL PPSLSMLSSVVSIATSSFTRKEHIKEIETFFADKSTKGFDKALAQSIDAISAKAAWIE RDSDDVKAWLKENKYL CC84DRAFT_1168632 MAQDSEPPESHLEAPTASDVEALELFRRSPHPYHRRQHELRTSQ PSSQSSSNDLSRTTGPTSLGTPSEEGEKKRRRVSRSPSESGTEADDEGYGFVKALPAP PLRPRKGLRDARGSGLEGDATPLLTPSQVDEEGRKLSMQYFQPSKEGSRTGEPSPTDD EAKAARQKYLKRRRNEIVRRTTETALLGVIGMLAVGGCKCWEKLLRWHRVDLSTHVLI MGSILAIYPLRLLFYSWQKQPPSNLRFRQRIRIPAAFDPAPILYPAVLPVLISISLFS ALPRALLPNILLGLAALPPQLIPCGVSPGGYSSVHWFISILPLIASENSDFPSKNLAP LPHKIKLPPSEQGLHPELLVCLFPLHQALLPPLHYLTTTSLLPAELHLLAIGLINLLL FTGSPQAGILRTLIWVGGLTLFVLCGKVLKWGVALARIPRWRFRRVGRVIQAQQSFLQ VLNKSLRTRSATRAASDSDADEDEPLLQNSLTKTKSLRLDILNALRNNNRTPNGNEAR SAVEPNKTEYLHRDRQQNSPPSASTSRRRNTVPSLPVQLPILPNGLRQRRRTKSIAQH FLTLTPTQALYRKWIYAAYFYFVVAALILGPVRYIIGKYALYDHEPFGWALGYLFGNI QRLRFEVFNWDLGWWIALPPLFDANDDQWSYRLPLAETFRQTILGPANVRLLLCAYCV SIILVGLIVVFRLSSVVEVDTRRKVFHGMMVAMLFPTIFIDPCFVALALALVLAIFLL LDLIRASQLPPLSGPIATFLTPYVDGRDLRGPVVVSHIFLLIGCAIPLWLSLAGVDRK GEEPWVGWDVEKRDVSMIAGVVCVGMGDAAASLIGRRYGRRKWPWAGGKSLEGSLAFA VAVTIGLVAGKIWLVVGWGGAASDRSLLERALEGTKMVGKASICAAGASLEEAVLTGG NDNVVVPVVLWVLVRGANL CC84DRAFT_310955 MITSLYANAPGLEIFPREEEQSTTLLMLPASNQIIWVSGSVLTA LTAGAVSTIYHQGRNHRLNNRQSIIYFLNPELDEPLHSWVKPRGSDLEDIREHVRNAP LLTFSLLPVESL CC84DRAFT_1263493 MGGSIDASFLDRMWLPRPDITQEDAKAILLKHYDLSGDVEELVS QQDRNYLIVHGAESYVLKICLAEYALTELEAQISAFQHLRTKAGVPRVPSILPTTEGG SIILVEIRGRKYRARLLEHLEGEPVIEEHSLSQELIRALGALAASLTLGLRDFAHAGL NRSLQWDLRNAGAVADHLLSSVQDDERRSRMSKGMNTALTRLKPLEKELRIQTVHHDL TGDNILCRKDQSGASIPDAVIDFGDITRGWLVSDLVVLCAWLLQYNEQDILSVLPAIT AYHELCPLNDAELRAFWPLVIVRSVVLLASSEQQLSVDPANSYACRDLETERSVFERV TSVDADLIYTNIIEALVGAAD CC84DRAFT_1180544 MNAEFHATRPVSLFTNKMSSTTNHTSSETAPPPYSPSDTASLAS TSSKSALLKQLLHKKPSEGSTPKQAKNKSKKEQRPQQEHQHYDRETFWAAAAVWASMR CC84DRAFT_1102049 MSVGRDIISKCGQFAFLERLVRTYFRINQGSAMIGPLITNALPH MRRDIEYINSNSDNPYPLLAEITKNSTQPLKVPPTMLPSEFHTLFTGPNLRWEAIGLM MALAASCAQFSPPDDPLFTLENGDRVNKDDFILDMIHATNDCITLCQVHGAVNDIMVW LMYNNMLVQSNWYGDNYHGVWRRTGDVISALHAEGIHCENAVVNPCDEPLFLRESRRR IYAAVYRTDKSLADFFGRPPMMHQRYSDRPLPLDLDDEVVTSDDHAIVNEVISKLDVD GWALDGQIRPASWIRLRAALGRVKERFLEASLTGKKDVFMIEEIEAIREQHRTNWETS PAHLRYDLYDNEAVWRNLKPRAALRMIGAYLDHLHAGFQIQRLLRRHTQNALPALLEI AMNLLSTALIFNKNRNHEYNVQRHFATLVLFYCLPSAGVLALELRRCTLENLPLPNTV SRADIIRNLSVLISCVEWAIVPDDGNHRLCSELNKMIALVLDEVLNYQPPSNEGQTDG ASAVLAGVGAGFFDLPMIDGMEPIPTESEDFLNWLDNANWNNTVGPGRF CC84DRAFT_311590 MGESPKGVSLGVLGALAPCRRASSSTQCECALHHQLPVASHIAL VDCCWYCWRWRCSRHCNACPLTTRTIGLQVFCAARQSLSMIIVWTQPPSCPATYKLLK PNRTAPNGDSKQSAACCMFPKVLDDAVGCRRGHPRAMARIRYALAIRRSSISGSGRFC HGSWKIARTSSLRPNDWLERLNDMRDLAAPAHCEEVGQCSRAARRRLRTVLRCWVDAR ILFRAKAPLAVAQCIVLSPPWA CC84DRAFT_1168635 MSNSLLTMDNGYYCYDQQLPMAPEVPVAPELYSNYEQYAAQRSK TPTSLVNHTGLSPGGPLSTPPMSRNPSRGPDPPPGQYPEPMLYGDSPSDSPTSVKTPD NDSVEEFTLDTHLEAYQANGNMMTTQVSPGPLTAHDQNMFFTPQGTFTGQALTEPLNT TLAAHQPQHLHPQPFNAQARLQPSILVPQHYNNYQGQPFANQAAPWTSEQPMRSPDEP GSSVVLFDPITDFIDYSRFTSPDVGLWAMNETDPTYLASPNEPLVSPQDPYSMATQSL AQQQPSQPVTQSQVHANTYYSNSSPVDMWSFRASPSPSSRRYAPYEAKPVLQMGYMGS RRSSHQHPSPIATSIELPSRINLQSPSSAYASVSSPGGSEGMFSSYQQSDLDAERRES QSHQSFGQTIPPPSIVDDFSPDRTLSEAVTGLSPDPEGPKARRQVGPIRNTGRPGGRA LGTHLEPKVAKAAHDMRKIVACWHCVLQRDKCGPGDICERCVKRAQRPNADCGLGCSR IKLIELSEYFLPMLVMQIHEDSHLTHFVTQFIHQWGNQEINVYMTCEQRTMPRMQVKV YEFQPRGEELLVQLQYQTNPQTQERYTIRKRSPALGMVHINHNEEKKYDKYLNDIVDN HLDAFGDICWAEDDNDFSPRLFKLMTRVKPKSEDEAKLLREVFRLIVCTYIMSHTITM AEETKNQTLSKMHSYTDPSAYVQNYTSPRMTARQLKYFFARLQRSTLAAVLNKLQQIF KSSRGCDKWLAAFVAVVGMAMAAEDQQKTTHQVMDTRAVTERLDPRDAQAQADIANRD VDQRMNFVSQIFRWKYNRKCNPLRDCEQDWEKEAGFGDETSVTFVRSVAQLVKENIDY LQQRQGISVSHANQGKYTARLVAPFLLSFWLPQ CC84DRAFT_1222044 MGDPEPRERVWCGRYRSHKKVSRTRPRYKYTPDNTRRDIPQHLQ LGAWHADADELTVRRGRGLRKGEDVIDAPDGHDSAPGKPTCSALKASRPVAKKEAMVF TKNYYAVLNLAAPGLGEQLTQEGLRKAYKTSLLAAHPDKAAAGAPAAGEGKHTVDDVK EALAVLSDPGRRGAFDKWLAAQRQRGDAGAGWEGNGQEDFVLGLDLLDLSDFEAGMPP FVRMRTPDGDEDGELDGALDFDDLDPPSPSSFPAAAASNGDHAAVSDLPASPARPSEM HEEERGGEEGEMEWTRACRCGAEKGFRIRERELEDAEGRGEKEVLVGCEGCSLWVRVG FDVEEG CC84DRAFT_1222045 MANERESKTFLARLCEQAERYDEMVTYMKEVAKIGGELTVDERN LLSVAYKNVVGTRRASWRIISSIEQKEESKGSDKHVSTIREYRKKIEEELEKVCQDVL NVLDDSLIPKAESGESKVFYHKMKGDYHRYLAEFASGEKRKIAATAAHEAYKTATDVA QTELTPTHPIRLGLALNFSVFYYEILNSPDRACHLAKQAFDDAIAELDSLSEESYRDS TLIMQLLRDNLTLWTSSDGAEGEAAGEAAPKEEAKPAEEAAKPAEAAPATEEQPPAPA A CC84DRAFT_310961 MAASRSICRLASPRIALAPRLLRPVVFDSAVAPSRKSTSTPPLE RASRAHSSSRQCLYTNTWRVGPAQQPQSITSILSSRRQFSATRAQQKDHHFDTLKFVQ RLKDEGFTEEQAVAMMRVLSDVIEESIQNLTRTMVLREEQEKATYNQRVDFAKLRSEL QTADSTESSLTRVSHERLTNELAKLNSRLRDEVQRTQASVRLDLNLEKGRIREEANVQ ELKLKETETRIEQETAQLRERLETVKFQTLQWLVGVCTGAAALMLGVWRILM CC84DRAFT_1102330 MSKQGRPDEELFLRGDGDAPETPIVGPLRIQKRESNTKSPPPRT DSAGSARSNQPVFSRPPPMPAFPAPPTNPPTAPLPYPDNDGPPTLSSGRYTPQSDAES RSKTHDVRFRDEQRRGSGSSTGPSPSSPAPRARFDAHDPNRPSVAGYGGPGRAPDGSV QPSRLAERRGTVPKPLPDSPGPDTPDKEGLFQRAPQRQGSTEPNPFPDYHQQYWPPPQ APGGPKSPQPALNIPNPGGINRLSSTASTSTTKAQRGSPPPPETPIVPLPSGGIEARF AAAGIAGTSTLTSLQAQTAQNAAAAQRNQVYANQPPRQNITSPAPNQQPPRRPWTPTE QPGSQPHGPPAVYQGMNQVGPSSSPPQAPLPQVPAHTGSPPPANGQPGHNLAQDMGRM NMNEEPPPAYSSIQHPPTASSTGFPNEKQRPQGAATQAPQQPGVMSDPNLQQHPAFAN DMRSPQPQTAPSSQPLGVVAPTPTASQFPQQPQQQVQHASTPSPAPTAPGPGPSSPPP LPEGWIAHPDPSSGQYYYIHLPTQSTQWEFPKGPTPLNLNEPMSPAGSFANPLASPAF GKTPLASPGFPTQTAGYPDGMLGMASMATPTTSGFTGPPPVAGVDTYKINPTNSVYFG PYLRYTNMDLERGLWLGSILLVTNTPHPPAIHIHQSVDLSPNPRQLKANPIYTHQTWI FYRYDIDLRMEDDHAAKWTYAITSHLGCTRFEFLVAGRNETSWRFIAHSGNDFALNVN ANERSKLGGVGYMWKDILQKNTECGGFHVQLGLGGQIYADRLWKEIPLLKQWTAMSGK ENRKNAPWTAKHDEEVCHAYFHYYTSHFDQPHLREAFAQIPHILALDDHDIFDGFGSY PEYMQFSNMFKNIGRIGIEMYLLFQHHTTLEILRNVNNDMDLFTITGTGWHFIKYLGP CVTVVGPDCRSERNPHQVMAGPTYQGIFPKVATLPSSVQHCIWMVPVPVVYPRLESVE HLANSMATGKKAVTGTFNMLGKVTAGVAGVVGAKSVVGDGFNSVKKAIGKSGLMSGVL SPFGEIDMLDELRDQWTHDSKDLERTYLIRTLQGIAHNKSLRMTFFSGGVDVCGAGLV HDPSKPQDHKTMYQIISSSVVNAPPSNYVLRLLHNNRALYIPQNGHRSSHAPSDTKED MMEIFTQDVNGTAREYKRLMGRRNYVACVVYDPEIVNGTFGQVPGQHGSGKLSLAVDF MVQNEGPYSAPMKYGPVIVPSLEYGR CC84DRAFT_1263501 MHFSTILTNLALGASALAVAVTPRQQTSCFEPRNGVCLSRQADF NNATLAITNACNKIPSCSPGQADTARVSGVVRGFPYSAALKVGTQCAGVVPWSTQGCV DAFIAVIDQGCEQQYPSTDGLFQLGYFNAACDSSFVSFNLGG CC84DRAFT_1155464 MGRYTAVHANPQGVGDARPTALQIIQDEGLEGKLVGKVIVLTGA TSGIGLETARALSTTGATLFLTARDLAKASESLAGILEPGRVSLVPMDNASFSSVRAA AQQILAHSHNKINILIANAGIMGVPSLTLTEDGHESHFAVNHLSHFLLFSLLKDALLA ASTPAFNSRAVIVASSAHRAGPFPADHDYSFSNTPYKHGKAYANSKLANIYMANYLDR HYGGRGLHATSVHPGAILTNITRYSDPSVIDAILKMPHLVPIFKSAEQGGATTVVAAV GKEWEGRGGKYLEDCEEAGRGEDDGNVFMLGYTTWTYDEGEEERLWKDSAHFVGVEAH V CC84DRAFT_312207 MSTPDGEPGRRARQVCANCKARKRGCDKAIPQCSHCVRKRLECK YAETDQIRSPAPQVDQSSYEPLADAHDAPAECIDYATVLFMDPDLLQHGHPDTPLATV KVPSQVLDHLGDVDELCLASGKYFVRTHPWMPIISKKRFYDLYIHQSPSAHPDLALLF LAQKLITTPPPASPYSARTPLYQVTKRWHSHLEVSSVLSLPMLQAQVLLALYAYALGL NVKAVSYRRATTMVEVEERRRVWWAIVILDRFVNIGCPGRPFAIPEPSRDELLPADDA KWDNGIVQSADLATLSTPLTSHMSKFALLCQAARLLGQVLIHIADGSESSDDDVGLQL DRTLHSMLSAALNVEVPDYDQITFIFSALVALNTPTFRLAGTSVPRTSFSPDRMSRAL AVCKLVTERFHIPNFTESRCPLTSRPPEEMSVWGCFFAYQVCAVYMRVKQKNNGEEEV VKFFKEAFKVIDRGWRAAGIYLRLLEAQEAMKCA CC84DRAFT_1209486 MPYTTDPDWAAASARSLQNLGIPPDTPTPRHPRGDVASRRAGAP FYEKLQTLYPEPDTPVKKTRYVTTAPDGQEIPIFGYELEADGEIRNQEGKERPAVLYI HAGGMILCTAEEWDRTVMLDVARTGVPHYSADYRLASEARHPVPVEDCYSALVWLHAQ AQHLRIDAARIAVAGLSAGGGLAAAVAIIARDRKLQPPLAKQILLEPMLDDRNIVPNS ELAPFVTWDWDDNWTGWNALLGDRTGSEDVEAAAAPARLQDFRGLPRAKQGLR CC84DRAFT_1101895 MGAWLTSVYSLGGTVAFMVCGANSDLFGRRAFILLGNILMIVGS IVGATSHSIGQSIAAHTLFGLGGGNCQIAAFALPELLPNKWRHIGVVIADAGIYFDVI VGPVVSRIAYKHNAWRWGYWAVTIVQGISCAILLCFYFPPKHPRGIPWGEALRNLDYV GMVSFTGAAVMILSGIVYVQLVPSNSPEVIGLLVAGFACLVFFAAWETFARPKEALAP TRLFTANKGRRLTAPFICGFVVTMFYYANNITWPTMLGVYFTSAITPPHIVYLFATVQ GFGIFTGAMLLAFFGKRIQHWRWQMGVPIMIMTFFGAMLAYVTPERESCGIAFAFLSS TGYGYAQYLSIAYIQFGADQTELGISGGLAGVARYSGGAVAVTLFLTILNTVQTSWAS IHVVAAAEAAGASPATASAVLAALPLGAAALEKVQGLTTAIAEAAGAAFVQSYVEGVK KVAFASLGFGGLAIIACFFLEDIGPKMNDQIEVFLENDVQAEKNVYH CC84DRAFT_1222053 MAPVATRDILPPREELPTEETTLYYYLELKDGGIIQTYPGTAFE KRRKHVPHDATIKDLRSIHDQFNVDNAGFEFVQHKSAVKDFSNEEEVEQVYYPECIDL IKKVSGADEVQVVSHMCRRDTHKASVDASQGLDDKTPVKVMNPARFVHVDQSYRGAEQ ILFLNLPEEEAERRLKKRWAIMNVWAPIMKPVKRDPLAFADFRSIDENDFRTVVANLP PPDAGEYGKVSRNMKHKPRAEYSSNGDVAPRYEVTNLAHNPNQQWYYASDMTPEEAWV FKIFDSKNDGRAKCAVHSSFPLKGQSDYGDPRTSIEVRCFVFWNDEKTE CC84DRAFT_1199427 MLHGCILKGTLNRGTESSFGLWQTLPGANVSRILARAGVDWVLV DCEHGNIDDAAMHDAVPAIAACGVSPIVRLPDMQGWMIKRALDAGAHGILIPLLRTAD EAKAIVSAAKFPPLGRRGLGSPFAMERFNPVPTMTEYLQQANESLLTMVQIETQEALD NIDEIAAVPGIDLLFVGPFDLGNNIGHPILNGVMKPELEQAVDKVLEATHKAGKKCGF FASSAEQAKKYADKGFDMVSAALDTTLLQASLATSLSIARGAAPPTSGGRY CC84DRAFT_312241 MGSLELPQPDYDVLIIGAGLSGCYACHRMQQLNLKVKVLEAGSS VGGTWYWNRYPGCRFDCESYSYNFFWCKEVLDEWTWSEHFAPQEETERYIQFICNKYD LWKSMQFDTRVKSAHWQSEGGFWRIADETKREYTARFLITGIGLLSDPTLPNIIGVED FKGEAFHTSRWPRQKVSFEGKNVGVIGVGATAIQIIPEVAKAAKSLTVFQRTPNWAIP LHNSPIDEEGMKEIRAAYPETLNKINTNRLSFLHGDGDESIWDYTPEEREAFWEYMYA QPGFGFWVSNYKETLKDREANALVSEFVAKKIRQRVHDAWTAEKLIPKTYGFGLRRVP METNFYEAFNRPNVRLVDLLETPITRVKEKSVQTSQENIELDMLIYATGFSAITGAFD AIDFRGTNNHRLLDEWKDGPRTYLGLTVQHFPNMFMSIGPHQAYGNIPASIEYAVGWI AECIEFLHNHNISYIEAKEEGVRNWTEHVHELGQGLLSNEVDSWLTGVNKNVAGKQKR IIARYSGAAPDFRRQCNVVADAKYVAFELR CC84DRAFT_1129359 MDSKTEVKVPVRLSTRDPSIQLEQEPGPLLVQTTLRRPQLSALV NSLLRPDDKPIPFDILINGQFLRTTIDEFLTKNGISAETTLDVEYTRALIPPLNVTSF EHDDWVSAVDVLSASSVAGVWSGGHVQSGQERILSASYDGLIRVWDMSGNVLATSDAP NNGGRITSLKSAKWISDKKIVVAGMDNTVRLYKYDEDTRTIAPSVELFSHRWGVEDLA VHGPSNRILSASADTTVSLFSSNAKENPAPPSELLPSSNTAANKRQKLSKPDKTVPAR GALTKLSGHASPVSSVIFKPDDATVAYSASHDHSLKTWDLLTSTCVDTRTTGHSLLSL GALPKLNLLAAGTSARHITLIDPRASAAQISVMTLRGHKNAVVSLDADPSSDYGLLSG SHDGTVQVWDVRSVRPSEVQGEGQLGESMYTIYRDSAKGRPTHSHGEDVKVFGVRWDK EVGIVSAGEDKRVQINRPVGS CC84DRAFT_1129360 MKLITLNFVTCALKSCKSSPASFPLHPRDATLEVIETDLNLAFL KNILPRLEWNELCVITAELGLPPLPSSPPTREDLVVKPEGEVAEGAEAEEEASQTAKD LHRVLLETTVKEGKLVCGNCGHEYKVMEGVPNFLLPGHLV CC84DRAFT_1129363 MTDPTDGAAAPPKRRAFGFKKAAWQTAPKKDEQDMFSHSNEFQD IVAEQAKRQEEKKKAEEAQKQRQKAEEERHRKRRKVSVESDEGVQARSSSRNHRVTSK GRSKTPMSPTRNNSTSETLAARYDSLTKSSSSSVGARTRSQVIDLGDTEDEDEYPRNP SPSPRAIPIHSRPQSERESSEEVEEVDSPHIAVLKAQIRAKASAKSPDADQAPTASSS AQAPMPVAIVQLLIESDIPDTKPLMVKIKTDASLAKPKEAWCTRQGFTPAQTRAIFMT WKGRRIFDYTKIQRLGIRLENGYVSVEGDPNIYDDENLPRIHVAAWTEEVYKARKEAD ALEAAAAAQAAKVVNEPEPEAEPEPEVKQIKLVLKAKGREDFKLKVNPHTEVSHMASA YKMAMKIPKEQPVTLMFDGDRLKPMDTVADIDVDDMDAIEVHFK CC84DRAFT_1168648 MATNGDSPDTAAADTSRDPSGFLSEIIGAPVTVKLNSGIVYKGD LQSVDGYMNIALERCKEWSDGKLVRSWGDAFVRGNNVTYISADNA CC84DRAFT_1168649 MAPRPIAIIAGVGPGTGASVARRFAKAYPVILLARGTDKFEKLA QEINDAGGKAVGISADVSSAESLTSAFKQIEKEFPGASAAAAVFNAAGGFVRKPFLEV TEDEFRGGWEVSQKGAFLFSQQALPLLLAHAKDPSATHPPTLIFTGATAGVKANARMA TFASSNFAKRAIAISLAKEFGPEGVHVAWANIDGPIDIPGRDDYRKHLPAEQKIDPDD IAEAYWGLHAQSRRAFTNEIDIRTSVEKW CC84DRAFT_1263512 MAYGKKKVAFERKKRTPRSDSPKIPPEPSLPTRSWNSASSSLTL ADIRSLAKPGDRLKSSASRPMPATKPVQISSSRGESFSAEELHDVAKSTDLDSMWHTR AAAQFTRQSLARIPESPSSTSSHSDDSLGGVGVGSVPASLMGEDSFFVPEKESFDQRT LRRSRQPPSADVGSLHSTSVPIPIDPLSSAPSYTAPFTHTSRRPGPPAAALPTVMESY SSINIESSFLNRQPSLAAQAARQARDAKVSSALGRHNSTTKPMPRTSALRREYRPGPQ LPPIQHEPPNPKEHGTMVYRGFPGVKPKEEPVLCLGKDEVKEWEKYRGYLDELKERHH VDVGWKGKGKWWGGA CC84DRAFT_1155489 MASTRALCRLATSRTAAVRPAVFARSFASVSDTKARDPISKAGE ALAPDASRAPTPESKTSTIKEAAPTKDAKMKTFHIYRWNPDEPTSKPKMQSYELDLNK TGPMMLDALIRIKNEIDPTLTFRRSCREGICGSCAMNIDGVNTLACLCRIPTEEKKES RIYPLPHMYIVKDLVPDMTLFYKQYRSVKPYLQRTTPSPDGREYRQTKEDRKKLDGLY ECILCACCSTSCPSYWWNQEEYLGPAVLLQSYRWIADSRDEKKAERQDALNNSMSLYR CHTILNCSRTCPKGLNPALAIAEIKKSMAFT CC84DRAFT_1168651 MDSAQAKRVSKACDACKLRKVKCNGQERCQQCSHLGLRCVYSVS NKTRSQGKRGRIITEYKNKTSTTAVTSPPILPAIAGQVALPQRGPAVPFSGELDGVNS SPIEPGFQYDQSFFLDLIHDYIEGVYPVQPVITEAELREHIASMDVDQELRTFVCAFG ACTLNLTRAGDNRTDEVLHNIETLTNYAISTLKPVYKTFRSSVIRAMSSMFIHNCLMT MSASDAAFHYLRDAITAVQLLRIDNADTMAQLSPPERSRRQRLYWQAYIHERFVAILD YRAAILPPLNALPEADPNIPLQVHDGFIQIIKLFRLLDPEFIQNWLGSQGNVTSTWIE EKTRELDGDEEGIAREMANLSTMQRADLAITREWLRTLIWRMAMGKSLLSSKSSKECL SLLFPVRLSQNLRQQVTSMSRHDIEVHGSSIVQKLFEITDTISDVLIHVPAATLEETS LRIDDFLFILDFVLQFPTLDATRRGILLEKLERLQSLFPEVVSNASSPNLPIELQSPT TDPWYQVAQSKIGPDAEVDPAGLQGLAPLPYMGQSQHHPNAHQHAATWNHISRRLSMA HFPAS CC84DRAFT_312407 MSRNNSITVDGEQQRRMSLNNADIAQLTQDATRATKAEQKMTLM EGIRLYPKAIAWSVLLSGAIIMEGYDINLIANLQAVPAFKRKFGVQLADGSYEVTAAW QAGLTNGAYVGEILGLMVNGIIAERYGFKKTMIGALSAVTALIFILFFAQNIEMLLVG LILIGIPWGVFQTLTTTYAAEVTPVPLRPILTTYVNLCWVFGQFIASGVLKGISERPD QWAYRIPYAIQWIYPIPLIIGIAFAPESPWWLVRKERYEDAKKMVLRLASPEKNPDFN ADETIAMYKHTNELEKAVSDGTSYWDCFKGTDLRRTEIAAMTWFTQAWCGSSFMGFST YFFENAGLDTSNAFSMSLGIYAIGAIGVFVSWWLMPRVGRRTLYVWGLVVMLAILLII GFLGIAPSGNSSVQWAIGAMLIIFTFVYDASVGPVCYCLVAEIPSSRLRQKTVVLARN WYNIGSIIGNIMTPRMLNPSAWNWGAKSAFFWAGTCFLCLVWTYFRLPEPKGRTYGEL DILFEQRVPARKFKETVVQEFEEDETSVAEKKLEGEKTTHFEEVNSKQSM CC84DRAFT_313844 MTVTQRKWWKDGVVYQIYPASFKDSNGDGVGDLNGILSELDYIR SIGVDTIWICPMYDSPQVDMVYDIRDYEKVYPPYGTNEDMERLIAETHARGMRIVLDL VVNHTSDQHAWFQESRSSKDSPKRDWYIWRPARYIDGVRHPPNNWLGNFGGSVWQWDE HTEEYYLHLFCPEQPDLNWENPVTRQAIYKSAMEFWLERGVDGFRVDTVNMYSKGDMS DAPVTDPESEWQFAGLQYCNGPRMAEFLGEMNEVLARYDAMTVGECPNTPDMKRVVQY VSAREKQLNMVFQFDVVDIGQGPYKFQTTPKNYKLPAFKDAIACTQSLLRLAPDAWTT VFLENHDQSRSITRFTSDLPQHRVAGGKLLALMMCALSGTLFIYQGQELGMTNFPETW DMSEYKDVDSSNYYKMVAQRSGGDATKLAEAKSSLQHLARDHARVPMSWSTGKYNGFS PADSSAEPWMRPLEDAAACNAEQQKGDKGSVLAFWKRMLQVRRAHCDLLVHGEFDDLD NANEAVFTFSKTWQGKRAVAVCNFTEKAQRLELPESVRNTKREMLISSLGGGDEAELA AWEGRVYLLS CC84DRAFT_1180565 MSTTAYIRRGEKQQRQSACQKYKDQAQEDLAGYEKLEKNITDNY NEEIEGGAEASEYEESSIQDHDAEGTAPISVYDSWMTLNPSQSIERLYQHPNPSIYHF AVMSNEGKLTGATIYIYSPPYAEIQAWVVPSSQSSNPNGRRIGTAPLQYGSL CC84DRAFT_1168656 MASTQHTASTEPLRHDDPMQPEVAVEPPTPQETPQTESTIEPSP HNAKDGISAADHQPRHEATQPATHPSLQIPGIAVAHADGTADERGRSTTQPTAGAATP NGNLSATASRAPSPNPSALYPHTQVVFEDPDFATPPPLNYSLRPRKKSIFWFWFLIFL DCVCMPIGLYFGMWYGLTRDQLSANAVFSISTALLGTVSIVEYFIRFRRLWRKNSNCR VIGAKRFYLDWFHWNLSVGWFFVMIELIAGTCPHDPPIRVLAIPASTMLFAIGVELLI VDILRIFGVRAPCRISSLPMGAPLRPGIYAYIEDICAVDGSGGTEFRQRLNLRYQASK AFREMLHHLTLFWAIGAIVCSAVTVAIVFTIQRDAAYIVGWVLPFLWAGIWTAITIPW VQRSLAHEYEQWTTAKWKA CC84DRAFT_1190617 MGSVSGLLFRVLCLVFVVRSAGALARTTGPAQTPLSTPANDTVS RELFFELEELARIVDISYCVGTAGLGITKPFSCASHCGDEDFKDFELVTAWNTGPLFS DSCGYIALSHPPSRPRILLAFRGTYSIANTIVDLSTIPQEYVPYPGDDDDGATSDFLA PPQPSPSSDGDEPPPADPPECTNCTVHTGFYTSWLHTRKAILPQLTEAINQHPDYEIV LVGHSLGGAVAALAALDLRARGWNPTITTFGEPRVGNAALMSYINARFNITSNRATNR YHRVTHASDPVPLLPLAEWGYTMHSEELFISAPSLPFTQADIRHCNGDEDGSCIAGTE AEGEGWGIPARFKFWELFFAHRDYFWRLGLCVPGGDPKDWYRKYPKHGDDEEGGVQDI GEL CC84DRAFT_1190618 MGSVAQETKFRKVQQFKADYAEAEFTQYESQRTGMNVVVVDRKG PKIYGYFALATEIHDDSGAPHTLEHLCFMGSRKYPFKGVLDKLSTRAYSDTNAWTDVD ETVYTLTTAGWEGFAQILPIYLDHLVVPTLTDEGCYTEVHHIDGTGNDAGVVYSEMQG RQNLQGDLMDLEMRRILYPEKYGFRYETGGRVENLRVLTAERIRQFHRDMYQPKNLRL VLIGEVDHADLLSILDKFEDDIADSVPSYTAPFKRPWSDSKLEPGLSKTTVETVEFPE EDESTGEIQIALIGPDSTDEKLETALNTVLMYLAGSSVSVLVNKLAEKEHLTSMVYFY VRSFAQSVIHFTLTSVATEKLEEVEKRFWEVLREHVAQPIDLAYLKDCLHRFKRQVRF TSEIGNDEFKDPIIKDHSYGPRDGSKLREAMESLSVFDELEDWSEEEWRSFIKKWIVE PHHVSLLGKPSVALADKIKSDEVERVKAQQEKLGEAGLKEKAEKLEKAKAENDKPIPL EMMESLKVPSTSSIHFFETTTARSGLAKKLGIIDNKIQKIIDKDENGLPLFVHYESIP TSFVHFGLVLGTAGVPTELKPLLGVYLNNFFSTPITRDGKRIEFEQVITDLEKDTIEY SLDRGNDIGNGEMLYVHFVSEADKFKDVVQWLADLLVNSIFDTERLIAAVKKMLADVP DEKRDGHSMMFSVDRMIHYKEASSTRATNTLVKALYLKRTLKQLKTDPQQVIDKLESL RKHLLTFSNLRVLAIANFETLPNPVSTFKILTDAIKPGPEPTVNPIDDRASLLSDIGK NPGGTHYAVPMPIDSSFAMLTSKGPDSYTSPDLPALLVALAYLDAVEGPMWQDIRGTG LAYGANFLRDIQAGLLKFRIYKSPNSYAAYEKARTVVKEYGDGTRKLDKLALEGAISS IVREFVDDKATIVDAARGSFIDLVVKRVGKEWSEWVLGQVREVKEDDVRKTFTDVIAN VFDPAKTDLVITCGGNMVDDLKKNFEKDGFTINVKQLVDFQEDYGLQGDADEEDDEEE SEGSEGSEEESEEE CC84DRAFT_315612 MGVRACRFQEEYLGQRRRRRVLCLRHGDSWRVPCLQTKWAVREP YQTPPPRHRREQSRAVRREKPIRRSSPSPIRLRSSFTCHRAPLPIISAQHTASVCAVR CYGFGTLGNVHL CC84DRAFT_1168659 MSAPVSDQELGEALLHSVQHGTFPQSESVSSAPVPAAALPRLRQ TLEKARDDTKEEIRQISREAASDVDGWMTQARKLQADIKRSQETAKEIVQQAESGKEK TANVQDAATKVSFLHSEIAYNESLVQVVEQLQDISTLLEAAQDAAVHNHILHALERLE DADGAFKRLGPFENTRVVGLLKTRSGQLRSALVENVTETWHSLLLVNTAERKITLKDE IEREDGESGMKIDTLVEALTRLGLFDNFVVRLSHDFDSVIVQPRLAIGPDQVASALTI YGNDIQAAGQVSDMSVRATLEDIQSTAEYLSTRMPPDVAVPLSEKLVPVIANRLIANW LLPAIPLSTKGIPEFQETLSLVLGLVEFFDELGWSGQQRLTAWVDKSAEKWLARQKEA AIAQVQRIFPRRVQEKKTVERVETQVLSKGDAMLGGHEEHDEDWGADWGDEEAPAEEA ANKAEKIQAEPVEEEDISAWGIDEDESQESQKEHEVPKAEAQGEEDAEDWGADWGDEE EQKQVLSQPTVQSSEPEPEPKANGQAAKSEKPPKDREMTLRETYTVTAIPDSIIDLIL QAVTDVGTLTSPDLVGTAIAPASGGLYDIPSLLLAMYRATAATHYSRDIAANMLIYND CTRLSDRLHAFIAERAEQDPSTDLPQHLKPSVRLKPRLEQDIKAIEGFGKRAYGREME SQRTIIRDHLDAAQGFASCTKMPFAAECDNAIAMTIDRISDVKNVWKPVLSHSALLQS LGSLVSTALAKFIADIEDMPDIAEDESKKLRGYCVSLSSLAALFITEDADGQQRDMTS VYTPNWFKFQYLSEILDSSLADIRYMWTDGELRLEMEVDEVLDLIRALFAESEYRRKA IADIRRVAGH CC84DRAFT_315686 MNDLRLFHLFSSDGWMVGQVGVKGRVYSMAARLGIEMYNCVYGV FWMVYVSVALAGAKVTAERKMMRIVFLLTRAHERVTLNRTDACSFWRGDQPEEKPITL STSHYGIYKHIIPHSQLRSHRRTHQRRKSHVAFIGTTTTVSTIELVETVVLTLRGIQP AGMGESIGLPGDDGAPLCPALLGLGLCLGVL CC84DRAFT_315685 MAEPEHTHMHNFAYHPGVASWVQSLDSGDEVTEHAPDDFYKSAV AHTHEVPQSGDMTATRQRQQTPNGAARSTSKPSIRSVSGPATSTTSPRSNPSIAFNRP SVKSMAQKFNHPTSAESSPQSTRARPVRPPAASTNSSPAQPAKEASYGSYKFNNLKPR ERPQPAPSSPASAREIRQAKIYGALVNGVESSSPRKAPSRKKVASPTREKEKSRQPFF GEVIGEHDAVTPGFGIPQFDGAALSNESVSNSPGPQPGTSTIKIVTDDLPALPENHAD GFPPHHQPSASDMASHRPGADRDAQQSPMSDNRTPRRRSPPSRIPVPASRRMSAASDS SSSTRSARFASYMRPTAATRAHGKETPTRPARAPVSKTSTPSKKSTTPAGQPTLSAAT YRGYRERGKSPQATSGSGPSVAAVIAAPPPPTSPRLRNSRERQPLQRTPGSRSRSADP HGTQDYFGESYAPQVQERPHLLEPGYEEKRLSVRRVEPSEDEEDPLDIPGVQLELDFS NQHLDSALDKQQSGLHLTTDGPSVPPAGRPLSSATSFEESPVLGMPGSFMTTPPIVQA VATPPPNKTSFGQEISQDASASEAPQLGGELLQARTFQPANKRMAEISRVEDTQDKSE LGVRESIPIALGSEVSSPGWDNDTPKRPKHQTRLSIGSQTWRVEPLDASGTISYLDEE VGDSPVDPFADRGTLRPDDSASMAFFHQIGQQHPNWGPKTPEPQKDSFTLDSEAYSVI NKILNVYHESDTITPQIAQQSWQQVQSVSPIVAQHRDWSSKEATETYLARLLSDAAVG RDGEADVDATPHAVQPVQPAASAPSIRQLAADPDEDEPFPGGTAIIFPSESRRYSRGS RASVASATTTIFEGPSRPDSSSGLSARDRTHSNDISHPVNPPPKDWSSPLSHEIQYQR LPEATYAGSGLGLSLQQSREQQQAPHGPQSAPPKPAYSPPPPPPNMGHVFGRICPPER RNGDDSDSLDDRPLLSRSPPPESPKRTRNTYSSTDGSGAMMTGVVPSTEKAPTSEPTS PNPERLQEKVSLDSPGLSAEQDRGKAVVQVQKRYRVIEELCKTEHSFCVDMMVAHQIF EGTSKEVLTDEERKLLFSNCKDLENFSHNLWKSLKDAIRPIVNQLPPEESSGAEYDEF VHCTPENDRQVKVGEVMLAFIPRMERVYTTYYLNYDDASKFIKANTQNPELLGWVMAC FQHCPNLTTAWDLDSLLIKPVQRMLRYPLLLSELIEKTVADHPDLAMLKQAKDAIIKI AERIDVAKKRQETLRAATSEGKKQKGKGSADRNVKSIVKALRWSKEKAKTLQEATLIF DDQEYNQVTQKFGGHFFQIQIVIADMDQYLSSMTESTVQLNQVMLGFITVAETGPSAN AEMESTWRRWAMAHLDLQNKALEEHKTAVRDRVMKPISSVWDQWVGPQKLMEQRKKLL IQYAKYKQAVDRKEKIDPKLEETAKSFLTINDSLKQELPMLYELTKKVVRLCSTIFIG LSKDWYKTCSKKILPLLESEPQHTTSISYDFKTYQERFKSDFRQMEATARSLAIINHD LINSLSNYMSPIPMADDTSSRKSSSRRTESIGSEVSMMDRHSRNRNSGGYNSSRSGMH SFEGPPRSSPAVTYGPGSQPPVSYKGPSTTTSAASSLFRERPASDRIHDQPHAPGSYT SESTVTAGRASNSSARNPAWTNMNHGFDGTWDETTSFAQPASIGASFLQPSLTTTSSS HSQQPSQPGSSRTSGVFNSALPMSSRNSAEELPATPSDPDEPEVLFLAASLFEFNIAH DRREGGIPYLVYVPGEIFDVIGMKGELWLARNQDDPHRTVGWIWEKHFARILPEDA CC84DRAFT_315704 MAFKDYTLREKEIHQAMCREIPESKRTNELVLRWKQRVPKRLRG QATELINKLRKLTKRCAYVELLRHYCPVEVTTTRYPRRTKLTLTGTRSLFQTVLAEKR TAAKRPCFAGRGSSGRTQGRLRLSLQASSRRRYLFHRYGVSHGARLRILPRRTRQSHP QCILGNRRKQAHDHVLDRPLRQPPQV CC84DRAFT_315695 MPTALFAAQQHHAFGAGPPFDPDVHYIHSHPDKSLYIITESDYV SALTTSQRTVCAKQPPTRYLGPSRRIALQQNNSTRSKDPRPLMAAVLQHANTMPLAAP GSPPDLTNSKSSKSSSFHSEGLSDMGPSDLSHFEDINLADDTGPSSFPVPHSPNRVLY EASRSRSIPHSAPHSFRDLTKGSKSRPAAMKIQTSHNLRAGSQLHAPAKQLRRGFSSP SAPSLANITNLQAPVRRSRSPSPNKPLPSSSSTSSQRSLSRKSSRNLEVSPSMASRRQ SWQHTARKTAKEREAECDVDDGDDDLPEDAVIWNVPISPRPAQERSPAPSSCGSPPQT SPSPATSRPVSQRGEPLSKTSSAFSERRYPSQSPAPDPNKENELPALSRQPTHTWEET YGALDADARKLTEALEEYQTEVEHQQEIKRQQPLSRSSSVGDSEAKKKKKAVPLPPVR KSDPLIDPFQPSTEKEKYLSRTRPSWLPPKDPKEEKKHLKEYQKMLARIEEAERLEAK RQEDEALAREKAERIKAEYWSSLLLPNWEQEMSSPELKGSHRKMWWNGIPAKLRGDVW KKAIGNELEVSEITYSVALEKAQLQVKELGASALNGRYAQIVENTKSVFPDLKMFAPE TQELPEQPLHQELVNICVAYSSYRSDVDTTVGIHHVAALFLLNMSAADSFVALSNMMN RSLPLSFLLQDMIAITAAYDTTLSALRKKSPSMANRLTELRVEPHDYLLPMFSGLFCD RVSIEHAARIMDVYTIEGDKIPPRIAVALMGALEGNCMGGEAGDVVEVLKTRKLRESP DEFMGKVYEAGKSS CC84DRAFT_1222075 MAPNLVPIEANGPLSAAKFDVVPSAMHDRLQTDFEHACSKYTKA AVEAPAASYGTQYEIKKALIYIIPKHEPEITTIQTGFAFAESANIGLIGLVIDNRAIN FAELCASQCDSSSAEQQRAHPGFLECHAVDSGALSWGIDAFGCLSLCILVQGEAHELR REAYFVQRVYARGEDGPRAGGLSKSSEQESAPRSGFSFSMKLPDAVVKGKIKAKEAED EDRLDRMMEAGPPQSKKPELPELSWEEYQAEFWRSHGGKPNYERTTKRY CC84DRAFT_1230736 YTSIIIAMLLSVDAPTFTFHFTDDVAFRAAWTTISQIPLVYLLS AKRGPLNYLTGLTYERINWMHKFVGRMVFVSATTHMAIMLSSISMSELTSSHDDAMQV VRYGAGAYVLLLWIAVSSVIGVRKRWYRFFYINHWISTIVFLGLAFNHVPSYARLPIY ASIGLVATDKMLVTFGYLLLNMTIRPIRTKFKKMWFPRLGSLEVHPFTPATCSDFSNG REIESQDAEEHGLLPMESTTQPNDMILMVKAHDGLTRRLADYRDQWRSLPCPNASQPS SSLIAFIDGPYGEGPVWEDYENIVMLSTSTGVSFMLSVLNYLEHFSFANKGRLRTRQI HFVWVNRHIEPVFESTITELFCRNSTALRDSGISVKADFYITCSASTEPDACDIDPFA HLRRSRGRSLIGKPPLRIRNPNNPDDWNSDAESTHTFQNSPRTSSDRSSRSSEDTYVE ERTEEQRPCLSELQSIDNKLETSYWARFKLSNLVRKAQRQPERCQCALQQHQERKSRV RQLPPFLSRHYGTRPDTDTILNAAVPRTMLAKTMVTTCGTRLGSDIRKVVSQINIDYA LGKRESGVQFWDESMS CC84DRAFT_1102432 MYRLLTIASSLLAVAQSQLVGTQQSETHPSMTWQQCTAKGSCTS KSGKVVVDANWRWLHTKSGYDNCYTGNEWNSTLCKDNKSCATNCALDGADYKGTYGIT ASGNSLQLKFVTKGSYSTNIGSRTYMMKDDSTYEMFKLGANTEFTFDVDVSNLPCGLN GALYFVSMDADGGMKKYSTNKAGAKYGTGYCDAQCPRDLKFINGEGNVEGWKPSSNDQ NAGVGGHGSCCAEMDIWEANSVSTAVTPHSCSTIEQSRCDGDKCGGTYSADRYAGVCD PDGCDFNSYRMGVKDFYGKGKTVDTSKKFTVVTQFVGSGADLEIKRFYVQGGKVIPNS QSAISGVEGNSITTKFCDQQKQVFGDTYTFKDKGGMANMASALSKGMVLVMSLWDDHY SNMLWLDSTYPTDKDASTPGIGRGECETGSGVPADVESKNADSSVIYSNIKTGPLNST FG CC84DRAFT_1190625 MSTRSAESRDNSPDASAEKRASKKRKVLSCYACRNRKMKCDRVY PVCGRCQKTGRADQCTYDPRLLEDIPVNGDGYAEPPSFAQHDSAPSGAGSSDLVSWKL RVQERRLEQLENKLAAQSGTGSQAYDSTPSHFHDFKANEPLLHEEMMFRGKAFKTQFY GSTCPLSALTQFSELQAFTRESVISSGNMGHVRDDFKAFRVRRKAMMKEKNARRRGYD EEIFLQLPDKTTLDPQVALYFQTCETSYRILHEPSFWREYQAFWERQSNDESFTPFAA VLLYILAVTKCLQPNDGNIFVGDSAVDRDTALDFIETCDTWLQRQSRKQTTLTFFQLH CLSLLAKRLNCLKLKQDWTTSGDIIRVAIAAGLHRNPSLLSRGRISEFEKEMRRRVWL TIVELELQGSIDCGLPSSACGLYFDVQPPFNLPDETFAADTTQIPAGRPIEHFTSTSY LIVSLQSMPLRLHLLQLLNNPTTELQYSDVLHYDSQLNAMLNTLPNWTDPRADIPSTL LKLQLVQFLLILHRPYAVYATTNKRFSFSFTACIEAASTILMLHEKLLAKNILSLNHL RNDALRAGIALAQTTYYNCFHASPAEGRDAPNHKDYVHPIDIGVASRQERPIGGPELK IPQLPTDSLLASTLCTTAVNLLEKVRLLFENKVMRLGTGYMEYFLLCSAQGIMPKTSQ DRRTSIASITNATADDLRSRGRKSLERVTSLCFRVLALQKEPADSLVSSLRQNVTVPS PLTPASSLGPSTGPPTAPHMYGSNLGGGGLGGDMPTILPGTVAIATALNDGKGIGDGA FDDLQDMQVDLSGWTFPDFWNFDMGGAL CC84DRAFT_315815 MWQVSRLPFVFITIPSLTFMLMLGEGATGLIGWRSKSLPRRLAQ QCPILLNARKYRHSVRCRDRQFTSSRCIIMVFYTIMLLFWSAHRDWRRDRGAAHDQRI RQALHGQCLKELKYFIDMSQDVMNKHIMDFDTFKLLGREDLGLGQARGELNRSKVSIK LTELRRQASELQVYTVTLQAFTATPSRCIPHGAVMKPAETSAPSHP CC84DRAFT_1180578 MALSTGINNTYEEVPDLAKEFVNKAPDETGQRPKFKVSRDTLVR THQRHLKLLSEGSVPVRSLVLPKPYPPARGLVEATSTKAVHLGDLRIDSRDGDAVLLL RTITAPYVWSSTVTVAEDETGDVARLTVCNLEDNVVDPIVTEGTILAIRQPCWTRLVD GGYHIRVDHPSDLVLLQPNDDLVPEAWRDDDGQNFKGDAAQCKKEGDMMFLKKRFRQA LELYTKGLQHVSTTPDSAAEIDLYRKRCGVSIVLLRLDDAAKDLAEAIATHARSTPDL SSSELADASIVKAWLQNRSTEDPLHISTRIPRPLKELAARIKFDIGINQSTPDYNLSV ISAYVGPLTLHVDAANYISDTEVRETGSRGRGLFAKKDFKEGELICAEKAFVLPGYFM QDRNSDCLLYSLGDGTAAPRPGAWLFKELVQKLRWNPSLRKEFFDMGDGGYWEEHGWE LAEEEDIPVDVFRVEYIRRLNCFSAPTRSSDLLNQPPNSNPELRNGFWTHASYANHSC LPNSIRTFIGDLRFLRAARDIAAGEEITNQYVAPDIDIDERQEKLRTTWGFECDCQLC IIDGSVSEGTRKERLRQFEELKSTVMKLGEKGAPTITSLKKIARALREMEILYSPSRI EGQTTEDPYATLPRLALVHPTLFLTEAWRSVKNIDRTIEYALKLLRNFGLVVTTEGGK LEVVHNAGFVNVETVRALNYLAEGYTSRGEDELAAQCREKAKQWYIIITGSEVGAEQF FGSEQFFS CC84DRAFT_1155529 MIPTAITLVLAALGATASPVEPRAADGLNTRAVAAGKQYFGSAT DNGELTDSAYVAGLSNTADFGQITPGNSMKWDTIEPSRGTFNYANGDVIANLAAKNGQ YLRCHTLVWYNQLPSWVTNGGFDNATLISILKNHITNEVTHYKGKCLHWDVVNEALNE DGTYRTNVFYNTIGPAYIPIAFAAAAAADPSAKLYYNDYNIEAAGAKSTGAQNIVKLV KAYGAKIDGVGMQAHLIVGSTGSESVSAWTTNMNAFTALGVDVAITELDIRTNTPATA AAVTQQAKDYATVIQGCKAVARCVGMTIWDYTDKYSWIPSVFSGQGAALPWDENLNKK AAVYDAMMSAWGTGTGTTPTATPTASVPASSTTTTAAPATSSAASGGTVPKWGQCGGI GWTGPTQCVAGTTCTKGNDYYSQCL CC84DRAFT_1209512 MDERAAKIVSAVQHGLDPNIASALENGLDQRPRPSAVGDRDFPT RTLVVTSPPLPTVGVNLEGTGGAKAWDPVWDYRGKDVDNTDTGDFAGFVAFIGPVEAP PTKMLRFGLADGLESSGVLEFLDINFDNPDAATDKALTDLQQSARTILCNAVADQRRN LPAGTSLEPNQIYLKPVLDAYIKLLSACKEVECIEMPLAWASLFRAEDIFPKVSMDNK ENMELLANHMVRWGKDPGENSSTCGDHVFRWRLDDVVVEEVGEYCRPRARWDEDGEGF SGGDISFSNVRQWQTSKQDNHTFYEIERSRGTQRMYCVGGVGLQYYAEKLKEGEEELP NVGYGRDYAINEVHGDGVQEPAIEQETAPGTVTLAEDIQVTATESGTETHTDNEDAVA AKNESSNIYFFEQVKEDLSNDTRMRNFLGLYQGFFTAQIRFEDFLLQAAAFRGEQSDL FADLKDYIRTKEEREVLDDAFQNFLNERKIGLWGRRSGLRFGFSSRVFLDDISPAPSV YVPRPISQKFILPVALPSSAATTNLLQHHFQSSHTTTMKFPKRKKRGPISAHTAATTP IGRSPALSAVRHIVEQITPPEDPTQPKLRRKRGNRNLHADLIFDAELTEIDPATGNWV AIPFGQHRTLSAPAIILTPPSPEKKADLLDEPGGVLAKAAGLVDTLQVPVPRMASNAN TTNPINDVPQAAHVPSLTASSAPPGDDRDATQPRSTVSLQTSANILPPIPRELDDLRS RFRSAWRADGPTLNTPVFPLLGMVPVRSWGESEPFAPAIYQQLKGIEPIFRVAEQSPN FHGGAELTLMIDENTPFLVGAPARMGQQQSGPVQVYRGQNNRDHTNIFLSSAASTPLK NISDAAEGVAAFDAPTGYQYETRLATIPEDNTTPSSLTSPYQNAPGASNGMTAFASPV VSLYQYPSHIAPIPQSQFYPSAVGNAAPTQSMPALNLPTATQHQPHKPIHQQTQTPPP NPWPLNIPTTADVRNGTAVIESPHWEAVPFEREGDVEDWRGGNSGADADPGVATAGNS QTSIPYEGEGDVQDWVERGSEEVRHDGEGDEWDWVEGSSGADADSSTVTAGNSATDSS EKKHRYAGNRVPIQHAVYQLFELSCCASV CC84DRAFT_1230747 MCLQILLCRDIHGNKGNNISLTGRGIPLARPLTRRTASGHSTAR VPYRPFSEQPAPVQEAYQAEIHMRPFRDRQAYGYASHGAWWCCRCRHENEVVHRSGNR PFGRMNCGNCDRLFCGACVTTNILGQDLVDRRPYNQTAIVVPHYDDKEVPYGVVCPKC GLSRRAEALAFAQLGVRFSAVQFDQLRCRCGNVSTSSWHRFSIGSPYDWMGDRTACYG RALMERIDQSRRNV CC84DRAFT_1230750 MSKFVNTRISVRGGLTACILAFPVQASIVHNENEKILNDDCIKQ GILQYAKRNTKPETSKCSIKRIADATLEASKPCPHVNKTNIKAVHISGSRFWSSIIGD LSKRLEASLQALLRHLRLRTQATLVPIARRSNVGTMPRVLPPTTTTATAVTLDRTEVR RRIFRHILRGLASPKVRRATAGADNAAGYAPFLLEPVRMELVGNGLVAERVCREIGTV EGVVTTATCQLAERMVALLKFQSVSMPATTAPPGSVGAIMHTDSTKLRLIDRENLAAP ADVVTGLWGYQWHV CC84DRAFT_1230752 MDGSLHVFRVRPRALPTPIAPESSGPAILEHSWTRRSHNKSRGG CEACRTRRKKCDERRPICSLCLQKHSACTYPNSPLCRRNPLDSFQSNVTYSLWPGQQT LPVLADEKACTKYDLVLLHHFVTISSQHFKSLGFDGILSSKAVELGKEHSSVLHAMLA VSACHLQGLGCKERPYRIAETLHCEFAIRGLQRAVVSFNGVKEADSVLTTSMLLNTYA YIHTAITEESSDDTLKRDAGRLDWGWLRLQIGITEMLVRTKPYHPQSIWLPMFLSSKQ PLPFAEPCNDLDQQLAIFCGINPTSTAIDNPYVDLMEQLAPLVIRTHGPQYMRWYVEA LGGIDLRFIDLLEKEDVPALMLFAHWAAMMSSIGAWGTSRRLKKICWRICQIMLPRIK ASELYLLDVPAEAAGFSLNLRFEIEQDI CC84DRAFT_315938 MATYTSFSTLLTGVAHAQSYICPAHLVDLGYAKHVPIWTNVTAT GTKLLNYNNIRYAHTPSGPLRFRKPETPPTYQNGIHSDNRNSWETDCISSAPQSVPFP LISGSTWGGEDCLFLNVIKPRNAKEGDELPVLD CC84DRAFT_1180584 MNPPTIETREKREGAHNTVTYSMSSLGITQQNAGASPAQTLHIR TNDGDPGRIVSVLDTNGPWNTGSSITPIDRRLPQSTGREPNNPMCEWAPCPTRGIRKS RQGKREEVPSGRHLLLTLRGHPLTIGLYYVDNYTFAIAKLRPSSLMPLEVQKCELGWI LFAARISAFSVLPAPPSQLERLGRLVLMREASTGIRPLGSLEVISAFSVRPAHTSDSA RAPDSPHTQIFQTLRNGRTELKSLAQVAAIFVEERVTGPIRTEIVLGCGAQHRPSGHY CC84DRAFT_1102629 MEKVTNDDPPHESEQEEESDSEVNEDSDAEEPEEEHTPLTYQIP SDVLESALQAPPQTRASYWSQKLYRGPEDEELLLHYCVNMEVSERVAKHFLNEKVVGF DIEWKPFGPVDSIKENASLIQLATEDRIALFHIARFPGKTPEQLMPPTLKAVLESPDT YKVGVAVKSDCSRLEKYFDLNIRGVFELSRLHNLVEYYGTQPSKVNNKLVKLATQVHQ HLLLPLHKGEPFVDEPQQRLSSVRESDWSRPLDFEQIHYAAADAYAGFRLFDVMESKR KKLKPTPPMPRLCDFDNKPVPRTTSRAKRAKKVVPELEKVVAESLSGLEAEDAEEEAY ETAAEEFAEEEESKDTDSEARSTDASAAPEISAHRIGRVALSTLAGVDPAYPTLPCTP AEEDVSSDESDAFDPPPNAPRRRLVPRAAQAAQVLLVKAVESDDDFPDPELEEAFTTM DLSDPLKITSNPVTEPTSQAETPNLESPTEQVLIEEETPPTFTPLIPADTTNHTPEYT LATTWAQNYLTSTIPSPTSTAPSRIRATVSSLRAYHIWYHQRVPIDTIGTHLRDPPLA QSTVSNYIIQAISMEKLEYKDDELIYVMRTLPANLRVGRYGWLSRKLGIAR CC84DRAFT_1168672 MHGRLAPRSRALCRQASGINCPPASTRLPTRRRQPHHGKLVDNQ EIAAMSLPPLPKVHHPLLPLELVDKCVGSQIWIIMNNHKEFVGKLIGFDDYVNMVLED VREIDPQEGETRMSKILLNGNNICMMVPGGDGKWVDG CC84DRAFT_1230756 MAVRRIPGAWVSASLRESRGHGRGRGERWNLKMDGEWPAAGEVR GKDRQRRRSPDDGLAQGRRSREPRLAHSSARLRRGSGSTRRGHRRKSPKGTVIPAEWP ARSLRAPKLASAVDRDKGTRSVRRHVARCRAPANSALREWLHAGVLVPGVLPSGQQNG SQPLRLGDWLLLACWRKFERSGDHGARCPRVFRRREKPDAQVLEGKNPKR CC84DRAFT_1222088 MSDLAERLSRLGLSQYLEAFVSEGFDSWETVLDITESDLGSLSV KLGHRRKLQRAIAESRGQSSGRPLPIALENAGSVDGSYRSDDSATETKIRQGEISVTG ITPSAKRKYRRHPKPDEHAPERPPSAYVIFSNQVRETLKGQELSFTEIAKIVGERWQV LPADSRDACERQASSAKEKYYAELAEYKKTPEYESYQKYLEEFKAKHAAPPKEGKRSK LEADTPASTWTINHEHTERTIVRRISSAQSDQYAGQTRTEASPPIGPSRLPSGSSRPS KSTSPAIHNRSGFNSPRMPEHYSPLSASPRSATLNKESSFETTSSVMARDARGQSDAS LPFSSSYGHAPSYPASTTPPSYSSHYQTPMDLPSRRSNREMHRLPPLTHEDTTLSSDS GQGGYAPSYTGQTLPPMDATKSMRVLPAPVPSAMASVPSPLDRPSVQSPPKPPLQHAD YRTSSSLAALLRAGELARVADADADDEDMDTSGYP CC84DRAFT_1168675 MNHTAGLAEGGAGALPGRKLLALLPLGVSQVPRHPELKHEQHSP PGVGAFVTQCLHEANEEDFSSFQRMGEARESVPGAGAVVIEKLKKKDKVEGTWFARRS FHGEVSYEELDEVLRKNHERNEMEYTPAIYDVNTLLEWHVEEEIEGVRDVNIRITQMH HSMPAPAILSDRVFTVLLVSFIPTQPAPGTLAQSIDVQLPISLATFPPTIRERSHVHV VNAKHMYKSPATGTPIQKKQDGRKVIEGRYVSLEKIAKVEKDGKTVAKWEMATDSDAE GSLPMSMQKLGVPNAIVKDVPLAIEYVIKQRGQ CC84DRAFT_316108 MQNNPNVPNGPAPDTATTAEALSMLASLRAHQQCAPPHAHSIFV GATRTEVRSILAPGRLRGTMHYEAMPESMAQDKLGKFLKAIDDDPDRAVLVRSVKLSL ECDHFKHSGVAELFNQDVLPYYPFTDDETSEEHPPLTYAPLYLKNIQRRMAHEPRLSQ HPTCPTDIALPRKEFFYMYTCLSLYLPSKSMS CC84DRAFT_316107 MMAQKSLQEYALGLEALHSHEILPPGRLPPTPASNGGKHDGSGL GWNRRQIDARVTFRRLDQSSHEVGVQTNSQTARLGIRRRAQHMLNLICKHLLIFLPTC LLLLHYECASFHPSILHN CC84DRAFT_1155530 MQNYGQHQAGYQRPGSTTGYPSAPAVPPPYGAPQGYQSAAPQAQ SQWSAPTQNQTPVPQQWNQPQQQAGGYNPGVYGAMPGGYSQSQQNTTQAPTAYQSHQQ DVPPPPPPKPAAFAAAAQQPITQNWGQPAGYGAQQNASYGTHVPQGDYTQTSGYQGQS TAQQAYTATAPPPPSQTPAGSYFPPSQTPQLGGRPGSIYGADQAGMYSTPSSAVAQHP PSSVLSPNEQHPAYIPPSLSGQGVQSYVPSNTNPVPGVYVPPPPDIPAWQQASHAPLQ GGKKFKYTKPAPDPNLYAQGQQGVPPAQAQGQYGQQSVYPAQQFAQNQYQSGGQAQQP GPYGNPVQGPYAQGQQQQQQQQGQYSQNLSQYGQPAQATSQPAPPYQHPSQEQSVQPQ AVQPQAYQQQEQNQWQSNPPADQGYAQQQTGIHTSYGTQQQTWQPGHQAQGSVAGQQY GQVANQGIEAPKPVSGHTGTAPPGFVSEPSPQSQPVSPIQTRIGTGFNSGHTAPGRTD SVSSIALGAIHAQRAGNRTASPKPPPPNLPTPPPPRDDVTKFSVLGTGGPSDWEHFGG GEEIDDEEIFGAKKDGRHGVPVQLDSAEVMSQQVSPPQPQGEWPTPPVQPVSAVTPER DQYQPTPPPNIQRPPSKPPLQGFIVGNAPPPASQSQQNFVVGDAVVAPLRVSQPSSQR NTPAQQQGQHQPPPATSTSFSIDDNGQSQQRSVNPEIEQANATYAAELRTKDEALERV RAEAQQKESSLQAEVEQLKVVIGTTKSHAEYERKILADQIESMKTAAEQAKTNADAST RDKDLTIERWKEDSEGKEDTIKEKDDEIVKLRDELRAKEETIAQGHVFVDEFKKQAEL KDIEISNLKQQIENNQTAEGLANDLRQQLEAEKLKEPPKPTPASLIPDLDPWYAGSLE RYIAMLRSEAQTPLVEDKIKVFIGFLKAESGARGLDYQNAPPSQPISQPQQESSQSTV ASNQEPKTSPKLTKLNVHVPTAAPVEDDDIQYSPGGRPIVHHRPTLKSEASGHTQQSF SVSSQSTTVLTPTSSQDENASKTPTPVQSPPAEPQAQTQYKAYVPLPISQVDSIQSLH RQSVSSATTPAPNPTLLHGSKKDEVFFGASTGTSLPSIRPITGTNANPEVAVPAPLFT QHPLAVAAKATPKQNAVEKLTRLLPAKIRLPQPNPQLEAVRKRLASAPLDLPSFQELT DPWEKSASLVRKKNDEARRKRQESSEKETDQLFDNHEISYADIAILEDEFKEEERRLK ADEDRAEYQSYVDTVFSNVYDGLQQQIKGLMDLYIEVESLLSTAVSGAKTFEGSDAAV VEECLKLLEELFEKIEKRHDKVVEAVAERDKRYKKTEIQPLYAAGNIVKMKQVEAHFA NAEKDAVQRARAEKADRVTEFVRIVESTVVAAVGVEQQEIQEIIAAVRDLPSSPDNEK LYTRAKETVLALGDSSKSLLLLLNDIEIDVGGSVLEVELAEAKTAKQIDKVAQLEKQI SDREKELKEEFQRKEAVLDQDREEIEKLIKGDGGNTAEGGVEPSEGKSEEELKKERLS KALEAAKRRNGDL CC84DRAFT_1180591 MLPTQEEPTTSDLKRCMSIACCSMSSTPAVRWPKVTPSSPFGSF PQTVVGAAFALHFHRTHSSSVPPLSVPFHLPRAAIMPPKARKTKQQIDDELEFHIASD WADFRRFAPKKEIVLDEVVLCFNEGPFWGFEISSVPHWYLDYLCSGGENFLLWKDEVF ATAVSKMGYRKVDAPPIQAPGMPPVASFLWPKIPPSGPSKTTPETAAQIDSELMPPPP RPRSAAPTFDYSALAKMARDPADECYLLTAEDATEAATGGPGLHLQSELDVSPPETMC ATDMTIAYSGAGHTTQPSNAYC CC84DRAFT_1168678 MTEPPSTPRHRYLSRDERIAARALRQAGHTYTFIANQLSCTERQ VAYAITRDCVTPKKRSGRPPRLSDAQVDQLEAFIRSSNSNRQLSYLQLAQGPFQHWNV GEYTIRSALRRRGYALRGHKLISTGDHGSGGGSSGATKHG CC84DRAFT_1230772 MKDEAPIHIENASGSGSAEGSLEGAVPLAGVLRPRLSKLNMVGF SFAILNTWICLAATIGIVMPSGGSVAFIYGFVFCTLCNIAITASLGEMASIWPTAGGQ YHFTYSLSSQKWKVITSFCCGWANIAGWLTLVTTEAFFAAQFLSAAAVIGSGGSYVIE PWKTYLIMVAVSTYGTLVNLFGNSILGRYNDCALYWSVGGCAVISVVLLACTGSHNDF TGAEFVFTSFANETGYSDGVSWILGLLQSALSLIGYDVVLHMTEEMPTPRIDAPLAMI MAVVVGGVTGTGFILVMLFCLFDPSSVFSSQTGQPITQLMYLVTRSRVGTVIMSVMLS ICFFNGTMGCMTSASRLLYAMARDKGMIFPSFFGRIHRRLDVPIECIIFVQVFNLIFG LLYLGPTVAFNAYISSCTILLNLSYVIPITVIVARGRSVLTQYQTDETPFKLGKWGWL CNIVSVIYVFVTNVFFCFPAAIPVNTNNMNYVSAVIGVFVILLGAYYIFYGKQFTGPV SRDTSHLKCY CC84DRAFT_1222094 MFVARRRRAAEFSSFTECGRPRPAATATAAAFAQGGEKAEEEET EKEMEEKDHGRGKKDEEN CC84DRAFT_316143 MDLSQGGRAGQALAAPTRSPYSGVYPVSASGNNYYRPPQARKDA QFAAKVTGSFANEAAYHSNSERRANVERSNATTATSLALLNARLATAATDTHTGRVNN TAHGTNVALPAHYCNDSVDIAGPSTVSRNAIISLNSGGNPAFNAPKPTSSDPSPAGFV GAEREKGTLTLTQVPQRRILAVTLGLLRSGVAAQLVQDSQWQAIKDFLDKCVPVGGGV PEYDEWLPSITVAYRYPIDDENWKNFEAVVSVFDLARDAQKVLGSSAAELDQVNARFL LAPLLPLKLPADEQIRNLLLFYKISHVDVRSNDSEE CC84DRAFT_1180595 MGAPSPVSQNQSTSPHIHEHGRTEPTVPNLDRYVLIHDCLQPAK QHQTGLFLNLASLEWLRPQPRIFHVVTSKRPSRDLGLLLRRVLRRLQDLGDGLVQASR FERRWPRGRSPSPRSTNPCSMTNRAPPLVDGTRPLQSNEITAFGVTRLAAGDAILGIE QPVKGLEGDTKKEFT CC84DRAFT_316158 MRPATIWSCLFSQELGFCGRCLSLVDGAVRKPSTCNSPGAHSSI WPEMALPSSAQDPGWLLECPDVAHSLSSGPFKSLGIRSRIPLEPIIPLWITQVSCTSV IVNKQCEESKGMYSAGVVAFATMRGADELAIGVFERSP CC84DRAFT_1209520 MLDRRRQHRKRAAFGASRSRMPTVPDAICTALAGCRLCNFDVSE SSPRSRRDDEPTLLTIERRRCHAGRGNTRDSHYNGAVADTLRGYTWGPAQHGGHSIAS MEGIFCPTSKGLGKIVKPDDIDLRRSERPRTASQRPRPYPCVTRTITVERRMSRDNGP SKPNRNTAVKHPKDNSE CC84DRAFT_1199452 MRCFRKIAAAGALFASIANATLQIVPGATWTATNTGKHIQAHGG GMIKVDSTYYWVGEDKTDGSAFQNVNCYSSTNLVEWKYEGALLSRTSSGDLGPNRVVE RPKVIYNKSTKKYVLWMHIDSSDYKEAKAGVATGDTVCGKYTYIGASQPLGFQSRDMG LFVDDDNKAYLLTEDRANGLRIDLLSDDYLSVKQATYLWKESIEAPAVIKKNGVYFMF GSTLTGWDPNDNKYSTATNIAGPWSAWKTFADTGSKTYTSQTNYILPVGDNFMYMGDR WISSNLMRSTYVWLPLTISGTTASMKNAVNWVVDANSGSMTSGPSENAYEGESATFAG GAKKVSCSGCSGSNAAGYIGGSDNGAVTFSNVQSSATTRTSIRVKYLNGDSSQRFADV SVNGGATQRIAFVPASGDPNSSVLNVDLKSGTNTIKISTTDGTWGPDVDRLMVPQS CC84DRAFT_1263540 MAPFMSLPGELRTLIYDMVLFPHHSCIQIHASHPKDLLRTTLKS PIFRLCRTVRHEAFARLCESKHLQFRDYMSYKTLLQYARNIGDGAIVENNVKHIMIFV DNLAMIEGNGNFSTFNVGPFDMVEANNVFAELHALQVEVGRNYDMEI CC84DRAFT_1129416 MLEVRPCTNDDFADFVRIQIAAFNTGITSLLKPPETVESVEKGI QKHIKSAESEADVTYLKVVDTGLGDKMIAGAKWRINEKERTEEQIQSMLPVPGEEEKD RPAAQDFMNYLYRVRKQYMGTKPFAFLHMLVVDPAHQRRGAGALLVKWGLDLADKAQL PSFLEASDAGKKLYTSLGYEPVAVETFDLSKYDSNLSGLESNTAMMRNAVQLQR CC84DRAFT_1180600 MSIPKIQSKEADESQGVFAVTSTPVKSSNSLTGKSDNSPTAGSG SGAPRPKKLPPLYTAALPAKDPNQREPGVLPIEKVTKRLRHSNRVLHIENAAKVKMGY QGQDGLGRNYLYLHGRSMDVPKAVHEWLCSDPAAEEMMFDASIFEPLD CC84DRAFT_1168685 MTSPERFTREWDDDVLAAVWEHTQKHGQLKDLGGGYKLELRPST KLSHSDRGACLDIVRHTSMAAYKASRMGWHTPSKRTEMANKDMAYILVRATDGTRDAF GPGIDTNIVGFISFMIDYDDPPNDHRQVVYIFEIHLAERLRGKGLGKWLMFTVEAMAQ SVTIKKTMLTVFVSNKAAIQAYKRMGYDKDEASPSDRETRGRIIPSDYWIMSKSWHDK CC84DRAFT_316253 MKSVLVVGGGPAGLVAAKTLLQYAGGTKYKVTLFEAAERAGGMW RSSPGENGEICSPHMRTNLSRFTVAFPDLAWGSVDLSDPAVGSKPSGPPAMFPQAWQV GRYLETYAKKFLAPGTIIYNRRVTAADFSGDPDGSWNVTSVDQTTRKESQDSFDYLIV ASGFFHRAGPKIRQTQMQHGSGKRIQHSTQFRDVASFSDDAGKVVVIGGGISGSEAAA TAAFQISSAKYSSGQKPAWAESKVYHVFDRPFYTLPRYIPQDPYNPAIQDFSLSPKFL PLDLVLYNIGRRGGDGPITASIGQVPAEKAEKGHEFIRNLLGGDQRATGHMELVSKPD KIQYPAFTGVTDMYAEFVRDGLIVPVNGRVVNITQDASKNFSVEVTAQGPWANTNEST PRLEDVTGVVEATGFQSHLEYLSARVKEALGYDPESHRVPFLLSRGSIFNEKVPNIAF VGFYEGPYWGVMSMQAKIVAQRWGHETFPNTALEALDTSAALSVRQAIKERQLDVPQF WMADYVGLVEEFARSVGLQRDDSTLGEGGPLFPARYRDDTDEGSDAALVIREVADVLQ RSEKEGLYAAAAAFRGMQGTWQLHRKVGSRLPSMPNGLFEGTASFHPRNPTDPIYSAE YLYVEDGTLTMDNGMKFPATRRYAYRYQEKNDTITAWFVEGDGVTVGDFFNKLEFYQP SDVEHGWMAKGHHWCSPDTYKSNCEFRFRGASLETFGITYEVSGPRKDYSHESWYSRA YPSAG CC84DRAFT_1180603 MKAIYLLICMFAVTVIGTPAKRDCPQQQCVNITDSCGEPVRECW DDCALGLSERPTFVVPSCSVSTPILLATALGTAPARAAFIPPDMTSAPYPIATSPTEG CKSEKTICIDYLKECANGTYSIPYGGCHDACSIHKVYLAPSCSKTWIAGPTTTKTKTK KPSVTRPACKNAKSFMCAPLNWLL CC84DRAFT_316306 MSQRTYPERASSSRSAHIRRERQEQRGHLRLSRSQGHRRMNILT MHSSIRRPLSRSHIISPQSQPSEASESELSRQGHPGQEQFINGQPTASLNGQHPSILR TFRFSTQNGQYEAETTPNIAYIERTSSLGTNSLRSHDATLTYPSYLERYTMSEPRSTQ RSFDLERLRQQRESTRVPLISDTSNRSDCYQEQRSIAQVLPAVSEKDFCSEATRGHSD AGTHANTPPGRRPISYPSRYRRVGSRTLMTRSPVKGVNDRGRNVVDWVQTSRQDYGPI VEDDDYFGDSIAGQGRLCPPIPARSPYRTRSSTPELRTARAVRLFAANPDPPSGSTTE DDLPLRPSSLGITRPEPSYRSRRPIEPRSDLYPSPLRPQTIKRQASGISQRKAKEPNE TPVQAHPSRASRRVRFFPTIHWKYNRDRQDAQEDEDLTTNILHNTASSHWAFNIVQGF VWLGLVVYLFWRISTLGKTVSFDCYDLKMKLLHLVGENITLPLVNSTASNSTFANGTM YPPANATFYTLAERHTNASAVVIPPDFRAKVRSHCILEPVNPAVVLASHFEVLFLMML VSCGLAHVLQLLVLQQVRTRMRKSGALGARTLMIRVAVQIFPAGFAILGVLGTAWGIR GGVW CC84DRAFT_1222103 MQILLIPASSPAALALARVLAAEGHIVHAADAERIWGTAPARYS RAYRRFHGLSGAWSAAELWKDIGDSIDLIIPFVPLPGHFVESLKARGANIVGETLTQD DSEFQDFVRDNIVNASAENPSIIKVPASFTVHSRTCIAEILSNRESIFSLQPLPCYDT DDEDTLVDVEHATPSASACIEPVAPIVISCSTLDDRTVEAIKRLPMSDTKPYRLIEVA EGGAFYSAHAFIHAGQVRTFVVTNTRATDRDFAIVGAGEPLYDLLYQFTKRLAEVLDG WQSVVANHLSLTFHLQDKITYDDFVRKVTVVSCRNDPHASLVLLAAVPELRRKLALAY TASTLREEEDSAPVQIPTNGRVTKAMYSAPLAIYGLTRILFEFRPWRRRWWAAVARMA MMCVVWAMNFKEEMWETSDPGPALCFWAVMLGDSLISVVGQVAGVKWGMTRAAPLRSK TLASYRMALTAFVLMLDICRWWVAIMLYPWYRTTQR CC84DRAFT_1168688 MLKNAFFALRGGRLLLRPRAHSQLPRCLNVPTSVRFAHSSKQPL DKSPFPTDPDTKVDESMLASFGLRLPQTIEEAERLEGPLTEEQINDPNATEISIYEQD PTSPTGERLVQHIRTPEERRKHNEIHKMLMRAESDPNYDDRELNRHLLDDLMKDPYFA DLTDDLKEIKENFVLTKQQQEEMLEEAGRAEEKAEKSIEKELTAHFQMATHDTLEELK NDPLFAYARAELEELQDALPEPDGDTAEYDAAMEKVVEKLIQDPNLERKMTEWQADKG SLDPANPESLETDQFVMPDELKELAKEPEELTALLRQMKELMRSMGMGSLSDPELTTE NALDTLEAEMEKVLNEDPDNEDLEEEEIKGREMNFAELGKELFQLAKSSPAGGARDFD EEDEPVDPELEAKVDKIMKDPKLMEKLMYIQQVIKEEQQKQQPMELAPDPLELEPHRI TSIQKRMQVAKQDPEHLAAMESLRVHLAPPFNIAPALRIFNDAIEYAYIGANDDIRRI LWRAYSKARVLPTFLQNLSDDAWDIMYYSQAVTWTSNQNRENHLRLLLQDLQSVGKDG PPTHPDVVAEMQQ CC84DRAFT_1190634 MADIEKQDVQKVEHRKASLEELKQATTIDTVHQDEALKVLAQYA GDMNWTPEEEKKLTRKIDRKLIALLCVTYGLQYYDKAMLSQAALFGLRQDLELSVGNR YSFSASIFYLGFIVGSYPAILMAQRFPIERVASAIVAVWGICLMCSAACTNWQGFYAQ RFFLGFLESGISPMFMLVVGGWYKKQEQALRMGAWYCCTGYVSAVSPLINYGLGHISG GALNSWQYMYLVAGAITILWAFVIYFFMPPDPIRAKGFTDRERYIAVARMRANNSGVR NTHFKIEQLWESLLDIKFWLIFATAFLIMIANGPVSSFIPIIISGFGYNRLNSLLLTL PAGAIIGTIELAAPYLAYRVPRIRTWIIAFCQLGTILASLLLWLLPRGAKGGLLFACY ILASFGGGYAVLMGLQIANTAGYTKRSVTSSGIFVGYCLGNFVGPLLFKPKDAPGYAP GFVAVLITSIVAAALAIVYRYVCIFENKRRDKTSAEGFENAFEDDLTDKKNPQFRYTI CC84DRAFT_1230799 MPSCKNPLKRHSIPIAEAPINEERCKDINEFHLPTNPNNVKLQE SEPQSLPSAEDNVHAVRFFLYHLLTFKGNKVAKRWPQWVLETVSYWKGDGRALRALDG DLTHLCPMSAGYAQLDNKVALESNPSGDCRTYIGMVLSTKIKKLKDKEYRATEAHEEW KATRRGYLPWNHSLADIPGSASIHSPSHFCRQESIKRTYPCARPSDTMLDLRSMYKIH AAFTPTSSHYVPSMVALEYEMPRARSTFPLHREFSIAVAYNSSTESQRASRDCSAGTY TTDATSLQQSPNSMVNTGKQVLVATPLSNNSLLYPDEDLFDAVSIARPYVAPSHHSSI RSTGSQKPQTNLQESKVENEMNETATLRSTTRSSRERSTSTSSYGSSTYPMSEQPYPR YPSSPSRYRAGVLRTVGTLPPLPFGMNVPHPGISGPRDGRCSGASSYSPANSHTSDAR SDLFSKQDPVPRHGSVPPQLCSQSSHTPSLINYMQNARQTPSRLSYMHNIHQTPTPRQ YIPTVDIFTGAYRSANTASLDIETLEDGGKFILEGLKKQRHGVSSQSRRRPAYVPPKP ADNELVVEPSTRIVNPHTGRPFMTLYERIEDLERMGVARKRS CC84DRAFT_1230805 AFFSSTLPHQQTYPGSLRDRRTQKLFVRRLRIQVPRIAPLVSNS RYFQPIYNPSTCSLTLYTRCLPTTTSPVATLPLLARTPSPRGHPAMTASRMSRLKTLA LPPKTRSLTRRPPRMALVLAPSSSNPTSTTRPAQQRSRPCCGPSSTTPTSRWARRNPT DLCASLATGPSRCSAPTSSTARTMASWS CC84DRAFT_1168690 MNGSQLIFGFALICIAYLVSRSLAPVSRSNTVPRMPRCRGRCAM KTLRAQRKAVLASKA CC84DRAFT_1129428 MAIFSQTWTLTKKTLLVVFVRHWFFTSIRAFWAPIIFMFFITYA KNFFVPPSEFGIGSSTPIRSFENALAAATGNRDTVVFVNNGHTGGDIERVIDNLSDTV RGAGKIPIITEQDVDLLTLCRSSLRGASTCYGAISFHSSPSEGNGAGWNYTMRADGTF GIRIYVNEEDNDVQIYVLPFQSAVDRAIASLNGTTLPDTIDEYPFTSKTQEEREESIR TSYMGALIDIMAVALYIGVCGVTYQLTGQMASEREGGISQLVEAMSPAKKPWQTQAAR LLSNHIAFDIIYLPGWIIMGIIIRQLAFVHANVGILIIYHIIVGGALTSFSIFGASFF KKAQLSGITMVIIPIILAIIAQVAGPYNTGAVAVLSLLFPSMNYVWFITCIARWERTL QPANLVKSPPVYNDITWTLPGIAFWIFCIVQIFAYPVLGALVERWLYGTVSKERKTTT SAPEHAIILSSFSKHWTPSWFRTNVLAKVGIKPPETIRAVNDFSIKARRGQIMVLLGA NGSGKSTTLDAISGLNTITSGSIEIDGTGGLGLCPQKNVMWDELTVFEHVQIFNRLKS AGTTDNKETIKNLIKACDLGHKMKAQSKTLSGGQKRKLQLAMMFTGGSRVCCVDEVSS GLDPLSRRKIWEILLAERGDRTFLLTTHFLDEADVLADYIAILSRGNLKTKGTSVQLK HNIGAGYHVTCPKDVSITPLDGVRKPAPSEAMATYWFPNALGATKFVDDLRSHGVKNY DIVGPTLEDVFLALAEEVKEHNLDTEDTAPITPSPKGADAVLHSSDQNSEEVEKNLKM SKGRGTTFMQQTLILIRKRLTILRRNYWPYVCAVLLPIIAAGLVTLFLKGYKAVGCSP GESSNNPDVFSLANVDTERSLLIPVGPASRVDVRTLAAISGLSQSNFQSVDTLDDFNN FIDTRFHNVTPGGFFIQDNGPPVMAYVGNGGTLTGLVTLSALDTILSGIPISTQYQQF AVPFSPGMGKTLQLILYFGLAMSVYPAFFALYPTIERLRKVRALHYSNGIRAAPLWLA YLTFDFLFVLVISAIVTAIFVGASSVWYSPGYLFVIFFLYGLTSTLFCYVISIAVTSQ LATFAFAAGAQASCFLLYFIGYMSILTYSPAYRIDSDLKIFHYAFATVTPSGNILRTL LLSLNSFSLLCHGDEVASYPGAIDVYGGPILYLSVQAILLFIALVWWDSGYRPPIFNR TKSRQRHTEDSTEHVVPAVAAEISRTEQSNDSLRVLHLHKEFGHNHAVNDITFGIPQG QVFSLLGPNGAGKSTTISLIRGDEHPTTHINGGGDVLIEGISIVSKRAAARGHLGVCP QFDAMDSMTVTEHLYFYARARGVQDPESSVNAILTATGLARFQTRLASKLSGGNKRKL SLGIALMGNPSVLLLDEPSSGMDAAAKRVMWRTLLGVAAPGRALLITTHSMEEADKLA TRVGIMKRKMLALGTVAELGDRYGDAFVVQLVLKSAPSTTTEEMDAVKSWVSSRIPGV QMDKWGTKDGGHGQLRFKVLKAASSTLGSSSSLHGVEKANKTSTDVQELDRTPSLAGI PGLIQLLENNRAEIGLEYYSVSPTTLDEVFLRVVGEEDEDDKPKAKSSWRKRLLRF CC84DRAFT_1222108 MSSAPLGYYCSSEFQSRPLGTLFFARISALNLVKSYFMETAFDK RSPSPDHDDDHVLPLKDERFLPIIHLFSATASRYNFTAGLSAKVEELVGVNHKAYDGL AWHFGEFGTKDKYHGESRILIANRPKGVDWQT CC84DRAFT_1222109 MDATRHAFGVILDATIGALARKAFDMGRSVGRCDCLLCRCIVRV AFAIDAFMDATVGALVRAEIRFIIRYLRWFLPLFLRLFFRAQAWARQPWDWQGTKRKA EVMIAMVKQEKHNGDQDIWREQLEAAIKKTDTKREAEGKDSSNLKYEVKF CC84DRAFT_1168692 MRRLDAIVLFSAFIDRAISVPYPGLSTSSSFPALSDSFQWPRAH PPEPPRQAAEPLKSLRIPDCALSCYLQELPDDGCAQEIDLECHCSTGNLLGKAEACVE TTCSTADQADAKRKITRACQAVGVNPSFPGSPAASSASLSSRALSTEPAATTLPQGYS VASTSSAQTIAMPPPLSNDRPSDLLSSSFATQTTTTSLSVELMSPLPSQISTSAPTAT STSIPIPASAGGLSDGAKAGISVSVLFVASTIFIALSLYIRRLKRQLALATAAANVPE SVLRSPTYHHSGIPEALFTPSRRRSWPNAPRNRRFSHGEPSIGSPVSPLSPVFYRDGG RRASIAGMLHQKRGNVLSVVIEREDEDASSLMSRGRSIREPVPGQSEGLAGPLEMDGQ YAAIFEAPTSITPRARSMERDREGEAWKEYDFGKY CC84DRAFT_1168693 MAASAAALANRHVLSTTLLHLSDMKYKDRRQYGPDQPVEFLEFR PTLVPAILVNKLWADEGTSILWRRYPHLPSLKHMPLERRQYYANKVHQVFALSPPLGG VETLDYLEGLSWLNLKSLELEVDLQLYGAPFRRMLHAGLEHVELSGIQSGGSQYFIET ILPTLFNPCKNLKSIRIGSDTIMDDDPVHVSALYEYLDALPAIRTIEVKLVNLMDKDA LFTRLSQRQGLQSLQIDLDPGLALLPILAGPYALPSPFASLRRCSIMCYPEIALALPT HLRMLEDLQLDIARVPISPHQPADFTIFEDMIAELSHCPKLRNLKIGLSSLAVDFPSS ILLPRLSGSALAKLAETCPKLEDVNLLVTEPSAIDGSSISGRDFESFCKALPQLRSLS LKLQPTTASALEMTALQSLGQYCSELEVLRLKLAIQLSILPGSDSMPRILISDDDDFA PEVLALQDVSPLFPKLTHLALSRPDTDLAPVPDTFTSSVSSQSTSMVDPTVEEDLVRS WAHPLLTHFPRLEILEAWGDFSGQDNECLNYFLPTQEILASTWEFLSGIEQDLWEDDV ESFEESFEEGNSWHSLEDWEKASLTNEFAFGEDGPGDVHEVYPDIGKLALRDEEPEGM ITPGRTLASGDYFEDSPTHA CC84DRAFT_1102263 MLANDAVSRVSILSRRPVKMAEGHEAKAKVIIHKDFKTYDQALL DELKDAQGCVWALGVSQNDVDKAQYFEITHEYPLAAANAFSKLHPESPFTFVFVSAEG ATHNPGMFSAVYERVKGQVEKAMFEFYKATPNFKLYTVRPAGVDWRSHPEIQPFMPSQ PFWKRALIPVIDTVYKPMITPTRPMGRIMTELAMSKGKPLEGEDIQMEGTLVSNVAFR RLAGL CC84DRAFT_1168695 MDEDPLPSLSHATRATTTPNSPFLDPRFHALKSSSPPPLFSEND SLDTADVTNYESPREKRKRAGTWWQTGPKAPKRRQFNRNFDSGVYMMSDDSQVSAPAS SVLSHLGFDGTSDIRSDPPLAPWHSDNQDEGIEHSTGPSSERTAEARSVVEEQLCEAL QHHLDSNATYFSFSFGLQDNELHHLRQLNQIIHLPPGDDIEVPTEGQYRSMIPEIHLN LSFNKLRYLAPTLFQLQHLTTLTLRNNCIESVPPQIKELTSLQTLDLCHNSIRELPCE LLALCAMSGPGRLTRLELAGNPIYEFDDNRLSSMLLLRQMVTVEMTENYMYNASGQRR TVPDTRNYIRGHTDMDWSAFEDHHLYTPPPVHGSISNPNDFNDKLFLVCRTAASYYDR TGWLLKNSPILPTQQEPGSSYGQPSCLTHNPPATGSAPEVWFDRPSSSKVPSLATLSL LKAYKTEPDCVREEIEYCNDGIIPPQVEGVIAKAQENEMLMYKPLRSCHCCGKDYIVP RAEWIEGWYFQHQVVPIRVAVCSWACVPDVIAKRPELLRLSQYWGGGRGGLPELPSVA HGPRSPYM CC84DRAFT_1168696 MGATDLSYSPNPSPTIPSLTKYLNLAITLSPSPANYSTPQCPYY RTLHFLFACHVHHHTPREAASIHISRHLSTLQTAHNAHIRACHETLVRELRGENASVW TCHVC CC84DRAFT_1222114 MAPGLFCVWSQADPDTSAEVNTEDKTFSDVVTKLPGVSLGTQVK LMKRQVNEYPFSHEIPFMTMYDMPDVEYRNDEAFKEAAKACESRGDNACKPRVYEEFY RREVAGCEEIHPRLGDNISIVTCEAPPPESKEGFWKWQKETFTPSFLDGPTFLRARIL KEVGGETRTESVMPSAPYLWIFEWEDDELPWIELTGAAQSAEWVKYIENGLIFQGMCY YAKRYTERFEMTLSPGNTDYENDGTSSIDSRSIISFDGDETIDK CC84DRAFT_316486 MPYHDLSFAQGLVKTHIHDMKTQVKSFFKRKPRPLNLPPFLSHY NDPLPDHLMGWWTCTTCRAWIYPNYHRGPHPCGFLRCLNPRCKTVITPQAVTSPALHR VIIPNPNEGFVPVDRLNGAHLEQVPYFAVCTCGLTHRARLYRPSLTEKWRNFPSIPKN EPAVRKFSRFVKHKAGDVTLIEFKHIQCNKCYKHYDAHRWQHFVIHRDAVFHIDGDDE HGRWAEVRHKE CC84DRAFT_316508 MSKTERILGVFTVALAGVARAAPAPQRVGIGIVPVPTMFPVTNA TTLPPKPTPTDNASTCEASCEVRYPELFGLSWAREDQVVFTETVTVGTVSITTLSQVN KTYMLTNTYYNDGMPKFYTLYQQGTDDGGTKTVEAIITLSDEVVSTIFTYPTPWVDYP AAYHWQGIVPTHDDQSEPVCATSTEELAYGEVTQHPLYPQPTDVIPDKKDPGGTNYVP LWIALQDLPDKKWFDQAFPSESAFAYCTSVAGKPAPVDISTAKFVLATASFATSMANP GFIHPESSVTGWFDGVTTSEPDNLVEPTQQVGSAHGERTSTPIHPRPSTTAGPRATSV QVPDIPDISDTVSTVPPWDLRPTVRPSTPLVNNPSTAQNDGEQPGNGNWGAQPSPTNN NGFAQPAPGVPGGGPVSTRTTPIFTFKPTTINGQPTATPIFIFPGSSSTATIGQTVSF NGQTTVLAAPSAIFAFSRLQSTEKRLWSLCILSAEA CC84DRAFT_1155558 MFSKASILSSLLAAGVSAQQVGTLTTETHPSLPIQSCSAAGQCS TISTSVTLDANWRWLHSTKSADNCYNGNTWNTTFCPDNKSCAANCALDGADYTSTYGV TAASNALTLKFVTQGTYSKNIGSRLYLMASDSKYYMFKLLNKEFTFDVDVSQLPCGLN GALYLVEMDEDGGIAKYSTNKAGAKYGTGYCDTQCPHDIKFIAGEANAEGWTPSSNDV NAGAGKYGACCHEMDIWEANSISAAYTPHVCSANGLVRCSGTDCGDGDNRYNGVCDKD GCDFNSYRQGDTSFYGPGMTVDTKSKFTVVTQFITSDGTDTGKLTEIRRKYVQNGKVI DNSVSKISGVTGNSITDSYCNAQKTAFGDNNSFEKLGGLTAMGGAIGRGMVLALSVWD DHAVNMLWLDSTFPTDKDASTPGVARGTCSITSGVPADVEKNSPNSQVIYSNIKIGAI GSTFGSGSGTTPGTPASSASSAPASTATSGTGSGAALYGQCGGQGWTGPTTCKQGTCK AQSQWYSQCLP CC84DRAFT_1230825 MLPQCASWSFQEAQGDNCSEISCLGKHRPHHLPLALNAQSLVPL IRTITHGTAKSVLRPSTRCKPDQVEIGFGSAKAGGHRRCNSEEASETDGKALRFLAVG SKTVYMMLYRWHSIAWLFAKAHEASQSTGECSSSVKACCAEAIESSRSFPAKVAAAEM SCNPMPQGLARFTHFGPQNLDFSCKTPLSADTIPFYKPLCVLSKSATATMPIFVTIDA ACSDDRPFLAKDLVEGAYPLALVSKIDFAVLRLDERIKSAQFAETGPIERPPSKDDRH KFTLWRGTVSSPDAYKFPWEYAFREHANLALMATFQAVFEHLRPLWSELETHETVSPA GFGLQFVGVGEAGWSLDQHGCLALAQLSRDGSEIEWLYVKAEVGDNQAPLPAGNVLPI DPLPTANKPLGFKKFSMTPKTRR CC84DRAFT_316534 MAPSAITPTEEYKFTYQDYKSVEPNLGTTDNHGAALSKQLKGEA LKSRVEAVDPDTCDVGDEDAFFVADLGEVYRQHLRWKKNLGRVKPHYAVKCNPDPQVL RLLSELGTGFDCASKNEIETVLKMGVDPARIIYAQPCKTKGYLRYATNAGVKQMTFDN ADELYKTKQIAPDAELYLRILTDDSASLCRLSQKFGACVDTTGELLELAKKLELNVVG VAFHVGSGASDPKAFIKAVQDARFVFDQAAALGFTMHTLDVGGGFTGDESFEPMAAVL SASLDEYFPPSVRIIGEPGRYFVSTAFTLACHVIARRTVTDATLGTTSYMLYMNDGVY GNFSSIIFDHQHPVPRVLKNGKDIMYDVRRSGYDTPSMIEYSIWGPTCDGIDVISSSC SFPEVLNVNDWLYFEDMGAYTKCSATRFNGFTNDHDTVYVCSEPGASALLGL CC84DRAFT_1230828 MNPTVPPPPYTMQPQQLPTSTLPPMQNAYPQLPPEKEMYGQAQP QQPPHYVPSPPGYSAQQPVQQSVAQQPLQYPPNMTPQQQQQVQQIIQNLPPEKVLLYQ QQAQQMMSPQQSMSPSSFQQPQQILPQSPPIQQQQRPHYTTTAPSGLFGPKHSSHSDP TTPKDEQKTDSVKRFFGDTLFGRVARSSVQTVTTTMKMPTSLSPWGDNNPVTLPNVRY RDAVLFTTFAFVGAPLVDGISDGVTSAFGADSFISEIVASGADTIVGSTVIKYGVFQI VEQAIDKGIIEHMLPEEEKMLVTTGVKSLQVGIKHKLMGVDADLRFVGIYPARDSQAC EKGWFCPYLFASARTPSVPRANDFGICQFFGPFLGGDYLLAHKLLSESTHTLALCDPN PQADIGTNRLVILFTGIAPYRANMWSTSRRPGCGE CC84DRAFT_1199464 MATGGFKLSLAGAKGKPGFKKPTEQPTKRRRLALDDDEPEDTDA KQEITGWDAAEGGAVDANKKEETKGPRIIPALPNRDWREVAQKRQLARTGAPAHAEGS NGDNAAQLEQPQMASGLTTFDKKDEPEPMDVDGEVKPEDNRTEEEKLQAEAFEALING KPKDQTVIPISEEEAFENDMREAPDAPDLAAYEATPIEGFGAALLRGMGWKDGDSLSK DKKPAAKPKEPKMRPALLGIGAKPEAAVGVELSEWAGPKKGGKKAIQYNPVALKNKRT GEIITEEELKERLAKQKEADVQAARNNTFVGDDDEDEGERRREKRRARKERDRRDDDY DSERRKEKSRRRDRDEEYSDRDRRREKKYSEYEDSDRDRRRDKKYRDDEYEYDDRKRE KRRERERRDRSRSPYDDERREKRRERDRRERSVDSKDRRERRRERSRSRDKDEKRRKR REYEYGDERDERRSKNSDEKYDKYDKYSQK CC84DRAFT_1102200 MWGISYWAVPIFSSLVWLAMLIAMLTTWSVQGSPHYPSMDPNQR IAYISDVGAQGLKPLFIAMSAVTVVSLDLAFVLERWLRHKGKLTPNTSFWQKFYSVCS IIASIAGAAGLILLSIFDTLRHPHLHDGFLVLFIGGYIISAIFICWEYQRLGIHFREH SILRYSFWIKLTFILVELALAIAFGVTQNQKKYNAAAVLEWTISFIFFFYVLSFFIDF MPAVRSKHHQSRETEMDMAEQGGMPMGDGAANDGQYFRGNTNGHANGTNGYANANGHT NGHANGYTNGNGYTNGNGYTNGFSNGASGPGHIPKPADSAPLPSRNF CC84DRAFT_1209539 MGNAQSHGEPHHRLVKPKTNRNSPSAVLENEHTVDSPASLSSRY ANLSARDRQQIKSQLLSPVQTDFEHRGSFDEERSMEELDVQRRLSSRTNSLSCFRNKA GSTARLSSLPTSKVSLVQSSQTVDIETAISILQEVQKNATPEDIAALRLALAPYDPSP SPRSASVEPGISRRTSVVNRSTSSLTRRRSLLATPGLATRNSPIESNRRTWNSWRTPK LDVQEEAKWQRHNMVENSPLARVAALGLADEGRASPEPRAQTPSDMEYSHIGTLKLGS LKIANGEPSPAASAKLNWHASQISHGEDYFSDALDSPIMMKSTRKRRHVRSKSALQPP TPPLFRGVRVSSDSRKAKTTSRYVVSPKPEPSKQLRQQPESYPSHYEGLDEEPEPLRR LRVMNKSQDTLATMLSQPDICDEPPELPQTVPFENYDEGFFASDEDVNPREAALQILD GTMFSEPVEARSLQEQIVPYTTSTPKGLRKVKSRPPPTKADSGYSSGGSFDAKHPEAS KQGAIPTVSRKPSMATDSRQNEDRTAQGDPPNVQAFEPYPEAPISHESLMSDPTDEYI MPAKPRRYQSQENVKHDPLPLDWNINDLSLGTKAPKPPSTPTSFISQFSMDSNASGQR RLQKRNSSFLERPVVQSCDPIPEGSIPGIPMDIRKQFVRRLSEAPGMECLTHTYPTKN HVTLDEPDLEPKLPYLEPIKFPSPPATPEPETRGRQHERSGKERSSSLHRLRRSLSLF RRKTKENKEEEQPPVPGVPIVLDLGSTAASLGRSPYDVALATAHQKRTSSPAHPHQLG NAMPRAKTMGHMDAETAAKLARLRSKDRASMRPQMPPRPRSYYSEKEATSETDLYRRH SYYGHAPPMPTIPSIGELHAVSRECEQKALIQSGVPQVQQVSQVPHPIEANSGRKIRA KSTGRGRVVSPLIEKYEKQVSSNQRPSLADQRSRSSTVSNDGTYCDALRESHVYSSGS DAVPRRFKKMIEHPDWG CC84DRAFT_316738 MLLKIAAELAFQTSFKSTGRSARQCNPCVPTPLRPVHPCFYSAE IPFWAAFATASIVGPWPGLGLVDEAQVEVQVLGSAAGNRRAGGWCIFPTFLGHIPQQR QQCQCACSHTDADVTFHFSISCTLPCTMRMCTASCAGTRSHSCRPSMSRMRLAA CC84DRAFT_1155570 MPTKSRYPDVPIPDVDLWAFLFERKDKPFQDDKVIYVDPYTKRS YTYAQVKTAAIEFGQGLKALWDWQKGDVLALYTTNCIDTPAITWGCHWAGGVLSPANP AYTVDELAFQLKDAGAKAIVTQMAFIKNAQEAAAKVGIPLDRVIVMGDEKDTSYKVKH FTSIRKTSGSARYRRTKAKPDQDLAFLVYSSGTTGHPKGVMLTHRNIVANTMMIKAGE GGKLSSTGGPDGEGDKILAFLPFFHIYGLTCLIHQAMYSGLQLVVMPRFDLEDFCKFV QVLKITFVYVVPPIVVLLAKSPVVSRYDLSTVRMMNSGAAPLTKELVDAVYDRLKIPI KQGYGLSETSPTTHTQPWETWNKHVGSVGTLLPYQVAKYMSPEEKELKVGEVGELWIK GPNVFKGYLNNPEGTANALTSDGYFKTGDVGYQDADGNFYITDRIKELIKYKGFQVAP AELEGILLSHPSINDVAVIGVYEKDEATELPRAYVVPKDGLGRTDDEKKAIVDWLGER VAGHKRLRGGVRWLDEIPKSASGKILRRMLKLKALEEEQGPKAKL CC84DRAFT_1168706 MSAYESNIPIAPHHKYTIISEAPIDSSEDFSTHPAGPSSPSLSF VNAQLLQLFLACNSRLTAMKERPKFVLLAPIKGVKNPRFAHLHAVRYDEVGCRFDEDN CLSLFLSELVEGERHTKVLYVEKTDVEVEVEEEEKKGDEGAGVGEVKDERAD CC84DRAFT_1180627 MSNLLPPPVVRIASEPLPRHRSLSHAYEGQRSPGGSGGPFGVLP ETLHPPIPPPTLPSLPECQTQSGADSSRGISHLPPPPGVIGVVLPPPPPPGTAHVTSG GFPPSLLTKERRRFIGISDGLLLPPPPGFVHVAPEPAPRPIFPRPLPSLPAYNGQRVP GGPGGAGCLLDLEFSFQLQRWNLSNRLGLLWDYPPILEHESLESLEHWRRLGSLQLNL NQDDQLISRCLPNLVQVASTHALVKIRSLLNPAQDASIHTDHHIPHAESLSAAAARSR EAVDPSGPGDAAVAPISLISTAPPSHSLSAVLASRREAVDPNGYPDSPISSTEVSNTP PWSNGPHPQSEPDLHLDRHDISPNLAPSHRLSLPPVPSFEDLSTLPAIVAQKLQYSAQ LSLSTACASSSGPQYELVEEDYMPGRPEPVSAKVNGPFMSRHTANFEAAKMFTHRFWE QVMVKGQVFEAHIGEAGILTMVIRYEDGRGRKGETSVFVRRKGWPGAISGPAGVPQP CC84DRAFT_1222126 MPERDPFLARIPRPYRILLLYLEPLMAFNGALLCLFAPALFLST FSPYLKYHADNQIIYNQLAATYTLFAFNQAVVLRVAKDLRVWRAIVLGILVCDTVHLW AGFDVMRRDGTVWPGVWRAEDWVAVGSLVVPMGLRTAFLAGIGVKEEGNVEKKVQ CC84DRAFT_1168709 MSHFHAALFLPYTVDFDKPQQSQASTPVTVVPATTELHRKNSIG SANDAGQGSILRDNAPPGITLPKTPAAMLAQEDYFTPTQPSATAHFLRPNDTKTLVRS DAHVPEWGAGGMFFNQPRSRAGRPADTVLEYQKNEERLKHQREASRERARSLYKSNSG NRKTDPRWEQTYRIVPAIQGNGGLTNAVRAADAAGTTQDVLHVGLVGFPTDEIDDDKQ QEIYEKLEEDHDALAVFVSNKDYDGHYAHYCKTILWPVFHYVIPDHPKSKAFLDHSWK FYRNVNQAFADKIVKNYKRGDTIWVHDYHLCLVPAMIREKLPDAKIGFFLHTAFPSSE VFRCLAARTQLLEGMLGANLVAFQTPEYAHHFLQTCSRILAVEAIEDGVQLETRFVNV YASPIGVDPHKLAEGRKEKEVQDWINTLTERYQGKHVIVARDKLDSIRGVRQKLLSFE VFLKKNPEWRDKVVLIQVATSTREDPELASTVSEIVTRIDAQYSTLSHNPLVFLRQDI SFHQYLALCSVADAMVITSLREGMNLSAHEFVICQDGAYGNKKHSPLILSEFTGSSSI FEGAELAVNPWHYQGIADAFKQALEMSEEEKATRYKKLHDNVLHHTGEYWIRNLTSKL DQVFEEQFRRDTMSIPRLSAANLIRDYELTKKRLFILDYEGTLANYGSVNNTVVTNVD KVIDILSDILNDKKNAVYVMSGRTVEELELAFNRLPNLGLIAENGCFVRDGNSDEWIQ FPDEEKTQTWKNAIKDILQYYVERVEGSWVEEHQCSLIYHYQSETDSASRQAGECANQ INDASASQRVRAIPTKDSVIIEPADYDKSTAAQHIYDRYLENDRAEFLFVAGNDRDDE IVFKWGQELKDSRKVRCVTTVTVGERNSLAMATLPNGTTGLLSVLNKISKVKTAPKGT CC84DRAFT_1129457 MPPANPLDALAIQNTLARYCEALDTKNWALLAKVFAPDCRADYP FNHSLHGASAISSAIQNRLGPILTHHSLTTSSLVFAPDGKSATATTFFVGCHFGQGPH EGKVLQAWGRYVDVLEVQAAKEDGDGGGDVDVDVDGSYEGVPGASGCWRIRKREVGFT KRIGDEKVMSEF CC84DRAFT_1155579 MAEEKEAPQSEPANGAAPNGNAPGTTEKHDEKNPPAPLKQKTRT DSSKKGPAGGFDDTPLPRAPPGYTIKITLHRARNLPLADINTLSADPYVIAELHTSLP TRHKEDPPLQRRTATIRQNTDPEWNAEWIVANVPASGFRLKCRIYDEDPADHDDRLGN VHVVVDSLSENWSGIREQIYPIKKRMASKRAYLLRALAVCFRKAEHMHGEMTVSVEVL GRTQDENGGRTYTVGPQYWIRHYSPLLGRLLGKKAPDENGSSSGASEKKKPERYNFQA NQMQLRGPTPEAMYHRYVEFKPFVKAMFTAKGFRGFILSKALHHQHTRVYNFDRETAF ESFPEPCKEFTQKFLELVHHDQGGRIFTYVLTLDGLFRFTETGKEFGIDMLSKHTMHS DVAAYIAFSGEFFIRRLKNPHNKPPEEGGHNSSHPPDEISGGPPKDQPVKDPSYYELI IDNDSGTYRPNAQLLPQLKAFFESNFPGLHVVTLDCQGQAEKMDKMKKEQRERKKAEG DHIVYKQISRSSSMSSSDIEDLERLQDEDSRTEPHFRHHLQALAAGKGEAMKQHAKDY RPHNNREGWRGDDHPARHDDAGAGPSAQGDSARLSREGENAAPATAGQQDPSNS CC84DRAFT_1222130 MPKLMWIGGCDMPAPVKRKTKDTIIVKGVDGLTFGLKCDTKALL ESKSKIWFWTGCDNKHKLFDKLSCEWNLCPMVNTRLKEDISGLLDKSNRIAMVCITNF FDDEAADFVYFVKGYKNLANFLKLTFSGRAYNDPCFATPLQNFTFKKLIESPGLDCLA LECVGKTETKGLRWLYLYKSAVNAWDKLEFDNEKYMTIKERLNRPGPMVEELSDTEED TPSDTTDDDDEEKEVDEDEYEDLDDE CC84DRAFT_1168714 MPKALPAVGLAACALQLLDFATKILIKDHEVYQPRVGAGVQNVQ LLRAVTHELYRLNEALHENRSKKTFYEKKAGKLEDATQHLLRLCESTHEIVVPLIDGL ILAQSKCEDVEATWTNLREPLMTVWTKGGISTQKKRMQAQRKEIELALLRALKAYLDP SSEKGLSVIHLEDTQATHRQTWQHEAVEAISTNDWKAKNKKHLEEFSKVVDNLILADT EARFRDLIFASLHFPEQDDRLYSISKPSDESFQWIWNSRQQGKGSFPVWLGDTSGQNV FWLTGKPGSGKSTLMKYLFRNEELFPFLERWSGHSPGILTGFFLWNCGTDLQKTTIGM LRTVLYEALQDMIFGPLEEDASIVQRIFSERWKQFSSYGGGIHPFNLSELRTAFDLLL SDKTKKFLLMIDGLDELDDDPTNALTVLISASNRENVKVCISSRPSVIYQATFKDWPS LELNKWTRRGIQDYVLYAFDQNDTMFNIPAEQSDGTEERAIINTLIDKANGVFLWASL ATEFLIQSTKETDDVSTIKWRVNALPQELEALLIYMFDNLDPQHFLQAARLFRLVDAH GYPSLLPLTSAYDTDTRSALEAETRPLSVGEVMTRSEKMRNVLVFKCKNLLSIFEAVP TDSQRTSVEVADLAHYKVNYAHRCIRDFLRTYEMRSRIAKATDYENFIEDEYWTNAHL WTLKTLQPRDGKLLIWDALANCMEYALRLESSDDRVRLTYLDEVNATLAEYLVNPATP VTSMDLPPGATVNSFGDIAVLLNLTNYLTIKARSADKKDLRHAMDYARDVRKRLDAGG LDAFLGKRGKLRESYERVDADLAGLLEYHTRSSLKLGSPKITRDMPEWV CC84DRAFT_320513 MASRNIGVSLMDVPTICFGFTLGFATLTISKAAKQTLSVMRRKN NKFSPYIFMIWIEIVVCLAMAIMSWLWIRGDLPSTLWYFFIQVTLWSIQLQLLLQIIA NRISLILPSRSDGRRLRLALFLGILLINISVYCIWIPARLNVSPTWVKLNEVWDRVEK VIYLIVDAGLNAYFLYLVKVRLVDAGLKKYEELWRFNVGISSISISMDLLIIGMMSLP NDLVYVQFHPLSYIVKLNIELCMSDLISKVVRKRDRTDKPHEHDSSSNPSQGTELPST TTKTGTFGGTTFTRSKLGHTGSKDKDAKSQTVSNVREDSSGPNGEGKEDAESSGDSIH SSSSSDSGINFRVSGDANASGIVREVTVQIEVDSVREGDDEQRSTKSTTILRGGGW CC84DRAFT_1190646 MHSTDFSSQETSPENRPWHDHPHWKKIKSKVPAPVAARTRKVSQ WFRGPESAKPNRITPLFEPIQIFHIRLFSRLPTFARGCIFVCAFMLWLVIFGVILSKD SLPSDIGGFGPPVRLSCTARLWPNGQSCGLNGVNCLPFDDASFAFTCPADCAGVQVLN PYTIGDTSINYRPLIVGGASEGSDDNDLVYRGDSFICGAAIQAGVLTDTSGGCGVVNL VGERTAYGSASQNGVPSVGFNSTFPMSFDFERSEQVLSDSAKCRDPRWGLLALSTIFT ALFSLFTTHPAPFFGSIFVITFWQIAMASDPPSYSDYPSLASTAFSNFLPAAFIAVII YRVSVRKTLMHLNAQYEKTILWVGALWVGALNNLTLDMIPISRLTGHDIKQQPGAITA LVIIILILIALVLYQAWCFRIEGRLPRYLGIYGILAFCLLILLAVPSLDLRIHHYILA LLLLPGTALQTRVSLLCQGLLVGLFINGVARWGFASILQTAAALRGDAQLGTDLVSIL DPIINATNITFAWEGLAQGYEGVSVLVNDVERFRGFHEDGDKNFTWTRKALDHPEYFR FGFVNYQPFGGISYSDFTKAGTWWPNGTWEDPPPGRT CC84DRAFT_1263573 KYKTPTVVPHQPVLSFTAQYLITNPPNHTLQTPSTCSSPSSSLP SPPPPRLSTPTPTAPPPLLLPPALPLPPELALPLPPPPLPSKVQLSPTALPWLSSSVP VPLL CC84DRAFT_316780 MTLLPTESSRRSKTKFPHGPTARDIRWRRNGYPSTWTRHCQITV EPWADSQSTGVEHRSVLDLPIGIGLQQASLMNHPKGALAGDCLQSALSKLGVVASACQ APYGRTRPDVRSTTRRNSRINSSVWFGTISTFRQCTYKIDAIAECTVPCFLQYTEKSC AMMQKLVLFRTKTHTGTAHIVRTAWSLCAARSSLPRLHATTPRGPRLRQLYTDSSPCF RLLPYSTARRRVCGPTCSLLSCELIGRAPQAESTAAEHGVPRECPGLQPRPCISSWDL FPWHYAAVYE CC84DRAFT_1199472 MYIMNGVPLRALVTIVTVLLTAPILARNASKYHNPIIWLFHPDP TCTFVEELDSTFFCTFSSFITFPGLQIYASRDLWDNFIITTSNPYDSAAWSDPVHFDF PGIDPSPFWDDDGKTYLTGSFEGKTILQAPLDFETGEVLGPLVSIWNGTGLPSPEAPH VYKKDGWYYLLTAEGGTRERHRSNMARSRNLFGPYEGDPANPILSVGHSDIFPDAQAV LTPVVWLSGAWPTYKYVSGTMTGGFALPMDLNPLTIPAGGEGGLSEADDKIDFAPGSA LTPHLFHWRLPVTKNYAISLENHTNSMMLRSSVLNLTSFDGDSTRGLGQTFVARCQSH TRFRFSVDVEGDGLLTREQNEVGVTVLQDQAQHFDLGVVMLKTNGSGDLAPHIRFRGI CTTTYRLPERFKYVDEVLPPPEGSMRQKVRLQVEAVNTTHYAFSAGLGGCEEDTPMEI YGYTRGNYLIPYYSGVVVGAYATSNGKFGEGTFKTYVSRWRYRGLKQFVEDFTGEERF IL CC84DRAFT_1199475 MAMMEPSRDDVKAIEHSDAMTNQKIVFTVGASLFSAIVYVLHIG YQRRSRIYRLRKQGVAMPPGWSWWFGHLRVLHQKLQQLPPDANVYMAMEDMVSDHADA EVFLMDLWPVFAPTLMISSPEIAMQASVKYDLPKPRDHLITMNEQQWKMWRSLFSSGF SAGHMLSLVPTIVDSVEVFCEILRERVDKGVFQLDDMAANLAMDIITKTTLDTDLNNQ RSEHEISHALNTILDWHSFWGPRILLNPLRPVVQWYYGRKRFEEMKRETATPTSNADR PKKAKSVVALALEQYIASQSHTTSLPDLQLDTSFAAIASNQIRLFIFAGNDTTAATIV YTYHLLSLHPSALSTLRAELDSVFGTSAPVAPQLRANSALLNQCAYTLAVIKETLRLY PPASSLREGTRAVSLVDRNGTAIPTEGLNATLMHRFIHSNARVWPRALDFLPERWLVA PGHALHVPAARGAYRPFELGPRNCIGQTLVLNELRVVLAMTARTFHVAPAYEEWDAIC AANQGVARTLATKLGLTRDAPKPPRGERAYQTSRSGAHPAERYPCRVTLV CC84DRAFT_320706 MQSSIRAQAEVQAQRKKQLANSYKQLLDEFAAPDLKNVGNYTLG RLIGKGSFGKVYLAAHKLTNGSKVVLKSAKKDDTNLAREIHHHRQFIHPHIARLYEVI VTESLVWLVLEYCPGDELYNYLLAHGALEPAKVQKIFTQLVGAVSYVHNKACVHRDLK LENILLDKYENVKLVDFGFTREYEGKSNYLQTWCGTVCYSAPEMLKGEKYAGEKVDVW SLGIILYALLVGELPFDDDNEMVTKTKILKEEPNYPDSFPPQAKELCQSLLSKRPILR PTLADILQNPWLAEHAPRQQEILKLKQPDPFSTELEKEVLHRMRAAGVDMDTIIENVL AQRCDALAGWWALLLEKEEKKARRRERKRKEREAEAKSLRRLSAASSRLDKLAPTIKE TDEEGHHSGLLGEPPKSRGRARNRSSLHGVPSDLPKLPESGNSPEPGADMPPPPVPKD HIRSSGSSSRPPPPPKEMMRRRSQASMLQVVSTNPDLLSPNGFVPKRRRKYQPPFFSH LATLRNWIKETSKRARSPGGSKASSAKSPTQPDHVSSPESTRRPTAANRNSSVHAHHA GVTPSTHIATRPRVSSSGKRPSLSPAPLTPRSSYRRSSGGLRGRKSTSSSISSIRSMP HHHHTHSKASSTSSASITSPTISVSKPSKSPHASVKVLPATPTNASFPSGLRLGLAVR GGLSEGSAAFGQAPPSSPGLNMVFAKRKRSPFKGPMLTLGQHAGQHHSSPAAQRRAEA ASRSASMQGRRSGEIMGITEEEEEEEEEVEEVDEFSPVLGGCEEVVEEGDTETGAESP PSGEEKGLGLSASTGADGKAEGGRGLLLSRLMEVREESPVPDIENPPKTPPKGKQPTL AETKPETPPKDR CC84DRAFT_1129468 MPFHDDDDYRTEKSFGSGRKSQSSYYGESSVQRDGLGRRVLDSF KRDPNVTMTPKGSVGTDGKVFDVESAAANTASSPLARRLKGRHLQMIAIGGSIGTGLF VGSGQVLAAAGPASLVISYCLVGIMMYCTVHALGEMAVLFPVAGSFSAYSTRFIDPAW GFAMGWNYALQWLVVLPLEIVAATYTISFWNHGAVNNNAWVAIFLTLIVGINLFGVKG YGEAEFVFAIVKVAAVVGFIILGIIINIGGGPNGAYIGFKYWRDPGAFHNGFKGFCGT FVNAAFAFSGTELVGLAAAETANPRKSLPTAVKQVFWRITLFYVVSLLIVGLLVPWNT PQLLQKENEHDADTVTSPFVIAINNAGIDVLPSVFNAVIMIAVLSVGNSAIYGSSRTL AALAEQSQAPKVLAYIDRQGRPLVAIAVSSSLGFLAFLAGVDRDKQQATFNWLLALSA LSAVFTWASICLAHIRFRKGWALQGHTLDELAFRSQPGVIGSWIGFAFNIIVLIAQFF VAVVPLGFATQTTNQRLEGFFQIYLALPVTLAFYIPYKLYYRTSVVRSYNMDLHTGIR ELNLEALIEEEREERKAWPKWKKVYKFFC CC84DRAFT_1102346 MPAPTALQRAPAALAENVDMADAVAVPLPAEDDAPLVDMDTTAA PAPVHDPAQSDAQDVPTTDESGRPVFPKSGVIPLAFKRERRNVPVPPHRMSPLKTNWP KIYPPLVEHLKLQVRMNTSKKSVEMRTSSATTDTGALQKGEDFVKAFCLGFDVDDAIA LLRLDDLYIETFEIKDVKTLQGEHLGRAIGRIAGKDGKTKFAIENASRTRVVLADQKI HILGGFKNIHIAREAIVSLILGSQPGKVYGNLRTVAGRMKERF CC84DRAFT_1263580 MTRNVALAIGAAVRRSVGEPGLGPLWRARTAASDASRAGIHTAR RPVCPGACSWAGPPRTAQSHVGPVATLLLRHPPPRPSNAPQSIASAAVAAFQRNARHI SSTSKRKYRATSRREKTSATDSSKPKAKQPSAPAPEATLSPQPEAPPEPPNAPKEAPK PNTDADHSADHASYFSLPHALPHLKRPTKAELLEAASGFWSRIRVHLKWLAIRSNRPF NADEIYALFSWILAAHFAWLLLGTTTFVMLTVFLINTVFAQESIAQWIGNYLTKSSGI KVIFESAVVPKWKDGVISFRKVFVSRRPGQKNTHVTKGSQSSAAAAAAALAHKERGGS DAAEEEEDTNYSQFDISIDTVNVTLSFSRWMNGKGILKDVEIKGIRGVVDRTSVHAVE GVDPRSYKHTHQPGDFELESFKMEDLLVTIYQPNNFRPYSVSIFSCDLPQLRKQWLFY DFLSANMMSGSFDNSLFTIHPRQTHNYTGAQLNEGRDTDDGATWKKQSRIRIDGLNID HLNRGYEGPFSWIYEGDVDIIADVMLPNDDDDSLAKVMSDMYDRVEATVTQNHNRHYS DHAAHGFDEHHHQPGPHEKESKGEASEDDKRFVIMDVRVHLNDVRAAVPLFTKDISYV NNALVRPIVAYINTRKTFIPVNCRVVKRASEFDGSWTIYDSGLMDDISREMYDAFARD IEGDSTARKRRIKKVGIWTLQLAAQALFLGLAGNIA CC84DRAFT_1155598 MVKTIKFGDIEVPVPGFGAMGLSHGLGNQFTLEQAEPVLLRALE LGCTFWDTAVIYGAGINETLLGEFIRKHNARDKIFLASKCGLDIFNKESTGVYGGVTN TPEHIATYIEGTIARLGFSPDLYYLHRIDPTTPLDTSIPALAALRSSGKTKYIGLSEC SAATLRRANEIAKIDAVQAEYSAFETLHESDGLVDAARELGVAYVAYSPLGHGWLVDD FDYASPEDFPEGDYRRKVPKFQGDNFYANKKIVEEVKGIARKKGVSTSQVALAWVASQ GMIAIPGTTKVHRLEENWGSRDVVLSDEEMGHMREVIEGAKVVGARYWPEMQATVGH CC84DRAFT_321044 MDVEHGLIIAMSTYGAEYRGALKNTPVTVLPKLKNLFDIVYLDY EHLAAEKKVPVNRLKYYLVDNIKNVATKAAVDFALKFELTESECQAHTWEQRDIFYPE DDGYKALIASPSGRSAALLLATHKKVFGERRIVESITFFCQSDDFDSFNLLFTIKDHD EEEDDTDWEDEEESEDPGQPDFSVSPWPSATAAVR CC84DRAFT_1155601 MNEDGTWPNRGGQILGATLSICILSTGILIWRIVYGIQSKRKLM ICDYLLIVAACLNVASSGIRIKSTIHGQGRHINDPSISKPHDILQYSYYLYIGQIINL IAVAILKLSICTYLLALPFGLTYKLVIYLSIAMVAVFNFTLPMMGQLCAKPFEANWNK SIKGTRFYKGSTALTYMQGVSNILTDVVYIVAPILYLSSVQLSSKTQWGLRLVFCLGL TATVCSIFKTVELKSLLKTRDPTWDGVNLTIWSATELSVGILVASLPPLRKQFERILR KVLPSTLQTSNKRTPGSHSGIPMYNVSKVTTKRNTRIMGRSDVGIDDGDSERSILPDG GSDTKVDRGIMKTVVHEVTSESRSETGKEVPQSFEQRR CC84DRAFT_1155604 MRSFIAVTQLLGLAAALPSGEKRASNTYDYVIVGGGVTGLIVAN RLTENKKTTVLVIEAGTDDLPFQQRLPYGAASALNTSLLWPNYVSEPEPYLNNKTWNV RVAEVLGGGSIVNGMFYDRGSAADYDAWEALGNSGWGWKGIYPYFKKGTTFIPPPKAT ADEFDITWDTSAYGNGPLHLGIADFQYPDIKPFIAAYEGAGVKKLLDGNNGEQAGFSW YPNTMNPLTGERSQARNSYYDPVKSSRSNLKLLLRTEAKELVFASGKKLVASGVKIVD KTTGKTTTVYAKKEVVLAAGSINTPKLLQLSGIGPKSVLQAAGVPVKLAHDGVGANFQ DHPYTLVLFNQSFSSTPNSASLATDPAFNASAWAQYEANKTGPLTQARGNTLSMVSLP KVAPNDYKNLVKQIKSQKDDSYLPAIYTGSKKLIAGVKAQRKVLADLFASKDAAIVEL PVGPGGGGVLVGLEKPLARGSITINPADPQGPPKMLYNALSNPVDKAVMASCVRFLRT VWKRPEIAKYGLVETSPGAQYTSDADIIDKMNAAGTIWPTLSHPSGSCAMMPEKYGGC VSDKLLFYGVEKLSIVDASILPLVPTQHIQSTMYAVGEKAADLIKARA CC84DRAFT_1230904 MSSSAFLRTILHLVAFLNLFQCALGHFDVFRIDLSTYDPQWNRR HARDIPSNVTVTGEPFNGNFAEVLAAAGLYKDSFWIVLPHNWHCSQVLDARLVNDKKD VSGRNVGVRCRGDGCISDHSTDNIDLIEMHWSNTPLYHWTLYKDRLDDDKHQIMWGVD GEKYGACEKIGTPTHWTQCYDRPPFMGNTKTFFVHPKFKCWDAYDWTQINAAP CC84DRAFT_321189 MCCKLTAHDTTYHLQHRKSLTLYLKFSIHILSLFGTFAALACVS ALPSNLAYR CC84DRAFT_1155605 MRTSESGPRCLRGIGPLDLIDHDPRPTFVIDNQESDETGTPVPC HWNSAFLEFDSGQTLNALLKHALAHAITIAAIAPNGFADFKNWIAGNDESATRSYVYC NCTWIKINVSKQWTVISRLLTNNATTTSKPTSSQPGGAVLKLSPQCKTTTFDWTYPAP PERMTSHVAWARSIDWASTSLGPMNEWSPQLRSIATLVMQDARPAVVFCGADLIMIYN EAYIELLGGFHPCMGVSARVALESVWGHYFEPLIARNLAGETIEGTNNPIQMERNGFM EESYFSWSFIPIFNSEGVTIAHYEPLVETTREVIAERRANTILQLSEEVPRARNLEAY WCSAIEVLSHNTKDIPFAVLYSAEALLESSSCSSTAEKFDESQEFTLRGFFGLPRDSP AAVSQLDFHQNEGFMPYFREASAARGPVRVDLEPGSPAHELVRGVDWKGYGDPCRGAV VCPITPTSSKDNVLGYMVLGLNPRRPYDEDYRHFIMVASRLLSTSLTSILLHEDEIHR RERAIENAEMMKAELKRQLIATQKEVERNAMKFQRFAERADVGIFIVGLDGVYSYRND TWWRMLDPEYQYRDVDLTDAWDALIDEEFIQPGKEKFQTLIETKQHQTWELKIKKTWT VDSQNIEGSWPNEEPRWILQKFGEKLQATQALHAQESKRKLENFIDTTSHEMRNPLSA IVQCADSIISSHKAFQESPDYQATYKNILDSAVEAADTIVQCSKHMKTIVDDVLTMSK LDSGLFVMTPVDVPLQSIARDAVKMFEGEAKAAAVALEYHPEDSCSKINIEYVSLDPT RVLQILINLITNAIKFTRLEKTRHISVRLGVCTEPPLQGFDERVKFHRTPGAEESKAL RMDWEKGEVVYIIFSVHDTGRGLSDAEHELLFARFSQASPRTHIDYGGSGLGLFISRR LTELHGGAISFTSKVKVGSTFSFYVKCRKASPPSPMDKSATSLSVRAQSTVLANRSRD VNDKPPALGRKLSESKEENALIKVLIVEDNLVNQRVLAKQLKIIGMHVAVANHGIEAL EYLRKTKYCIPDEGTPAQELSLILMDWEMPVMDGLTCVREIRKLQARGIVKGHIPVIA VTANVRSEQVAEALEAGMDDVISKPFRIPELCACMHKNIVAVNGKWGDEA CC84DRAFT_1209559 MNTSHCCARLVALNTRSSFVKKYRRGWECRERYHTHEEHGELFT SCRPGGNYVKIGNFDVADDILRRKDDFGRDLEAFAVLNIYGKNLAPNEGKEWMKHRKV AAVTFTEKNADLVWCEALKEASQMLCYWLERAKKPIRTLAQDTQIFSLNVLAAAPFDR SYSFKGREEGKLRNEAIGSDAKDGASGYRDTIATIPQMILPMMIFGERKLRESWWLPN SWQNAGHAVANFRDYVTHLIDEERVAIAQGQATSPTWSRTSFNTDSSSESRAILIKDE IISDLIVFALASNDTTALTLAYILAELAAHASIQDWISEEIRHYTTTEDVTKWEYTTC IELKRCWAVMYETLRLSHPINQLVKNTGAKPRSITHKGATFLTPSHTTVEINLPSLST MPSHCGSDRLEWNSKRFITSKHQYPDKFEDEKIPPDTSTFFAWAYSRLVCPGKRVSQA ELIGALAALFRDHRVDPVTEVGETMQ CC84DRAFT_1209560 MWSFTVYGSILAVFSLSLCAVSANDQHTSDSRGDICDYPNCRPE PQIPASRPPPGGNWLGFGAEVYNNHWAGSDSLIDITSVKTLATVCQKKYEPGLSASPL IEDGVAYYNTFGGLLVALDYENCKERWTLNVTELILRVKGNSDGVLATGGALASRSTP VADGDVLYFGTLARALAVAVNKRSGKIIDTLEIGNHPLAILTQSPTVYNHRVFFGVST TESGGPAADPSYNLTHHGSMNAVELRHGRLSLVWTTDMIPPGANFSGASVWGSQPSID PIRNQVFIGTGQLFSLPDEFAECQDANKNLRVQMEHLANEPCLPRNVYQTSVLALDID TGEINWYRTLGALDAWNAACIPQYFGGGPGDPPGPNCPKNIGNDTDFGMAPAFVLGSE YTPRQKDIIVAGQKNGNLYAFSAQTGTILWAINAAPGGLEGGLSWGIAVDKDTVYYTG INTDRHNFTLFPSNQTVSNSIFGAVNLKDGSPKWQIGAPRNMSSTVIPVVVNDVVLTG TSGRWEEGSLSAVGPGDFIALNKFTGEILRDDVLDAFFHAGIAVVQEYVMFGTGYGGL EAAQNGSFNVLKIGSARPASGGDPGDSGNNIAELERKKDELAKGKMDLRKKIEELEKQ ADELDRMRDEL CC84DRAFT_1168725 MLENSPARFDVNFAGPKDSDVDEDSLKDVKVFTFPGGPGKLPLR RRNTSL CC84DRAFT_1180648 MPRPKKEGHIPKTRSRNGCWPCKGRKVKCGEEKPQCLNCERQGE ACDYSIRLNWGGRAKRENTTSNTDSGSSRANSPYQTMLSFDDTVPPLFQAHSPGRPHS RRKLAQHGRSQSATSIPTSEPEVDPDLTRIFQTQSHPVLSANAMFDGDSPPQEPRSQP TSVPFGVFEYSGSFDYPSPAATSFDACSYSNANYAPFTTHSMPPPNAYPTPTHRQYEV MDSMPTHQRSYSADSPAASDPYSPPCPPPNPYSPFNTMPLTPNSTIGTDEPVRATSGQ TPNAHIPVDPRRLSVLSLLSGPPGDSTQSGRQYPVNEDEYTIYGYDTGLPDMDMPQND DQNPIAVSTPQDSAMDLDGDSPEAHTPETAFDKGGYYAKPIPIKISKSLEPLPPLLLE NPMNLLYFHHFIDHTARILVPHDCEKNPFRTILPGMAVKDENIMSLLLAYSASHRARV LCHDEPANRIAMWVTDVFPKLRQSLANPSTISNAALASAIMMASLEIISPNTFEVPIA WQDHLSMARQMIIARGGPKTMEKSDHAAYFLSRWFAYLDVIGSLSGNKNDTPLGSWYW NSANESADTDFQIDCLYGFTNRCVGLLAGIAELAKEVEPLRIAADGTINTTFRPSPEV AARAETIRQELWKGLTGANAHKGCTHRSSRTSSSSEITWDATEIDATNEMFHWAGLIH LYRRVHNYPSSHPAVQQSVSQIIELLFKVRKGSSAEACLLFPMFAAGCDALDQGQREK VLERFRGVEGSGMVQLGRARGVMERVWETGASMRRCRRCLDMKDVISLVSWSPQTRTH PRCLDYTSFLKSFRYSVWARILRCQEEIIGQERFNAATSSVGECGVTVEAAKLEKTEE IISRVQLRAIHQDQGGVGETEGLGGSKCE CC84DRAFT_321223 MFAPSALRQARTFTIRLQPGFIAPCASATAAPFSTKTSTRPAQQ QCTQRSSFRPSPLALAIRHATTAASSASAANATSSSSQQLTWNDFFALRKTRRRASQV TSGISAIGVAYVGLQVFIGGGYDGLLAASIGLDPIITTGLASAAMLTVGWLVGPFFSN AVFNVRNRAIAPQITKKEREFYDRIKKHRVDPTSSSMANPVPDYYGEKIGSVADYRRW LKDQRAFNLKRGERRK CC84DRAFT_1102271 MSSGTTSEETFEWIETPPARTPTKPAFDCGVRTTSYPAIPNAPL PADSNGRDHFSNTALISALVGVPGYITWKLGGGLKTWIFFALIVDLPILAAFWIVTSE YAPRKNEKAKFPGKPIETYLEFHKSEDREAYHGKKIPMETFYEKYFDGDVDFKGDCLE VMELRHDWANFRFTFGLIKHFLFGMIPEMIMHTRSQDEEQVRDHYDRGDDFYGWFLGP RMIYTSGIISDINREETLEELQDNKMAIVCEKIGLQKGEKMLDIGCGWGTLTRFASVH YGAHVTGVTLGRNQTKWGNNGLRKNGIGEDQSNILCMDYRDIPVPSGGYKKITCLEMA EHVGVRHFAGFATQVREMLDDDGVFFLQIAGLRKEWQFEDFTWGLFMNKYVFPGADAS TPLGWVVDKLEGAGFEVKQIDTVGVHYSATLWKWYRNWIGNKEKVTAKYGKRWFRIWE FFLAYSTIASRQGTATCFQITLVKNINSTHRVEGINTQFALSAALEASKAKGIKGL CC84DRAFT_1129499 MSNTQPPLKSIPNFRDAGAFVNEQTEQRRVKTRLLYRGARPDDA TNEDRRRLVEEYKLKSIIDLRTKTEHIEQAQKRDAKIKASAAVPQSNDAIAEPLKIPG VAYYEINFNGSSFSRMLISKLSWTEFFKLVWLMATGYRKDAIKILAPHMEEMGLVGLA IASVDVCTSEVKQVFDVLAKEENWPVLVHCTQGKDRTGLIVMLLLFLLEVDEAAIEKD YLLSGPELEAERAERVKEIQSIGLSEQFALCPPDVVSTVHAHVQEKYGGVEAYLLSTG ITTEQVARIKRTLRSDV CC84DRAFT_1168728 MATLGTQTPPNGSIGAPLKASAHMHGSIVDGAADMRCPDPSAHK TKNTTLQDHASAAALYSTNSAKANRNPLGADGKLSSASAATSLKHAQAHDLPSFPSHG IDTRTSAGAAANLATANFKSPSYPKATPTSAAAGKAALLANDYKMDPLWQPTASAAGS KAALLAHRDGVNLNLWKAEPSAHGHSAANIAMAKKGLGPQADWSNAEEGRKKALIAAT GATSETRRKRAQSSPASPPLYPDAQNSAKNALSAATISHSASMRKPQVTATTITDSNR LGSEAMEAARIQHSKVSREMYTDRPPVALEVEEKKRNDALRASAISMAKKMMDVQEKN KSAGHANSGAIAAQKQQPHVRGEDNLKQEAMRYIGIQEAAQKLAAERLAKIGPDEASQ FRSYYGYEKQSRNRLSIRRGRNRANSNPERGDSDSDDDEFQSRRIRAQMSQLNKGIAQ VDEKKREQDRRYLMEAAQRKVALQMAGIDKQVYDKTGKFSPSMVEEWDAKARARASAN SEARMENHGKVHIGHGKYMDQSEIDAIAQARMQPTLDEINEKTEKRFAQEEERRLELA EEKRQQQIEHERAAEIKAEEKNSKAEEKRALKAKAAEEKAAAKQEKAAEKEKKAEEKR LAKEQKRKSREAPAEHVPAATGGAALGTAAMSGGAATTGTATSPTGDVASPAATRTLV DEDEHYPDATRAERTSVEEPVAARASTTEDEATAARPSTATEDASTSPTSPTSPSKKR LTGLFGKFKRRSKATPEPGFIGGVSLRNSESNPRSNQEAGDGNVIPNHIPNAKGEFGR RHSDISSLSADSSRGRPIERTATQESKVSQLSEYEEARDTFNENLAPPPSFPTDVNAR NSGSPVRDSKFREVL CC84DRAFT_1168729 MLSASDSSRRRRKESEGERRSPKSKDRDRDKDKDKDRERHRSSK TRPSGSSRKPRSSASTGASKEDVTELADRPPKERTRTSSTSAPKPLKMGVVPEMERRS SMGMSSLNGSRTSLGYPTLSKTHAKENIYKREEPPATPEPTDGGDDHKNTSPPAPTRA PPSPPLAATNGADLRKTASRNSMRRNVDELHKEMASGRQSVDSGTRLTPDPRAGAASR SSIRDADIDSTEFTSTTSSHPSTVRAKSPRAAPTRAPPPPPKAPPPRTKTASPSNARP PGRAPSTTVRARSQQSSEVTQSTGSEFTSIPPERQPSRRVDHSPTNSEVSPDSVVDSS PRTPTQHSVLPTPFSAKQAPPRPTVEIITDPLSRAQSADSYNESPLTPPPPPPPPALM DPPRVDYLLQNGGLKQPVPRTLLAAMDGTPVPAYSQYMSPRISGPQTHDAHTFFNPLK KLLNDYNAVMDTSGSLAVATGYRSVARRLLDRLEAVFARNISSEQCPCIMCLDVPLHE DQAGVNWGEILELVSGRCDLPSWPPVDTAQPNGLGIADLEPPCQRIDVDVPEQYKEHY QQQARKTKVSVQSWLSNNQDAIPEDADDETLTFAMLTRLEQQQRPLFYAVLWGMDTMP HPRTAKDARPTPPYITKAALALQRLYRLYQPPRDQLVTMYMLRNPDMHNTLATLAAVT KHEWEILVSGRFDGFLWSGAEPSPNASRTPSGEVPTLGGPQRFASPFGAPMMSPRPGS ARPGSVRPGSGGPAPVQIDEETEIAVLAEVEREIYLGMEAMEDAFEALHVAAENVRRR LRERGAALSMVAQARRPADIEVRMGTPASVVGEEGWGDGDGRSEIGPDDSASNVSHNR RRRGHRERMRVRTPAVVEEDEGSSIAERVRRKY CC84DRAFT_321665 MDALKGVTDTVGNTAKGATDTAGDAVKGATKTAGDATKGAGDSA KGATGKASGGGGQDHLGLGKTGL CC84DRAFT_1230952 MAGLWLSHLPLGLLWFSEQTFLPQNHAWRAPSWSWASLDGLIVW HSDMMTTVDPVFRILPETTEAMGLAHEGAPYGEVVSGSLYIKGRVRKGNVSSDGQDEP NAINLDRAEICWDNDSFASLASTSEIFCLLICQFEQVRQPGPSGLLMKQVNQQKYSRI GVFHFKPLQIYDLEGDEHVDIEGRVERFQRAQIAAAELFESSDPASIVLI CC84DRAFT_1263594 MAAAVSAYPPLRDRPIQNTVLLFDVDETLSKARKLAKPEMLHLL SELRHKVAIGFVGGSNLVKQQEQLGGNIDVTTLFDFCFSENGLTAYRLGQPLASTSFI GWIGEDKYKQLVKWILHYIADLDIPIKRGTFIEFRNGMINVSPIGRNASADERNEYET YDKANNIRTTMIAKLKEAFPDIGLTYSVGGQISFDVFPTGWDKTYCLRHIEADKDLPD GVEYKTIHFFGDKAYEGGNDWEIYSDPRTIGHAVKDPEDTYRQLKELFFS CC84DRAFT_1209568 MGFVSKIKSAFGSKSHREPKQSEVVGPAEEIMFPAQLTRVGPAQ TALPDRHWTQSREVDCSDYRGNVHMAQAPYQQESSITESSGHSSGFEGVYIAALREMN TCVNDFSQKYSDASDMAVSFGLFAPRELGYRPGHVEELDSFELKRSNALRRKTTASRH RRVLSHGCYMPLRFFLDRRDCGSRGWSFAGCRWTCRGHTHESPQCPQRKRKMRGVALA RLEGRHKI CC84DRAFT_321713 MVDGTTTATTTTTEMLGLTTNTNTTTTQETVSTMNGSVREHYGS TFTSMDSSDDDSNSLCPALVLLSDSEDSDKGFLYPSSESARIRLPSGRTISKKANGPH TSKHRHRRTPSPNTRNHIHSSRKVQGNCALLPNSVTVEKPMSALRPRHPPTPLTSDSE SEYDQISITTTNRETPNSLLAPHIRLATHRTTIRSSDAQMLAMLPASTQRSLLATTRK AVERAGREEQEYRRKLDGYGNLKSKERFVNDVPGGKSHKNRFMAQ CC84DRAFT_1168734 MMGSIAAFDVVVATLFLIALFLLTASVYRLYLHPLANVPGPKLA ALTSLHELWYDCFQGGGGQHAFKMREMHDIYGPIIRINPWEVHIDGQADPAFWYILYS QTNKLDKDGWFYSGFGSGLSMIATTSSDLHRARRGAVSSYFSAAHVRKYEPMVLAHIS KLIARLETCSSNGEVVDLANAYRCLTLDVVTSFSVPEPGKMLELDDFGKGFNSLMRDF SKLITLQRHMKVVIPLLELIPDWVGNAMDSSGSFQQLVDWRMSFVKAAQQAIHRKGIP PPGQDASILDTLYSAPELGQKDKVMKYFVEEATNITGAGTETTASTLGLLTYHVLADR DIRNKLLAELSAIGGDNTELIVLRKLERLPYLQACINEALRLSNPVTGRLPRLNPRAP TTYTTPDGKTTYTLPPKTVMSMSMPDLHFNASIFPSPHTFNPSRWIDSSPEHLKEMNR YFVPFSKGSRSCLGIDVAKMELVLTAGNVFQKLGKNMRLWETTERDVSWAYDFFAPYI PVDAKGLRVKIGCGP CC84DRAFT_1180659 MTRLYQSPCLFVLQRPDCAPRSLTQLILTSLLHRTPSSTIQTTP VTRTGMGSYSAYDHAYDSRFKIPSTSSPTAVKRFLETIKGGEGNEEKHYARWRNEQDE RWRARLPRKSERAALSLNAKSSSQLQSRFFQLPLEVRDDIYQYIFDGGTLRLDVQEKV DGKVVRNKEPYRLRNLEPQQCVAILQACKRTYVEAAHLLYTTHTFMFSDLTSFLCFER LVPSHHWHRIKSIGITWDYDEVGHDFEYDHLPCPTNEETWKEVCRAVSEMKGLEHLRV DLEKCPMNPYQASDLDLQQPLRDITAPIVLDLFVYGKRKALTAICGCGCGGIEGRCYG SVAFDQTSLDYESDSE CC84DRAFT_1168737 MGMSPALSPRPNPPGSNAPANAAKARPLVHLLNHKTVPSPSLAS TATTPGGPDEGLAEEMNDVVKNQFVFGSRLGEGTYATVYRGHYRDDPSKLVAIKKMKK NAEWQDGINLDSLREMKYLAELHHPHVINLIAVFTTKDENINLVLEHLPRGELQDLWQ DKSIAYGGADVKAWANMICQAVWWIHENFVLHRDIKSNNILIAADNSLKLADFGLARS FANPEADMTYNVITRFYRPPELFLGSSHYGGCVDMWSVGCVIAELACREFFIFSETDL QHLVRICDVFGVPTEAEWPGISKLRFWQEQLENSPPKRPQPMSWWRNKMPLIGDAGID LVKGLLAMDPAKRLDAKQALAHHYWTTAPKPQKRENLPGKGGKAAEKAMGEQLKRVGP EADEEKMSKIARKLDFGAMR CC84DRAFT_1155635 MASLARPPPPQGLPAKVHQTAPNRTLYVRNLPDKLPKEDLKRNL YMLFATYGVILDVVALKTPKMRGQAHVVFRDIDSSTQAMRALEGAAFFGKDMHIAYAK TKSDTIAKLDGTFKMPEPDLPEKQLETTEQTGVAATVFGSAPAKAVPVNEQERAKGTK RGREEEEKSESEDDDEEMQMSESDEE CC84DRAFT_1168739 MHVPSPSVASGAKVGMWLTLTRVSGAAAGLREDVLRLAGLRDWL FRTAPSAT CC84DRAFT_1168741 MSDPHPAAPVTNTEGWSEEDIALLKAFKDPTACKFCKRPSRCQE CNHELVPEPKRPAGKQNIDVPRPDLFGQLPPPIANPARHRDFSVQFPPEALALGAFSV FAAGSIEMGKAIPWQRLLENHLCDLPITICNPRRGQWDPAVTQRAKDAAFREQVEWEL GALTASTVICFFFDHATMSPVTLMELGLWAHSNKVVVCCNGDYWKGGNVHIVCERYGV PVVESFSELVPLVRAMLRTKGCIVGKSGNLTENEAERDPERSMSLDEAEGVLKGALRE KQGIAIDRKQLGIAE CC84DRAFT_321829 MPSPHTHKSTFIKALKSPIKMLPHAHGSSAAPNTPLTPTFSPDR PTFLSNGLPVSPSTSHVPEITLQRASGCLCDPFTDMDAAPTTWTCCACGTQNRVSIHS GPHPLGELACDCAHKPCVACSIAGTVKPFLPMDEPAMVPCSYMSTSTSNPASSSEPGK ANEKEELRFGILCPACGLSWRARELGKRWSKTLRKMPSVSLQARRLAPEHRLRKSRST LVLGTKRVITPPGIASDKQAEYAAVRFSGVPCTCGTTIRLRAALCFQIVVLEGGEQIR VDEKEMVVDGRMEMDGGEKTVMWEGSLVAWTTTPELQEKGHGTPTLRLLEGEHPNPLR SSPVVDKMARDSWEEKCDREGWF CC84DRAFT_1168742 MGSIKRFVRRVTGRTPKNQNDSVAPSNVGVSRTVFIPSSCWIQS DATILPATAVISSHRASPPDMAPIPMLLPTLDPIQPVSPIQIPNAIRSTSPDPAPKFS LAEHVESDGDDSHPVEESQEEDSFRCQVISAPLPRDDTTATIFRPPTPPPAPRMTSAS FARLPEYVDSSDSIAGSEVPPTQSNSWDGSEDVEVPRTGTGSFHSDVEDSEPSGMLSP LEFSAEQEDLFSSSSSPDRPIDRNRFALPGPPSYHGWPLVDGATPSRPQHRPSSVHMP GGPTLQRATHRGSTRRSRGSSSIRRLTTTPSPVGMPQKESSPLPAIRGPEHSALRTQP RTVYLPPPSSSSPLLQENPFFTRMEPLPSSTPMLPRSDDFGINLQDVLLNNVFFPDIQ EHSSVEDGDEGNDETSFNENWEEHRHERTPSEQAECERAERENAVNFEQNGAQHAWWE GRSKHADPAPGRDPYDRSGARASFGFRRN CC84DRAFT_1180663 MQQSATNPAGDYSSRPYGPAALPPTLFAEHRWRQWKWRSRLLLW LSDVKLSVSVFTVVSVVVVVPAGGVVVTITVCVPAGLDDVLVLVEVRVVVLYTVTIFG FGFDHAWLQAEQIRNLDRRGNGRSKGLSQRGSGGDRGCGCAGRRGFHDFDFGRLRGCA RYCICWWSSCSHGAGNGHGVGYDCGRLVCCFGQRLKLRLRDQSRRVTGTSRFAVAITV MRLQNLGSSTLDIYTTPNHSVIAYLKVQ CC84DRAFT_1129527 MKMKVAYGFACIAGAHAVLATNSTAASQAGIVVPNVYIVEFAND NVSPNTFYASLLGEGVDLQHRMDLSFRFFKGVSFQVKASGSSFGPNDSDIITQIKGRA EVASIWPVRSSKLQMPRTQSPSVPASREAQHLKRQNQAEDTFSPHVITQIDKLHNEGL TGKGVQVAIIDSGVDYTHPALGGCFGEGCLVSKGYDFVGDNFRLGINEPEPDDDPMDD CFGHGTHVAGTVAAQLEKTKYGFSGGAPGVQIAAYRIWNCISTTTDEIQLAAFGRAVE DGADIISYSNGFQIGWAGHVLAVVASRIAKSGIQFAISEGNDGGLGMFYSSSPATGFD VIGVGAVSNTKFPILVPTGSYSTANSTSTNFSILVGEPSFASDVNLPVWSAVDSNNAC NPLPNGTDLSETIVLLQFLDARATRCYPQDQSTNIAAAGGRYMLYYDGSGSNRTMRDD PIVWAEGIEGVARVAPYVAEQWLSSLARNSTVTVNIPATNNATIHLEELENNETGGFL ANSLTSWGPSWELGVKPNLVTPGENILSTYLTSGGSYRVMTGTSMSAPLLASAFALLK EARGSLDPLRLRHIMTTTSKPIAWHDGTKVHPDILAPVPQQGSGIIQTWNAVHSTAEL SVDNIAWNDTDHFVGNRTFSILNTGSKDAVFELSHRKAVTMYTLQETFGNALRVSSFP NLIVEDWADIHLSSREVSVPAGQSVEVTVGCTPPASVNVTLLPVYSGYISVSDTSSNI TLVLPYLGVAGSMRSTPVTMPSLVYLARYNSPIDAGTNYTIVRPDPANPPAPDTGNEG SQPNVYMELLIGSALVHIDVFRGKEELGSLAGSPQVYLPRGASRIFFNGLLANGTVLE EGSYSLRVKALRIFGDEDKEEDWDIVKTVEFGFKYAS CC84DRAFT_1102597 MSSVIVFGAAGVVAGAAAIETRKRGATVWLSVRQAELGALNRIG ELDEVKGYRRVIADLTDPSTIAEAIKISGATAAFLYTVFACEDGMKTVFEALRDAGVT YVVLLSSYAVKDPLSSMEKTKGSSWHHAQAEIALNEVGIDAAILRPMYFCSNLFLVTH SAKHGVVELFRPNTLFDFIVPEDIGTSAGALLATQESKGTILLNGPDLMSMQDAIRVV AGTAGRSIEIREIDEQAFKKNLSHLPEVDLQSLIANHIEYSTTPAERLFPKHAEAVAN LRRYGGQVTSIANWARGSELGQGKRTYGYDTWSA CC84DRAFT_1102434 MDATSVKITTSILSVVATLAVGGRFWARRIKKQHYGLDDWTVLA SLVVMWALAAVILAGAVVGLYHSHDVDTTSAGQLETTGREQLISKYNFVKCILLIAGI GLVKLSVVFLYRRIFNVVRTFKIYSLTLIVLLAGWTVAFLFANIFQCSVHISALWGSA EGILRYCKTSGPASYGFVVSDIITDVLVLVSPVPIVWHMKVSTGRKLGITGIFGLGLL STAAACGRLSTFLKALHSELLPISRLPRADAELAIWAVIELSVAVVAACLPVMRPLFQ SHGLGGFVTSVRSGSIHRSRQKEQPSWMEINDV CC84DRAFT_1129528 MMVFVRFVLGLLTACAVFALPENRTDPSFDAVVDKGTFQNPSKN VRPKFRYWIPDASVDTEVVARDVQSAAEIGCGGLELLGYYLYGGPPTNGAGRGGYAPA DWAQYGFGTPAWHKVFRAFVDAHRENDLIMDFAMGPNQGTGVPAPIEEDGLMWDISAY NVTVPIGGTFDGVLPGWGLGKLQGAVSATILGSQNITSNDPSGGLPGDQHLNRTQITL STASLTDVTEQVDGSGHLKLDFSSSNTTIAGSEGHIIFAIYLYKSLYRAQQGPLEIGG PQSPPESYINNGSWAVDHFSALGAQVMTKFWEDHILNNGTKDLLQQVGNYGWEDSVEI QANVYWTQNLSSIFEEQHKYSINKWLPILFHRNGKYKQSNPGVWYVTDEPDNGNAHIA DYRATLATQYQVYESAVKKWLNEYLGLQFSAQLSYNLPMDMLANIPTVDAPETESLDF SDLIDGYRQYSGPANLARRRVISSECGAVRGEAYVETLPEILWKVKRSYAGSINQFVF HGFPYSGHYGNTTWPTWSTFTYQYSAMHGPHEPAWDFYRDQFDFIARNNWVFQTGIPR MDIAIWQKMTVYPGHIEARTYQPTDLEEAGYSYEYLSPDNLDLPAAKVVDGILAPDAQ AFKVLVLRQNDSLTLDGVSKLVEFANAGLPIVFAGGKPSTLVGTVAPTVQRQVLRDID AISRLPNVHVTDSYLVASTIASLEIQPLTKVSTNASWYTYWRYDPATDTDYVFVYNDA MYEPPGQGASTGTIEFHSTKTPYEYNAWTGEKVPILTYTTTNTTTTIPFRLAGNQSTI VAFESPSHNVPAHVPVNRSAPDILGTALKGGTAILKTACASAPAFTLSNWTLTVEHWD PPADLYNYTSGALKHNTTHHLPSLVSWLEIPGLQNVSGRGYYSTTFIWPPGTSASSSA ADTPGGAFIDFGPVYHTLRASLNGRALPPLDVTAARADIQDYLVEGVNRVEAVVATPL GNVLRPIWDLLESSGEGPASSDAGPAHGFVPPPQGRYGLGSVRVVPYVEVGAE CC84DRAFT_1199496 MATISGVKPESRPDWNNHKVLHKNTLPVRAYFHNYTSESDALSR DVSKSKTHSLSGTWKFHFANSPFEAVEGFEVSSFDTSSWSDIAVPGMWNLQGFGKGPQ YTNVKYPIHVDPPNVPFYDNETGSYVRKFSVPKEFKNDQIRLRFEGVDSSYHVWVNGK QVGYSQGSRNPDEFDITDFVDLDKENTLAVRVYQWCDGTYIEDQDQWWLSGIFRDVFL VAFPKKSRVENLHVQTLLDSSYKDAELKVSLETTGLGGVSLKLFDADKKPVTSATANA TSESSSKWTISIRGQNPHKWTAETPYLYHLIIALDDNQFIPIRVGFRQVEIKDGLLKV NGKRICFRGTNRHEHHPKFGRAVPLEFLKHDLLTMKRHNINAIRTSHQLNDPRLLGLA DEMGFWMIDEADLECHGFEMVADALLNKQERELPFAERQMLTRGGAAKWTTDNPEWRD AYIDRAEHLIKRDQLHPSVIIWSLGNEAFFGQNFKEMYKHIKDYDDSRPIHYEPDYDA ETMDVFSRMYSHPDWVDWFGREGSKEKPLILCEFIHAMGNGPGNIKEYVDLFYKYPSV QGGFAWEWANHGLLTKDKETGDEYYAYGGDFGEKVHDSTFVMDGLLNSDHTPNPGLIE YAKAIEPVQLLHSTSSTATFINRYDFVTLDHLTLNWHAVNEEGDETSKGELKIPSGIG PGQDFSVDLPKFKSAKSETVIHFTFNLKESTISLSKGWTVATGEAIVHPSQAIHAPPS SDKDLKVTTPSPNELHIEAGDSKWIFNTLYGHLASWTKHRQELITTPPTLSINRAQTD NDKPQDGWNWHDRLVHLAQPYTRKVSYDQPDSKTLAVTVTQQIIPPVLSWSIDITTVY TFSSVGSVQAHVKGVPTGLNLPDSLPRIGFVLEMPHAFQAVEWFGRGPGESYRDSKFA QHTALHRASSIDALWTDYEVPQESSNRTDTRWVKLSSSEKKEVSLLAQFISGKERKLF DFQATHYRIDDVAGSDHPYKLHKKKREEVVLRLDAVHHGLGTGSCGPKTLPAYRLETR EFEFEVLLQ CC84DRAFT_1168746 MVDKEKTIRLCLDWDGTLTKKDTLHLVAAIGYDCNRDANLTPWD DIVQAYLSDYKQHKEGYTPPADQRKAGDGAARRESNWLASLKPVETRSIERVQAAGIF KGVIKQDVELAARLAVQKHKLQFRTGWRTLLMYHQKSAAAPSVSILSVNWSATFIQAC IEAAFQFSASGMESTMVASIPVCANELPLVERRPDSCISTSTDKLEMLRQLRKDGDFI VYVGDSATDFDCLNAADVGICVRDDPMGSSQQELKETLDRLGFETLRLSSEAYKETGC YVFDGANEGKKRKRIIWWVEDLDEISKFIEEIHGHT CC84DRAFT_1180669 MAEKNELGATEHYDPTSHVEDQKVGATHVTETNAASVALAAAVE AQKPSFKSKSIIQLCAILSVSYLVSTLNGFDSSLMGAINAMESYQTTMGLSGEGPSTG IVFIVYQLGQIAAFPFCGFLADGYGRRFAIFVGCIVVLIGTAIQTTANDQAQFIGGRF VLGLGASIASAVAPAYAVELAHPAFRGTMAGMYNNFWWLGNILAGWTTKQYGTNRHMA DSSWSWRLPTLVQCILPGIVACLVMFFPETPRWLLSKDRREEAIAIMSKYHGDGDANS PVVQLQLREIEEDFARHRNENPWWDMRELVNTRGARYRLFLVICMSFFGQWSGNNVVS YFMPAMIKNAGIDDVNKQLIINAINPIFSMLAAMYGATLLDKLGRRTMLLWGLVGALV SYVFLTAFTATAKPDNNLAYGTIVSIYLFGIFFAWGWTPLQTLYAVECFENRTRAKGS GINFLFLNIAIMVNTFGISVGIAKIQWKLYLVYIAWIVVEMVVIYFFFVETAGKTLEE LKYIFDSKNPRKESVKKVRVAVDESGHVLGVGDEVKV CC84DRAFT_321956 MPEYTANDSSCIARIVSLRSRCDHKQCLRLRRRCARSETGARLA WTKRCRAASV CC84DRAFT_1222175 MAGIVDLGIPPVPPLSYWEPETPSPLSPHNPFLSPPAVSPGSPR TDILPLLAASPSTVDRQTAPRSWLFAVALASPCAGTDLSPRFLNPRTPPLPPIMERGL QVQRRHSTMCSIPSSELEKMRHQSLFAVMATVQEKIRKEMNIEREEGLTGREKGWVHR YTTARKRRERRNIVRTKNFRVLKLVDVEV CC84DRAFT_1230976 MEPRGCQVEVRRAGGQKRKVETSAREGWAPAQERLASQFLDIHS SLLQPIHRPPSTQRDIDPNDLPLRAARKWPSTPSFAPPAAAPSQGEGGWTADRGGAQV CSTRPIRPLISPERPNRAAGGACRAIVGLLQSTPELLASRQAPRRRVPMPSRRLQEPP CR CC84DRAFT_1263608 MATTVDKGFEADEKHVTSSSPVDPSAPAYELNNGEHWRDQESFL TRNGLTLRSFQRRPADQVDLDKSMKPRHLHMIAIGGSIGAGFFVGSGGALSGGGPASV TIDFILMGVMIFNVVYALGELAVMYPVSGGFYTYSTRFIDPSWGFAMGWNYVFQWAIV LPLEITVAGLTIGYWNPDVPLAVWITVFWLFIVLVNIWGTLGYAEEEFWSSLIKLSAT VIFMIIAFIMVLGGGPKSGMYSEYWGARTWYDPGAFRGGFKGFCGVFVTAAFSFSGTE LVGLAAAETKNPLKSLPGAVRQVFWRITLFYVLGLFFVGLLVRSDDDRLLGSGSGDTK SSPFVIASKNAGLKGFDHFMNVVILISVISIGVSGVYGGSRTLTALGEQGYAPKIFTY IDRSGRPLFSVAAILIMGALGYMNLVASGETVFNWFLQLSGLAALFTWGSICFAHIRF RKAWVHHGHTLDEIPFKAIGGTAGSWLGLFLIAIVLVAQFYVSVSPPGKRVATAYEFF YGYLAFFVVGFFWICGYLWKRQGWLTIDQIDVNTGRRELDWDYINSERAKLAAMPAWK RALKSIF CC84DRAFT_1222177 MEPETEKPASNMPRDHDAARLSSLWHSDNDDFSDEPNTDYRPHT STDNSVRERSPIPSDPDLDSLPYSDDDRDPSDPERVYEEHVSDARLDHRNATLELASK HGFNWHAIPEWEMQKVDEEYGERLAAAYKEYRASACQTPASGPCKGVKMRRPQMSRRR AGAADARGRGPKWMGVDEELWKAREGAARWKSWCIWVSCLAGAVLLVLGAVLLKAWSD VDLCEAERWALLQEVGEGLWYMGEGEGMK CC84DRAFT_1155656 MASNSYLIVGAGVFGVSTAYTLVRKYPNASITLVDRDAYDASSR VAASWDWNKVIRADYDDIIYCRLALEAQDIFEQDPLYQPFFHKTGIYWMCRTDYATQV VDNYKKLGRKAELEAMSVKDARKLYGGLFEDADYSDVKDVLVNRTSGWANAGDALRAV TKKCIELGVKYVVAETESLTFNGGRCTGVRTKKGDTLGADKVILCTGAFTAKLLEQAA RASNKPDISAGGRIIAGGITTGMTQLDEESYKRFAQMPVAVQSYTATVGPFVGSLPPT RDRELKWWGSKIFKNTQSILGKQISAPPPEPDYAQWKVAAPLKQDIDEANRIFYGKNG ANWKMEKHRICWDAFTSSSDFIISPHAGAQGLYVATCGSFHGYKFFPVLGKYVVQMLE GELEGELREKWAWDRERPDEKLNPEFPRWEMKEILGAQAKL CC84DRAFT_1263610 MWLLDTETITLSQQPDDDDDVEYAILSHRWGNDDEEVSFSDIQN PDVVCRKLGYEKIRKCCAQARNHGFKYAWVDTCCIDKSSSAELQEAINSMYRWYERAK VCYAYLQDVPSVEVSQSEWFTRGWTLQELLAPRIVLFFDQSWNYLGDQDDLLKSIHKA TKIRHDVLQKRTNIHVCCIAERMSWAAMRRTKRIEDRAYSLFGIFQVHLPMLYGEREA AFQRLQREILKTSEDDTLFAWTGVSRRYGGLLAPNLEAFRHGALLDTSPDKPSLELSG LDATERSIGITAPLIPWSNNLYLMLLGCIRGNRKRSFGNKGVGIYLRALDGENNFARV RLSGEDLAYLNDQESSSTLQSLAKQELLTPYRRNPRRTWMVNRTISIRQLPLLSKHQH WLDEWIYGFCVEIPAAKFLPYPVTLSKYTPLVSSLNNPPETSHTKWDAEDQIMWAVHG AFYNRGIIGALEYPRDPGTGEKLSAIRDIHFGFDHLFNPTLYIRKGPGFPAKYNIDSF FAWIDKGRDHPIELHGGANGSEEADFWNEIRNNEVVDRVDRDFWAIKGDRKARIQTYR LPIPRRDLHIVLRMYHVWNLSLVEEKLIATAD CC84DRAFT_1168750 MPTPAATSTPSTSHNPANSRRVPLDKRKRTETSCDKCKSRKQKC RKEPGSEACRYCLQHSIECQTTQPRKKRLYGSVESLGNRLALLESLVKGLLPDADVSN LDELRQLGTSLGIAIPDSPGADAGHDHAATPATEADEPLSLLPDQQGQVQYIGPASSF SFHLKLRALCGQAPFPSFVLFGKNAAHQAGGERDAAHDAAQQPLLTPTAASFFDHTSA GDFRRTPADEAATLAALVAAYFEHINPDFPVLYEHSFREAYEGWLARPGKADLAWQCS FLCVLLLARRVAHVAVPEEQERDWWKRIQALLPVVIFTSSVTAVQAIMLAAIHLHNTN HRDACWNLTGTAIRIAFAIGLHQDKASTSQPPLARELRKRLWWTLYAFEQMQVSSYDR PSAIEHHVSKNSCPNERILGAAAYSPPEYSRWFDRLIVNLSSTCRAPKHIKPHSDESY AGPLSPAAGVLRDLERWKADLPHHLRMEAVDDTVPAFRRPILLLHSLFHYTTIVLCRA ALLARATTLCNDDTDSTNPALVGMSNTCTESGRALAHILLKLDAIGRFDAITWWDVWY SLTASSTLVLDLVCQSKMGALDASESRDLLPRLADLIRKHLQNTNVPGTIAKFGSLVP ELHAMVEAMIAAQVSPQMSQPEVRSSLSEPEPASQTLPSQAQVAPFAYSHQNTTNGAF VFADTMPSRFYSHTDYAAGAPYSNTRYDRSTQMQFMDFTVNNIQDWHWGDMGNLLGPD GTHPHQVSQAIPQQTMSPGLPPGSAPGPYR CC84DRAFT_1231000 AETSTEDSTKTNFAHGRALHDRSIRLLQVKPDSRSNMLVVEFAE QKLKGASFEALSYFDIRTALEAALQERRYRNSRMLLWVDQICINQSNDQEKTHQVRMM PEIYAKADKAIVWLESQQLQDIIGVDLANNLYGRRNGKHYEPEKGIYDFHNFECKSKG VPTPPFDPTWTVRFKILGNP CC84DRAFT_1180677 MLGGCRCLLGGCAVPFVLGYLSSGDPDWQIVGYQSIPSLPRSRA RRPRMCEAIPASYERRVEQGPAERIQDVYNWLCQVMPESDDANANGNNQVNDDREPNH SNTWNRSSTIQNGIRRIGGPEEVHEGNMVVSLPVRMQKGVFELHSGTTNREVAPS CC84DRAFT_322023 MENTTTSSSARDVKSNLKRRKQLDVLAYCLPVEPNQAPAPTSVP EIRICDQYGDLSLDSTILPLARALILLDDEMIKRVQRFYQKRNLSVDEARRTKMVPLV NDCIEIKRQWKEINSGKCEFTHLYMRRKWLIPIWAESLRIIKEALAGNQTSNRSQKQT HYEQILHRCDTLANDVKDHMLDIAREGLLDPEVLSSIQGRWLRLDGILNWYYDLHKRE ADEPEQRWLRISPTWREMQSLIQHHEAMTKGEKEQIQTQPAGNARDGSKPAAAVSLVE NQRFSAFILWSSFFTCAAISTASFAIGYGRSLHLPGNADDPDFWFLIQASCVQVLGLT VSALIERRRGSLSSWRWCLPTAIAGACAIGSIPLYVFVPKEWSTFLSTITGATQTFMA LQYFLL CC84DRAFT_1199501 MGLQHEGFTGMSLIRPYNTKANEIFIQERWNYVTANICYPFVDS ATSTAASPSFTYNATHFLLNGSPHQIIGGQMDPQRIPAPYWRDRLRKARALGLNTIFT YTFWNLLEPVQGQWDEKEGEGIAKFFKIAQEEGLHVVLRPGPYICGEREWGGFPAWLA TVPDMVVRSNNPPFLSASKVYLRNLARNLHGLHIKQGGPILMIQVENEYGSYGDDHAY TSAVKVLLQNAFGDDKIFYTNDGTEQWTLEGGSVPGVLAEVDGDPRAGFAALRKYITD PSMQGPLLDGEYYTWTFDSWGYNRSTPNVQGFVDDLEYVLGSESASISLYMVHGGTNF GWGNGALWQKKTRAFTSSYDYGAPIDEAGRTGELYDALRDVILQYAPEGSVPDVLPNV PLAEVPAFDLHPAKALFDTLDPSTVVKSRDPLSMEHLDQSYGFVLYTYTHGESTPVSG VLSPGDRARDRAIVYLDDVFVGVVDSTYAYPQNISVRLAPGARLQLLVENLGRVDYYS RGTDLPNHILDPYKGIIGAVSVGNTTLHNWSQTPIALAELPGLEGGGYTAGEGGAGNT TQPVFYRGKFATPRSKGGNGDDPAGLDTYLSVEGGVKGNVWVNGLHLGRYWGVGPQQS LYLPGTALYTDERVNEVVVLELEPGRVHGVLRGVGIKERVWEVRLDVDCPGCVR CC84DRAFT_1222182 MALRPSVVPPSTPKAFDIKMPTRRDSHLHFDFIDIPPHLADQLD TSVHTKTMTRYTNVVDSLQNMRHGTANSGDPSSEEDMAEPVSPTTLAADDAVQAQEAE VAANPPSSSVSTTIAASASDYVSDFDNDGLYAKNQHAPPQPSTFQNSGFTPTPGITYI YKISAPKPTLTLPPTHPFRTTPAGYPVFRPHPSAHHATLSTAPGFADPRLTAFTAHWT KIYTDIGNNSTLFSVGDGLFELRQACELRGLVTYGVVQRLVERLVDRAVRDAGRGWCE VRVGMGRVEVLEAPEVPVAVEDDVGDDWWEEVSYGG CC84DRAFT_1222183 MLLGYLLVSLWALLISADFDVFLVVKTLPKLDSNHLSWQIVDPN RHECPDPAHTRLFDSKGDVSGNKLGIRCEPTYPPLVHPLGPCLWFDTSTPRDITLFEM HLSEMPKVHYTLYKNGNWEMIGLYGESAGHCAPAPWPAPVDPPNSQCGDYGLWRKFRC YTFYTADYINSYERGWHP CC84DRAFT_1222184 MLVYYFFISLWALLVSADFDVYLVTSASNPGKPFVATVGWQIVD PSRDSCPDPAHTRMILDKTDVSGNKIGIRCITNSGTNGCGPLTTSLPTNIDIMEMHLS ETPKMHYTIYKGTHGVHRSYELLGLGGESAGHCEPQPWPPSDQKFANCGEYTLWKKMR CLSFYTADWVNDYERGWHP CC84DRAFT_1129551 MTVYFQHWTGEIRSIQLTPKGEWIGGTASEVVVSDAKNATPISA VSYSLNDTSQKVNSNSTNIWQDGPLNSLNLTAYAAPNVGLQACWYGNYYGDSDASKFP TRDGNNDTIPFSNSTPGMHLWYPTDESTFQQYGWYQGQDQWLKENTWRDMNAHAGVGC YSWQPGTTTYAMMVDKQDTATIWWRDTNSTRKATDAHPIDSWENVTGYAIPDLYPSSS LGFTEYFYTQMADGTIVGHDIDWGAEKTKGVDANVFTVGSAKGAIPGLKGTHLSVTAV EDQSGGTSLYVFYQTRGDDLSVFTRDLDGGQWTQGELPIP CC84DRAFT_1180682 MSFSYDHSLEKWQRAVTESNARIRILELYPSRDADANLLHGTLA WVPLSLTTSIPRDETGNDTSQQPLNDFSYKALSYTWGNGSLTHSITVNGASLKITRSL FDALSHLQPSTHPLKIWIDQICINQQDSKEKEEQVSLMERIYRTSEETLVWLGPSANG SDGLLDFFARIGTFAETHNMLVYWTKARRAEFFAITSKSDPNDAMTKEYHAFCKATAQ SFQREYLDALIAFYKRPWFSRAWVIQEFSLPPRVTLLCGHKTIPAETLMMALQIFEST IFPLVLNKLSADQDIVTCWHEIMELSAIQPFFSSRQRRKARDEGRIKGDTLFHILYRL YVEKDVTATQPCDMIYGVLGLVVDAKELGITVDYSAGDPNRQADLAFTKVARAIVASG KVDLLSLSQHPEENEEKNRGVKLPSWVPDWRAKIRRSFAWLSDEYRPPLFNASSGKDV VIYPLDKDNDDITDEDLLSLAGYTVDTLETLSPTPWSDGSPARTNGTYEIPHAEYIAL LAQIHHLCSLSTAKNFPIYPTAARRAEAAWRIPVGDLDEDVSSMTRRTSETQTCRARY VDCLRELATHIKAEGMSTVASTTSTAREAYARSRAELETLLAQDLPTAATTSLIKGLH NPNGGSGGSIYRLYMRQMGGKRPFLSRMGYVGMAPSWAEPGDMIVVLLGASVPFIVRP LRENRYKFLGECYCDGIMDGEIMESMQEEKIVLV CC84DRAFT_1209587 MALQSVLQEIHPEKFALQGSELYLKSNEAYFVVFESCIKPAAIS QPTSQEVSTLTKKKFAQDWTLWCSKGDWACSLQLERHSLTAPGGRVGRVGVTVLILGG GMSFHSTKHGFACDSLLDYEAVLASGDVIHANAIRTRTSSSL CC84DRAFT_1190682 MSSTETETQEETYVSYHDGGVFGKRRVLTGKAAKPTFNAVPKID MRRIYSDNLDDRKELAKEVGDACRNVGFFYAVNHGVEEQILDDTFSAVKRFFDLPTEV KMEVHNQKTEKFRGYEAFLEGKLDPSTRGDLKEGFLMGEDATDPEQKLPVRDLPFMST PRNQWPSHPTATFFRPALYTYYTAMLTFSRRLLGIFALALDLPEHHFDTITRHPMTNV RAVHYPPQHSDADVGIGAHTDFCWFTLVCQSRTEYPALEVLNANGIWVPVSHEPHSFV VNIADFLKLVTGGEWMSTVHRVRNMGGEERYSMPFFFSPDEDARVSVLERYSKKGGMY EEFGVGEYFQKRLVVDRRTHLEGAEGGEVKRSAGY CC84DRAFT_1168751 MADQRATPDRATPDRVASYGRGGAGNMGKEDPATHITPKDLVTP TLKSEHYTTGRGGSGNMAKNDPARPEVARAAQDVEAPAHREPDGPHHYGRGGAANIAK MEEEKRAARVAAGTEKDKKPSGEKKRASGEMKERKSEEVRREDGRAEKGIVDKGKEFL QKLGGKK CC84DRAFT_1102621 IMIFHPNCTLPGSSPVFVSAPNVRSTTEILWNCLSTVFLCTWSI LFLNIPPQTKPKTTSKHLNLLWTKVKWMITTLLALELIIGFAASSFWSARSTTETLKN LALDDVVDWSIAHTCYADMGGSVIRFEETSAIETAETTDKQRYFGLGNVWVLNPRQLR RAREFGVITRLPLLSEDELGDKDKGDNLVKTLDLVQIMLMLAQLISRRLKDRPVSQLG VMTLSFAACAVIIYLPVLPSPQGVETPTVNLGRRASFDEMRSIAKAQSISIIMRSLYT IPNDICHHKEAFVVGTGLGAIWFGVLHLIAWDFTFPAAVERKLWRVSSVVTIISPMSS LAFGLIVVCFGWVDHKFAARTWATFSSFSIAAFIVARIFLLVESFRSLYYQPVDVFVS TWAY CC84DRAFT_1168752 MPPLRSSVGALARRALVTAGPATAHTLAKRGLSVNHTQGVTLGV IAAYVVVIALLWNLPYVRWVLWPFKMLVIAFHEFGHAITACCTGGRVESISLDPHEGG VTHMRGGKQAITLPAGYLGSSLIGALLTFCGFNINASKICSIVLGVCFLLTLWWGKKD WLTILTILLAVGLLVACWFIKHAEPLRFVVLFIGVMSSLYSVWDICDDLILRKVNSSD ASVFAKRYGGSSQCWGIIWSMISLVFMICGIIAGLAAFKQSFAQQEEDSKHLIPTVRM LLKI CC84DRAFT_1180687 MGLGKLSIRVNGADCGIEAVMLGAVTSIGGFLFGYDTGQISGLL LFTDFKDRFGQTQPDGSKEFETIISSLVVSLMSIGTLIGALSGAYTADWWGRRRSLSF GVGIFIVGNIIQITSMRSWVHLMMGRFVAGLGVGNLSVGVPMFQSECCPREIRGAVVA SYQLMITIGILVSNAINYGVRTFQEDDASWRIVIGLGIAFSLPLGIGILFSPESPRWL AGRGDWEGSRTALARLRGMKHDPYNKLVMSDFEEMQASIEEQNQAGQGTWLECFTGKP SFIPKLVYRTLLGCAIHFLQQWTGVNYFFYYGATIFESAGIEDPIMVQLILGAVNVIM TFPGLYIVERLGRRTPMFFGALWQAAWLLIFAAVGVARPPTEYTSSGIVMIVAACMFI ASFAMTWGPFAWVVIGETFPLRTRAKQASLATAGNWLGNFLIGFLTPFANDGIGYAFG FVFFGCNLAAALIVWFFMYETKSLSLENVDTMYQEPGLKAWRSSKWIPAGYVDRNTRD EAYWTRRASIGGTGGVAAREAEKLEHPSKYESEGEKSA CC84DRAFT_1046473 YDYEMDTYQKGLQYGRPPLTFQASKWESLACERMSAESKGYVHG SAGSRETDDKNKSAFKKWSIVPKRLTGHKQFPDLTTEIFGEKLQVPIAMAPVGVLRIF NPEGEVAATKAAAKEAVPYILSTAASTSIEDVAEANGEDGQRWYQLYWPNRGNDNITI SLLQRAKKAGYTALFVTLDTYLLGWRPSDMDNGYNPFLRADQIGVALGFSDPVYRQKF KEQHGVEIEEKMGEAAAGWMRTIFNGFSHSWEDLKFLQEHWDGPIVLKGIQTIADAKK AAEIGIQGIVVSNHGGRQQDGGNSSLGMLPHIVDAVGDKLKIFFDSGIRCGADIAKAL ALGADCVLVGRPYVYGLTLGGEGGVTHVLKSLIGDLELTLHIAGIPSVSKKDLNREVL VRE CC84DRAFT_1102189 MNTPGTPGIGVEPLNTPRLQRNPTGYFRVPLATHRLANKVAFIT GGGGKIGVETAARLIREGANVTLMDISFDALEQALVTLKDALFTGEIASSRVLTVVGD ASKEEDVIAAVDRTLKMFKRVDIAFLNAGISYKATPLLETDEERYDEVMGINVKSAFL GLKHVGKAMKDLGNGGSIILTSSIAGLRGTPGLSVYSASKFALRGLALTAANELGPDG IRVNTIHPSGVESPMFREAWSEEQLEELKKGMPLGRFAQTHDVSSVVAFLGHEDSKFI TGSFLKIDGGCLSF CC84DRAFT_1199509 MKSPFALKEDAYVHSDIPMEIHGTWPYILAFPAAWDSAFIGTTL ALPSFKHRFGLDTVSPKELTNLSSKIVSTFQAGAFFGAIFGFLFAERWGRKITIMGGG ALFMVGAGLMLHGTLGLYAECALTGPAIGATSTMIPIYIAECSPALIRGRLVGIFEIM LQIALVFGFWVNYAAAQFIPAGLLLISMPFIIESPRWLLSKNRISQATKALCWVRNLP AEHAYIVAEMEEILTAITHELEVSGGRRSTIRIFREIGAPGIRNRVLISVLLMLLQNL TGINAINYYRPTILKAIGYTRTSDGLLATGIYGLVKIITTLISMVFFVDRFGRRPALL VRGSFEGKTPARDSWSQAALGMIYIYAIFYGFSWNGIPWIFASEVLPTRVRTLGMWLA QLMVVYSLLQMIAGITYGTFIFFGSCMVVAFIFAYFFVPETKSRMVLLRVQFSRCRAC ETAAFSVAVLRQNHSGSDLTEQLDIFKTGEGDKVETLRDRSR CC84DRAFT_1168759 MPDTIVVSLGYPDLIPDSPYSTGRYHDYQPPVCATCPLPDQPGV PSNADNFLAWIDEILKPWVRNELFPNTEFSRDALHGHSMAGLFATYALIARPDLFDTF LIASPALYWNNNYIFSQLSPLKESLRTNGTKPAVALSYGGREQNPVKRRVETEEEFEV RKSFLAFSNMTSNVNALYNEIKNSTQLRDVELHEYPFSDHSAVGIVSIADGLDYFLDW APRIAFQNLTV CC84DRAFT_1168760 MTYNAVVPQRTKETNISGFTLECYQHPPKHAFQTPPYRYEYDVY ALGMLLFKVGL CC84DRAFT_1102424 MHIENVSQDSTHDSVAAEARGGDLSELPSNYYWNWRFIGSLIAV VFMAQGLYLGYVLPANTITIINADLGPDPNYALIPVVKTLCGGVGLIMVGRLSDIFGR RWFMIGGGVLGIIGSLINATAKDINTILGGTVFIGLAGAVQVSFSFVLMELVANKHRA VLTGFLFLTTCPLAAFGPLIARALAAYTALGWRWNYYLNLITNVLSVLLFYVCYHPPS HRQLHEGRSMRQDLKDLDWGGIVLYSGGLTSFILALSWGGGLYPWASYHVLVPLILGF FILVAFALYAEIYMPLKYPLIPMNLFLNGPFMALVGVASVASMFYYSLTVIWPQMVTA LYESDSIMIGLVSGTLGGSIAFGQVFGGGSIKLGWGHWQLRASSLAMCGFIGAMAATD ASTRGLAITMCALGAFAVGIVEVVGIVAVPFTVAPEDLGLASGLLGSVRSTLGSVATA IFSSILTTRKAKEIPPRLTSLAAEDNLAPASVRALIKAGLSGAAATVAKIPGIRSERL PAYMIAIRDGNVKSYHMVFYSSLAFGGIAVVCAFCCKEFNSHFTDRVDRKLQHPKRQT EKEV CC84DRAFT_1168763 MPAVANHTQASALCTPPPTPPATATLSRAQILSNVYSLATAFAT CVEAFNLIHPHKDSDRAQKVALTKLGIQQGRLLIFGDAVGISAPPATIARHMVPSHPG LTNPDPTLPVNFGVRDARLDEDAMREKITRALEEIYGRPAHLSRDQLMEQYGLKQPKR FSTIEQPSLDTNRLEAFREKYALLNDLLKQSGIRPEIKNRKGSMTMNHWTVKDVARFD AFVRTVRIEVDGLIALMGVKEQVDRGMRTDIKAMAWHPDLQSMIVRQDWEKLRLIKEA VTEDYPEYVEVADKALQYLVEELRGTKIQMIKEAQMAQAPAGVRRVSDEKGGVKASEQ HLRVGAHKEKRPSLWSRISGYKSSKNKHRNQSVVSAPDEADPQRSLSDGGANGTSEDP NALTTVRSKSLSAMPDDPTPFDIDARIAGLSVEDGHDGPDGDLERLAPVTSFHDIPGA EKTHANVQATLTQVPTRSTADIDDVDPLDKSDSLGSNLYHADTANSLIDRHDMFRGVG RIATRDIRDKSHEAAGW CC84DRAFT_1180694 MTTLAVDKNAAASAIDESEFDDEHGEHHPHRNSVHHRLRANSSI MQLKKLMASPVANRGEIPIRIFRTAHELSLHTVAIYSHEDRLSMHRQKADEAYEIGAR GQYTPVGAYLAADEIVKIAVEHQVNMIHPGYGFLSENAEFARKVEAAGVIFVGPSPDT IDALGDKVSARRLAIKCNVPVVPGTPDPVEKYEDVKQFTDEHGFPIIIKAAFGGGGRG MRVVREQASLKDAFERATSEAKSAFGNGTVFVERFLDKPKHIEVQLLGDNYGNVVHLY ERDCSVQRRHQKVVEIAPAKDLPEKTRDAILNDAVRLAQSVNYRNAGTAEFLVDQQNR YYFIEINPRIQVEHTITEEVTGIDIVAAQIQIAAGASLEQLGLTQDHISTRGFAFQCR ITTEDPANGFSPDTGKIEVYRSAGGNGVRLDGGNGFAGAIITPHYDSMLVKCSCRGST YEIVRRKMLRALVEFRIRGVKTNIPFLLKLLTHPTFIDGACWTTFIDDTPALFDLIGS QNRAQKLLAYLGELAVNGSQVKGQIGEPKFKGEIKVPTITDKDGQVVDTSAPATKGWR NIILEQGPEGFAKAVRANKGCLIMDTTWRDAHQSLLATRVRTVDLLGIAKETSHAYAN AWALECWGGATFDVAMRFLYEDPWERLRKMRKLVPNIPFQMLLRGANGVAYSSLPDNA IYHFCEQAKKNGMDIFRVFDALNDVEQLEVGIKAVLKAGGVAEGTVCYSGDMLNPKKK YNLEYYLGVVDKIVGMGAHILGIKDMAGVLKPRAATLLVGAIREKYPDLPIHVHTHDS AGTGVASMVACAQAGADAVDAAIDSMSGMTSQPSVGAILASLEGTDFETGLDAHQIRS LDSYWAQLRLLYSPFEAGLTGPDPEVYEHEIPGGQLTNLIFQASQQGLGEQWAQTKKA YEHANDLLGDIVKVTPTSKVVGDLAQFMVSNSLSYQDVLDKADQLDFPSSVLEFFEGL MGQPYGGFPEPLRSQALRDRRKMDKRPGLYLEPIDLAKIKTDLKAKWGDATECDVASY AMYPKVFDDYKKWTSKYGDLSVLPTRYFLARPEIGEEFHVELEKGKVLILKLLAIGPL SEQTGLREVFYEMNGETRVVTVEDQHAAIENVRRPKADPTDTSQVGSPMSGVLVEVRV KDGSDVMKGDPIAVLSAMKMEMVISAPHSGKIDGLSVREGDSVDSGDLVCKLVK CC84DRAFT_323735 MPPAFCTRAGPCSDTKALGVAGTYRQYDRGGCQSKGCTIPDFHS YATDQHRTRSETTAPGHLQVQVEKETTRLCRHDAYYFACAQIRGYGHHGILLCPGTNS DFCRENRDADAGDLERIHVLVHLPKLPSDDSVGFSIINTTYGHLLQPEGTGLWSKIDG VEYKFQTWSEVVRVANSGGLSNRDDHDSKSRPHFPLKFSHGCVDHVKKFWKTELLSSE RLQFQELLEAAAVAMTYRPLRREQP CC84DRAFT_1209600 MFPNRILVFTVLALTRHAAAAGVTGAAEGFAKGVTGGGSATAVY PSTNAELVSYLGDSSARVIILTKTFDFTGSEGTVSGTGCAPWGTGSACQTAINQNNWC TNYEASAPSVSVSYDKAGILGITVGSNKSLVGQGSSGVIKGKGLRIINAKNVIIQNVK ITNLNPKYVWGGDAITLDNTDLVWIDHVSTSLIGRQHIVLGTSASNRVTISNCDIDGT TSWSPNCDSYHYWNVLFLGSQDLITFKNNYVRHFSGRAPKIGGNTLAHVVNNYFYQTD SSGHAFEIDSGGMVLAEGNVFQNVVNVVTSPVGGQAFTSPDSSSNAACSSYLGRACVV NAFGSSGAFNIKNTGFLTNFSGKNVASASSATDAKNVVNTAGYGKI CC84DRAFT_1168766 MSQRPIPLHVTQPTLLTNLNILPASISWQNCTLESDKYVCVRQQ NNDSNTPAETVIVDLKNTNNVIRRPIRADSAIMHLTEPIIALKAQGKTLQLFNLETKQ RLQTYTHHEDIQFWRWISQTTLALVSTKSVYHWDVLDGSNPPTPRKIFDRQEQLENNQ IINYVTNDDESWSCLVGIASHPTGGIRGNMQLFSKARNVSQPLEGHAATFGTLRLDGA TSDTKLFAFAVKSTTGEAKINIVEVDHNAANPAFPKRLIPIHWPAEGTGDFPLGIHIA HKYGILIVVTKFGFIHLHDLETGTALFLNRISEETVFTTARDDDGKGVVVINKRGQVL HTTIREDALIPYIMDNPACSEIAYKLASKGGLPGADNLYQQRFETLMNQANYTEAAKV AANSPRGFLRTPQTINRLRQIPAQPGQITVLLQYFGQLLDKGGLNKDETLELARPVFA QGRKHLIEKWQKEGKLHCSEELGDLAKPHDLNLALAIYKEANVPQKTVAALAELGHFD LILQYCQSVGYNPDYNVLLQHIVRVNPEKGAEFASSLAKHEGGPLISVDRVVDIFQSQ GMIQQATAFLLDVLSNNLPEEGHLQTKLLEMNLLNAPQVADAILGNEMFSHYDRARVA TLCEQAGLLTRALEHTDDTAAIKRMIVQTDKIPEEWLINYFGQLTVELSLESLDHMLT TNIRQNLQAVIRISQKYSDLLGATRIIDLLEKHRTAEGLYFFLGSIVNVSDDPDVTFK YIEAATTMGQLNEVERVCRESNAYNPEKVKNFLKEANLTEQLPLIIVCDRFNFIHDLV LYLYKKQQFKSIEVYVQRVNPSRTPAVIGGLLDVDCDESIIKGLLASVTPSSIPIDEL VAEVESRNRLKLLLPFLEHTLAEGNQQQAVYNALAKIYIDSNNNPEKFLQENDQYDTL VVGKYCEKRDPNLAFIAYSKGQNDLDLINVTNENSMFKAQARYLLERADGEVWDYVLS PNNMHRRSLVDQVTSTAVPESTDPDKVSIAVKAFITGDMPAELIDLLEKIILEPSTFS DNPSLQNLLMLTAAKSDRGRMMGYIHQLEQYTPEDIAQQCIEVGMYEEAFEIYKKHEQ HVDAVNVLIEHIVSIDRAQEYADRVDLPEVWSRVAKAQLDGLRVTDSIESYIRASDPS NFLEVIEIATHAGKDEDLIKFLRIARKTVREVPIDTALAFCFARTNQLPELEDFLRAT NVADVEASGDKAYEEGYHEAAKIFYTSISNWAKLATTLVHLEDYQAAVECARKANSVK VWRQVNEACVAKKEFRLAQICGLNLIVHAEELTDLVKQYERNGYFDELIALLEAGLGL ERAHMGMFTELGIALTKYHSERVMEHLRLFWSRINIPKMIRATEEAHLWPELIFLYVH YDEWDNAALAMMERAADAWEHQSFKDTITKASNVEIYYRALGFYLEEQPTLLTDLLQA LTARIDVNRVVRMFLKSDNIPLIKPFLLSVQSQNKREVNNALNDLLIEEEDYKTLRDS VNNYDNYDAMDLAQRLERHDLVFFRQIAANIYRKNKRWEKSIALSKQDKLFKDAIETA ALSGKTDVVEDLLRYFVDIGSRECYVGMLYACYDLIRPDVILEISWRNNLNDFTMPYM INFLAQQAATIEMLKKDNEERKQREASQQKKEEDTPILGSRLMLTQGPVGNAPSPGPY QQPNGIAPQPTGFRGF CC84DRAFT_1168768 MPPSKWDDEEEDTPPSSPPAPALRRGKFDDEEDDDDVLESWDAA EDSEVEREKAKKAAEAKAKAEAEAKANHKSRAQRIKERQEENMRRRLAGEEESDDSEE DEATRRARLRKSEQDADLAHAEDLFGGAGLSVGTKPRVAGSKAVTLTDESDPNNVIDL SSLKIFNPQTKDQFTHLRETLTPLISNNSKKAQYSLFLQEFTKQIAKDLPSEQIKKIA SGLTTLSNEKMKEEKAAEKGGKKTKAAKTKVALNATRDVAFKADTNAYDDDFGDDDFM CC84DRAFT_324743 MVCLYGRLDITTINLFSKLGTLPLSNHLHIPFRKSTNPLNTIAA QELQGSSGFAVLSCSRIPTYAPRRSRLSHSAPFLFRYLSCVENVQCAAKIPKKPITFL VHLSLTLTLRNNTKRENQQKNCIFRESNPSQSLTCQSGIRKAWKAIILPLDQRCC CC84DRAFT_1180699 MAPSPFTEDYYLIVGVAQDADKELIVKSYRKRARELHLDCNQGT REATEAFQRELDAQWRTTKINFEWSIFEVNRDIERLEKDIMGLVSIAAVEAAEEAQRN SWTTLFLSFIQKKKVEDSEEVKEQKDRERQERRIETDMKERRLQATKAALADKEEQLR IKQANYEVTRMKDQQAITQIQLVMWQRGSAKAREGGTREG CC84DRAFT_324897 MQRCRGAVPGAVPDDRVSISRARAGCYGQLVPAAQADRTWQRGV AISGAWTWLETWSPLACDVSPRQTVSRVCAEGRQNLLGSIRSEAGWAYEWLRQAVARE RRLATDTGDWCAGAGGTNWACAVAAHGCDCPCRVARACHLLVERAGFVSDGLRCGDRG GSCATAYEHPASFCRAWQLHKHGGEGVARWSARFLARLAPAGRGFAVFGSSGRVCSAS PRVRRRRRLELATRRVAPVRWPSAP CC84DRAFT_324803 MAASTRAITPTHTGPFPVPNRSATPNSHAGATFGTHLTKSPRGL SPRRSPQPLTGAAALNDERQRQHQLLRQSSPNPAHAVVQSLIGTAQSAVNNSKDAPAH ATSPMDKAAQKPLVIPEAGQMTGDNMQTSPVSLSSFGSNDSNHAPNAPAMEGSTPQIN MEGVSQQHQQPPPPQHHHQHIAPNPNENPGNRAFTFPGPLAEHDPRAPTRQMSLPGYQ NSPKSPSTKRHKCPYCATDFTRHHNLKSHLLTHSQEKPYECPTCQARFRRLHDLKRHT KLHTGERPHTCPKCGRRFARGDALARHNKGQGGCAGRRSSFGMDSEEMADGKGDDGMD GVMYHDGEGEEDDEGRRVSEPARKRQNTGSSTQGPYRQHSSTYPPLAANRGSYSSGNN LYPPGPSGPSGNQPTNISPKLAPGSLSNLHYTASQANMFSQGGPPMTESPKPLSPGQQ DQHRLGAHDNSMPGSRSPNIHPNFQRARESPSMGMAPPQANNGPHLPALPGLAPSMLP KQGSQGGSKNGPSMLQHQQVPGSGSQPGSVSSHGASSGGSIREVMGNQGIDMWQYVRD MEARMAKMAQEHESKMTTLQTEVTTLRAQLAQQHAHNTQQQ CC84DRAFT_1231091 MTEGFTVSGSVDPTTLYTKQQCIGGGSFGKVYKGIDRRTGQLVA IKIIDVENAEDEVDDIISEISILSNMHSPYVTKYYGSYLHNTELWIVMEFCSGGSCAD LMRPGPIDESEIAVILKELLMGLCYLHEDHKLHRDIKAANILVGSNGQVKLADFGVSG QLSATMTKKNTFVGTPFWMAPEVIKQSGYDHKADIWSLGITALELAQGEPPYADIHPM KVLFLIPKNPSPILTGSFTPQFKEFVNLCLRKDPRERPSAKQLLQSAFIRKAGKPSRL QELIFRYQEYQARHPKQADSEDEDTPIKKREPINEELWDFGTIRPIKDRGGNALKPMN ESGANARQSSPQRKPVLNQQAGYGDENYYGSADTVRPSPPASPTKRLAKLDIPNSPMS SLKTAARVPLPPSPEKRSIPPLPPPTAQESRKSPVPAAFSPHPLNRGLVTPTKPPAQP RRQTPLGRDYDEYLQRSIAEDMAALEMTPRRDPTPPRPIVLPQMSIPEIPPFRGNQSQ STNASTAPANPPQHSPGQNQNQNQNPRPSQLQRPLPPLSGQQPLPQLTNQTSIVSSQT SPNSASPPRPGSSSSYDPFGGPIINNWNNVTGAQTPSHPPPPAPQTTKPSPMSRGSFG RPKQPLTPGSPNSAPTEITALSGVVLPALEAALSRRAYHLSLKNKQEAASTPRDLHAF VEQKKRRQECHDNVKRLVSELKKGFRELDEWDERGGVGMGGEVAGFLEGFLEEVLVRV EPADD CC84DRAFT_1209604 MMKIDIPAWPLPVVWVTSALPVAADYQSMSLRLDGVADRLFKKD GTVQVMACRWSKHQKFENLPLRSYEDLCAYVIIDQEHTRSSLDITHNAMKVLLSSFSA FPQLANALPEFAASDIPIQGSRTFFHTECSISRLEGSSDHLCMLLKYIEPNRNFSDPI PWSIRQQLLHQSIDACTLREHCVVIRPSEAFKASIIDQFMQRGNVSTHWTNLPVVLVG SLNAHWSAYVRFLDKEIWDIDKSIDFTNPFSETPGEANFRSLPRTKQYHDLLIRARHA LKANIHVLRLLLKESIKRLTLESKASRELFADHYTTLDSIVNDVIEESCDLVEYLETL KLRVDRITEAIRDSIALRNTHHAAVESQNMKRLTVKSVQEARTVKAIALVTLVYLPAT FIATFLGINGINIQQTDTGVLHIEADAQVILYFALTVPLTSVTLLLWWAWEKWSRSRR GETGSMDPQPARPWKAHVSPV CC84DRAFT_1180704 MEYDYVPLFFGNSHPASQAWAVFTAFSAVGSMISVTYTCVRVKQ TIAWTNILPWSPLWRSSAPVSRREPKDYLELRILNNQHAHNPYDLSTGEPQGGLILHW IICVVYICATSAISVVTEAIAFPGQLLSYAHAIVGVVLGVMFPKFRSIENKVPMTRQW DPPSRESRWLKLKFAPIIFGWTYAIGSIALLVLTVIGPYKNADGSERVVKGWFYPAVS FGTLLMSLIYYLIFIASTEASLVRAAGIQIRECRHGENDRERIRRRCDVCQDSPQAHR HARDGYEHYFEVVVPDTHDRGSFLYWFFGGTEERHHPRASEQVNMVRAVFAQAKAHLK EMFRKPSGLSRNGGANGDT CC84DRAFT_1168772 MCVIFGAGADLARRSKVRDVQKTFKTHNYAVAILQVLYSFQGWE NGTLVKRLSVESNDLWF CC84DRAFT_1168773 MHGILVAFCLLLQLPSPLISCFGGSSNIILSPLLNQFFTHGRLW SGQLQPYLLPPPLHLIITTDFLMLHQQNHW CC84DRAFT_1209605 MFDFNADARQRKCMCRRVKRLLIKAAERKKRGDKETEDILITPS ALENNLQHGPLVELLKCRCNICIPFTIRTPPEDNDVLAKKIATNAERRKLTAALAFIG GTFALRHLDEHRFDSIEVTLNNMRDRHDLIRELFGAIGKNRAFEDCKHTPRHTLSTNP FCLAEDFVEQLNNNATWLRIPSFQADNLFQRFSSRQNMPWIEETLLPIGNREAGRSFY KFKICDSFCDENLKQRPLFRKQIDLLDEESVRRATQEKDVLQVLKELAHHSIAEVLFA YQETVPPRLSLAFDFHPLDLDKILFPRAGGESILQEYDRPYRFPGSILDHWLWVGLLD IFDAVANVHEPLLPSLHTDAKSKWVGAHFDIKPGNIIITAEGRFLLTDFGLTYFKLLD VEREQETNFTTTPGTRMYAPPDGYSKLKAMQAEKNNNLVKQKWWNRDYDVWSLACVAS ELLAYLVRHPHDDSPVKFRKERDQEIGFGFWTKDRNDDEVTKQAVLRRLDSYLKSSDE YLQIVAQQLQKMFSMKRSAPMTVKACHSALSLGLKIDRRILQDRQDRSVAGPGTYSHL RDLRTSFSTERISSPLRCCLYLWENVSSGKLKLEIEFSGSDGETFIVQSCANVKTEDF LALSMFDPDQIYENNVLHTNSSFLDCSFRSMHDGFKFHFARRADYHHFFGAVTHQHIE IGSEFRFRRCKIKIKSRNYFSFKKEAREVLFKEKQDVHNGHLHILRELRDDAYQKIYI DEDTRSKTVKEPQRVNEISSRDSSDAMFGAQRRQQSKDPVRYRLALYLCDQVPALVLV SLNHENAFHINFNNMDRPYPRMELRNRSGMDIWGTVVRAPASVPGIPLNPKTITQDIE GSGRTSFELVEIEFYNMHDSSNFEKCYQKLKGADE CC84DRAFT_1155713 MILSPCSWRVARSVASTWNPCKIPSNALAFPIVQSCAPSFRRFF ARSRASELQSLAGLKTHKQYPRSFSLEASREPEAGSEPGINIQNEDAWPEWRQKKVES QITIVDFSSDRIERYELTNSTLAEFLAKPREHWVMCRWYSVNGLSWDVVRMIGEKQKL HSLAIEDLLHTRNRTKADWFPEHVFVLLTLQRLIRKSEDASGSSSLRPEDTKNRGPAS RERQVPTEYQGSVKTLQAFRHDMDDERTKYMETHATLAPKGLMVSVEQVSIFLQSDNT VVSFFEHSADVIEEPILKRLQSSETVLRRSGDASMVMHAIIDGIVDLTIPIATAYEES IAELELEVLMEPHISHSKALYILTSELSLLRSQIAPISGLVNALRQHNSNALIQTTGS SRDSSSPIANSPPPFAPKDPSTGSITITTLAHTYFSDVEDHCIMVLESLERMRRSADR MISLIFNTMGAYQNESMKQLTFVTILFLPMTFLAGYFGMNFEEFPTLKNSDAYFWWLC IPVTSVMILILMRQRLWRALKRLGRRRGKAKSRRARDQNRLQ CC84DRAFT_1231104 MRSEDMQLDTMTNVGGEAMVEVPLHHDRDNAELTRLGKKPVLKR NFGFVSMLAFSCTVMVTWEGVLLSFAISFTDGGFSGSVYEYIFVWIGTLAAFSSMGEL ASMAPTAGGQYHWTSMLAPKFCRKIFSYFIGWHTMLGWHAIVASGCSVCAYLVRGLMI LSNSSYRLNAWELLFLYWAILVLALSVNTLISRQLPNIESFILIHHMFGFFAILIPLV YFAPHGDAKAIFTSFNNGGAWPNDGTSFLIGCLGPAYSLLGADSAAHMSEEIRNAAVN VPRAMVFSILLNGTLGFGMLISALFCVGNTDLVLHSATHISFMEIFRQAVGSLSGALT MASLVTILIICANISFVATASRMTWAFSRDRGTPGWQILRRVEPRTTLPLMSIAVTMI IATIFSLIGLGSTTAFSGLGMSCLYTSYFIGNTFLLWRRLTGSIKPYSIRDKILANTP EADYLTWGPWKITEPFGTIINAFGCAYLFVVMIFSFWPTKNHPRLDNMNYSSLVYGAF TVIIVFYYFLWGKRTYTGPVVEIHRAEARCHSRIYRPRL CC84DRAFT_1180708 MSLEPTASPVLFTPSHPWVVENDYTSLLNVVGWFGITVIVLTVF TRLGTRQAISRTVGFDDIAIVIATFISIGETVAMSIGVKNGLGCLTGKETVEQLDAQQ KASHSYHLLVVYCC CC84DRAFT_1053855 LSIIAFLFALTPDRTHRLISGVLMWVVSACMLTSVFGFGFQCNV PHTWKFVGNVCIHRIRFYTVVEVINTLLDLILAFFPSFIILGLRIDQKRKFITIGFYM ILSMRLSNFISIIAAIITQLVVMYTRSEDPFLSWTFALAVQIIQTLSIVTACGPYLKP FLQSINSGMIGNDDIRRRYGRATRDYYNIN CC84DRAFT_1190700 MRMFLGIFIGISHGFLKHQRSYTQPIEEARSINSTIFSANFQGR VSDSRTFDGARLNTEYIFGVFTPSSTFSLFGQPTSYEILHFTANQNIASSTTRVDFSI ASFHNTSVPVLIDIWMTWDEEKRINQYDVMFRWWGFLLDELLKSIHEDKEVAERLVLQ ELVTSICDTHTTHCTRSNVQYPSRDACMSFLLGEVRLGHSWEFGMNTVMCRGLHHVML KFRPDVHCSHIGKTGGGMCEDDQTYVGKVTESYFTNPPWIPGDVPRIDGQRFCMKT CC84DRAFT_1190701 MKPTILLLGAHKDTAKAVIDGYNAWNIDRILAYRRPGFQHQVLA SSMGRTAKSNDENRAYLTTIMPLYSNFTLSAFRTRITGLWLKRPQVTVLEEIYDAETH TCIIHASSKAETEIGQYGNEYALILTFTEDGKHVTRFEEFVDSAYSERFVAALAKATS SQ CC84DRAFT_1190702 MKMFRSIASALALILPVAQAYLVGPPGTPAPGATSSCSGWAQSS WHDLFNHRALNPSISLLGDGCNLIQGLYYCVQVDFVSISVSPFPTPTPPPTSTLITLV TTTSSKTGNGIATPTPTQTGMISSCNKFYKVLSGDGCYNIATANGITLENFYAWNPAV GSICGSLWPDYYVCVGIIGSSSSTKSVIPTTTSPGNGITTPTPVQYGITNSCTKFYFV KSDDNCYNIAQAEGVALNDFYSWNPAVGSSCGTLQPDYYVCIAGPKTATPTPTPTKGS TPTPVQSGISKTCKKYYLVKSGDGCYNIAQAAGITLDNFYAWNPAVGNACGSLWPDYY VCIGQ CC84DRAFT_1231119 MRWSSSLCILSSWFLNISNACPLGDREDAHATTLQARDNTFWRR VRIGGGLGADNSAGQISDVFTVGDSSTPGGCSAQMNTVNAWLKDAITLQDAIVKAYSN YKSDKGLRQTWEFIFGIEFDGNDVDMDDLLTKMLWPAIGKRIAGVTQYLEKAASFKPW IFCSEMAGSLQQWDQPIKDKNGKEIASKLGPDGKPEDYYTLRQVFQTQASTPDMKAFY MEAFKGYDFYTNKYNSLCGDGNRYAATSRYIDRAAGNPLVPQVDITSATRNVIFCPNS FSPPNGGQHSFPSLSMAVSSGYYPPYGGDWNIGQPNIEKYIPVSGTFYHELYHLTDVG DTADPYRHMIHIYMAARQQAANNADNPESYLYMAMATYMFLNPPQGKDAVLFPAGVPK KASDVK CC84DRAFT_1199519 MKKRTWSIVVSLANTWSTPAVAANVNITAEHAAEAAASAIKASV TISTASRNIPGMPTDLADARAAISAGDYTSRVHHQPRFQCPRSCREAGSNATAWYNYG ISDLDHLKACASLSCLANNVTQVEITSSVELASSGGSSASIQDTILALSQLGALSTTS TFGCNETVKFAYSGDVVAGIYVGSGLANQGPLASVLDALKAQITSDDSVAENLLVQLC TNYTSRYSMGVAISTKYSDFGSVQRQLQSWKNSTCVTDMQHRNPKWHEVNYYAPTLYS NPSTPNVTMSNQTTPLLRKSTDVLEARADCRTIQVQEKDTCASLAAECGILASDFTKY NPSSSLCSGLKPGQHVCCSSGTLPDFTPKPGADGYCATYLVRTGDSCASIGAANDLTN DQIESFNKKTWGWNGCQKLFADYNICLSTGYPPMPAPVPNAVCGPQRPNTTKAPAGTD FTTLSTCALNACCNIWGQCGTTSDFCVPSNSSTGAPGTAAPGSNGCISNCGTQIVTST APGARYKIAYFEAFNWQRPGLRMPVNNIDTSVYTHVHFSFITLNKDLSVNSSDVADQL PLLRGMSGIKKIVSVGGWTFSTDPSTYTIFRDAVTSSANRQTLIKNVEESIGYFLLLD ELKQAMPAGKTVSITAPASYWYLQYFPIQALSLVVDYIWDYTNKYATPGCPSYDAGLG NCLRSHVNLTETINSLSMITKAGVPSNMVIVGVSSYGRSFQMSTAGCWQEQCTFTGPD SGAYKGRYTDTAGYISNFEIDEIVKQNPSAKKYWDASSYSNIVVFNGTQWVAYMDDNN KATRTALYPGLNFLGDADWAVDLQSENGGNASNGDSSGHTMYVDPNIWASATKIVTAS PGVTLIWPPKPLATPTVIIFPLWTTEVTYSSLTTKSTTLDDGSTSTYPWYIYVTWETV LTIPPVTTTAIDVWPIIIPKSGQTTGDIILTSSVQPPPFTITITPTVSGTTSIIGASE TTTSSGGIIVWGSITYHGRTETYTHGSSTTVIGGIVLPPRVTTVIPNPHPTTVPTTTD PIINPGPTPPKWTSGKPPGPSSEPGCKGCGKPCVLFCDPQCPWCPPGVFPGSGPGGGS PGDPNDPDRSKSSSDPSATATGTVLFDEMFDDTWSSGFADPSAVSSFYSSMYPPPSST PPPTPTSTPSPPTPPPSPAAKCTFCDDGFFYLFEVYGIQLIKEDDLHREENGCGALTG WDWHNADSSNAAYVYFNLPFLIKAGCVERAIVSAGGPKVSCDPGGICGLGKKKAYAPA MPVYREEEMEEFKMSYGNNSTHEDYQPEIWSRK CC84DRAFT_1168775 MMQTRRVHALDHAEFEGDPIQNLGKPITLIKKKDNKQLYAMRRV KRTEPFVLSPAAKDIHHPLIAHLAFTNEISNNLYLYSPFISGGHLFNHLQKARQFDVD TSRIYAAEIICALEYLHTLDVCCWLKAQNIMFDSLGHITLCGFGLFRQRDGTHRDWKR PEYPAPEVLMNDKYSKAADWWTLGVFLYEMLTGLPPFYSDVLEEIRNNIISKPLHLPE PMHANAKDILVSLLHRDPEQRLGVNGASEFKQHTFFQDLDWQEIIERRTEPSFQPGYR AGSFEPYGVDYPHIPEFGQPEEPTTAGTFLGFDTHSLSDSGNKALNTGTGARAAMSVE ENPNAPAVTDENIELDTTEQIRAALEVPWKSDREDTVAHLLESKINLSTPISEHTSER TTMLAWVIRHGSLKMLRLILSKADVKSRDRVSVTLALGLATRMRNIPATNILLSHGTR CDFEDADIPVLADLDDPDGDTFQDPSDPRDFTPALVSAVLNRDVDLARMLLAHGANPN LGYHGVRSGMRGLIAFSCGRIIQLAMELRLFGMAQLLLEYGADIGLAAPVWEARGHDC GVVPRAVYQRVTAALREIRSKSLEE CC84DRAFT_325357 MNPAWRNTLTQLVVVEAWQDGIAPPLIDSVYHDVSVEVQKLRDL SPETGACVNEPDSYEPEWQHAFFGGHYERLKEVKAKYDSGNVLWCRRCVGSEALVEET DERLCAAGRAGVDDDVVRARRDELR CC84DRAFT_1103355 MSRPNASRDAIAGPDTVQPPFPLKLRGPVIKGFGRGSKDLGIPT ANIPLTGLSIGGHDDLESGIYYGYASLDHSTIPALTTERSVPSATGDTAIPERSSNHA VADLETPATAPPSRPITPGRLKEAKVYPTVLSIGYNPYYKNSQRSIEIHILHSFAQDF YGATLSLIILGHIRPEYDYVSKEALVEDIREDIRVAQRSLARPAYEQWQQDKWLSGEK QTGEAEGQSGMLAKSDMIP CC84DRAFT_1190705 MSSPDPEDASPSPEYSGPEGSDSGDIDMTADQKADPRPGDHSPE TKPNGTVKSNAKDPTRPRRKKARRACFACQRAHLTCGDERPCQRCIKRGLQDHCMDGV RKKAKYLHDAPDGALMPGVGGHYPHMNGNRPVPVPVPVHDQGAVAVAQQGAYFTQAPS ATYYTQNTAPNQAVPVQDPTYSNPQAPISPQYAQNTQAVMAAAPAPVSQGTQMQQFGG PLFDPSDPALFNFDISSLNFGNHYGALELGMLGHMSSAAIDVPTNDNPLNQAAHAYNG PMGSGPYAENHGLPAHVSFGPDGLPSAEWQNPHSRHGSLQVHTPNNTPVTIDRHDSLN GPMAYAIGQGGPSSLSSASPASMDYNTGYDNENPMSAATFFANANQSQSRGSPTTHRA QQENRPPGGPLYQMHPNAGRKRQSKLIYEKVTKPYNYPSAHHRLVVACSRRFSRKNQD SVRTSLGLFRPSLLINASHLDSDDLIHAEKNLQRSLISMLECFGEVGTPSLIVRRTGE VVGTNKEFEILTGWKREVLLGTEPNLNANLGSSQQRSNESGLSSQSSTTPTLPGQEPE AGPYPVNIVELLDEPSAVEYLSDFANLATADPLGRGHRRVNFLRYQTKEDLAREAERP RGANGDRLKHEPLVKQEDGIIHRGEAMKSLGAHNGLIDSMIMWHIKRDNFDMPMLVAF QIMPVLSTTQ CC84DRAFT_1168780 MAHQSRRSLNTMRTDDASSGRSSTCSEKRGNFSIAGDEENKIEG SLLGDRLGLSPEGREIEEEAITRFSTANSIRPGLSKTQTNAKSLRSIRSHHSRAGADG YTLNDEDEKPNNSSGATGEDAADPYLVRWEGGDADPMNPRSMTTLRRWCIVFIVSASS LCVTCTSSLYTSTYGQLMPEFGTSRLVCTLGLSLFVAGLGTGPMILSPLSEFYGRRPI YICSFTFFLIFTIPCAFAQNIQTMLVARFLDGLAGSAFLSVAGGTVGDMFAKHELSAP MMVYTASPFIGPELGPLVAGFIVQNTTWRWCFYVLMIWSAVQLALIVLFVPETYHPVL LRNKAIRLRKETGNPDWIAPIEKLSRSIIKTVLWSCIRPFQLLFFEPMCLNLCILSAI LLGILYLFFGAFPLVFQNNHGFTVSQTGLSFLGLFVGMLAGITSDLFWRRRYDRLVQR SEAQGGGSEPEFRLPATVFGAFLVPLSLFGFGWTTYAWVHWIVPIVFSGLFGTGVIWV FSGVFTFLVEAYPLYAASALAANSFARSYFAAAFPLFGVQMYNNLGYQWATTLLAFLA LVMAPFPILFFRFGKRLRGNSRFASG CC84DRAFT_1168782 MNTIAPPPQLKKLPPSKRFLGIALGLAGAGATNLALGYAGFSFY TRSTTFVPYDTTHDDLATPVFKKHNPLGNAPVCIDHAVKTIPLAKLKETDQSKLTTDF CRGVWSGLGYAYQRRYLEKKYRALENREDHLWDRRELAESDYAIGTKITDHFEVVEHT PEKVIVRCGDSPLNQDHRPSDGLFSMEVTTDQEKQTATFHLKSVFVNTTPEGKGGEPL PWRFQFAHRLYTKLWMETATRKLL CC84DRAFT_1155737 MPSKAPEKESAGSLEQEVPISPQLPQRQKDLPRWDPRSWNLVTW IMAGVATAAVVVVAVVAGVLGARANAYPNYYKMEYTLKDTYAGSSFFDDFDYFTGYDP THGFVHYVDSEGSKLHNLTERTSPAPPNSLSKDGTVILRVDAEDKNATTGRRSVRITS RKTYDTGLFIFDIVHTPYGCATWPALWLTDPNNWPDHGEIDVVESVNMGDTGNQMTLH TTDGCKIGKHRRRKQTGNVLAYDCWNATNYNIGCGVAGPPSSFGQAFNANGGGVYALD LRVEGIRVWLFNRTSIPSDITEQKPDPTTWGKALADFPNLECDIGKHFGNLSIIANIG LCGDWAGQKSIFNTNPMCSGTCSDYVAYKAKDFEQAYWEFGGFWIYQ CC84DRAFT_1190709 MATTQASSALSEQAQANFDLGIWHTLFNWPTLSVAVQNQWGGPD SSDKRDWLAGAVSDLFASNPATDQEDVEVMLLQVLEDEFGVRLEDETEVGVARDILAI KNEVHEGNFATVDALKARWESRKGREVNTGSVQVNEVEHEGEWDSVDDETDDDEDEVM GDAPAAAAAPPKEKPAPEVDEDGFTKVVGKKRR CC84DRAFT_1103013 MTNSWQDSARQKREAILAAIPAEWRLKDLPSVEEQRDVTGDYIR GFLTEREVEITETDAEKIVGKTTTGEWKAEEVTRAFCHRSALAHQLLHCLHEIFYDAA IASARSLDSYYAEHGKPIGPLHGLPVSLKDQFHVRDVETTMGYVGWISTFEGKKGTGK EKVFESEMVKELRILGAVLYCKTSVPHTLMSGETTNNIIGYTLNPKNRNLTAGGSSGG EGALIGLRGSPVGFGTDIGGSIRIPAAFNGLYGIRPSTGRLPYEGMANSMDGQNTILS VVGPLGTTVGSLRLVIRAILSQKPWLHDPLVHDIPWRDSHEQQITSLIDSTDSSKGGK LCFGVMRTDGIVHPSPPILRAIDEVVEALRSQGHEIFEWNPPSHQAILDEAFKTWEFD AGSDIKSAFALSGEPMHPQVAGFSELEKHYKASEIATVNVRLRELKKVYMEYWNSTAK FTKTGRCVDAVIGPAAPWPAARPEKYSYYGYSTWVNALDYTSVVVPVTEVKRDVDVVD ANYVPLGERDRKSHESYDPEIYDGAHVSVQLVGRRLEEEKMLAIAEYVGKVVGK CC84DRAFT_1199527 MHLKSILASALVVATSYAHPGHSNQKELHARREFLSRHVNNIDH CASMHEASGLRTRAIERRAALANTLSRRQDSPLSKSHKSDKGYNVDTDPKEIFASKKS CVLTPEATEGPFYVTGEYIRSKLVDDQKGIPLHLDIQLVDVNNCHPMKDVFLELWSAN STGVYSGAMSPINGLNGATDTSNLNKSFLRGLQVTSADGVAQFETTFPGHYDGRATHL HIMSHLNATAQANGTVWVLTATHAGQLFFDQDLITAVEKTAPYTVNRQRLTLNRADGT LLQEMATSDPFLEYVMLGNNIGDGVLAWYQLGVNPAFSRKIMAVALNYKEGGKVATDN PKVPGLSAIFPGGFPTAYQPGYGSPKATGTPRTDEDHE CC84DRAFT_1231155 MQSALALLLVVATVGVRAVPFSLVDTPAKCTNPTKRVSWHDVSA EDKKAYISAEQCIMNAEAKLKKMPGAKTQWDELVGLHQILSLQIHSTGTFLPYHRYML HAHEHLLNQCGYNKGLPYWDETRDAGNFSISPVFDSVLGFGGTGQGTSKCVEDGPFRN FTVNIGPGFTSQPRCVNRQITNALSSYCSASAVQKAISPTTYEEAWRAIYSGPHLMGH IALSMMNADSITSNGDPLFFMHHGFVDKMWADWQAKDPKRLTEISGLNAQDPKVGFLE FPGGIEEESKMWGHPTTEMKAVTPDPTTGDKGGNQTTLGHVMTSLGIIPDTTLENIMD TKGGYLCYEYV CC84DRAFT_1222222 MQANRLMAELLQHDGAMGSSGLQRAVPDDGRAPSLAHRMKHDKS ILALAVSSQYIFAGTQGGEILAYSLDTYELRRTIEAHRGSVLSLTLSQDQSLLFSSAT DPIVNVWCTSSFGRLYALWSPYDLGDIFCVAYSAHHKTLYVGAQNTSIQWYDLKQKDV RPQPNPASHPSERKNRFFDSTGPGGVSTPRPHGADVTLRDAVGGQYLEIDRQDVHQFA HYGYVNCMLLGKRILPEAPAEEVLVSGGGDGRIVLWRIDATSGGAISSLFALEDGREE GESILSLARDRSFLYSGRFNGEVNVWDLETRQLVRSLKAATGDVLTLSLGGGHLFAGG VSGLKYNQHYETISTFKAHEGLILASNFANYNGKPTFVTGGNDNTIVIWEVPDCEGRE TDAKRSNNDLMVESLNQFVSFKTVSSLPKYRADCRRGASYLRSVFQNFGAATEMLNTS EPYNPIVFAKFRGNPASAASRKKILFYGHYDVIAAENEQAKWKHDPFTLTGEGGYLYG RGISDNKGPIMAAIYAAHELANEQNLGSDILFLIEGEEESGSRGFAQAVKAKKELIGD VDWILLANSYWLDDHVPCLTYGLRGVIHATVQIESKHPDLHSGVDGSALLDEPLKDLV MLLSKLTGSHGKVQIPGFYDPILPLAEDEEKLYSEITATLLRGNPDLGDAEELAQSLM RRWREASLTIHRFQTSGPDNSTIIPRLAKASLSLRLVPNQEAFEVARLLEDFLQSEFS QLGSKNSLKVTIDHQAEPWLGDFTSPIFQTLESAIMSVWGPTLGRRRSSVHALKHNID YFNPNPNSGSDNRPSPTTSNTLASGSGEPDEQGFVAQKPLYIREGGSIPSIRFLEKEF DAPAAHLPCGQASDSAHLDNERLRLVNLYNSKKIFKKVFSELPLR CC84DRAFT_1180724 MAADDVVAIDGRWYQYLGSNGRILACRRVAAGRHGAASRMHSMT AVGHSHMQHVPKGCSNQERRGTADAGRQWGWCQAPGVHRDTLAASTAATASELAMSSD KAPRRPAWRCLSHGRRECQRRPPPRHAASAPTCRQAIHGLWLLTAASPTPAAVYPLPA PAAALGSGV CC84DRAFT_325722 MGVGETITVINRSGKVVSSSKHIINVFKEAKSAYRERKAEIQAE RSAALHERKLRDAIKSVRLDDDTRSRASSHRSKHSHATHRSKSHRPSRPPLERGFTDS FYANDDSSPRRSRHRFEDDLAGAAQEGHRMELARRNTEAAVAVKREDPARRKSDSGID MDLCYGEIPPPLPDKRYDEVELRDKASKITMLLDEANCLQYSVTSMIENLQKNPDALA AVALTLGEISTMVKKMGPSVLPILAKSFPAVVALLASPQFMIAGGVAVGVTIVALGGY KIVKKLQAQKEEQGHPMAMTADVGARDEPLMLDELEPPELGRIEVWRRGIADVEAESA GTSVDGEFITPGATRHLAAEGVLDEDDIRSRRSARSRKDGEHRSKSHKAKSVRSEATT KTTKTTKTAKTEKTERSSTTSRSKTVKEKSKKKEPSGLKMLFRSHSS CC84DRAFT_325745 MMAFSQLGLRQGNIVRRFAVFVHLGVAHFTGGAGPLRFQCHERS KEANRSLIRWCLTWSELIKLYTARELISPLSICCVAFATSLLARMDAEATWANRFWRE MLLKAATAHDHDETHSYYYLQHAFLRGRIQYSYGRPDVGYGKEVVVNDPTRELDWMKY AGVHHAEEYQKRRPRSESLRLELSSDENATRSLQNVMGRMFNTIRSPNYFRRYYTVFQ VTMRFLVDLRQATRGFILS CC84DRAFT_1102813 MTDRVTKPRPRKKRELKTAHVVRTKNEKEPSPNDEVLIKTEPGT PDPPSIVSALPVATPSSRKPSVEQVHRSELATKDAIAQIQRHYRLPALYQPSRAVSDA LDVAFISHFVKQNNTTRKYTPDVPWITSLPNLHGATTKPAVRLSIRAASMAFYAAVHR DTTILVDSYRWYTMSLNCQRQSLARLSSTRIPDAEEILVPIILSIYEAYAGTTTTSMW PHMAAAAKIIELRGPTNCTGITATLFRIMRVSDSHKAIVFNTPSAFAAPEWLNTPFIG SDKSAHQELADIMLLIPETIAMLEVVPGSLRRFFNQPLPFGAVVEPVATRIRAWLRFL DDWAARFPYLTKAPNKEAVVVTNDMKNLSSGVHVTPSGELALPNSFVAFTAASYQALL VILFLLLHKITPKMAPLPTPVSAISPSYPSPPSGSSPTVPRNDEASYLDAATTAAQKV LDIAKYQETTHQIGGFDVLRTVFPLVIVGNLGPSQEEKIRAVAVLTRWGEQRGISGLC TAWLNV CC84DRAFT_1129632 MPLRVPTARLTPRLYCRTFTTTPRAFLNEVGNRSGEQLEQKKQE QLKKQEEGEGHWHEELASQSEAKIAADKEHVKDHDKHMSDLQKETAKKGEKGELS CC84DRAFT_1168788 MFPAIILHSPAPTLLRRKSYRRCVWTLVGILVALLFVLYKRAEA RIVALVNVAVSNSDVQLDGQMVFDQLCIDTEVSSQIPSTVLAFFIATVAYGGFYFLSI VPHCQQRLDRSKDALNIMLALSATIVMWLALLNFYLPFETRWMNMLVRRIKLINGRLA R CC84DRAFT_1155754 MKVCDAVAAVASLAQLAAGLPQVHAPKVVSRASSGCGKTQWPTD FTHYRFGLQSNGKDRSYSYHIPANYDKNKPYPVVVGFHGSSSVGLFLELDTKLSQSRY SADKIVVYPNGLDGSWAGPTYHTASTVQEDIQFVTDIITDIKSNVCVDEEKIFATGMS NGGGFIGTLACDPLGSTLFKAFASHSGAFYTDINGPDNNCNPNPSALPIPILEIHGGN DSTVRYAGGQGDGGPEPAISDWLDWWAERNGCESNTEEILFNGEVQHLSWKCGNLEAG LQHYKVSSLGHCWADTEINLSQISVPQGPTVIKASEIIMKFFDEAVKS CC84DRAFT_1222226 MRTTLITCLVFIYLELLRGTYKRAYDHLDGGLKVLADLKYETNR KRKQPKDGSFKSSSESNQDRVDAFLSEAFTRLHVQMEFSILRSGTGFTLAPKLPPDLP TLKFSSSHEARHYLDRVFDGINLLSQPIRNGSYANWPDGYWNMILTEQRFMQGCLDSW LRSLTATMADTNDDDELNGYNMLRIYHTMAEIMGATCLSPEQKIFDHHIPRFLAIVDR CSDIASDGVPSERICNVSRLPETRCRPWPDLSWNPPLYYTAIKCRDHQIRTRAFDLMS SFWRYEIVWDLGILNISSIVAQEVIRMEEGDFFGAKIPRCDTKITFREDLEPKLDPLP PMLPEELRFDTVEMVLLDEFPGSIQIVCKKWIKGRVQKTTRKAYREAEALQPSIPAQV VFYPYKS CC84DRAFT_1168789 MAGVASTYDEPVHIAVIGGTGIQSLPGFTLAASLKIDTPWGQPS SPISILHHPSPSTGKPIPIAFLSRHGLHHELAPHEVKNRANIAALRSIGVRTIVAFSA VGSLQEEVHPRDFVVPDQIIDRTKGIRPFTFFEGGMVGHVGFGDPFDTSLAKIVRRCG HSLEGEGVRLHDTGLLICMEGPQFSTRAESNLYRSWGGSVINMSALPEAKLAREAEIA YQMICMATDYDCWRGGPDEDVNVEMVMAHMKANAENARRFVGAVLDELSKEEHSELVL AKHLEGQMRFAGAMTHKHARGPAEKKLQWLFPGYFD CC84DRAFT_1180731 MVLLAYLWKAFLATTVVAPLTSAVPSGGHKFPKLLDATASELTA GLEKGEFTSVDLVQAYTARILEVNSTLHMVTELNPDALKIAKALDAERKTGKCRGPLH GLPILIKGNIGTDDLMNTTAGSYALVGAKLPRDSTVAAKLRKAGAIILGKSNLSQWAN FRSSNSSNGWSAYGGQTYGAYYPKQDPSGSSSGSGVASSLGLAVASLGSETDGSIISP SDVNNLVGIKPTVGLTSRALVIPISEHQDTVGPMARTVKDAAILLQAIAGPDAADNYT SAIPHKGKLPDYLAACTIGALKGKRIGVPRNYIGPQPAPVAEAFEAALDILREAGATI VDNTNYTAYAEWVRSNAETVVLDSDFVANLASYLKQLTYDPTGVKSLADVKNFTQSFG AEEYPSRDTATWDESLTLNVTYGSAEAWATYQYNQYLGGVGGILGALRNHSLDAVVTP SSVSSGISAIIGAPIVTVPLGSYPKNTTVEYNSRGNLVAIAPNLPFGISFSGKLWSEA SLIGFAYAFEQRTEVRKQVKPYLVPKTELADVVGGY CC84DRAFT_1168790 MVNNRINKVAIVGATGHIGSHIVAELLKNARHEITAISRQGSKA KFPSGVKVAQVDYSKEESIIEALCGHDFLIISLSTSAAPETHPTICKAAVKAGIHWIM PNAYGMDINNVKFMEENVYGPVAKKAIEDVKAAGGSFINLACSFWYEWSLGAGLDCYG IDVAAKKAILFDDGTQKINTSTLTQCGRAVSALLNLPITKDNDKPALEDWKDNAFYVS SFLVSQRDMLDSVHRVLGDSDEEWEITSEPVEERVKTALQDMYKGNYMGWVRAMYTRF FHQNGDGNFESRHELANERLGLPKEDLDAATKWAVEKQLRDGFVYER CC84DRAFT_1222230 MKFPLSMLAHSAAVLAAPTSTDATTDTVLINCTYGFNYGGSDLL SMGNYEGDINNPFTAAGQPTDGDHVLYSLFNSNSVIIGKVEFIKFWGAGGCANAGTGN DDYCPAQSTKNSAMLRTALPTVILAVAPSSASKYLS CC84DRAFT_1190718 MPPAAITTSAPPPTNGKAAAVKSSAEPIIIPSGRLNGPSDVVPA WVKPDLTRLLRVEHLEGDFAARSVSLVDLPAGATFARISNPTPAKCAYTSVQASRDLH IELNCDLVYINHSCRPTLVFDMQRWEVRVNPDLKEGLKAGDELTFFYPSTEFDMSQPF DCRCKEKNCRGTISGAKDMSLEVLRQYWLNPHIEELLQERIA CC84DRAFT_1190719 MDCDQASIASNGFWRSFIAICVPLLLSALEGTVTNTALPTISDA LNLSTSFSWVATAFLLASTILQPLYGQLGDMWGRKYPMMVAVGVFAIGSGICGGANSG GVLIFGRIVQGLGTGGIDLFAEMILCDIVPLRRRGPYLAIKHAVFAIGTTLGPLLGGV FAEHGWRWCFLINIPVCVVSMLIIYYWLHVGGGIKIKNVNVKDEISKVDMFGTVALTA AVILLLVSLSTGGASHPWDHPAIVIPILISVACFVGFAFYQRSKYCTHPVMPKEVFSN RTTNIAFALTTIHGFITYGFQFYLPPFFQAVKGSTPTKSGLEVLPTTIVIVALAAAGG PLLSRWGRYKPIHIIGFGCMALGLGLCVMLAKHSSVGAWLMFQLVVAAGCVQVKLPDT TTGQSSGSWAFLRGTGSLFGVAVPGAAFNIRFTSLLSSISSTSAREQLGKGQAYQRAT ANFVKRFEPDVQAEIVHTFTESLKCVWMIFCVLAGAGFVMASCEQEHEMRKTLNTAYG LKTPKSVGQTPANTAPGSPVLTPMPEKSIENVEAGPEEGSCEETVECDNHSIPI CC84DRAFT_1050164 TNTQHAHHGLAKTVEGEDHLVNRPAPGISYFTPLQNPRAGTALG MKDGSEKIPKIFKPLKIRGLQLQNRIFVSPLCQYSADNGHMTDWHLTHIGGIVQRGPG LTFIEASAVVPEGRITPQDVGIWQDSQIEPMRRVVEFAHSQNQRIAIQLAHAGRKAST VAPWLSMGDIATESMGGWPSNVYGPSPIAFNDKHAQPKEMTRQDIESFKAAFKAAIGR SLKAGFDAIEIHNAHGYLLHSFLSPASNHRTDEYGGSFENRIRLTIEVVELARAAIPD TMPLFLRISADDWLQEAGIEGWTIDQTVRLAEILATKGVDVIDVSSAGLHEKQKIKGG PGYQEPFAKAIKDKVGDKLLVSTVGSITNGEQANGYLEKDNLDVVFAGRMFQKNPGLV WAWADDLGVEGRWANQIRWGFGGRGSK CC84DRAFT_1103118 MARRSRGADRRSTWSIAFYLLLVLVGGLMMARTARAEDQVPVKD DDSTGVSGPVIGIDLGTTYSCVGIMKNGKVEIMVNDQGNRITPSWVAFTDEERLVGDA AKNQYASNPHRTIFDIKRLIGRKFNEKEVQNDIKHFPFKVVSKSGQPRVQVEVQGEEK TFTPEEVSAMVLGKMKEVAESYLGETVKNAVVTVPAYFNDAQRAATKDAGTIAGLNVL RVVNEPTAAALAYGLDKQDDKKERQVLVYDLGGGTFDVSILSIEEGVFEVQSTAGDTH LGGEDFDNRVISHFVKKYNKDKGVDITKDAKTMGKLKREVEKAKRTLSSQKTTKIEIE SFHKGEDFSETLTRAKFEELNADLFKKTLKPVEQVLKDAKLKKSEIDDIVLVGGSTRI PKVQAMLEEYFGKKARKDVNPDEAVAFGAAVQGGVLAGDEAASNLILMDVNPLTLGIE TTGGVMTHLIKRGTTIPTKKSQIFSTAADNQPVVLIQVFEGERSMTKDNNNLGKFELT NIPPAPRGVPQIEVTFELDANGILKVSAVDKGTGKAESITITNDKGRLSAEEIERMVE EAEKYADEDKATRERIESRNKLENYAYNLKNQVNDDDGLGGKLDDEDKETITEAIKET QDWLEENAASAVTEDFDEQFEKLSNVAYPITSKLYGGAGGEGADDAGHDEL CC84DRAFT_1222235 MRYQSAMALGALAAGQAAASNHGHANFHKRHEVKARGADAVNWD NVALDLSAVDWSKVDYGNGAASTPAASTPAAETPASSQPEVVATPASSSAAVEAPSST EAAAPAGASVSSESVGDIVGDILSGIESFATKLGAQLGVNSETENGQIWIGGDGKHSA TFTNGADKDAMVWCWGKSTMWINANQPLISIKLAAGETQKLSVADGFSGGCGASFDDS DLFMGLLNESILEFTFGSGANGCFDISREINMKGIQLTAKGAKCTSGLDGGSQACTFV CTDSSATRCGAGGGDYAIDVGTSTNGPCMVGKDPFTGDAAGGCQMADDGEHLEVTIHG ARW CC84DRAFT_1180739 MIRWSVDEGPEYRVRDSPATAQRAAHNRRESTATEKITTAHAAF EVAATALSASLGSGGVDVCFVVPEPDWTADCPVPCSDPQNGASPRCDGDDWVPGRQGC SCSQVVDVRREGNSSPAYGLQRASVVGNASCARHNSRYAQTFSKGWQRLAAPTVAATT ASPTWAQNEDGASLLHTHANSDATEQKGLPSASLSCGRVLEYAASPLVSRRAEDDA CC84DRAFT_330423 MGCCGDREKGITVTEEQKWDYITLSDFKSSSCLTPFSYVWLWIL VIISGAVYAADAFTAVNLLAFDKWSSQVKPKIDFNITKWIFAVCIIVSYVFLAYRWIR AIRVIRSGGVAECYLEPLAAIFQSMRLTKEGQGWRRFLVFAELTKSRKGVDYIALFVY FQFKGALIVILAQGPRIVVNAMTLWAVVQAQLIPEGDHAAPKGKSPVAQFFLNIETMI QQGNKQETVIYFTMLFSLVIWVIAFLSLFFSVLFYVFFLWHYVPKADGSLTQYCRRKI ESRLERIVSKKIKKALEKQDLKRKKEERDALKKGGAEARMPTLPKLGGVDDDTSSIYS GVTGITRSDTMTTSTTLPPYSSNAPSRTNTMNTMSTARTAVMKPSLPSLYERPVPTRT DTQGTTTSFGSNAPLLSQVGDMGMASPVPPLPSLDPHAEYFSGPPGPRPPPSGMSGRP FSPMSQGRASPYSQRNMLPPVDTSVGGRGSPAPQTMSSTGYGNRGVSPPQGNVPYPSQ RTGASPLGGPTFSPYNNPGPATGPAYEMSPVDLNASDNTLDYYTQGGSSNDYRPPQLP STLRSGSPAQMQSAGPPRTGTVPPPRSGTAPPANPRAGLPATLQSAIQRREASQPLPN RGMPMHQQQRSATAPLGQRWAPQSDAEPMPRSNTTGPGPQGHHPY CC84DRAFT_345034 MCLIPLFREASRPLFRRAIIGSLYSAYSNTHGTISTTTHASSFR FTFYTFTFTQYTWILNTSLHSQHFIIMRSDLESRLSKIVGTSPKTKIENPPKYERVNS QYLESFVFGSASPRQQELLTIGEHFDDQRPPACDVNKALPPHPANRSRLLGARRVTLC VCASIFFILAITLALNISITIILRQHKNAHEDRSATLSPMLLEPFATIHGQMRGPTWT SGVPLVL CC84DRAFT_1180741 MFPHDLKLLLNNYLFWKTAQVSFLLRTSYLPISNSTYQPRMSTK PSLASNNPFRTDTTIEMQKTGSQQMPADYRDPNHPLAPSDLETQSITRHGCANKKTLY TIAGVATLVVGLVTAFLVSIKISHNRSIPSSNCENATNTNFDWQRATTTTTLISIGTE TPQPSTLTTWVTVTPIIFAPPSSTPLSTGTASRSAPANSTQNAEPPPSTIQPAIGTDK PAAKCLVVDTFGAQDICEYHCIPVNEGKAQHCELRSGRLLCIQCDSV CC84DRAFT_1190723 MELLELYYDVLIKIIEEVEPEDLAALAQTSIGFNHFVKGNEMLY KAHYLKNFDDPRRRPTDPAPDWIAELQRLVKLRKILQSQSPDVKSANMDFVLETISTL IKTGTESEGLSLNCGELTKLFSNPQNADMFMHRSSLYRRAGMETQSQAQSLETRQQSA RLHSIYGIPKDSTGRRTLSTHPFARSRVYDLRNYSKRSRWGPFRNDGSMRVDWELVES IMVVLGYNNGICSRRYMDRFVLPWSAPFANIFQDRFAHLPFTDLQPPLPSLTKEPSLP LDFQDPYNVSGIWARIVCFLDYDDLYDFNFNTTAVRTPEDQPLPPITMEEAVRHIMMH LEVTAVTAPGKNDNQTMPVIHFDGKARAVDTNMDANANSSIRGCVRMTPEGEVRWSTV WIFNGHEERWRSEAIQVGGLRSKRGVVGVWYDKSFDPHGPAGPTAFWKVKELASGTDE DGNDSDDFMEL CC84DRAFT_1168802 MADNTNYPIQKPEGEWQTQLSPEQFRVLRKKGTEPPGSSKLDKH YPDAGVYTCAGCDAPLYKANHKFDSGCGWPAFWDAFPDAVGQRPDPGLGMMRTEIVCN NCGGHLGHIFKGERFGNPKDERHCVNGISINFSPEEKKE CC84DRAFT_1052609 VTWLSLPRKDQLAVLTLARLSEPLTQTSLGSYIFYQLRSFDPSL PDSTIAYQAGILHAAFPGAQFLTAIAWGRFADAEYGGRKRAISIGLLGTLVSIIGFGF SHSFLTALFFRCLGGVLNGNVGVMRTMISEIIKEKKFQSRAFLLLPMTFNIGVIIGPI LGGILADPVGSYPSIFGPGSLFGGEKGVQWMIKSPYALPNLISAVFLFMAALSIVLFL EETSELCKDKPDFGLRIGRWIQRRVFRQRSADNHAYTAIPGDELASVSAVEMQATPTS AHPEPLSPRTVDPVLGRPKLPFRRMWTPNVLITLLSHGLMAMHVGTFNPLWFTYLSAP RYDPDHPNPPGFKPRGLHFTGGMALPPPRIGLALSILGVIGITLQLFLYPGLSSRLGT ARSYRIFLLLFPVAYFIAPVLSLVPSTSKPPAGVSGALIWIAITVVLFVQVLARTFAL PCTAILINNCCPHPSVLGTMHGIGQSVSSFTRTFGPIVFGWLFGKGLDMGIVALGWWG LACVAVIGSIAAQFVREGDGHEILLDGE CC84DRAFT_1199541 MRFTPVLSLLPFALALPRIPRDAASLAPQVLEQIATLNSSLTSL TTAVNAFDGTLLHVIPQSLAVITAETALDAATLKTTFITKSSGNFTQTESNSVVAGLA NLIAPIQTSLTALSAKYEVFKKTLESPIVLLDLKILKAHTDDLIDAVTAKVVPASAGL LGFGKGIIDKAFDDAIAVYQGS CC84DRAFT_333023 MSSLVSHLDLIVPPEKATHTHSTGPDTTSNAGTFAYATNSAFQR SIYQKCEPYVPDLKGLKTITVVDYGCGPGRNWTYGLNLLLSRADEDIQYQLVLNDGMK TDWKEVAQVVQDYRAGQCGRQIGHFAVLPGTFYDQIMPDRTVDIGMAWSSFHWLERTP PPMDIQPNEDYYTAWQANIRSQGLEDLTKLLCLRAREIKPGGHLLVVIPTTPASSVKV YWEAFLEAFKRCLKDGTITPEQWRAFRAPFFQPTEDDLRQILTTVRDLWHLPIPWAYH TLAHPAWKTLQDSEKTQQDYEEYVDGIAGFFMALIRDVLVRALRGGEGINLECPAANE QSILQQVTTRFREAVLSEELRNKRPESCWLAMRLVRKHGVNILEAKANL CC84DRAFT_326461 MSTAALKMPPSRAIDSAKQSSEAALADASAAMKNAAENPKQATQ SFLHTPFVRATLPFINGGIAGMTATSVIQPVDMVKVRLQLAGEGVKTGPKPTPVSVLK DIVASGKVLDLYTGLSAGLLRQAVYTTARIGFFDTFMKSLTASAETKGQKIGFKERAG AGLAAGGLAAVVGNPADLALIRMQSDGLKPLAQRANYTSVIDALVRISKAEGVTRLWA GCYPTVVRAMALNFGQLAFFSEAKNQLKSTSLSPNTQTLTASAVAGFFASFFSLPFDF VKTRLQKQTRAADGSLPYKGMFDCFKQVARDEGLLRFYRGFGTYYVRIAPHAMVTLVV ADYLGFITK CC84DRAFT_326459 MSSRHSRSGARPTPSRSSIVSLQTSPRRPAVEEELPPYRKPSHP LNEQALTKLRALNGPSVTQLKDHNKKAADRVTNAAALVLDTLFERQAAVAKKRAKWDN NIGTGSREQDEARLAELQAQVDEFSKKLEESMRAIIDNSVAAQRIEESLAWLQTHAPG QLQQYTTRGSQQQSQRASQRASGSQGRRTQTRDDDDEDMQDEHETQVSMGPTPGPTPL DGSRPTLTGVSEMYTERVERGKRDYTSMSYGGRYARNKEYSTFKKMVHDAQYRDDRPL PNPETWFTETGEPALGVTGRGEDHDDDDIVMERATISIKCPLTFLPYKDPYTSSKCPH TFERNAIMEMIRTSNVRVGGGSANTGEKAVKCPVTGCDQMLRMHDLNHDPVLARRIKR LQEDKAREAEQSDEEEDRQVSVSQQPTQSVQPRIKVSSSSRLQVPSAQETPRGSIVDD LGSSGEEDE CC84DRAFT_1102964 MANKQAEFSRLLDLPGEIRNHIYREVLCCFDPIVPTAAGFGINQ GVIPATHSVDIAILRTCRQVHREAYDVMVKTNQFIKISSVDIDLARLLLSSGLPVVTM DRAVAGQFQGCVMSVDLSVVPGDWVGQPWDGDGHWEEDFNEEIHAQPAGTGPQFNFLI LGRDWSSFCHMLSEGTAFFERFEKNVKIELKMNAWPEHIPDYKATIAHYFTADRQATL LGSFGSILRNFENVVIGGTIDEHLAISTVRAVAGRQWTSHEDVIHELSARKDTAKTAS SQDSLQMYMDAVMLMRRIYGSADFSRLVEEGDVAFVSSFAELYFTVLLDACQPILEIM RSLEFVRLQAWSNVIYEHVSLAQESLDNLAEDGSIYEPTSVVMAQLAYIIAVCSRLLG QALPQDHAVDTIMTAVRLNPTDAAILAERDRITGWRRALVPTTRVSIRSALE CC84DRAFT_1102986 MGTLSPTATDRVFPIRSVVSVDPHPTPTQRGQPDYFHQHARPYE GRVPADGRRQSQSSTASQTSQPSQRGPPPTQPTSRQTSANRGSASATRNETSRKLPPP LPAQLFNDFGSESAHGNSMSRSPSLKPEHPHSADAASIRSAVSSLGDMGNLVTHRFKH VVTEGGHAVITGRDGATLQRCEDEPIHIPGAVQGFGLLIALQEDPGGSGSLPVRIVSE NAKRILGRSPQELFALESFTDILSEEQADNLLDHIDFIKDEDADVQTNGPEVFTLSIK FPNARRSRKLWCAMHINDTNPGLIICEFELEDDDVYPLVPSNEMTPELPEDTLQSNPT AEEFQESTETGSRPLRVLRSARKRKGEAAAMEVFNIMSQVQEQLAAAPSLEKFLKVLV GVVKELTGFHRVMIYQFDQSFNGRVVTELVDPRATKDLYKGLSFPASDIPKQARELYK INKVRMLYDRDLETARLVCRSAEDLETPLDLTHSYLRAMSPIHLKYLANMAVRSSMSI SINAFGELWGLIACHSYGGRGMRVSFPIRKMCRLVGDSASRNIERLSYASRLQARKLI NTVPTQHNPSGYIIASSDDLLKLFDADFGLLSIRDETKILGHLDNSQEALALLEYFRM RRISSVLTSTDVSQDFPDLRYAPGFQVIAGMLIVPLSVGGTDFIVFFRKGQLREVKWA GNPYEKFIKAGTEGYLEPRKSFKTWSETVVGKCRDWTEEEVETAAVLCLVYGKFIEVW RQKEAALQSSQLTRLLLANSAHEVRTPLNAIINYLEIALEGSLDSETRENLSRSHSAS KSLIYVINDLLDLTKTEEGGPLIKGESFDLRATLTEATNMFVGDAKRKNISYDVVEHP GLPQHCIGDQRRVRQAISNITANAIQNTTQGGVKVEMYVAARRSNTQVDVEVAVTDSG VGMSSKKLDQLFNDLEQVQSEPAAMLEDALIPSTEQLLEQSEKTTLGLGLAVVARIIK NMEGQLRLKSEEGKGSRFVIQFPFELPDSEPQVPAVGSSEGSITPLPGTSRPRGERSA STKSVRPTLSKRNSIDNAIASSPRRTRSRSLEQDAQVVIPPHLRSMDVRSPGEEPITS SRTSLKAIRMPDDLGSPIDPRPRSGSVLGEVSDEPEKISTGSKELSSKHLRVLVAEDD PVNSRIVQKRLEKLGHEVYLTVNGEECAGAYCDKPQDFDVVLMDMQMPIVDGLTSTKM IRSFEKTHKNIYSPRAALNGRVPIIAVSASLIEKNREKYMDAGFDAWILKPISFPRLN ELMAASVDRSIRESCLYQSGEWERGGWFHFEESSADEVSTEPAGEPLIDDPSEGMGKA NQDSDDRQAGDESDRISDEQNRLLHAQEQERKSLGLDDEAAPEHGDSV CC84DRAFT_1102669 MTTRSLNVLLALPDSSTHEFGHRIAARLHRIPDVSLQVIAGHDF PPSSHIVPPALEPVWHRYDVESNAKPEWQHADYADTKVAEYCQWAHLLVLAPLDAENI ARMLHGFTSNLHLQVLRSWDVSKKILLIPAMSTLMWEHPMSKKQISKIRRKWNWIRVL PPYLWTFDHMGRKYQLWEGHKAFNESMQTQIDLMAVGDGRIALPIAHMPTLTPTKSKG PRLPPELWSIILEFTEDWELAAMLGVRTALPIPPEWRQAASEEGPKNQMQRLEWTLLT GTYADIRASLDAEPPTRISRLCIELIMRFAMVPVLTHLEHAHKELFWGTFGHAFLPHK ASVFGRTEILEYWRTSPTFLTKEYSTEAMDSASRSGSIQALDWWFDSGLPLKFTESAL EAASSQGHIEVLEWWKEHSRYRSEPAPSPSPTGRKDEAQNRRPLLSLKVGKSITYATQ AGCLPAVVFWVESGIPFSHEDTIAKLASAHGHTRILNYWHRHCGEKMLFDNQVLVGPT KMGHADVLEWWKRSGLRVEYKTCDIEEALEDGVEGEAGEDVRKWWARNGLNLGVGTSE WMRVKVLGS CC84DRAFT_1102738 MASEAVATGSSGEGLTPAQKLMEQHNHHVTVEDVVDEEDLAHPP PSAALKSDAADGASAAAPLSEKAAGKQKAADHPAPSKKASGLNTASEEAFPALGPVKP RAQAVAPTWGKKPASLAANGANGSGPASRASTPASGTATPASIPTSRGPALPSMALPG KHKEQIAFLTSDLKPLKELKKPIPQIIHDINKRSKARLERKESPNGVIFEATGPAPAV RQSLLEIANEVLAKQSVKLSVPASVRPFIIGRGGDKIKEISSRSGARVQIPKQDVQDE DDEVDVIIEGNALTAGIARQEIMAIVKERTSTVNLRLKDIPAEYYPFLAGPRNAHVEN LQGNRDVRVQIPHYYTWDNQAPAQAPSNFIPQAKYPIQISGDREAAQQIQAELQRRVE QLRNQLAIERQNVERGRHQFIAGERGYSLHDFLEETGCTIIVPPSTDPSEDIYVVGPS DKIKAGMDKLDEIAYSMQSAMADSTREHRGPNAQTHARNLTRYLQERELIKELERAHN TSIVAPMERDGPTAWEIYGKEAKDTQKARSDIMSIFAGHPPSRFSTVNVDPFYHQHLR ARNAQHVRDNMGVHIVFPVETDESPELVLVYEGPTPQAEYVVPRGAPPSADVQAYQKA LREAQAFIQGLTSKQQELASRDIEAHAKFHPKIQRFVEKEQQGLSQDQIPIQLLFGDR RPNASASSNNFGMRGPVDALEDLHSKVLAFIEQEKKDELERGYTISFDFPQKYANFLI GKGGENIRKLREEFDVDIQVNDGKVELKGPQAKAHACKAHIEALGKRLADEATHTLKV KPQYHRDLIGAKGSTVNRLQERYNVRINFPRSSGKADDEAAGEGASQRNFRAQAPDEV IVRGPRKGADEARDEILSLLQYAIDNSHADSVFVAQSQVPQLIGSGGREMENLRYATG CQIDVPGAREGADPSGRAEIKLKGTKKQVEEAKKLLQERAKVFDDTIVKTLDVDRKHH RSLIGGSGSNIRSIVIAAGGPDNSRELARMVRFPRPETDESVIRVEGPKNVVEKIVAS LQAQVDTLEKQVIEIVEISPDKHRLLIGRGGETRRSLEEKFAIQLDVPKQSTNGAARS QVKISGEPAQVQQAKEHILDLVKGQEGETIQVPRHLHHSISDNGQFFRRLRNEHKVTV DHAGQQVPARPTQEQGGKARKGANGDLPLITDDTTAGADAHSWEIVEHNPAAGEVDTS ATIPWILRGPADNLPKARQVLEKAIEAASKPSAHGYLILPDPRSYRLVVGPGGSTINS IRKKTGTKVQVPRDQAKDEAIEIVGSKQGCEDARDMILEIVSRGGNGGQK CC84DRAFT_1222248 MSPSSKSSILGSIAQICLVTPSFHRTLSGSALGTGRFPIFHFTS QPVHLVMQPVRGPALMADFLEKNGGREGVQHVAFDMNELGMDERKALMKERGFEVAME GEWLEKKGVCHFVVFDTEGRTGAVI CC84DRAFT_326530 MASPPARTSLSASGSPAPVSPTSQQGAMVVPAVDVEAESLEADE DGDSAYGDDLESYTTSLKSTVLNYRFENGRRYHGYKDEATYFWPNDDEENDRLDLYHH IQTLSLGGELHLAPIGDNPQRILDVGTGTGIWAIDMGDKYPSAEILGNDISPIQPNLV PPNVKFEVDDLEEEWVYSTKFDYIHVRYLACSIRDWPKLMRQCYKFVKPGAWVEFQDF DTRFYTRGGEYTKDSKLSQWADKIADGVRKFGTEPDPGAKIEGWVAEAGFTNIEARTF PFPVGTWPKDKKLKEIGAFNLVQFLDNLEALTMRIYQNAWGWSPEEVKVLCAELRKEL KNPRMLLQHNYYVVWAQKPLNAVD CC84DRAFT_1102864 MLAEDFSLVRLWPFVAGAALVWYSILTFTSWYRLRHIPGPTLAS FSYLWLARVAASGKQYQKYRGLNQKYGPLVRVGPNELTTDDPEVLRMISGARSSYGKD DWYLGSQLNPYVKTMFTTMDPGAHDRMRAQTAGAYSGREATNLEKGVDEQVQILLSTI RDRYISEPGEKKTKFLDFSELSSFFTIDVITNAGFGRPFGYLPAEKDLYGFLESIRDY LPTMAMAVDVPFIRNILFSPTFLKVFGPKTHHQKGMGRLMHIAANRVKEHLAAAGKDS ENTMLSSFFKHGYSQEQCEAECIFMIFAGSDTTASVMRTTMLYLISTPHVYQKFKSEV AAAVRAGVSSPITVEQARAIPYLQALIYEGLRMRSPAPGLYPKTVPPEGDIIHGKLIP GGTAIGMNTSSVLCSKTLFGEDTDVFRPERFLEVDEPKRVEMERNVELIFGYGRWMCA GKPIAFMELNKVFFELMRHFDWQLVNPASPWHSVSYSVWIEQNMWVRVFEASPTE CC84DRAFT_1103057 MSTTTVIETGSANPAHIELQPIRTSPRPSIRTVARIQQDGLILD KTNFVRILTIGFSYIFAGLNDGSLGALTPYILRTYRVGTAHVALIYAASFVGWLLAAA TNSHLVHHFQLGAILTIGAVLQLAAHLFRFWTPPFGLYVVTFFIQSAGMGYQDSHSNS YVASIQGSHRWLGFIHAMYALGCLIAPFVATALAARIGDRWPLFYLFLVGIGTINIVT VLVSFRDSLKVQDKVSSSEIVEEGAHNHGNSASRDILDTLKSPPVYLISMFYFFMLGV GITAGGWIVEYLVSARNGKLPDVGYVPTGLWGGIFLGRVLLSEPAHRFGERRMGLGCC LMILVFHLIFWLVPNLVSSAVSVSLLGFFYGPLFATGMSIASKIFDKRIQATAMGLIF VLAQAGGALFPSITGVIASQAGVKVMQPILVGLIVAMGISWTLIPKVPKRDD CC84DRAFT_1168819 MVILGGLEIVAGGYFFHRYQKNKNEKKRLEQEAQQRRHNTFPGA KPQPCYPSHPQHDIPPQKYGYVSHAPPHRPQPPYPPPHRPHTEPPPHRPHNGRHASQP QSFMIPRRPLPKQQYAPPPQIIQPLQRADSMATISRMPIANGYRPSHVADDPQLPSRR QNSQLSPIPQSPMSSPYGNHGFAVSSPALINNRTTQTYSPVRPGGRQTVDDNWETYSH QAPASGHYAPSFSTALGEVRDDDPPPPYRP CC84DRAFT_1190736 MYRVLSAVLLFGNLADACQKDWDALARRSLHHQEHNRHAKRAEV EYPPSLSEIETILVNSFDNKSISEWSYYYTHGDHLGSHNKSMAEWTAQKWRDAGFDSW LEEFPIWVTYPEHSSLTLIRPDNTTHEANLVEEVLAEDDTSSYPNLIPAYHGMSASGT VTAEYIYVGRGTRADFQVLKDAGIELKGKIALANYGGIYRGTKVKNAQDNDMAGCVIF TDPLDDGEVTEANGYEAYPNGPARNPSSIQRGSVRFSSLYSGDPTTVGWASSWNSSRG DTKPYNPSIPSIPLSMKDAIPLLAALEGNGVSAEKANRSGWQGGFDNVTYDSGPTPGA MISIDHEMQGYIAPVWDVIGVINGTSPDEVVVVGNHRDAWVIGGAADPNSGSAILVEL ANAFGKLLAKGWKPRRTIILGSWDAEEFGLQGSTEWVETHLPWLQTTTVAYLNMDVGV SGPRTNFDGTGEIQTFVVEQMKKILYPEGYGDFATLYDMWFNSTEGTISPLGSGSDYA SFYQNGIAAIDVGSSNGKKDPVYHYHSNYDSYHWMSTFGDPGFKIHTAMGQFLSLIVY HIADDPLIPWDLPHAATVLSSYLSELNETVTTSDVADLDLGALADAIAEFTKRAETIS KIGQQALAFNDTNMLGVVNSKYRDFPRGFASAGGLPGRQTFKNVISAPGIDNGYGADV FPAVTDNVNGGNRTAAEEWVEKSAKAVLRAAEILRIGD CC84DRAFT_1190737 MSQSASSSTPRQRVRDLLPTVNLGRYQTGSKNSLTDIPGVLVST QSIRSSPAYPNAPANSINTGVTTILPRKDWFHKACFAGIFRFNGSGEMTGSHWIEETG LLHSPIVITGSFGVGSAYNGIYEHAIREYADAEGKVGWFLTPVVAETFDGFLHDVSKF AVTPQHIVKGIDEASSDTVKEGNTGGGTGMLAHWFKGGTGSSSRLVPGEGEKKYTVGA LVQANYGAMRDFRISGAPIGRLIFEEQERMARDDPSNPKLMSQASLLFKNIQEHKDKK DGSIIIILATDAPLTPIQLQRLAKRGTVGLSRAGGWGANPSGDIFLAFSTAAEVEVQN DADRWTPKERNIDMIEDATINALFEAGADAVEEAILNAVCMAETTNGFSAKVDALDLD RVKELMEKYL CC84DRAFT_1155825 MAPRPEEAPMPLPGTVPVPAPVPIDENPTVLDEKALGDGDASST AGLTEDEKSIIQRQLDAPNEKVGYFTLFRYANKHEMLIMCVSFLASVAAGACLPLMTL VYGNFAGSFTSFSVDALAAERFQHQINTFTLYFVYLGIGSFVCVYVGMIGFSYTGERI TQQIRELYLRAIFRQNIAFFDFLGSGEITTRISSDMVLVQDGVGQKISLFISGTSMFF AALIVGFVRSWKLTLIMLSATVALVIMMGFNGGRMKANQTKAVDEYATAGTLAEEVIS SARNVTAYGTQKRLEAKYKTYLDRAAKWDYDSKFWLASMIAGMMGVLNMQYALAFWQG NRFLHSGELGVANILTVVMASMIAGISIGHNLPHLQAFGQAVAAATKVFNTIERKSPI DPETDEGDKPEMFVGNIEFRGVKHIYPSRQDTTVLEDFSLKIDSGKMVALVGASGSGK STIFGLLERFYLPMSGQVLLDGRDISTLNLRWLRRHIAIVSQEPVLFSINIYESIAHG LVGTEFEHASEEKKMELIEEAARTANAYDFIMDLPEKFQTKVGERGNLLSGGQKQRIA IARAVVSDPKILLLDEATAALDTKSEKVVQEALDKAAEGRTTIAIAHRLSTIRNADNI VVMAKGRIVEQGTHDSLLKQQGVYQSLVRAQELSSKIQPNNRLSELSMGEKDAEGTVE GEKLGLVRTTTTRAASIHAKKDEKVQSYNNWSLMKFGWHMNRDEHGLMFVGFFLCLCA GATPAIQAIFLGNSINAFFSPGTSTGGHGVSFWCWMFFMLGIVTWLAYFLQGFTLSKS SALLISRIREDAFGAILRQDIEFFDSDTVTSGSLAAFLSSEANRLAGMSGSTLGAILT ACASVLVAIIVGLCFGWKLALVCTSTIPLVIGCGYFRFYALVRMEKRTKESTDSASFA CEAASSIRTVATLSLEGHLLEQYHEKLTAQGRGNLKFMNISSLLYAMSQGLAMFIFAL VFWYGGGLMLKMEYTVLQFFIVYSAIINGAQSAGAIFSFAPDMGEAREAAILLRSFLN RVPKIDNWSTEGKKVDRLQGKVELQNVRFSYPGRAEHRVLRGVSLSADPGQFVALVGA SGSGKSTVMQLLERFYDPTAGSVLVDDVELRDYNIQDYRAQVAIVSQETTLYTGTIRE NILADKDDIPEELIVQACKDANIYEFIMSLPDGFNTLVGAKGALLSGGQRQRMAIARA LLRDPKILLLDEATSALDSTSERVVQDALDAASKGRTTIAIAHRLSTIQNADVIYVFD QGKIVEKGRHDELMGKKGVYWELARLQDMGASQG CC84DRAFT_1222256 MSSNTNAQGEGFVESTINTVKDNVNWAANKVTGNTEEAQRKANQ EQAKHGNSVGDKLDGALGTVKHGANEATDKSKAQYYDGKSSTH CC84DRAFT_1063699 MTKPCTLCSTPRSILVRCQIDETHQWHFLCPGACWKSVSGGVED ARGLEDEHPYYRYGGMWKDRSADGPVSAKKPRKVKKRQREEARDREE CC84DRAFT_1155831 MATKSRIKSVAVIGAGAAGAATAAALNAENYFERIQVFERRETA GGTWIYDADPDPLPLYPGKLPPDTDPALEIPEALPRITPPIEQERWTKTPIYNSLTTN VPAIAMSFSDSPFAYGPFAPHYVPRQYVENYFSIHKTDSLLQLNTTVEDITKLPSSNG QSSERWKLTLRKHDALRRVDIWWEEEFDAVILANGHYAVPYIPQVKGLEEYIAMYPDR ISHSKEYRAPAIYAGRKVLVIGNSASGHDVSLDLVGVANAPVYQSRRSKARWDGDGPL SGIEWKPLIREYLPSGRIIFEDDSYLDDIDAVLYCTGYKPSFPFWNVKTNGRPLWDYE ANKLIKTYWHTFFQDFETLAIVGMPRTLTFRSFEYQAIAIARLFAGRNALPLPRLKEQ EKWEMDRARRTKAEGKKFHDIPWDEGQTIEWLDGLFRIAGLGTIRGEGLVPPALSKEL IWAVEHLRKYPEDPDEHGEKGNDEDHAGRREGSEGGWVVVQREKLPLGGVLP CC84DRAFT_1103051 MANYTAISSSLQLEELIHENEYTLIDFWATWCPPCKAIAPFFEK LAKENATDKKLAFVKVDVDATKDVAQQYKISAMPTFLLLRNGVVCKAVRGADAKAITK LVTYARKKGNGEKTTDEEEEEFSQIEFGGGASG CC84DRAFT_1190741 MIDSNIAALVEEQHRDIGSSSDVGASRPRPVAHALLEPSLASPF PLPAPAPLDIPSPLREAQPELSLNFAASVVPSDVSLPTPPIARTSHSLRLPSFDVLGI GAPHPDRPRLYSIPSFSVGAGPLSKPEDPLHVLSPRVCQLDGADDHLPSTKPRPAKAT LDRIVPVVTPPTEPGAFNWGSFVHVKTAALGSPPSSDPGQSPNLATVASASSIASAPI VVPTVVEASGALGMAAWTQKVTETLMIESTFEAESSVKILSHALPCPSTTGHLFPRII AAIHDQSPANTAWINVFHAVPGRFTLSDLPKSPPSTPGPAVGGDEYFTSKIFDSAVAI PDYQLDSKLLPPSPRPVVPPGSVNISIIERYIPPTNTNEYAEMFQLKGRSLLHDRLVE LSPNNGILVFIYPTKTGARSFMQHYLGPILDPLLRSVTVIHELSSELGKNLGQMSSVN YLDEYERLRDQVTRYCSMLSEGSSGDKKSTFTVASASKEEAVFERSAWADDWWIKQEK PRIRETVNKYFRQVKKLPKGEMTSSHLIQEVLDGVSNRKVDDIRSGPKGIEVGIFIIR RSTIASG CC84DRAFT_1129717 MHVKNPQSALLSNHEVYQHIRSASDYYLSKERNRDKTLSNVREI LQDGLRYFEGDPNAAADTAQWTNPAKETADYHPERPMTLYKGPHSLLRALAPKYRLNK AEYLQLYNVRPTSRITLELIIEEATSRFSEEELDDILQQVLNVFYEEEASIPAGVENQ EMEKLPDEMLGDSRRKHKKKQRRRA CC84DRAFT_1199558 MGVFILSETSAGYALFKAKDKKLLKGGEGLSTVEDAAGALKLKK FTKFENAVTALNEAAALSDSKVTPMLSKLLEDLKDETKASLAVADAKLGNAIAQLPGL DLKIVSDQSSTSEVYRAIREHLPSLIPDMVPAEVNATRLGLAHSLSRHKLKFSPDKVD TMIIQSIGSLDVLDKQLNTYAMRVKEWYGWHFPELAKILNDNLAYSRVVLKMGFRSNA READLSSILPEEIETAVKAAAEISMGTEISDEDLEATSALAEQVVDLTEHRMNLSNYL SSRMQALAPNLTQLVGELVGARLIAHAGSLTNLAKSPGSTIQILGAEKALFRALKTKH DTPKYGLIYHASLIGQATGKNKGKIARMLAAKSALGLRVDALSTWGVGSEDTSKEPTE EEKAQLGRDARSTIERRLRALEGKPLKSLANANMVPVAQKWEVKEARKYNPDADGLTG DEPAAKKTKGQVNGTTPKKLVQEVESESEDEDVTMGDAGADTSDSEAEEPTTGTTPKD KKAAKKAEKEAKKARKAERAAKREAKAAKQAAKEAKKAAKGTSDKKRKHDDDEDKTEK KKKKKSKD CC84DRAFT_1168830 MSASGERKVSASLGRSVRGAAPRLADLASTPEAPRTPTLGRSVS SLFGSPGGSFRTDDDYVVIEVGSRFVRAGFPGESTPRCTLAYDPDNQRRVGDYRQWDP QGAQKRQNPRKGQEWGQEYELYRMDLSQIDLGVVEDKFERIMREVYNKYFVLDTKPRR ILLALPPKMPHPLMSTLLDVLFGSVQAPSITLMSSPVLSTVAAGLRSALVVDIGWAET LVTAVCEYREVHEERTVRAGKLLSEEMAKVLNAALDEHAGYHEGDDISFEEVEEVLSR VGWCKSKPRSNRRTLYFPARTSPILEEFEDAVETPEPTITIPLPKATPPSEITVPFAT LAKPAEYALFATETALNEFDDDELPLHHLIYRALLSLPMDVRRVCMSRIVITGGVSAL PGLKSRILAELEALVHAKGWDAVRNYGTASARHEQILRQKREALELQRQESEDKMTES LDPDSPLPAGLRAHEEDNIDAKLAHVALRNGPPPVSLTGGIVRGVETLGPWAGASLVA QQRIRGIVEIDRERYLQHGLQGASKEKEVSVVAQRQSMGPNVVRGAGERASWTLGVWA CC84DRAFT_1168831 MNEKQSNVAKQHITPRIIIHGGAGNLTKHSLPRDKYDAYQTSLR RILSSSSSLLSKPDSTALDVATFAVSLLEDDALYNSGHGAVFTREGKNELECSIMVSN GYRKRGIGCMMLQHVKNPIKLARELLIRGEQDGGDGSQDHCQYSGAFIEGLAEKWGLD MVDPSYFFTQQRWDEHLKGLEEEAKQKEAGIPINEMSDWEKANYIPLGTCGSVVLDRY GTICVATSTGGLTNKVGGRVGDTPTIGAGFWAEEWFEEASVPKVQTHVPSTPLDKLSR GEVSTVLADCFPMLFLSPSNPSTCRSSIPTLDQPPPIRHAVGMSGTGNGDSFLRMDAC RTAAAKSRFATIPLPDSVTWMAGPKGELQKSAGDRWHRSHEGTGGIIGVELVGSKSTV VWDFNCGGMFRAWVDDDGEHQCLIFREGYHSGPESFAI CC84DRAFT_1190746 MRLLNTATPRLEEFIGDDIPEYVILSHRWGTEEVTLQDVETGKA PEKHAGWSKLMLCCRQAARDGFSWIWVDTCCIDKTSSTELSESINSMYEWYQRSSICY AFLNDCHGKKDFAKSQWWTRGWTLQELIAPAVVEFYDADWTELGSKLSLHEAITSITG IDMAILTGVNHLFERNVAVRMSWAANRRTTRVEDQAYCLMGLFGVNMPLLYGEGERAF TRLQEEIIKVREDYTLFVW CC84DRAFT_349211 MTSRGLRVTMPMYQPDSRKMMAFACVTLLNEGLTTEQLVCVVLL RLGPQSTQYVRSTYNDLIFLSRSDKDYFSYQSVNVLKPRSNIGRWRGLSPADTSMTLL IVAVDGHYSKQLNCYFPRRATMADLLCLEDKFRSGEESVLSDDQQLKLTKFLVSLGAR EGKQTLSLRQDLIAQCLGNAPELCWLVDNDGERSKGRKGLRDTLCFGFDRYDFEVHVE LYGDRALCDAASTTMGAPPDRPRGTWPSSLYDEEEDVLLTDRTRVSLLAPQSPRVDSE AQAIELAVGIRRVATSPWGIKRYVLSIADAALGAT CC84DRAFT_1168833 MGDEASSPKRKKVRAKYASRACVSCRRSKLKCSGENPCQRCVDN GKRCFYSEDQTAAEALQNLSRPTPVHAPSDTTDNGNATGAARRSLLPQGEGVERRASD ASVLGMSIEARMARIESMMDSLILERARTATPRTSMERDAAASDRLHADFLMQIAGEA TMSAFPPIAEGDLDFDNGPARLRHSLSAVSPASSADAAATIRVGHKTLAFPNPAEYQR YLDIFFDDIAPYYPCVNELDFRINSEKLLSAPAIQADHVSLLALNYIVFACSDIATSL DVASSPSQVSSPGWQWFRAADELVGKRKLSGQVDLCLIQFLALEAVYLMYADKGNAAY SIIGQACRNCFLAGLHQQSSSSWRSYTPFEIHMRQRIFWTVYFLDRRISLSCCRPYSI RESDIDIEQPAYLYDKEIHPDRPLPDLNIVQSSNVYLNCMVCWGRLAADVWDGTFAAA MSKDRVANDNALILDARIKHWTDVILPTIPLLPHEFPPEPRQLRQHTIVQNSLDQLRL LLFRQTMLSLRYDPDVACLCRDLAINIVQRIKNHQGDVGQPTSFRFPMASCLGGAMLI LATLNFRDMPCIPSDNHSLTQSEEAYGEATSILADLSPGLALARRIKKDFADLESLYR QTVDPTELNFGNDGPVERQELFPYTSLDFTQQFGFDNEADANNRHTNGSSSASTLNTD LWSSIFATKEGKYGVPWM CC84DRAFT_1102859 MASDEKNLDYHEKQASPATSQAEPISGHVSKRRGFPGFSLEVRQ EASTFARSKNASNADFDPIPPSKRTWNWGAYVAYWMADAWAVSNWEVASSMIAVGLDW KMAIGACVLGNAIMGLVITINGRMGAILHTPFPVLARMPFGYYFAQFVVLSRCVLAIV WLGVQTVTGGQCMSVLLEATWPSFANIPNHIPEDQGITTAGMIGFLLYFLLQLPFLCI PYTKVQYFFAFKSIIAPMVFLAVFGDTLRRAGGTISKSTVITEGTHVHGSVLAWAFFG NLNGVLGNYATLGLNIADFSRYANKPSAQNIQAIVIPCIFTIVGLLGIFTAASAQTAY GEVMWNPIEIIHKWMDSGSHGGRAAAAFAAIGLIIVTLGINISANSISAANDLMSFAP KYINIRRGQLLAAVIGSWAFVPWKILASAAKFLAFLGGYTIFLGPMTSILIVDYYIVR RGNVSVPDMYYFHGIYRYSPKFASNWRAVVAFFIGCIPPLPGFVNNIVVAGHGTTSVS LGGQHLFAIGYIYSFMAAGIFYWGFNRFFPHRESVMDHPETGEDIIAAQDAKNVQERR GSWSEHKPNIVSRMFTV CC84DRAFT_1168837 MEVPALIASVLVTAFNLQPWVMNLVTRVADAAEGTLFHLLGFIS AALVVAIVLYVICTLINVIAAPFTRGKTVGQIVARSLAKTMGGMAAAWTEGWTVATNQ GHDQDSDTQHHHKTEHINASAVCEHKGLRLVAGESSPTFKPIVDVKPEPSTVQRTHDR VEEAEDDRELTENNWKKVL CC84DRAFT_1168838 MTANVWSKIMGNWTKLFFSQHVAFIGLTLSCMHGLRHSKKWNIT SRKWRIVKVVDNKLERGIGETLHDRTNHNDDIEQA CC84DRAFT_1103239 MEPDHRERRRRERRNSSSHEHDAERRRRRGHRATDSQGDLLPRP HRSSRNQSDSEWDSPSPRKRRGDSTPSTQRSRQSAPLSLDAIAKLDKANAKRGGWKGY DYDEQYLKEVRAKEKALEKDRVKGEREERKRERAIESDAAIEAAARRRRESRQRPREE SKRRSAAAHAQADRRERRRSHASPEKDERRRAHEERYTPSEIMEKKERRRQEKAQRMS EKKKRRVVSGPLAEEGGVNDDDSYQYMMEKRGGAGAPSELTEEELVAKKKKKKKIRSG GSDGNQAAGGGSQPSNSNLEGKDPDSVPAADKGGWLDPWSWWDTDDFNVTYTGEKVGG LPVIGLNSTWDDDVQANDKVPNLKDDFGYGKNPIRGVNVGGWLNLEPFITPSFFESYS VRDNIIDEWTLVQKLGGPSQAKQTLEKHYSSFITRQAFIDIRNAGFDHVRIPFGYWMI ETYDGDQYVGQVAWRYLLRGIEYARQQGLRINLDLHGAPGSQNGWNHSGRQGVIGWLN GTDGETNANRWLDIHHKLAVFFAQDRYKNIVTMYGLVNEPRMVDLDPDVVIEWSQKAI TQIRKDGIKAIIVFGDGFRGLDNWKDSPLQSNDDLLLDVHQYVIFNLDQIQLNHTDKL NFACKAWTKQSERSMDTSTGFGPTMCGEWSQADTDCTKYINNVNIGTRWEGTLDTGNA STSVLEPYCPLKSNACSCASANADPSNYSDAYKKWLYQFAIAQMISFEAGWGWFYWTW KTEKATQWSYQAGIKAGIMPAKTYERDFSCPDDSSDLVDYGKLGLAESY CC84DRAFT_349957 MSASTHDVLSSGDDRQPTVDAALGSSDPMSLDTYVFPQERLKTT LSDSTKQPLVLVSCGSFSPPTNLHLRMFEEAADFCEFETNYEVVGGFFSPVGDAYKKA GLAPATHRINMTRIAVRDSSNWISVDPWEPLHKEYLPTVKVLDHFNHELNEVLGGIET PDGEKKSIHVALLAGADLIQTMSTPGLWAAEDLERILGHYGAFILERSGTDIDDALLT LQQYREKIWVIPQLIQNDVSSTKIRLFRKRGKSIRYYIPDKVVDYIYEHNLYVDDDKK QAEKGKDKISPDAQGSSTAATAS CC84DRAFT_1222269 MSSRVTRSSARQSSGSSPSNQPNPPAPPPPPARAGSTRKRKASA REPSPDEPPAQPEPPARAGRSKRTKVEQQDPPAPSAARSKKGKGKSAMSTPGQPTDSP EEKAQPSSSKRGKSNRRSGGQGDEPQRPPKLPTAKPGTDSAPSSSSRRGSRKSNAKND DDVAMEDAPGMNETPQGGSGPGRPDTSDGSNVDGQDIHYDEDEDDDDDDPFGSGFLGR HPGGLSALRHLTGMAQLMSNTNNRLRGILEQLQSQDPTIQMIALQDLSEVLLISTEDN LAGHFSPDAYVKELVKLLQPNEFTGEENPDIMLLACRCLANLMEALPQATANVVYGGA VPILCSKLLEIQYIDLAEQCLSTLEKISVEFPASIVREGGLTACLTYLDFFATGTQRT AVTTAANCCRNIPEDSFATIRDVMPILGNILKNNDQKVVEQGCICVSRIVQSFKQQDS KLEELVSPELLRAILGLLLPGTTNLIGPNIHTMFLQVLAYTAKASPRLSAELFKLNVV DTLYQILTGVSPPAGTEDIATKIDTVVIMQALIHRPKDQVFETLNVVCELLPAVTRED LTYLDDLVDAGYPGENAPPLSASRTSNAAGDNRLELLEDCKEQVKRFAVILLPTLTDV YSSTVNLNVRQKVLTAQLKMLSNLDTDILEESLRAVPYASYLASIFSQQDHATLVTYA LQAAELLLKRLEPIYRYQFYREGVISEIAKLASRPCKTLELRPKGSRTTIEVEAAADS NASSSSTGEVDMDQDIEEEIDVEVHSEDEEDDHGNDDDEPHDHSDNREDDDDSDSSSS SEHYHPPPMPNAEDIITLRAKKFIEVHENDDAKAIRDKATDILETLKSLATEIRQCVL QGGSGNCIELFTRLAAYFEGDALESITSYELMSSNIVDVLLDVFTTEPAKGVEPHALF LEAFMGTSSKNKIKTASSASPATPFSVLVSKLQDLLSRAEHFEVITVHQHSYDSRGSA TSMLAKQLRLKLMADDESGIPKTYRNMMVSIHAIATFKALDDYLRPRIAIAERPRPPR ARDAAAYAQALAEGRLPVPPPPHTPSESTNRSSARKSKAKTSASTPSVQAGPSSASQE KPRRSSRRQQAQPPPPPPPPPQLNSDHDPVECADEERLSDPDSMDESSALNAIMDGLD DDMDEDQPDPSAVSVEVAPTGKVTARKEDGTRVATPVQSQTPSRPPAQERMSTPSRLS SLLRGAAAHQGLAGALSYAAAMQSTPQDWHIEFSVNDQPLSNDTTIYRAVHFSQGQPG DAPHRTVWNAIHTIKFKRVSGPPPTESSSITPPLESKSGTAGIPQSLSDHPITTGILR LLSILHNLNANMDDLISDNRVQLKANAEPLSQFVNTKLTAKLNRQLEEPLIVASNCLP SWSEDLARLYPFLFPFETRHLFVQSTSFGYSRSMTRWQNAQPATDSRHDRHRDERPFL GRLQRQKVRISRARILESAMKVMHLYGSSPSVLEVEYFEEVGTGLGPTLEFYSSVSKE FSKKKLKLWRENESSGDDEYAFGKRGLFPAPMSTAQAGTENGTKILELFKTLGKFVAR SMLDSRIIDVSFNPTFFRVGDGTVAVAPSLGVIKSVDYDLAKSLKLLKQFADAKKQIE GDDSLTAAEKASALKDVVFQDCHVEDLGLDFTLPGYPIELVEDGADKQVTIENVEMYV EKVLDLTLGSGVQRQVEAFRAGFSEVFPYSALRAFTPDELVMLFGRVDEDWSLETLMD SIKADHGYNLDSKSVRNLLQTMSEFSATERRDFLQFITGSPKLPIGGFKSLTPMFTVV CKPSEPPYTSDDYLPSVMTCVNYLKMPDYSSMQILREKLRVAIQEGQGAFHLS CC84DRAFT_1199566 MDEELHDLEQEYCPPLDPALIHALYPDYAGQSGGTQVLRGLLED LKQTAAAEQLTEFDPSGSSGGAVRTSPSKQFDDAESSTETWTSLSQTNGTDSTSLSNG LATLELGVDSDHLAFGGYFRETEGYDTPTKEALLAETFPTLRLEFVTYTLKKCGDDFE KATDELLNHVYFHDVSGSPGDEVPVVKGIDAFSEEHHLPQRGKKGKTKKRQIHVDYHN VASASNSESELSPTAPIANRWKDSGRDIEFLSSRTKVSAKTIASLYHANGLSLAGTIV AMMKTSIADHGKKEPEARIIQPALDLNEEFPNIELDYAIALIRLTAPSTSYAHELAKK ITGCPSASYVTKGSIQVIPQYAPVSLSEPTPESTKLPDLAPSATPHTAASLAAVRSSA FNNASASFRKGRSNPLYKAAAGYYAQVGRDANAHLRAQSEADADVFVSQQSTSTVLDL HGVTVDSATRIAKRRTKAWWDGLGEARIPGYGQGRGGARDGFRIVTGLGRHSEGGRGK IGPAVVRALVQEGWRVEVGSGEVIVTGKAKRTQAPH CC84DRAFT_1168843 MKFSASVVAALAATPLVAAAPAPFPKTEKKWVDTNSGFTGTKIP AHFVSSFRKIAREKAMGKRQLDQLLGQLTGGAGGAGGNAAGGAGGLEGLLGGLTGGAA GGNAAGGAAGAGGLGGLLGGLTGGAAGGNAAGGAAGGAGGLDALLGGLTGGAAGGNAA TGSAGAAGAATTGAAGKGKAGKGKAGAATPAAGAAATNGTASAVGAAKGKGKKGKAGA AGATAGNGAGTAGAAGVGAAKNGTAAATGTAAGKGKGKGKGNGAAGTAGAGTAGAGTK GTQGTGAQTGTGSTTGTAGTGTAAQLGNGQTGTQGTQGTQGTQGTQGTQGTQGTQGGS NAANSGSTQTGQAGIQGAGSATGQTGTGSTTGNGGSVQAGQQGTGSTTGQTNTGSTAA NGGSTQAGQSGLQGTQGGSTAAKGGSTQAGQVGQQGAGLTNGQTSTGSTAANSGSSVS GGSAAGAGAGSSAITSQGAADDAGDNGDA CC84DRAFT_1180773 MRIQQSPAVFVPCPGPTMRFVCVPIAILRWMGQLEKDRMGEERG QGWSANERWLKAVILPACTSPPSSQRPMKDRTAQLLIPPCPPITTQKSTQGQSNVLHM DTKLNKRNQQKRGYLQTPAPPEKNYFGVCQKVISKRVIFWTQEKEGGYRKSGVVH CC84DRAFT_1180774 MATDGVEPWLRPCGCHDGDFSTVSTPLICDSAPASCRLPDGALL GGAADRGCFFTNTCNPRSGSLASTLLAPFTHSLLPAHPSRKHTTLRQSFLPRRQTKTT SLSPSQASRTTLFKSKNTTTAVTDTMRSFQQVVLFLFALVAFVAAQDTQSYDATVYVT STIYKVNTVTMSSSPAYEVHNSTSTISAPAVSTSAYAVPANSTAPYPTGTGASSGAPA APSTTEFPGAASGLSVNGALIAMFAAGLGFLAL CC84DRAFT_350036 MRRHERRSRRALHARAVSMLGPLEWLAVVANMIQCVQNVVCRAG LECAVAIQYPQPPSCCPTHDPQYAIPCA CC84DRAFT_1168846 MASSSVDAHSQPRRPPPMARQSSTSSQRSHSPSESTQHKAKGPR QHVVGGSSRVQRNHSVGKNLNKLSKQVQAPGNDGNAVKHHRRVHSGNSTSAPSSPRPG IKRNASAGAVLRVGKHGHTVSGIRKNHSSGHLPRESGSRPALKASKSEIAPPKRSLIN PGKAREPSSDDEHPTVHFDVADEEEGQDDGWTEESASQSPTTTRSNTRSNSVVLDPHR PTEDRPADKMGGISRAEASSQQASVASSMSTRALPDRTQQQPRSANGSSSHHHSHSRP ADADMITSRLLQRSSHHNPAPQMSAIAATVVSNHHEPRELSQSAGSTLVDTPGRELVS RFIDGDGSAGTPNSSFLPSRNTPQTSGSGNGNLKRNRSMPDVADEETPTRTPRRSGTS TPTDLPPSRTQQKLMLQRASSAIEPQKLIPSILPRTGGPTPLYTGMTYTTNGEGRLDP RLQQQFNHVSVEYNVVRRYRNPLVEAIVRIEQMPGTPRKSRVPKSASTNGFSSVHGSS SLSTSHNDEVDDLGSRRSRVSFENGRNSREEGDVEGRQSFESDNGRIRNEVEELCRRL WESSEAAEHD CC84DRAFT_1168847 MITDTTSLLALKQPKQESAKHWFTRHLLRSAPRQTREDTHQGKI IKQMSLRRPRTAPPNRPSTAPSSGPAARDELALPPTMPYVWLQHSPPCSELKAPPGAA RAGLDVLLDVDAWLATSKPSSPLMGGLSYWREGNPADADETVNVQYAIPIIQEPNDER LSPSRSQQFRSLCRRAKMQVRMPSIRRVKSPRAIEEDNPRSESAAILTVPYEQTQPSE VPYFATRLTTTHPRIRPSTATAAFPPMGAVIDRRHLPNIDLPLRRDSPGSVQLRELEC EMERLALGQSPFTGSNLRPEALSAAHLPREDSMGSFSSAPTYFSGMPPPSYKSRPASS HPASILTTSSFGCIDGMNPEQRQLSQRRAVQKQRSMKGRLRKLAKKANIRK CC84DRAFT_1155874 MVRRGKEAAAPSGKRPGDDYITVTAQQSRYALDAVDAPASKEIL IKDLSISVSDRELLSHVTLHLVESRHYVLVGRNGTGKSTLLKAIGDGLIPGIPWSTRI LLLGQTRDLELDEQLSQMKVKEETVLQHVVQSDRLRERYTREANVLGEAIEQSSDPMA PVRAFRKVTHDRLALQLKEANRIAERRSGARGKLARKELIKLEERFEESKARLTHDES EVDAANLSTETSAAADLLTNIQSALELMDASQAETKARRVLLGLGFKDTNIDKPISEL SGGWKTRCDLACALCQYADVLLLDEPTNFLDLPSIIWLQDYIRNLKDATVLITTHDRD FGDAVAEELIVLRHQALEAFRGNLGLYERERYKKARYWTKMKDAQDKQKKHMEKSVAN NIKAARDKGDDKKLKQAASRKKKLDERTGIEVGIKGGRFKLNRDLAGYHLSNRAEIEI PDFDPPVKMAFPRQPPDLRFPGSLVSLEKVCFAYPGRKKEPVLTDITLTIHPGQRVGL AGLNGSGKTTLISLVAGSSDDSIGGLTPTSGTIARHARAKFGRFSQQSVEEIAAIASA KPQLTALSHLREHCGAEMDEKAARQLLGSLGLQGQSASDVPLALLSGGQKVRVALAKL LWPPPQLLILDEVTTHLDADSILGLIIALREYDGALLVATHDRYFMRTVVEGESPYRL APGVQTEDEAESSSEEEETGAAVGTVYRLFKGRLQKLEGGMERYEEMAARAAAKLGR CC84DRAFT_1190754 MTTEAPTPATDTPPASETKAPKDKSCPFCQQAFTSSSLGRHLDL YIRAKNPKAPDGVHLVDEIKKLRGGITRRQKVKKELDTPAKKHSVASNASPPVQSIDG DDGSPDVSAAKLSQFKDVSWADGHPPRVLGAKTPEMRRDLSRQMQKADLEQRQKMTDE AETAKATELALRELLRSVKEANTKATGPTLFDFDPYTLNFPSLCLQVLPPPSTLFSPM PFATSESWSISPPGQKQLEALNRQVGDRLLAHQRQRQINQAYPSGSQSSPSSGRNSPL PTPPLFDPDPQKLFCHIAEAYNHWSCQPEKVRQEYWQVEILRSYARSNELRRDAEINL ENARREIDYLKSSRWASGASDRLPVTFNLGAETVKELGKHGMDLRNWDYDRLIEKWKG VVRDSRNVAAGMAAQKPLPDPSLTRSCSMASVPTQPFVSINAVKPDQGPYSAPATING TDGGSDQVDAEGEDDDADVDLEVVPASNNNPAPLTTQLSNLPRLLPLQPTPVHPTNFQ MHEHIQSPVHAAHAHAHAQAQAQAQAHQQAHAQAQAWAAARQHMNASRNQSHGLPHQQ QLSPHPNHMGSATNSRRASAVMMDAHPMSAGGLLPMDGIEGGNNGFLRMDMGLGVTAG FVGSNDNGV CC84DRAFT_1231261 MALRTGPYFNSSRFIKGASIQHRPPKLPLFEHNSMPKPTFIKID RHYRPVDEVPNWVTNSTVPSPVAGTKRRHEKIDDDHKTVESQPRKKHLKQEEWAILKY SKPLLCCIEFLVSWTPARLEMRRKEWERKVLMEEMEHLKDLQEQLKEHPHYIIVNGYR LNPADAGYVIGSPTASSSSNSSSESPITPPHTTEQGTHTSPSKSLDRPAGAPRSGPYY PGAPPPPSHDGEEDTPRPPKPSGGAGKSYIQTISSYYRTPTPTQPGVHRIDLPGGLTH VTGPPIHTQPVDMEGYKARLPLRDDLGRLVDKKGRLMNEQGLLVNAKGQLVDKKGRRI PKLSEDKLPDEPITGARRPPDQPPPPPKPLNKPQLVDEYGRFMDEQGRLMDEKGRLVN AKGQLVDERGYLVDEYGRIPAYLLGKEEPLPTKRPPTPKVSPPKPQATQYQAPSVEED PEGSYTPKVSPKKQQTTYHQAPSFEEVPVRAPTPSDRLPPPQAPDNDNSTIVEASPQT PTPKFDEEEQLAAHDPHSPPDPSQLPQFQPQSPPQYRGQPPIHQTKSPRWEPQSPTPI AVRPLTPRPPFVENVEIGERDEFPATRPKRRPQGQLESPAFEPNSKQASTNPLSEVFK NLSALWPTIANPFSQMANAAAVSTADPTNFLDNIVVSPETLAGSNKPGRMPFVDEEED EQQKKNKKDKSKEKPVEDRTPEEKFLALEEVYTPLPPRTRIIGTPRGRYGPRLAPELV RGEDLPRQKLGADKTFKTRRELCEHKATHDYWMSGAFGPAGSMGHDMRPVSISPEERK RLLRDRENERRPFPVDQSKLALERSRQEERQRVEREKKAKERAERLLTEGDFAEEMTQ EEFEEQFDGNDSPAPGGVHKDEEKMTGEEYQQQLLDETIGDEAPDPNAPQTYADPNFQ QTYTGNTADATPMTAEEYQRLLDQYALQQAQLNAEISQLEDMQRVYTDEEVEAMYDEQ ARREFEGF CC84DRAFT_1231262 MPVYVIIGASRGIGYQFLKTLSAQDPSNIIIGTVRTVETVQEKV AADGLKNVHIVHADMDDNASLTAAAKKTADIAGGVVDYLIVNGAYFAIPCIFNAADDY VGKEDLFLDELKQIMQTNVAGTLFAFNAFMPLILKSSIKRVSAISSAAASTDYIVSAE DPISILYALSKSALNTLVAKFAARYKREGVLFVSISPGWVDTHADMPAEVIDRMSKPF YRWDKTLKGPIPPEQSVEMCLKVMDELKEEQNGEFLSQHGNHVWLNEK CC84DRAFT_1168850 MDRSNNIRRSPEGTGPFARDTFSHLISGAHNQLVGLFRYAKTSA TTLSQPGEFLGGIAATERGITRLCLGEAMELIARKQCQVFRDRFLAIHVLMNRDMLPN DPPPIPRLDTEA CC84DRAFT_1209668 MRLLHFDQLERLVLTDFRGKTIPPYAILSHRWSDSEILLEDIAS GVYKDRQDGYQKLEFCAKQATQDNLQFFWIDTCCIDRWDLHERSKSINSMFQWYKNAT RCYVFLSDVSLPTATESSQRSKWEALFRASAWFTRGWTLQELIAPVSVQFFTIPLEAL RNCPPDHFNMSERQRWAENRTTTEEEDIIYCLLGILGISMPTAYGEGKESARSRLQAE VEAADNVPSIIPFSRNPGLVGREAQLAKLEAELFSNEHTTTTLAIVGPGGTGKSQLAL EVAHRIRQNSKHCSVFWIDASDKDGLYQSYASVAQKLSIADWDDDQPDIKRMMQQCVA ELSARQCLLIFDNAEDTVLRSSSSSTAKAGDLADYLPQSTLCSIVFTTTNSDTAKTLA SNNIIALRELTPNTALMVLQNRLANPLSDTRQLREAELLLRELSYLPLAVVQAAACIN ASGMAVQEYRAQLDEHKEAAFERISSLGSNLYGHSTDDPVAATLFVSMGHVYKNVLAV DYLFLAACVERKDVPLDLFEAASSSVREDAFMVLDKYALVSRRPADSSLDIHRLVHRA LRNGDEQDEARSRPSFHADQHGQSGIDIQEPRPMGGGGEATGAGDGDEQDEARNGPSR HAE CC84DRAFT_1222281 MSYPNTRGPFGWTTATDSSSSEDKRRNRFNRLALASEPSNSHAE ALPCGRVEAFYMQPPSRSWPVSPPRCAAEMPRVNPNYEDHRDVSPLGSPIVTHMQYRQ HQSSGHGVGKNDLPFRAGVTDATSRAISAPTTPRRTYTRPDELQFPESPASEVPGSHE RHVVDLLNRGANVVRAAVAFEQPVLRRQNALRKPSVSVQSPRLRFAQEVNTKEARLGL VRTGQQ CC84DRAFT_1103360 MSTPGGKKRVGFTQGTTTSPPSPPNEGSPSGGHNTPLQTLQRAD VSHEELAESVREALAPLYDEPLENIQKPRPAIRKAPRTPPQFHLGQELEEFDEEKKRS GLEAQKRATKLSKSVGTYSAPLSRRNSTDSLPQIHIEDDRLRVPHSAIDSGATTDNED DEPEPEHMRQARQLFRQHTIRQTPAADPVELYHVPLAIDGTVTPTHELEIEDEHVPRP TKFRTGVLGTLLRASHAGQSDLSMPRSKTTGHGRNSSIGTLSGASTAANSPNSSPPAS GTSTPRFSKPWLRSHNHSNHSVSSISRLIESSSMLATPGQRDMSQEMDTQYKKLRPGM GKRQGSDQSITTKAKRKARKEQEYKIKIHLAGTLARQNYLRKLCRALMMYGAPTHRLE EYMNMSARVLEIEAQFLYMPGCMIMAFDDSSTHTSEVKLVRTAQGVDLGKLRDVHEIY KDVVHDRMGVEEAMPRLDAIVNRKAKFNAWLRVPVYGLASAAVGPFAFQARPIDLPFC FLLGCLIGWLQLVVTPGNELISNVFEIGAAVITSFIARALGSIPGSDGHPLFCFSAMS QSSIALILPGFTVLSASLELQSKHLVAGSVRMVYAIIYSLFLGFGITIGTVLFGLMYK DATSQTQCRDPMSSHWYYFFVIAFSICLMVINQAKLKQMPSMMVISLIGYVVNFHSSS YFKSNAQISNTLGALAIGIAANLQARFGRHVENWSIDFWESRLRPHYSRLRKRWMRSR HGAHYREESYKLHSHSQSSSMSDFVPRVRKIGYGLAAATMLPAIFVQVPSGLSVQGSL VSGISSADQIVRNTTGNATTVTAYDSSGSLNSVALNVGFSVIQIAIGITVGLFLAALL VYPLGKRRSGLFSF CC84DRAFT_350235 MCVAPDLEVACLNILSLIARGCALSHQPQPAPAPSVYRTHSCSS PLSTRSNCPSRRPDAPSTHARSAERGKWTRTRIALLSDVTTVSWIHCVGTACEVEPVV TSVCSAVCPRSSPTFLLVLVHLERTRRQYSFVTCASRGRTLGTHLSKLVYVGHASTPR LMRGSRPME CC84DRAFT_1102851 MPKINHVVVVGCGPSGLLLGTMLARNLSIKVTILDANTEIDKNP RAAHYAPSAMFDFKRAGILEEVREKGLSPRGVCWRLDDTTFLAGMGREPEDTELAMRV LPLDQLGPLIVKHFKSYPNTEILWGHKVVGVKQDENGATAVVETADGERKEITGDYLV GADGASSGVRTALFGKEYPGETLPQQIVATNVYLPFDERFGYWDSNFIVHPTDWYMAA KITKDGIWRVTYGDDASLSREELVKRQPLRYEKILPGHPKPHEYKLVSMSPYKLQQRC APSFRVGKILLVADAAHLCNPFGGLGLTGGFADVGSLYDCFMGIHQDELDESILDKYS EIRIKIWREMIDPMSRANFHRLWDPACEKEKEEFFKFCERADKDPVWGKSVAEAVHAV RHDFTQYFKSKQKAGMDANVTNGAPAVQAAA CC84DRAFT_1231272 MPAILPTALVALSLLLLTKIWSNIQWHRKYKLPPGPRGIPFFGN MFQMPPYHQGPWAQQMAQKYGEMFTLHIANTPWVFLNTPRAVSDLLEKRSAIYSSRPR FPYASTLMSGDCRVVLQPYGAQWRATRKIMHSILNAKNGGTFAPFQEVESRQLVLDVL RHPEMWWKANQRFANGVVMSVVFGKRSGVVEKSNVDKLFDTSQEFIAALQPGANLVDT FYFLDRLPKALKWWTRRGEDAFERLLNVYGAEVGDLKERMERGTCPPCFASKFLDDPE SEKLGETQRLFALGSLMEAGSDTSRMTLSQIVAAAATDRRWVKEAQAALDRVCGVAAR LPEFNDRGQLPYLSAVVKEGFRWRPFAEIGMFHTLINDDIYEDYHFPAGTVFTWNAWH IALNPDEYPDPLRFWPERWLDPSIQSIDPGKVEDPLAGHWSFGAGRRVCTGYHVGDSN VWIAAARLLYCFNFEQVAGKEIDTLNMNVAEHRWAPFDVKITARSEAHRRLLERCGGE AVGVTY CC84DRAFT_1155894 MLFSGLSRMSKDTLTKAYGAHGNGFTRSVHKYLAAGSDLHAATR TAIEKLTVGIRCSFVGQEQVGLYEMLRHQLCQALTGAIYGAENPYDDPVIEASWLEFV PGISHLLYSPVPWLTARKALKARARVIKAFQNYFETGGHTQAFAMIPEMFNTNANHGL PSSEAAKLEMATSLAMLSSGAISAFWLMFHIASDPDALRDCREELLNLVVKEADTPSG KAKVVDLSNIRTQCPTMMAMWHETLRYHSTVINIKKVQHDTTLASEYFLKKGAILMIS GTTVHHDTNTWGPGASEFDHKRFFTPAGRRNLSNTTAYRPFGAGTTMCPGRHFSTGVV LSLVAMTLLQFDVHPVDGQWQMPTKKNVDMWNAMPKPDQDIPVKICRRAETEFAYTEW KFVWGDTSEKE CC84DRAFT_1168855 MLLKTAIVWLAALGVVKGKEEAEPEDVLTFVPVCETDTFSPPSL GAGVTKLSIEAKIQRNYTTHGDEHVIPDTEGLNFCQVKVHLTHANTNDDVLVEVWLPL DDWNGRFQATGGVGMATGMLGGALGPAVKGGYAASSTDGGHPIGETDDTTWVLKEDST IDWNLLTNFAVRSLAESVVVGKSITEQFYKERPKYSYWNGCSQGGRQGYVLAQQYPHL LDGILANAPAISLTHLAMADFWPLLVMKEEGLWMSTCEFNYFRQKAMESCDMIDGVSD GVISEPDLCDFDPLHLIGQTFYCEDKPVEVSRAMANIVRKVKQGPRTPLKTSLWYGLT HGTSFDILASTTTTEQGLRMPVPFPISTGFIQNFLLKTKDRSFNATRLSYADYVALWA QGNSEFGWLLDADKPDLTSLQASGSKLLTWHGVNDPVIPHQSTVQYRKRVELLMGGAN EVDKFYRLFLAPGVEHCGGGTGPVPVDPLAALIEWVENGIPPETLEAETTTYRGDRVT RELCAWPGVARYMGIADPKRASSWTCHGGTERPEDDLAEEEDNSDSGRAGQILDGLKD RLEGLGMGITIG CC84DRAFT_1155898 MAVLSQVLAQDPTDQPDPPAPTPTGIDVFANVTLYEPDGSVQVT TPRTESLPNNTVLAAWNDPSSKGSIAVYRSKNSGYSWYPLGTATSDSGKRLLQPHLLY INGTYGDDTGTTLLAVNAVDDRTTTIELYTSGDQGESWDLASEIVSGGPLNNATAAVA NPYLVHNGNSITVFYTGAGDKTHAQKIVQQTTTENYDSWDSPVDVVTSQFQSDQPAAP SIAKIANNKYIIAFQYGLRNANASTYTYPIYYKITSNPQNAASDRTRDIHVDTGLVPS GVPSVTWTPLGGPNGTIVLSDSKSNSVFVNQYLGEGEWWELSTTAGRAFGREVTVPPN DQKKLRFAGGAEWSGSGASQILITVMDLQKALGA CC84DRAFT_1129779 MNIPRTSLQQRAIKTTTEDKSNIVTIVTWATLVVSVLVFLARQC IKLAIHRRKAGIDDLFMLTATIFAVGLSVTVLILASDGLGVLDVLTVRKADLIQKGYY ASEFLYILTIGFAKLCLVSFFYSVSHHQRGQRRAVLAIGIFILAWTLASLAAVAFQCG LPKPWEILTLHCYNIGVFWIVYCIVDMTSDVAIVMLSINLVAYLKVRLSRKIAVVACF APRILVIGVALARLVYLFPISPHNNPAFHLWVPLIITQVQACLSIVTACIPYVRAFFE TSDASLRRRSTARSKHATVDEESYGCCGYPRGYKKAHGNSTDSTAFANSPYGRTSDAS PRIPSPAPVSPLEARFDTPPDTSASGSRTPSERGLRLVIPEHNETHHGSDLTSPQTAS SNALSPAYPSPHPLLSSIALTHVRSSTLPAADAARSRSAMDREKNVTPSPSRPQPPRR FSLFPTQQQYSLLPQQRARPPLTTTSPTVERSCADDASPMRHMPVGQIPETRGLSPNA PLPVSRTPRLMFPPRPSSSLERVAYDDPLRTGPIVMTTSEAVPAPMPVAIPVRHDTTS TDTSVPSYYVRPSSTAHNSTFSSPQSVPSYYIRTPPTTHPPAFPQSNPYAYEAPPPPP IPPTSPQRQRNKRILTPQNSSRPDQMSPISPMTPSTPRSLWREENGNRSVETPKSPHG WDQAPIMPMVQDVRNSPRIVVQRFS CC84DRAFT_350672 MKQSSRGGLGRMPAGLLSILVGSALAGPDLTTKHEKGRCAIRGH CGKQGFFGSDLPCPDNGLATKPEAEIRKKLVDICGDKWSDTDVCCNEEQLDALSSNLG RASGIINSCPACKENFFNLFCTFTCSPDQSLFINVTKTEPKSDKYLVTELDHLVSNEY GSGFYDSCKDVKFGATNGKAMDFIGGGAKNYTEFLKFLGDKKLLGSPFQINFPRPDES KFPNMAPQTKDAHPCNSTDPKYRCACLDCGGSCAELSEVTEAKQCYVGLLPCMSFAVI IVYSVFLGLLCLAVTGHVAYQSHSKNKSERLRLLQDLEPSDDEDEGDIVHNVGMLDRP TKHYFVNTWCDRMFSRLGYVCARFPAITIITSVLVVGLMSLGWIRFSVETDPVRLWVS PDSAAAQEKVFFDEKFGPFYRAEQAFLVNETGGPVLSYDTLAWWFDVEGRVQRSWPFE EVCFKPINDACVVQSVTGYWQGDFGMVSPRSWQDDLKDCVDNPSSCLPTFQQPLDPHL LFGGVNKSVLDASAIVVTWVVNNYPEGTDELQRAMDWEEGLKNLLLAVQGEAKDRGLR LSFNAEISLEQELNKSTNTDAKIVVVSYIIMFLYASLALGSTTLTVRSILRNPANALV QSKFMLGIVGIIIVLMSVSASVGLFSAAGIKVTLIIAEVIPFLVLAVGVDNIFLIVHE FERVNISHPEGSVPERVSRALGRMGPSILLSATTETVAFSLGCAVGMPAVRNFAAYAA GAVFINAILQVTMFVAVLALNQHRVENNRADCFPCLRVGRADASYLNGGMGHGAGEEG GLQRFIRRTYAPALLGKKTKVAIITVFLGISTLALALFPNVQLGLDQRLAIPSDSYLI PYFNDLYDYFDAGPPVYFVTKELNDTQREAQKELCGRFSTCHQESLANIIEAERKRPE VSFLAASAANWLDDFFLWLNPENSNCCVNDDGKPCFEDREPPWNMTLYGMPQGKEFID YLDRWIQAPTTEDCPLGGAAAYSNALIIDKKHLTIPASHFRTSHTPLHSQEDFINSYK AARRVAKEIEKDVQTEVFPYSKHYIFFDQYLSIVRLAGALLGSALAATLVVTTILLGS LRTAFVVTLVVAMTVSAIIGSMAVMGVSLNALSLVNLIICVGISVEFTAHIARAFTFP SRATMERAPRHRFRGKDARAWTAMVNVASSVISGITITKILGVGVLAWTRSKIFDVYY FRVWVALVFWASTHALILLPVLLSLFGGTGYVDPESEGGLEQDLRNRQYPSLLGDDDD YLSDE CC84DRAFT_1231291 MDEQQFVGLLEALLQPDTEGVKSATATLNKQYYTSPASLNALLQ IICNHPKPELRQLAAVEARKLVTKHWAGLPADQKASLRNQICQFTLNEEMTLTRHSAA RVIAAIATQDFEDGEWGDLPAFLHQAATSTNARHREVGTYIIWTTLETVGDAFPGKKV DLYNLFSSTIKDPESAEVRVNTMLALSRLAMLLEPDEEPKELAMFQDSIPGMVTVLQA TVQEGDEDHALQAFEVFQTLLGCESALLQKHFADLVKFMIELAANKEVDDDYRSQALA FLMQCVRYRKLKVQGLRIGEELTLKALQIVTELGDLASEDEDVTPARSALGLLDILAS SLPPSQVVIPLLKALGGYFSSQDPDYRQAGVLALGMCVEGAPDFIATQLHEILPAVLH LLEDPDLKVRGAALNGVARLADDLAEDVGKEHATLIPAMIKNYDLAVNNLNDERSLQI VRGSCHAIDSLIEGLDPEDAAKYVSELVPRFSKLFHHEDLKTKVAAIGAVGSIASASE EAFLPFFEQTMNALSQYVTIKDSQEELELRGVVCDSLGKIASAVGAQPFEPYVMPLMQ ASEEALHLDHPRLRETSYILWSTMAKVYEEGFEKFLAGAVKGLKECLEQDEDAELVEL GEQAKDLIGTEVVIEGRKVKVAAATDDDDDFDDLNEAAMDADDDWDDIAGVSAVAMEK EIAAEVLGDIISHTKRAFMPYLQDTIEKLLELVDHNYEGIRKAALGTLWRTYATLFQL AETEGMAKWQPGLPPQVDPPADIKKLGNLVMTATMAVWQDEMDRGTVTDINRDVGSTL KLCGPSMLMSEKGTVVPEMVQQLLAIITKRHPCQQDLGDDEEGDVLDESSEYDWLVIE TAMEVVTCLSVALGPAFGELWKAFEKPIVKYASSQEHIERSAAVGTIAECVGNMGEAC TPYTTNLLKLLVHRLSDEDPETKSNAVYGIGLLCEKTTSDAEILSSLPTIFSKLEPLL DAQDQARLLDNTAGCVSRFISKHPDKMPIAEVLPRLIQLLPLREDYEENKPIFSMIVK LYQANEPTIQQATPTLMPIFEKVLSPPEDQLEDETRAQLVELVKYLQK CC84DRAFT_1222292 MASQAPNQPRPYPGNNYLPNGAAPSGPVPGAVPLLPNQGRVIQQ GSARVLCVADVRGNLQSLNQLAADARANYIIHTGDFGFYDDKSLDRIAEKTLKHVAQY SPLLSDAVKRSIASAPPQPSIKERFQREHLPLSELPLFLNKQYTLNVPVYTVWGACED VQVLEKLRSGEYKVDNLHIIDEAHSRLLDVGGVKLRLLGLGGAVVMHKLFDNGEGRTT IAGGQGTMWTTLLQMGELVDTANRVYDPTETRIFVTHASPAREGLLNQLSVTLKADFS ISAGLHFRYGSSYNEFSVNPTLDHYRGKLAASKTSFNDVWDTVKSEVEPAVADSEAQQ RLLQLALDIVGKMPTVANGGNPFGGPAPGPQSGVIDESAFKNMWNFNLADAAYGWLVL DVDNGRIGTEMRAQGFNFAHRGTKPVPQAQGGQVAAAAPSTATAPAAAPSPAPPAQAR TSAPPSAPQARQGATPPVPQQQQNIKPAQPARTGPSPAAVTPKEPIKPATPQPSGSNA PANAAAEKAIPSEVKPNGTAAPEKTASPAPREPREPREQQERKDSKALFIQYVKDEDE AKSLLAEEDKAKVEKIEKIKHFFVAHFATTPDMFGALNRIPADIRNNKGPDKPSVKVY REPTGQRNYGAGTWQGSSRGGAQGGYRSGGGASDSEGGRGGRGRGGSYRGGDRGDRGG QRGRGRGRGGFNRGGQGEGAPASTGGES CC84DRAFT_1180794 MRDCLQKTEGANARLQSQNFPHLPNTTLTILPPSGTSRSVLHFL AGSPLRKLACAAMDTFREHLVNAAKRREACPVTGGFRCDSYIRRAHEKWTHQFCKAII ASLPRELRDMVYGEIVGGKHTILVAWDAYLAPTLKATGIEDLLSSSAIHEKFCSELAE AMYTACTFQIRHPRYIETFMKSGTYGVHSQPCKSYLRRLHINIDGSMANSKQVEGIEK LRLFSANQHVLVELQFNLDLARTKSMLKQLGSLFYDLMGQNKVFKVIWRSSAGLNHAA RICDITWLLSNTLQQYLDMVANVNGYIDLEKPSE CC84DRAFT_350733 MEYHVFDSEIPPLSSRYLRISLWGGLMDDHKHDDENSYTERLDE YFQTQVRTLECLRKLPGAEGSHIHMVFYHCFFEKFKYFTPLLAPLLFALGKQGKYVGL TRQEECFRIAPFCCPARMLEFDMKMQKTLPCKEDFTCLMDSVLEELVGSLVSATSFVS EGHCQSFVALLTSLHDDLTQPSFPPSL CC84DRAFT_350801 MYISRSLLASAAFLSFSIQTGARVTQQESDAEQGLAVAHDQSRL QNILQRWPLNKVFGKRQEVEAFTDVQCPTDDLYIVILEAAPSPAVQTLCNNLLGIPPA TITLSTTAIVTNFTSTTATSTQTIHVSTTVTEIATITPAALLRRDANVAAQFAFANAI MSDVIENGANAAALAAATPNRKQEEALSGFGNACSCETVQPLSTTTITRTFLSNETIT ATATVAVGLNITANPLGSGGSSALPSASGGSGTEVLPSGSASSELVFSDTAALSGAST VTVPASITSPASISLVASGSILPVSPPSASSGSSAPVVIGIPFECPTNGTGLDKANGL TPQFIVGDLRFEYSVLCDTAVTDSNTLAGIQNVANQTACAAQCSLVNNRSQRQLCQSA SFVPNPGASDGQCFLHGSAQNFARQPGSVTVLLTQVSSKSDKCKSVDLVNGPSNVTLD TAELVGNVLTEGFSLSTPGLITRSETGGVYKTFWSSGFTDSVGAYHYSWFEVYASSSA WWAAYATSWTCTVKNTPKTIIIPQPVANLTSVFIDVTTIVENGTTTIISGTSTFSATG GAGVVFPTSVAAVGTGGNGGFTSAGAMETAFATAGAGVSEIPAPTSAPGVANETAIVI VGTGSQGVASVLISGSAATLSSIGGGGVISGSPVAESNSTAVVSEGSAAFTLSQAESG ANATIGSGGQGVVQSSGSSGFSIAGALSTGAGTEGSGIIPPSPTNGSVVGTGSVAVSS SNAPEGVQSPARISTSVFVLPAQHGYGSPTSSAAASLPANSTAVAGSSGGAQFSEFGV TATFNLTGGASVIPPPPVGTGVSSAVEGVTANSTGVAGSSGGAQFSEFGATATLNLTG GVSVVPPPLVGSGASSGLPANTTVVGTGVSSAGGAEVTFAGSSAVILSTGGSGSLGPL PATVNGTELPPSQAPSPSLPTGTSSPFTPSNETAPGVSSAEGAQNFTVSGGTAIASAS GGFGVSLPSGVPVLNATASVGEGVAVISESGAVSVILSTGTSNVIPPASANVSIPAPT GFLSETASGAVPSPSGNSTAPEEEEEGGGSFGAFNFSNESTEGPEVASTGGVPQVSEN ATLPASVSATPSPSLNGSLPAGTAVFVTDRAKCCTGPVRQHHVCPACDGCFRYRTHHV CQLHSVPSDRYCTAC CC84DRAFT_1168862 MGICSSCLGLARRPSDAERSDSSHLLGDPYQPQYGSINQPSAHS LPQPDPEEIRRQRDALERICAQTSDKLIHVSQAAYTDDSHHSEYLRLFNERFPPIKSA SRPSSAHDDQHQDEAAWLASVVGSSNDAEGSWERVEPIESGALTVQFGEALSDRKR CC84DRAFT_1263711 MSSPKPTPIPTTPLRAARFQSATPGSSVSMRAQCSDNPADFAIV KIHTAKCSICDRRNATDKMRRCKGCTWQICRPCQIQREDNGRSLAHGNSMTATPTAST ARRRVFPPTEIKDPQAEAALAKVKKEMAEEAPAKKKTGKSTVAAQEITTPPSRNERTK LQRKAKKNRGLAEVETESDDGDDNEVLVRDQLSPFGGPGSKRRLDFQDASSANEDSPN KRARMEWPERMKAPTPLPAEWDMTPQEKANLQEKIYANLAGANGLRSSQHPSTMKDRF GVDTVPSRAYPGTHAQGQPVVYLSTSTPRSRQVLIPKTISRNGKPRKSGDKLVAEIQE KVRIKLHERYGWTYTGAPPAATDTPPDELPAREPRQGTLRDLVDDEVRTLHHNLGLDE DQQTELVAAMKGAARKWGNDIVKSMPAGFKTIAKPGLDLALEYLTYAQQQRVVALVQM QAGNKLKEFEAEGTPSSVDAQGVGGPENVDGSQGER CC84DRAFT_1168865 MANPRGSVAQYSAMVLLDYMQAPSVGLYFLAATAFGMCVLQKSK PISRKRRRLTITIAFTLLVGYVAELIYYLSRSIADLQYTAPQHAAIRCLGSVLVWIPL SSSIVTSKTFIWHPYFGSFVVQFVFEAITCLLRGFSLPLDDRYSNIPLSISAARAFVS FVLLVDSFLILISVKGERGTDEEEQSLLGTQANGSTTANGSTQANGSAGYGSIEQAVP DDSDEDESPATDKEIKEQQAKRLEEQGGWFGYLKSFMIFLPYLWPKDDWRIMLALFLR LIHLIQGRALNLLEPRQLGIITNKITQGAHEMPWGDIGLWTLYRWINSYSGLGIIDNF AETYIGNQAFERITILAYKHLMSLSMDFHTAKDSGEVLKAVEQASSLNSLIELVLFEV FPILVDLIIAMYYVTHLFDAYMAFLVLFMGLGYIWLGLYFTTWSQARRRVYVAKQRTE NTTVNETVHNWQTVAYFNRVDHETERYRTNIRSTISAHYAYYFRSVGGHAAQDLLMTF GFTACCIFAIHQIIYSGKPVGNLITFIMYWGTMMSPLYMMSYSYRHISSSLIDAERLL QLLHTKPSVSDHDNAQELVVKAGKVEFKDVDFAYDARKQIIKNVNFTVEGGKTLAFVG ETGGGKSTMLKLLFRFYDVTNGSIMIDGQDLRSVTLSSIRDSIGLVPQDPALFNQTIR QNVRYAKLNATDAEIEDACRAAAIHDDIISFPDGYASKVGERGVRLSGGQLQRIAIAR VLLKNPKIVMLDEATSAIDSAIEAQIQEAFKKLSRGRTTFVIAHRLSTIVDADCILVV EKGEIIERGTHAELIAKSGKYWELWSKQTAGNASAAASTKEVLIDVTSPEEEGAKASG RSDGDGAVERRK CC84DRAFT_350817 MEGAMGWQLPSAERVVTGRLWDAVLHRAARGTLEVHCRRCVDAG EHAHVVLTTRTGLVGGSARGNAGVARRVPKALACLPKPKRIPPQRRPARKHPSFTLFP GCCPRGRRRCWRALASLLLFALTTSEQPPRRSQRAVRHHSPLLFAARALHGLDSFSPH RRAQRLLRHNQNTANHGV CC84DRAFT_350862 MCFYDMHRFACGDWKWGNFRQHCAKEYRMGETCGMKLVNHTIHV PQKCKICEKVECKQRKRAAEVDRIHRWQREGNKFRASIDKSMDAIANIDVEIRNLNYE MARRRQQIGAQACT CC84DRAFT_1222300 MLVTGRASNSALIGAQPTVADAQPVPEPRAAASTSAPGRELQES PVGVVSLVAPSSGHKQSPNGLGEKRKREDDTEPQLTKKRKIEFLERPLTHEEMNVLDK ELRRLDTDREFVDSKLQKETNAKHEEDERNKMRGTSVEAAHNLQYANSNSKEAHKESE RLQQEIRITQIRKRLGGMEVSGWILSPEDERFLEDAGIEWNS CC84DRAFT_351157 MMTDSFCSNESNESAAQALKEKLEDDAQRLSDVAAEHFLQKLKA SIKTEPRKATLYCEGFVHFQGSVNETYWEESLSTINPPVNIRFRPNGEGDTSNIEKQI ISLEELHSLLSAYCPASFSLREESVINREFRKASRLTSSEFTTTFCPYEAGIIDVITQ LLLPQTNQKKHKRSFRIKNPTIERHLPPLTAVMQAEFYSLKVYSTPWGKREIDTPRKL KRNRKPWTYDWRTFVSPQPCPVGPIRATQKVSQSSSLHDTRSSSRGLAQTQLFSLYTN MNTNFLPSSLKGADMLLYKAACALGLWCRAVPGLMVPRAFSEYYSWKRKTKRRVLFSS TRFGL CC84DRAFT_351163 MPYDYADSSIDPFAQTAGTDDLFFDDDFTPVAEPVVEEAPAEVP PTAPVDTAVPATGMGQSQYAPRGPANGERGGRGRGRGGRGRGRGRGGDQAQEPREPKE KPAQEKEAKNGEAAAESAPAATEPPSTPAAPKEPKSVRGDRTLTGGTPRARLTEDEIN AKLAAMKAKNEALATAHARSEADAANFEAREAIAAQKNAERKKQLAERQKIDRQNRQQ MMGERERNRQMKLKAVGGREWDLEKEGGFEGTGDERRRGAARGAHGGVAGVTRTVGDE YIHQQEESNTSTTRGRGRGRGGRGGRGGRGDHQGTAKKLEAQPPPSASDFPELPSAST AGAAKDVEAPKKLDFPIRTKTEDADATEPERPGIKKQESFGLPSPMAGKSWADDD CC84DRAFT_1168873 MGHEFCGRVISAPPASGLSKGQAVMVDPRFYCGKCSRCTASHTH GCKTLGLKGFHGGGGGFSDMVAVETKHCYPLPDSVDLSLAALIEPLAVAWHAVELCEI KDWSSKAVLILGGGPIGIAHSSVLRALGCKSIFISEPTSTRAAQNREVADHVINPISE HVAERCRELTSGEGVDVVFDCAGVQKGMDAAFDALVFQGVYMNVAMWGAPMVVPVMTL LHKEIALKASVIYTDRSFRETVDAFAAGGFKGLGGMVTSRIHIDDIIEKGFEELINNK DHHIKIMVTPKNECLGQ CC84DRAFT_1190770 MASPPIDAPSHYPASQTALLLLDFHSMFVENLADGKGQNALSIA ASTKVWAKSKNIEIIHCLIDADQTPYPTCKNVQRFASIISSFKSGGKEEPAALREDAG DEKTFLRRGGYVSALKSPGLAAYLREKGIVSLVLAGLSTSGCVLRTAAAACDDEFVVT VLEDGCADNDQDVHDALIRKVLPGRGYVYTAEAFREGWENGTLGL CC84DRAFT_1103407 MSQNSQPRVEVAEVSRLIAQNRNIVIHEGHALDLTTWINRHPGG RLAILHMVGRDATDEMNIYHSARTLLCMKQFRIGRIQEPWTNLDPPIRSPDFYTKEAL RKQEAKKGDKESLERRRRSRTSVDLSSVGLLHNSTTVSRACAAAVVIHKKLNQTPCTR RAIPIVGVDLESQPQPRTPIVSVATVSANVDSPDEAVAARERYATELEKKEIEDGLRD NPSVDFDTQRAIALEYRALHQQIKDQGLYACRFSEYGKESIRYGVLFAAFLYLLHIEW YLTSAIALGLFWQQIMFTAHDAAHCGITANFTVDTLIGAFIADFCCGLSIGWWKSSHN VHHLITNDPVHDPDIQNTPLFSNSPTFMKSVLSSFYNFRFVWDGAADVLIPYQKYTYY PVMALARFNLYMLSWLHLVSPRAAQLGAAWWTRPVEIAFMACYWYIFGYCLVWSTLPD WPTRVAFVLVSHMVTMILHVQITLSHWGMPTSDLGSTESFAQRQLRTTMDVDCPAWLD WFHGGLQFQAVHHLFPRVPRHNLRQAQSLVRNFCDKTQLRYQCYGFVDGNKIVLSRLE EVAKMVTTLVDCQKHMIETGESGLH CC84DRAFT_1180805 MVDKRRQMKACIPCAKLKIRCEFAPPSQKCNRCVRLGRECQKQA PGAHSNPNKRNWTNHESEIRRLETMVNSMSHLVASRTSDSVTPPDFMQPNSPTDLSAV FEPVTNCGDPEGFRCIKLFTEEIAPMFPFVVVPPEINAGQLRREKPLLYKCLLMVTCQ NDVSRQTNLARAIGDDIFYCLDRKERSLSILQAIVVYVGWTHVHQHQGGAGFLIPVHL ALAIVTELRIDEEAQHKSNISAGALRGIWKKEPSRVRTLEERRAYLGVLWMASMINIC FHKMVTPRFTKYTDDCCRVLEAAQDHPNDVYLVELVRMLKLAHRVDKVVYQDALDVTS EMSLPLVMVIGALQDEVALPTKFKPSDPVQAVLSQLSQKMLELHLCKLAIEDAYFPPQ SPHTRFRADLLVACLSTIDALLDTFCDIPSLALLSLPYGYWGIVGHAIKIHSRLSDVK YGPWSPTVDPRRTFERLAQKMEEANAAGQNATPPRRMPEFYEQIVAKLRNLGEVNPND TGHEAFQTGGVLLDHDMMGGILFDLLDWV CC84DRAFT_1168877 MATTAQQTMESVESRRSQLEETVAKLRKALDHWSTWEMEYQILK EELQAAGSPSPSKMAQIGRDMQGQLVNEKEVEELLGKNLPSKRTANQIIDMISRRLDY VGQNRDSVEKQFDKAQKQLEALEMLTDQGLETEEGLPMMDIEERLDDDDNVLSSSVTQ PGKVAPQLVEALRKAGVHKSEQKKSETEQDMSDDELSTPSASAPSNSATPPAPPSATV SGNPSTLSTISKGKSNASETQKKSVSFANDVQVETFEKPRTLKDDVRNWNLPPGAKVY EIDAENEDILEKAVVPDTDTPEEAALRQEMLQYGLSEVNDVVAELNLETDDEDMDDDH DEDYDAYDSELSEEEDKFGRSTRRVLSDDYIKEMRELEKKLNARMLENVGPNSELLEK AVDARTLRVRNDDEFEQTMTTAIPSGTSMSSKGVRFDGDEDMEDAPQPAAQPSVPIKL PPTISDTIVERAPSVPQPPSSSAKSARVSRFKSARAPTNDPPTFLPNPAVPEPPPIPT GPKGSILAGTVAEHTPHPSEPQVPDELDPVIHNREITAEYHKLRNKMIQQQGGFKPSE EDELDPLVEERNGKTKKVSRFKAAKLKAEGL CC84DRAFT_1168878 MSRAAHAPSASTKRAGEDDDICPVCKSSRYLNRYMHFLVNPECY HKMCESCVDRIFSHGPAPCPIAGCKKTLRKARFRTQTFEDLKVEREVDIRRRVAKAMN KEETDFETLRDYNDYLEEVEEITWNLILKVDVEQTERRLRRFEEAQKAELNPNAVRRS VDAGPDTSTLSETSHVILKKGGAQRKAQNVPSGNMPIPEDETGFSFRGLKKRKAPEPE KVFDPTSGWSVDPTYYNVKDEYDHKFFAVKHEPRFYAFGYKAPEYYSHALRDAFGGLG VFLEDDRTSEEMDFGTQAAAIAVGGGRDVDMNDVF CC84DRAFT_1168880 MSPQHASTHGVSDADVNVYDPQPRPESQEERTGEGFAEQESVDE RDAIAQDARSEHDGNRERQLTQEEQGKDGGQAPKKRGLFSKRKGGTKHRDATPLSNLS TSGHRDGLPSNPFSVTVANSFTTFPPPPRVAQHATRMEQRRAHRECDAERAPSMKLDG SKGPGHGQNLVQRAISIAEWEDDGKEDHIAGSRHFHDVQRHPAARRLNAIGRDMFEGG NGHIDAQFSRISPEYAAQIAVNRARLDRIY CC84DRAFT_1222308 MDDDSSDVQEPAKLYLTRLRLALAPYTVHFQSPGYIKDETLRML ERDVVKKTLEESRRSFELRDELGLSDLFWDDLAGVLKAAIPSLERRCFAAPDPATPEY EGLSGPLIASYSPSLLRDLERLNQLVSIARNVLVVGEKVQDLSAARLFDKDIFALITV CVRVTARGYDGEAGTADEDKWQGVINAYKKLLITCLQFLNNLIARNEQRKLMLWIELF DSHLDSELPNFADMKSRMDFLYRLEKNPELPDEAAPAVPQHVSRGPDAFKIPQQPASS PFLLYIGEVGAEVKKSLIKMGEKAGANEIASECKRQWQTMSEEEKNKWNMRYADVVAR YRDEITQSSAYKQVLEQQAKNQESVQALAQSINQLQVEVDRMRSSIAASANEAPESPE EQKERSMRAQSIYNPSLNRSSPAGEVDFRVTYPPAFGADILQNGKEDLLKRLEPDPDR PAALTSDVASPTSPPPEDDDNDDDYDDAGDEGHGLLTDVPLILGPTEIEVLPMIIMGG IVEPTEGQPGYHVDPNQFSSIRSMHSVRCHLLLAQDNGRNLLRELLIFVAAWDLREEE LYFKFMVKIMESILANGLLPFAYHAFRESESKDIISPAQAVIMKLLTNIFRARQARTP VKSTLVRKSGSTPVDQGDAHMVNFLLTEFRRHIIPQTCALIFLQGQIRAGHAQPEDFP LNLWDMERMYEGVYQYLEFFAILTEHETWKSMLSNWEVANELVTLLKELDAAIPKGQI SIPPLTAAARSNNTSAPSTESEPQPVAVERPYDTAANTTAPEGYMPSPRPYIDEAQDE PSEFEWRNLKKLAVLVLSSLVWKNRQVQDQIRPLGGIEAVLNCCSYDEHNPYIREHAI MCLRFLMEGNKENQDHIRALERYEKEKEGPSPVDPPTASQPPTSKPPVSVRVPDEVLD QQGYETFMDSKGQVMLRKREVKPPVVGNTVKGKAKMETRDPKDLEQLVQQVMRELPAR VQGVKHDAEKAAALAKLDKEFDGPGASKG CC84DRAFT_351898 MKFLTTLTVLSGLGALSDAKERRGRIADVHLGKRQSAQATYQAH TIEQPVDHLHHEARYEPHTNATFSQRYFFDPTYYKPGGPVFLYIGGETSGESRFSNLR NGIVKILAEATGGLGVILENRYYGKSWPTEDSSTDNLRFLTTDQTIADNAFFAKHATF PGVTGNESLHAPHTPWIMYGGSLAGGQVAFTMKTYNDLFAGGIAASAPAIAKWEYPSW YNPILKYGPSDCISRLVGIVDKIDEVIDSGNKAAIQEVKEVFGLGPVSDIRDFAQAIS YPLGGPFDYPTGTWQELNWNPPDSSQDFFNFCSNITNPNAPANITAVDTKLSKYTQGE PWTGLGNYAAYIKRVIVPLCESGRLDTTDDGCFNTQNATYWANPANDAGRSYLYTSCI ELGAFIAAPTHGPTLISRALNGSYMQSWCNYSFPPGTYSSIPSRPDTNVINRYGGLHI SAPRLALIDGEADVWVDLTYHSHEKKAIVVSSAEYPSYLIAGAGHHWDSSPRTGIKSV ADEPDYIREAHEWEIRTVKTWVKEWGKGGY CC84DRAFT_1222310 MARKEAHRDNKAATLEKWQAEAGMLEKKRMADLAAEADEHDQMH AAGVPGHIMRRFREMETSFEKESLFFQEKLKKLRALGFSDEDVAWVWSRKSDDEDGDK SYHTAKTSQS CC84DRAFT_1074185 LLGLSLLSQASAVKLLALNFAGQFYSLDLSFKSSTKASLKVVQN ITACGVTPTWLHLDEETRTVYCIDESWLNEGLGSGNLSQWSVGPDFSKKDALTLTGST DTEGNSVHGFTYGGPDGRSFLVTSEYSPSTVTTYKLPITSKTKALQKLEFTMAAPGPR PDRQDKPHPHAAFTDPTGDFLIVPDLGADLTRIFKINQKTGQLTACPAIASLPGDGPR HGLFRKAGRALKYYSLNEVSSSVGVYDVAYPDHSYGSKESCLSLKLVQTLSNYGPNVP LGNVTVKSAEIRVVGDFLYASNRNDTTFGFEQDSIAIFKIGGDGKLQFQELTNSHGYY PRSFSFNEAGTYAAIAGQTTANIAIVKRDTKTGKLGPLVTNLVLPPRGTYGGEDGVSN VIWVE CC84DRAFT_1103224 MQRFVIALLGASAALAAPTASIKRATVSGSAEGFAAGVTGGGDA TAVTPTSTDELVSYLGDSEPRVIILNQEFDFTDSEGTTTSAGCSPWGTGSQCQIAINQ NDWCTNYEPDAPTVSSITYNKAGVEGITVASDKTIIGEGSKGVIKGKGLRMANGVSNI IIQNIHVTEINPEYVWGGDGITIDGADMIWIDHVKTSLIGRQHIVLGTESSGKVTISN SEIDGTTSWSAQCNAYHYWSVLFLGSDDQVTFKGNYIHNTSGRGPKVGGKTVLHAVNN YWGNIDPTGHAFEIDSGAYILAEGNTFDSVKTPVSTLEGKLYTGTDCSSALGRACVEN TLTGSGDFSGTASDVLSSFSSKAAAADKDASGVPSSAGIGKI CC84DRAFT_1222313 MTIKETLRDAPAGQLARAYLGWQISPYEDEKEDYRLPEPEKQEP GPQAEAQRDIAPDDGSEEGSSDDKEKDKDLESNAPNTELQGHADQSGDRDIERIATER DHHGGKQPAFQEVGFSPMDRDNPQNWPTAKKAFVFFQICLLTFSVYSASAIITPAEGI FEEQWGTSGQVSALVLSMYVLGYGLGPLIFSPMSEMPMVGRNLPYMISFSIFIIMTAI GSGVSNFPGIVVIRFIQGFFGGPVLSTGAASASDIYAFNKIPYALSFWSFFAYAGPAL GPVLSGFAIPLNNWRWGFWETLILSGFTFLLLFFSLPETNADYILAQRAKRLRLKTGD SNLLSRSESRSGNKNWVKLTIYHLTMPFRITVLDPSVGFINLYTALVYGVYYSFFESF PLVYMGTYGFSIGIMGAVFICVIIGAAIGLITYALLVYFVYEPYTMSKGIGSPEFRLV PGLFAAALAPAGMFIFGYASKADITWVAPTIGIALYAGTSFVLVNCIFVYLPISYPRY AASIFAANGFLRSAMACGAIHFSQPLFHNLGVGNGCAILGSVAAACAAAFVGLWYYGP NLRARSKFAESY CC84DRAFT_1168884 MPKADTGTRSTASPLFSASWLDGTLARRVRPNGSFKTNEANIRN GTVFGFWGQRMGYVHNQQFRTSRHFRFGTPSKLGVW CC84DRAFT_1168885 MASQSDENAIDAHSRSALTTAKRNLRYIYDPSTATTAPTRRRTR AILRLLRSSLIFAFWRIVRYAKYVAIGSLVATIGAGALGTVFSGAGFVLAPTGIAGTI IAASVWGVGKFAVKRLKKRWDGGHGYGEIEEAREERMSGVMRMERGPDAVPW CC84DRAFT_1168886 MKTTFLLSATLGVVGLASPMAQQIPGIATIDVWIGDDYFFTRGN TTTVQLQQNKCSNFNEPFNDSISAIDPTAPDNGKPLTCTLFE CC84DRAFT_1102720 MISLPFLLALATTLQRAVAVPHVKPFAQWKRQSSTNVTSSTQVD LGYEVYEGVANASTGLNTFKGIRYAAAPTGTLRWQAPQSPESNRSNVISAAKPGPTCP QSSRARPGAVTSGVTGSEDCLFLNVYAPQNASDLPVLVYIHGGGYGQGSAAADMSGII NANNDSFVGVVIQYRLGAFGFLAGDEVHRNGVTNAGILDQLFALQWVQAYIELFGGDP TRVTISGESAGAGSVMLLDIAYGGTLGTSLFVNSITASPYLPQQYHYKDWVPSQSYYA FAIAAGCPPRWAYGNSSQTVFECLISQSTESLQSASELVSQSGTFGSWGFLPVTDDVF IQSTPSKALLERKVNGLNQLSGNNAQEGDIFTTQNITTENDLVDWIHLVFPLFTQDDV AKLLYYYASSNISDSSDGLNEFATEGTIGPTIVNVSQTATGQQQRAQAIYGESTFVCP SYWIAEAYNARGRTGYKYQYSVPIALHGVDPNIYFGPAPANVGPDMVLAFRRIWGNFI TTGNPSISASVASGSNSNGTSQSSLEDWPVFALWDPRMVNLNQTGGSPQSMSLANSTS GVLNVNATVYIGPGQTNDITLVDAYSWEGGRGKRCDFWMSVAGIVPA CC84DRAFT_1222316 MASPLRSPPLGVASVFGQSGSLALPAIATACACLPLIFFCALSR ILSRVLARKWSFQDYLFCFTLVISIAFIAYSIPLTLAEPFGYHVWDIDTRHLTKPWML RFLTFAIFTGPVLWFSKTMVIAHLVHVFTSVRWFKNTGYIIVVATGMIYGLYTCTTSI ACSPKPDDDLDSYINGFRQRTCSGRGGANMAVGMLMALINSLADFYLLIATFVLSPSM NVTVKERRVIWLMHFVGIVASVCSILGLVYRIKAFQDADLTGYQIPIIVALILEVSIS LMIPCMSSFYQIYRHYTYVEINERTTIGTPSPRYQSSLSYYKSPSHTNPSTPTPDPKT SWRKTHMSVEELPFRRASAEFYRKSPLALHDVRDSRMKRLPPTPLPMASRNNSVAERI EGSKGPKTPKTPKTPNSARSMRLPILFERR CC84DRAFT_1168889 MSVFKSGSRALITGGASGIGLAVAQLCLKHGMRVSVVDFNKETL ELAKSSLKGDVQCLQADVGQEQEWKGIKEKVGDVDFLMLNAGIGAKGTWGDSEYFQKI LSTNLFGVVNGLNTYVPSFQTRSKASSAAIVITGSKQGITNPPGNPAYNASKAAVKTL AEHLSWDLREDKGVSVHLLVPGWTFTGLSGNVPGASAEKPAGAWSPEQVAAYMEQKIA DEKFYIICPDNDVSEETDKKRMLWTVGDIVNERPPLTRWRAEFKEEAEEWMAKQKV CC84DRAFT_352211 MPINTITVGAAVAPTIIETYISHYLNRRPLKQKPTAHISYHEGL ELIRRFLHYSSFHAVEEIQAFTSQWVPVPVWVHVEQLVIPHAQLKLSADHVRAHLGPD GIKAIGGNTWWQWRREGSEALKAEWIEMRKDMEERKKSPNVKGQRVMLYVHGGAYYFG SVDEHRYQMQRHARKLKARVLAPRYRLAPQFPFPCGLMDCLAAYLWLLEEKHDPSTII LAGDSAGGGMVLTMLVVMRDQGIPLPAGAILLSPWVDLTHSFPSLAGDGKLDYIPPHG FVHKPSVSWPPPNADDLLAYEGNKPIDEKAKPDTAATKEEKKEEKSAQKEEKQDRVRG FSLTNAEKPDLGTLSNAPISDESNGAGTETWISHDGKYSIGPKSMLSLQLDGKLIEIK DQIQLYAPNHMLTHPLVSPALQPSLGGLPPLLIQVGGGELLRDEQIYIAHKAAQPLAY LPPPSNHQTAQAISEQAARYRPTNVQLQVWDDLCHVAPTLSFTRPAKHMYRGVAQFGA WALARAQKTSIDIMDDDNISIVSSDSGSTSSDSQLGAEDIKLSMPQSAQHVGFESVST KKEQGAVVGRAGDPIPPFENHMIRQRVDRHGRIYPLPPPQELEALNMPSSDVGVPKKG PVNKWMKAQQQWNGKFAKQKLKIQRKRMRDMEKGYEGFDGETPPPTALAGRIVKGMKK EKKAKKSWGMAMWSFWGSKHDEATIGREEQANQSSEADPQQSTAAGASTTDGPSNTNP PPPPSPRASRRSKSKHLAPASAPSTRSRSRSRHSTVTDRGQITASTDELAQLAPPPTL AHVTVLAPERDGSNGAPTPTLVLSDGSNGQERPQSPPTLIPATDTLSTRPTRGGVAYP FTLRVGDDAGGDANASMLTLQSVNLGSPGLEEETKELVAIGGEPELEQSVERPGVERF VTAADIGALGGVENEKEEENEGTERPGVERFETAREDLSTLAAGVNGKA CC84DRAFT_1155960 MKKSKITDFDPEELLDPAPSSSDAEDADSSGAEDDNAGREHYAD VGKSKLRRKEVVPLGPQYTGTQVSRNAVEDEDSDDPFAKRFDEDGSGSDDDEDSEAEF ENGVAQESGSGSDEDDDESGDEEQGLDQNAEADSDSASDDDASEDDDTNDAAETRALM SKNKEDVRELMKDQNSLVTSLAAAAQSDVAKGQAIKAQRKTFDSFLNTRVRLQKALIA TNSMVAEDHKDESAPTASVEAAEAAAVLLLNNLTRLRASIEEARTGEKRKRTAFGPST ASSDIWDRLCAQESSAKKQRRAVMEKWSAKTRTAKIATAQRQLNVSAEQTLTEVLDSQ LTNSTHLVARTQMPRSCAPIQSASSKPLPDVNIYDDADFYGLLLKELLEQRSAELSNN GTAEFVVQAPWQVAREAKTRKVVDTKASKGRRLRYTVHEKLQNFMAPEQRGLWGERQR DELFTSLFGQRLALGEQDDVVSEEEDAVDAAEQGLMLFRS CC84DRAFT_352226 MKPRLFSTLRRLQHENPLGLPRSGAPPQLPRMQRGLPQKRSIKD VKKVIAVSSAKGGVGKSTIAVNLALSFARSGYRAGVLDTDIFGPSIPTLLNLSGEPRL SANNQLIPLSNYGLKSMSMGYLVPESSPVAWRGLMVMKALQQLLHEVEWGGLDVLVLD LPPGTGDVQLTITQQLILDGAIIVSTPQDLALKDAVKGVELFRKVNTNILGLVCNMSG FQCPGCGETHNVFGDMNRLRDMCRTYDMRLLGELPLHAAMGAGAEGGKPTVVAEPDSS RAHIFAAMANEVARIIDLGK CC84DRAFT_352227 MDILADKEAGILHHTVQVIQVHEQKDISDDIVVDANVGNVDSNL KLAPDGHTVLVPQPSDDPNDPLNWSSTKKHALLIVLSITAGLGDYSSAAGIPLIMSQG LEWNKTPAKVNETGNLNVLMVAIGGIFWIVVSTWWGRGPALFWSTLTGCVFTIACAVT HNYAVYYAFRVLMGFSFCAFQIVGLACIKDMFYFHEHARKIGIWTYGVFLTPYLAPMF SNFIIAGTHGRWRVAFWLVFAVGALDLVLILLISDETFYDRTIPREKQPARPKTFMGR MSRLVGVWQIQNHNSAGFYHLSHAVTRLVKTLLKPIMIPCMIYYAMTFMWVVGINITS AILLQTPQAYGGYGFDNNAIGYLLFAPLIAVTIGELSGHFFNDLIARRYVARHNGVFK PEARLLMNQVVVILMVPGLVLVGQTLEKHLSYAGIIMGWGMYVIGSMLASVSLTAYCL DSYGSASSEVAGLLNFARVGAGFSVGYFQEPWGKVNGYGQSFGIQAAIVAAASIILTL LQIYGARMRAKGGPVV CC84DRAFT_1155962 MGVYYETIPDSLKPWILDQKMLFVGTAPLSPTGHINISPKGGKQ FGIVSPTQFWYLDLTGSGVETHAHLHEPHNGRICVMFVAYTGPPRIVRIWGTGRAVEN GTPEWDVFVRENKVDTIPGSRSIILVDVHQCATSCGFSVPTYEWTGWRTTLDDFFAKK EKKYLEGKEEESMDRYWAFKSQYSIDGMPGMKRGYEFAQKNNVAPLKKWVGKWAPRDF GPRPTQQVSPMHLILVAVLSLIIGFTLAVTMAPPDFVRKVQHKEFFL CC84DRAFT_1155965 MRNPVACVTCRSAKQKCIHNDAPPCDRCKAAGRADLCEFPPPGT SAIHRRPKRPRQEDGPTPPAASTALNVTYRAASGSELNAASAAPAPALSPTATASLLD SLDPFDLLTDEVVNSYLRCSYKWSFHHTPTLLLRIRARTLEPWMVWAMLALAVRFVRE PPAPFRTQIEASNAFAAHARQILQSDLETPSISRVQALLMLTGHDWGAGNGRRAWIYL GMAIRLIEVMDITQEPKGPRNRKPTREEFIDAEVRRRTAWTCFLMDSLLSGGRGRKRS LAASDMAIQLPCEREDFVFGEPVCTQRLDGSIHMPPLSLAVGELGIIAYSLRAANIWG KVARWACSEELKTQLPWSVTSQFQQLIYELEHWKSSLPPRLQYELFSLHSHNAVDQGQ AYCYMHCIYFMGSMFLHRAYLPVLGPQTTPEETLHEYSEHSDRWKMWQKSSRRDLFNE ASMVLDMLEEMRNFGVFFLRGLVPWIGFTIYTAVGVMLYSYNFPSGEDDPRVTEKARG RVIRGCTFLKEMKTQWPMSETWFETIKRMQAYYRSVLGQDGPVSPDERQALRRAMVDY GALQPSPVQKPADAKPSVDTDVVRNSIGQEQPAPAPTHQQQQLVSPPESTPNGYTSNF NWSAQHTVPPLEDDDNFNLNFDFTSADMEEMMRDATQEFWASFPGEVGVAYQ CC84DRAFT_1222324 MHEGAAPPDSPAMPQQQDAPRNFRGYFGDQQHMATGRAAATPAQ ENPPMEPTNDMDHSSPVETRIRQESSDDDDVPLRDRRLCDYHQQPTSTMAIQERLGFQ KSAKASPFSTAKTHKKPGPKPWAAKPIAKNDMKAKMEVIRAIEKNWGKNFIRDYIPKC HRPLQKKKGGKRTAFRQHEMDPKKWLPSVLKSLLGISYLTTDKAFLKKAMNDIVRHRI KHTGNRKPQLVTTDFDVIEDMITRGWDIETSFSIRYKHLLLANPKGYLETDEDIDHIL ACGDDEYQRSSDLDHEDEDDDDDDMEVHNQEEEDTTNFSGPYAGIGGYIHGSPHQPPK MIVPKHPKRSKPIKKEKQEKPMKPAKATKRSALSKGSPDNQAPQHLPPHMYGGYGMPV YGPYGAFPGYPPMPGYAPPDMKGFPLQPPYGKGYPPMPPMFPWTCYGQQEKDGKIQDP QAAGYPPYGFPGHAYGQQPDKDGDTQDSRAQTHGNPPYGYGYLGMPSYPPPMSPSPNL PRSTPAPSAPARPSGLTRFSPFDNSKRSASRRADPSVRHHDAAGYTHTPYIKREPGVE DHPIAIEDYDNGPSNDLGEAVEDSQDGDQEALDEIAEQERHIQMLRARLAKKKSRG CC84DRAFT_1168893 MHFQQTESAGPGAEAHKDQPKTFTQKSSSLPFGQDLSLDSISGP TYLTAQALVQQVAYTLSDKIFAYSAEGFDLDVAIKSWQENGDKNAFGYQTGVQSLETR NGAGSIALGYMFSKDFDLKKRHIPQSIVASSATLHYLRPALDQLALLYSVANPLTAHV AAVDYTANSAAGLVSDYVTSLTIAEDLGLGLVCSPSVFETQHMALFATLLSSIIPTIH TYDGISVGRETARLVDTLDQSRLNNSYQAVLKAVSEVDKKHSDNAGRVVRLLQAFNDE LGEDYRLFDYYGHEEPESVLVVFGTVEASLTAQVANALAKDGDKLGVINVRVYRPFVE EAFLEVLPKSTRNVAVLGQVKDEAAVFDPAESSRLYSDVFATIQFAFDRNVEVTDIKY SREQVWTPSNILDVFQQTYGEKTQSEEVRSYVDILNTADVKQYTFWDLDESPAADAPV VLGKLFSSDSAKNVFVRAGHDNLVRGGTVRTDIRNADYTIEAPYSVEQADVTFVGNES LLKEFDILNSVKADSSMIIKLPGVKDEDIEKKLSPALRKAIVGKDVELFILDPTLSES VSQDPSLEVYLTQLAFLKVAREDILTSGLSKLAAINGNQETLEKLVSELETALREIEC PVSWSTPEEEVEPIQLPSDINVNSFSAFDRIETDPPTYLRDWKVAAKGFAFKEAFGVE TALRPDLGVKTHVVTVKERRRLTPITYDRNIFHIEFDLGTSGLTYAIGEALGIHAEND KVEVENFIKWYGLNPEEIVEVPSRDDPDVLVNRTVYQSLLQNVDIFGRPPKRFYEALA EFADDADEKRKLLAVISPEGATEFKRRAEVDTITYADILLEFKSAHPSFHDIVRIVSP MKRREYSIASSQHVTPNSVSLLIVTVNWVDPQGRDRFGQATRFLNGLKSGTPVTVSVK PSVMKLPAKTTAPLIMAGLGTGLAPFRAFVQERAYQKQQGHEIGEVLLYMGSRHQREE YLYGEEWEAYQAAGIITLLGRAFSRDQPEKIYIQDRMRQSLGDIRRSYLQNEGSFYLC GPTWPVPDVTEVLQEAVEVEHRAKSVRNGEEKPKKVDSRREIETLKDEGRYVLEVY CC84DRAFT_1168895 MSPNGTLMAYTTPIDIRDLRDQAALISMAWKDHAAKYPPPSDPS PPDQLETLTIETANNNIIARAIQPSLLLVLVGGVPPSRKQVFKITPEARGEARYPAEG GEEGTEPVVGSGKAASVLSTMSTREKDVKGGALHIQRKKIDALTEYLRRDFDAKGFVM PDDAGFS CC84DRAFT_1168896 MATDPTRYKLNHSMIRIKDPKRSVEFYEFLGMKLLQKLPNPDAK FDLYFLAYDSPKAVSHANHWTDREGIVELTHNYGTEDDPNYKIANGNQEPHRGFGHLC ISVDNLQAACQRLEDAGYKFQKKLSDGRMRHIAFVLDPDGYWVEVIGQKPLEQTEKEK ETDVGTYRMNHTMIRVKDADKSLAFYKDIMGMQLKRTSENEKNGFNLYFLGYGAPAND SAPEGVSATADHEGLLELTWNYGTEKDANFKYHNGNDEPQGFGHICVSVDDLDAACAR FEEKGVNWKKRLTDGRMKNIAFVLDPDGYWIEVVQNEKLKQRSNW CC84DRAFT_1263736 MAIETYNTRPKILIPEKVSPDGLALLKESFEVDERKGLSAEQLK EIIGQYEALIVRSETKVTAELLGAAKKLKVVARAGVGVDNVDVKTATSLGIIVVNSPS GNINAAAEHTIALLMAVARNVADASQSIKAGKWERSRLTGIEAKGKTLAIVGLGKVGL TVARIANGFGMRLIAYDPYANENLATAASVTLLPNLADLLTQADFLTLHTPLIASTKG MISRAELATMKPTARILNVARGGMIDEDALVEALDAGTIAGAGIDVWTSEPPEADSSA SRLIAHPKVVATPHLGASTKEAQENVSIDVCEQVVSILSGELPRSAVNAPIILPEEYR TLQPFVTLLEKMGSLYTQHYSGKVNAASFRTTFDITYEGALAELNTTKPLFAALVKGL LSPISETLNINIVNAELVAKERGILINEQRSRENVDAKPYSSFITLRARASRSSSQAR QGRSASPLSSRVPQAQPPATGQAQLTPNTQAPAKETSEQVIQGFVSGNKPFISRLDRF SGEFVPQGTLLICRNFDSVGKIGYVGNLLGKAGVNIKFMNVAPLHDADEEEGSGSKEA LMILGVEGEVTEAVRKGLISEEGALEASLVVL CC84DRAFT_1168897 MTTVALAGSTGLVGSHILSTLLAHPSISSVYAYARRAPPNPSAS PKLFHIPSASTDDWPAQFPKESSPKIFFSGLGTTRGAAGSVDAQRKIDVDLNLSLAQA AKEAGVECYVLISSGGANSASMMAYPKMKGELEDKVKALGFKHTVILRPGLIQGARDE SRPAEAALRTLAGWMNKVSPKLTDFWVNDAGVIGKAAVVAGLKCVEGQREAGVWEIGQ GEIEKLGKE CC84DRAFT_1222330 MPSPTQKFAMFRTAARYLTEPHPFARNPVTIKPHPVDFSLYPKR LARTGAFVFPMALVVLGWPVAAEIYGRRVGM CC84DRAFT_355982 MAIAPIPWTPPQRPDPEALKDPPPFEPIPLVHLRSGKIRSVFNK AGMTSAIHKSPLAFPVTIAVSGIVGDEHAYLPHRDPDKAIHHYSSAHYYQWAAEIPES AHIFRPGAFGENLFSDELDETKLCIGDKVRMGGVLLEVSEPRAPCYKLNHRFQVKNMA NRVQTLLRSGWLYRVLQPGVVEEGAMIELVERVHPEWSVARVAYYLFLERDNKEMNKE IAALAELGHDIKDRFKLRLEKNKLEDEAGRLFGGEEEKMDTWNEYRLVEKRRETGAIT AFVFEAVEATAEQDIKPVEPGSHVRVKLGGKLVRAYSVVGGTTKRFELGIALEAESRG GSKYMHTEAKIDDILTFSRITCSFPLAKDADKHIIIAGGIGITAFLAAAEFFRATKQK YELHFAVSSEVPFAAQIEALGSSAKVYNKSLGQRLDLGKVIAGADPTAHIYSCGPQRL MDAVASVAKEHGMPDRSVHFEQFVVATSGDPFTAELKQSGKTLEVGPTQSLLDVLRTV GMDIDSSCEVGNCGTCRVDVCSGRVEHRGTGLADDEKKESMLSCVSRGIGRIILDL CC84DRAFT_355470 MFTRRFLQGSPRVIASQPRFLSTTAPRFASKDTEDKDTLKPRSN EYSKSGSDDSAAASDAAFNPNKTSPESAERTTEAEGKGDGAEADPLTVSPGNPEVSKP RPAQEGGPSSGIRTKKSGGGSPNKAGGSHSG CC84DRAFT_1180832 MAPSFPFTFRVGTPNGLSFKPSMPAVAPRSTVGLRDDNFTGNHK LVIVLVVLAVIAGILLVIFSSRKAREQDRLAKERGAFLRGLQRRNNEPSMVAMAIPLR IKIIASSITLIVIIAITLATSLEIRAHEAPRQLIQISKAQLAKDGKLEAQVQAQAQQA GAAINGSAFDRGDILSGTSTVAADITATWVITGRPTIIASDEGMIGATATAQPTSVDE GFIGNTVGTSKPTPSVRPLPPPAPQVPIVAMSYAGDGGPKHCRGQLLQKLNLPRPAKT WLNGTCVDLPGHARCGVFYSAKGDNCEAQLFTMEGCYNTTETYVNTVVFMPEERPVGA MWKSMFIRCGLDVPEPALIDPSILGGALKKPGGG CC84DRAFT_1103243 GAHAEDYLLHVWSAHLRLLENTHVPSITSDPNAYHFGTSVYASK EEVVNFLHDIWHQPLDEENPELGYRPIICLQHGNPLGHRATWKELGFDPMKMDTTIAM LDNQVIAQQSKLTRNSYAEIDYLLSQFKIQPRDSTNCGNAAVYITISSVLCALRQHLY QSLRNPKSKPGQYGQSASKTAQAVVNEWMERPTPAPPVGNEAYCLRCKSHEHLFTECP LYFD CC84DRAFT_1168903 MISEVCVVTWLCLVFLVALLVLAPRSDQSKLYGTYLPFQIPLHF QTGEGRIA CC84DRAFT_1168904 MSFSPSTMRNSARSGEVIPYLTAMRMQTAERVPRAADNETRIAD MSRMTQVCLHIQNELIPWLRSIRPVRVDVFELDDYVETFNPPVDDQDRLPSASLARSR SSRSQCHFITWVPPVDVRQLIQWTQASDSLQFLFKKDLATCGSDHNLFSLLEDSAMLS VSKV CC84DRAFT_1168905 MSSRLSVALSLLATGSLVAAGPCDLYSAGGTPCIAAHSTTRALY NAFSGSLYQVKRGSDNTTTNIAPISAGGVANAAAQDTFCASTTCLITIIYDQSGRGNH LTQAPPGGFKGPETNGYDNLASATGAPVTLNGQKAYGVFMSPGTGYRNNKVSGSAVGD AAEGMYAIFDGTHYNDGCCFDYGNAETSSLDTGNGHMEALYFGTNSIWGSGSGSGPWI MADLENGLFSGQGSKQNTADPTVTHRFLTSVLKGGPNKWAIKGGNAASGSLSTYYNGA RPTASGYNPMSKEGAIILGIGGDNSISAQGTFYEGVMTSGYPSDDVENSVQANIVAAK YATTSMNSGTALTAGQSISLRVTTSGYDTRYLAHTGATVNTQVVTSSSATSLKQQASW TVRTGLGNSACWSFESKDTPGSYLRHYNFVLQLGANDNSKTFKEDATFCSVAGLNGSG STIRAWGYPTRYFRHFDNVAYIASNGGVHFFDAANSFNADATWAVGSSFA CC84DRAFT_1168906 MSTLRALVAGVAVAFLSAALLERWFRAHPEAGTPPVLYPRIPFI GHIIGLLTEGANYYKIASARCKQPIYTLPMLSSQTYVVTSPHLANHIQRASSTLLFEP IILPVTQRMVGFSNATVEAFRDQEAKNAKRPTFLDRLHNATYSLMGPAEIRNQGKVVL EQISQRLNALPDCDRPLFEWCRDLFAQVTIHAFYGPENPFALNPALVKDYWVWERDVI GVMVSPIPQITNRKAYLAREKLAKTMIDYLERKRYTQASAVVQERIRLHIELGVSLED RGRSEFGMLFGALVNGAITVFWVLNYILSRPDLVKELRAEVEKSAFSVDHSTKTASIV YEALRTDCPLLNSVFRESLRLIAPMTSARFVTEDTIVADTYKLRAQSVVQIAGGVMHE DEEVWGPDAREFNPRRFISTPNGTKTGPGDAGKHSVHSAAFRGFGGGTVFCPGRHFAH IEILSLVAVLLMGWDFKPPQGKDAIDWDPPKDVKRIPIGVMKPLKDVVVAMNRREELR DLTWSLKMT CC84DRAFT_1180836 MQYHFLTVVAAAAYVSAQATESLVPVGGNCDPKGTACAEGANCY AVNSMLQTVCGNFQASCTKDAQCAFNTCNLQQGLCNGFLPSSASSSATAPPATSSSAC PAPGSTDNQGRYSCNPAHQYPSGQQCVVIDGCYFLSSTVPSTATSAPTSLPTAAAGTL PLGAQCDPRATPSPCAGGAQCWASNSMLIAACGNFNAACKQDSECAFNTCNNGLCNGF KPTGINNGTSTAQSTGGHGATSAPTSTYTPPAFTGAATLSRTIETSGLMAALLAAAAW IM CC84DRAFT_1222339 MPMSFRPLAVAQLLWSTLAHAIVSYGNVYPTRYFDNNAADPTFG SSYENSTSITLSSSQPVVTLDYGAEIAGFPFFVTTTNGTAAQIEVRYSESLVGLSHDN ADGPWTFSNGLSNSFRVETFAIDDSGHVESFFVQGGQRWQSIRVLGKGTITLDRVGFR ATSDHTDADKLPGYLETNNETYNKVFDLGGRVVQVACVDAGNAPSTWELTNAGALVRG QTTAQSAKGILLAPANYTLEFDTKIVRGGTGWRIASASQPFGPYFVLTSNYPDETTFT NTNKTLLPPNTLIVNSGWNIVNQTSLETPANKLFALNVSIEEGKWYRISTAIEVDGYR VLLDGEEIALVPLSQPDPAARFGSGSAYAGTWGFGGYQDHSTMFTNVSVTSDKGTRIY QSDLTSASTLVEYGVAPLEHSVCLDGAKRDRLVWIGDFYHTVRVVAQTTARWDHILGS IDLVLGFQVPSGIYAGFVPISPGMGTRPEYTEAYQGWQGLVDYQDLFLAGIGEYYRYT GDTAGLKKHWGKIKMLAEAKLAFVDPISGLVADTPEIKNPFNFLGPVNGSAVSGLFAF TLRRLAPLAVALGDAEAARKFNSTASKINDAINEHLWNPSLGTYSLGLDSPGNFSLTG VAWAILSGAANSSQIASSLLKLQELRFGPGYRTSSAEAESPEYQLAPNPSGFLLEALF QSYLNYGSDSATAASHLLGGLWGSMVNNDSYFSGASWEYVNPDGTPGLDLFTSLAHPW GAAPSYVLPEYLLGVRPTISGYKSFVVNPAVGFLGLTEAGGRVPTPFGPIKAGWTANG THATIDVDVPEGTTGAFQVPPGWRIDRKSSAHAVKLVRGLNRIVLCSA CC84DRAFT_357140 MAAPARTKPSPIHTQRFSALQPATPSAFSAHKCPCKRLRSPECN CPHDKGCALRSVRPTPTTSSTVKSPFGWGKYTSISTSTAASPAYRQASPGLVDAHSTL QAPSKRPSAYEFGYDGSMSLYPIAPLLIPKPMRRTDTISVSVIKDLPRDVTRTFNGRV VRRKKRPKNITVYEIHPYAKPVVLLTFL CC84DRAFT_1168909 MNIQDPSSFDTVMQGVTHVAHIASPFVLQVEDIERDLLKPAIEG TRGVLTAALKQPTIKSIVVTGSFATIADAKGGYRTGYTYTNKDFNPITYEEAKDPNLD MTQFPELHRHFARYMASKRCAEAAMWELYDKEKPSWTLNTINTAWVGGPYVLPLPSIA KASTSTGFLYGLATGADIPPQEWPTWIDVRDVAKAHINALQKPNLNKTRILIGGHRIG YDQVRQGDR CC84DRAFT_357148 MPDKTISVTSSSHFEKLVSSATYTVVDFYADWCGPCKTIAPVFH ALAEKESKPGRIQFAKVNVDSQQEVAKKYGVSAMPTFLVLKGSSVVETIRGANPSALT AAVRKAVQDSTNGPAARGLHFQSKGYTLGSTTAPSRPVGGGAGIAANLQSMMSGNGGF GDLVVRFFALYFVSLLSFDSYKAAEESPFAVRARR CC84DRAFT_1209720 MGFADLPAELLQTILNHAIVVRGMKRGLRLRLINKRFAAEVIDT IYAYRMLDERFCQPLATVRNPPMPPFTASYIEYRIHNKEDDSSLAPYPKLGLLRRIAQ DLVAENVSLPYDDCVRFLCRLISEGGADRVSQVFPPALPRPAYGHVLYETEEYYVDNL FAAAVVTNTVTIVERYIEIYRDKSFKPLLGMDSREFNLQAARYASPETLEVLLSGGHS HRVVVPRRNDMLVAAASVGRPSAFRFIHEFRIDETPWDFKDRAKRYASLQVFSDALLT PSREVWDHVMELRARYNCPKDVPEDRKQRVLAECIGQGGDADLLNHLLDLWPDIAEKN GFGDRASASPSGLLLDACANGYEDLVRVLLSRGASMSSAVIIAAAYGQTKIVRLLLEH GAAPFGGLLRAAGGGYMDVAHILLDAGVHPGETDPRLLMYPGLVTYGLTDVPIANACA MEHVELAKLLKEKGAKVNGHAGSECLRVTRKNGLESMLDLLDEWHCRGV CC84DRAFT_1156001 MDPKPRLACQQCKQRKIRCDKGTPCSACSRSGLSCHVIQRARLP RGRTAKTRSANTNIETRIVRIEHLLEEYNQKHALPLHPSAQIEDHPVVNGNASVGTAS NARMDDFVAPDFWKLLSEEISGLRETLESGNEDDKSLKTDPTPEPDDDASRAGAILFR HPILHAPFYLPSATAQAKLLEIYRFRVESAYKLFHWPTLMRTFEQNQQPKQTSVPVRA LQFSIIFMAYCAITNEEAVAQDLGDRIEMLALYQSATEDMLARSQLLLGPDLITLQAF VVYLHALRTCSNSATTWTLLAIAVRAATALRLSKGLPSNASTVDRELRRRLLFAIGIL DSHASMDRGTVPMVPLSEFQSPPLDINDSDLSSASKVPMVGSPGFTDMTHTKLVYAAM LSQRKLYELSSNADGTDWSHWPKMVAVIDDLKQHVENDLCRFKAETGPLVMHHIECGP KILVSLELFLRRPSYRSSRNIVPPWDDMNILEAATTVLEEHLKPMPAELAPWAWKSWV QWHALAVVLAELLVQPYGSLFDRAYAAARKGFSSYTTLIADGDSGMLWKPIARLMHRV QRLRQPPLQEVQRPSTLPNTALALQPNDSLPQEASAVSETFSFDTWDFQLDDTSLFPN ADYQSYEQLDNLHAPRENPWLAWDCFLEDLNFPGP CC84DRAFT_1231370 MGPDAELYIPEGAKTSEILGDPKRKDIVSRYALSKFMVHHCYHQ LAASLRNSPTQDRSCVIFNMINLGWCETELSRTKPRPITERLSFAVMVWSAEKESRSY IHALAAGRESHG CC84DRAFT_1168911 MDSSFPTVIEIAAPRHFGAEEESANGFVGTILDAYRMHNHVVLR PEDVWLGILMQFNFYVNEHAEQLREHFVDHQGKRKLEIVHELMDLPAFLIEMTNLVDK NIKDPSLRDWIMPNFTTTTDTDKLAASVTMMGTLQKYFVYEYGITCGIPSVTLLGEEN DWRDIHNRLDYLSTFARDHPELAMWQSSLKVLVSKMVETFKAPDSPSVVRFWQGAVHS SRDSYLGAKLLSGWILAFIFWGTDGKLLGGQGPARRWAKMVAENGNDWWYGEKTYYPV EWHDVPAALVHVPIHIRDGKEKYMAKAVAGSVGYTVRDSEEVFQSTRQRGKRNSNLSG DTMAASPCDGSVREQGLLRKLTQKLLCFWPSAQSVPSRAEEQKATYPTIPERKTTAQW DYEYGGTLEVPQFSDLSELNEPWANEQGGKRDTLQPVTGWWVIRTSEGQFGRDADVPD VQFDRDAPDYDKDLAEKGRPLRERGEL CC84DRAFT_1222346 MKFSVTLATLMVLASRTASTPVEVVAQGECGPKWDWCDNLGEIR CECNGGHRLKCTQIWLDSGVSKSSIFEGRRKVQSLRDK CC84DRAFT_357246 MSSPAPRPSVAHIPGIGPSSSILQRLLHEINNTGAPRSDPIFPA NNGPRSRNGSMASSRRASLNFSTVQPEQRKLSVWAKGGSAAEDARQKLRALDEARLRK PSTIAAQFDSPTASPAAIASRKSSAALPSHPFFTEIQYNRAATFPESGPSSPTLKHAI DSPGERISLIAVFLLTVNCHTSWKTRTQRRLLT CC84DRAFT_1156004 MSRRYDSRTTIFSPEGRLYQVEYALEAISHAGTALGILAKDGIV LAAERKVTSKLLEQDTSAEKLYILNDNMITAVAGMTADANILINFARNQAQRYLLTYN EDIPCEQLVRRLCDLKQGYTQTGGLRPFGVSLIYAGWDPQREFQLYQSNPSGNYGGWK ATSVGANNASAQSLLKQDYKEDCNLKEACGLAVKVLGKTMDSTKLSSEKIEFATVGRT ETGKIYHHLWGADEIDALLKEHGLAKPEDSEMTEG CC84DRAFT_1129885 MADVPVRPPPPPPPLPAGWTEHTAPSGHVYYFNKETKKSTYTRP VAIATPPPAPAPLPPPPASLPARPPAALGADSSYADYNDPTHQHDNSNAYDPKRKIED LIFGGAQSLYNNRGPDHARGGHRGGARGGQTSFSARTRQPKDRPKHRQDIPNCAPWVL VRTKLGRRFVWNKDTNESFWKFPPDVTKAVVEFDRMEREKKERKERGEPGDVEEDDAM ADIEAGLAAAEEDIEIVEVEGEEGMDEEEEYEEVEVTDDEGEQGSHVHKRQRTEEPTA EQAAEDDDLAWQLAQMEEMEGMEGDYAEEEYFDEEPPLSEEDCKALFKELLDDTHTSP YTPWDKVLEAGALYDDDRYKALPNMKARKECFDEWSRNKMVYLKEQKAKQQKLDPRIP YLALLDRYATPKLYWPEFRRKYKKEPELKDGKLPDKEKEKLYREHIKRIGMRSSELKA DLTALLKAQPLAALNSSTTLDTLPASILTDLRYISLSPSTREPLVETYISTLPPAPEG AAASAEEEAEAAKKREERRRREKALAERERRVQEERRKQERDLEYGKGRLREEEMEIE RAMKVGKGGLKAHLGAEE CC84DRAFT_1180846 MDTAPNGTCYSITGLASPFNDYTYVPCNLTAIENGQHSACCASG DTCLTNGLCKYNSPTPVRNFNTYWRIGCTDPTYQDSSCPKQCKNRENVERHVHIVFEC PGDGQWCCGTGANTDYENTMTVNTTCCNIPDLAFRDEVRQAYTTAKSDWRSVIDIRTF EALAKSTAIDAASTATASSTEQSVRHTSTSSHTSILLATATASTSPSPSLSTPPSNQN TTALGVGLGIGIAILVAVLALLTFLLYRHRRHRKTQHERMAELAETQEDVRHAFSHAF AVEKSGREVPAELSAERGVHEMGRPDSWRTGDDGKGDEFYR CC84DRAFT_1199612 MDTIARYANPPFNYLGTTLVLSYILLALYFTLSISTSLYGQYKR LPSIKLAEDVKNARARHIKIYAFLASVTFAMLSYTMLNFLIQSLTAWARTQNLLGRRV SLFGLRYWMLETNLFGTFARELVRNRPSAFWTQAALLQTWFWNVWMAYKAQQHKFSVR TMFPYIVLSQTLPITLTASLFIIQLHLDAVGLSPDRLKTEVSKTSDSSKVSPAPTANT PEPPARKTSLVIPTILFNAVLLALSSLQGHSIFIPLVLFTRLLLLLPHTRQVRFSQND ILQSVSISFGFFVANLTMSRGTTTFREVVQGLKNGGFATRTLGYDAEFGLLLCAILRW GGGV CC84DRAFT_1263756 MASSISLGITVPTLHDVERCRKDTAEVFRRLELQRQLGRHYETR LKLNSKFEKMVQQYAWLSCQQLCHQVITSFPREVRDMVYSAMLDRGHAFKNVIQLSQA AHERHLGPLSANAAAFPPLICKLGMNETKYRHCWDVKYCGEVFLKELAEMCYREKTFV FGQEDLYLLPMFLGQRLSSPENTSQNAVAPLQQVGRLRLCLRPTGNGWRFTLKTLRFL LKLQRKATIEVILDVNLVIKSGKCDIDRVITIYRPLFPLFASVKSRGHIVSFRYGSMS LGGKVGMSTIPDAVAMRTKWEWADFAQQKLTDVSPRPMIFVVTHILIVLEAFISVEPQ KSNKQKRTPSRRDGS CC84DRAFT_357305 MAQYIWCRDKGQDYHLPIVVFEQRSEQRPTNPGIRVLWHRSIVA RTCVLDDTSCYSNLTGERYGNMTWSSDLPK CC84DRAFT_1076353 FAENIAVRPNNHLLITSLSTSALHTLDPYGPNTTTLLPPISNAN GISGIAELQPDLFAVSAGLWNTTERRATNETIWTIDFRQAPPAFTKVVEIPETTILNG LTAIPGTSIVLASDSAIGAIYSVDVGKRSYAIAIQDPILTPVGPAPNLGVNGIEVHGS DLYFANSGRGVLGRFPINRAGRATGEAEVVAQFNASVNSAIDDFAIDEGGIAYVAFHP NTIFKVGRGGKTEVVVSGEGEVRDPTSLALGR CC84DRAFT_1168917 MSSIIHSLSDLAKSLIEVVWSLFTTAGDLAQKTVEFVLRFFTGA INLFIEFFKGLVDLAGGIVQFVLGNIAMLAILGLAFFGFLQYQRSQGRSVQVGNKKLN CC84DRAFT_1168918 MSSSFNKLFEKGKAKVKEYEQKYNTQHSSSNNQQGYPGQGPPQQ GYPGQQPQYTGAPNAQYTGAQYPQQQYQPPNQQWGPPQTQWGPPQQQWGPPPPTQQNF GPPPIPQHSKPHSPAPPSIPQNRPISNPPPPQNAPSPATEKVYWKPSFDAATPVSHNF RHELGDHGWGNNEKQNYTDNPANSFHHDNRLIVRGLVQGGTYTSARLTSHQTLSRPRG YLTATILPPGAEGVWPAYWMLPADPFTWPTDGEVDICESWQGAGENHTCLHWGHYNGE DHNKHQVIVTPLPDIARCPHTYGFAWIEEEGIPNWRGRMVWYIDGRPVQKANIPLGIR RMEDFRILLNIAMGGTVCQGKLPRDGYYDMVVSEMMMCDEPRGGWEQFERDFGAAPEG HPV CC84DRAFT_1180852 MARSSQSTVKHSAKRRYIFDLPAGFAVRPQSPTICRLSRPQARS HVRHGRKSKFDFFGLPAELRKLIYSYAVSSPHNPDAGRVYLIRQKQGEYRDFTGLPLV CNAMYKEYTSTLVQNTTVTVRAEDVNEYIGNFYADRDGALKHGDMQVSYIAYGRPVAW DVIDVASRFSFKLDVSQLLKLQCRLPRMRVSFLCYSQTFINKEPMYWTQMTEDFNELF SAAPIYGPTLPGAGPIPSILPGMVEYFEDKTDYELSVNACWPQPGHTSATSHHRPAVV FDIKFDASKNPDMPFSEHWRNRSELKRLADTPWNVDEMLELEEDLITPRFDIDQMWTL LHQTGLYNMGVSDWFLNFNREYFTLHPDDFCPPLISGDQPRIQDAGKVDKDEEMTEGN DVSATVYRPLPLPFVPFVDKAPGTENSFLEYQSITTLDEDHGFGRCSDTSFEELRLLT YKHQAGQSQEPLYPDRPEDEDTPSVFQELLREAGIDA CC84DRAFT_1180853 MHISIFFAGFLSLVLPALSAAIADPQQPPFPVDVHTRQQAPTTG DQHPLCGAFAWWNNGHWQEEQLWEIRDCTKFYVNVGRVNMEEWGKCLICFFFPSNDCS GHNTLTMTPNHGWVDTKGDVWSYKCSLKE CC84DRAFT_1222358 MLEHSVPKYLLIRVAILALRLVLPLSIFFCSFSIAEHPQTAFTR FLLAWAIIETAFWLLVFIPRKRSLQAEAPHPPPPNQEERKELFWKIWGKIPEPEGYIS RWFLGARSHEIRRENVKEFFRWALLYKGDEKVEKKARTEAAEGEQESIEVDDGVSSKA EEESELDEYVDGVQTLLGRRIEPGRGPAKSLRLTVDEVKMLHRPVLWYMIVMMVDTLT AAYLRFHGFQLYRTHVKKALSIFPPRVASLFTRHISPAPELSYWYRPHTSKTRLPILF IHGIGIGLYPYSKFFTEINKHDPLGPADGEIGILAVELMPISFRITDRILDSDEICRQ IHLILARHGFDKVVLASHSYGSVVTTHLLQDARTKDKIGPMLFVDPVTFLLHLPDVAY NFTARRPRRANEHQLYYFASADMMVSHTLARHFYWAQNILWKDELRGRDVTVSLGGRD LIVETETVGRYLAGVDLKSEDGTWKDREMRGEGLETIWWPTCDHAQVFERKEGRAKLA SVLRKYVEKKGDEDEDELP CC84DRAFT_1190811 MPNGAWVLGDLFLKDYGHEGSIVKLWEMHWMFPCKLGVYPLHDG KFEDFETIFTSLISKDIHDPFSDECTSAFLLTACALSKKPHASSRDGREIPLYIRKPN GTGPLPTVLLITSIDGHRPDNTEHTAEHLAQWSRGEERLDEKKVIEWGLSAGGYYALR VAHTHANLLKSASGHGAGTHYYIERELVLVNGVLDGCMPVEDSMLLAESGSQKEMRLV QERAHIGYPEASSFVYPWMESTLNA CC84DRAFT_1103249 MSVWTAVQQLNHLDIAAVDRFFAPLSHSDVLKYCCNLNRAKYPN APALAAHTVVICLDTEGWDADSERLKELGMNTFEAGDMTMLASPGPWGEEILERIYFY FARIEKNAHLINKNASKGHPDNNRFGIQRFLDDQAVIDFLTSAFEWPLNYPMVDGYVC PVVILGHALNNDIEKIEKFLSWSPRTKGNLVKLIDTQVIAREVGHWTHPSNEIGLQRL VGNMGFEHRDGHTASNDAAMTTIAAIQLVLDDIHKGPNQPCSLQDVVDNIEALSQGQG WSWGNA CC84DRAFT_1180856 MPERPEHADTCTAVGPGCPVEATLYGYYPSLPWNAFFVGFFGLC CLINLVLGIRYRTWTYMIALCLGCLAEAAGYYGRVMMHDNPYDDIGFQIQICLLIISP AFVSAGIYLTLKHFTLTFGASWSRLRPAWYTYIFITGDLISLVLQGAGGGLAATADNG SSTQDLGTNLMIAGVIFQVVILSVFGYLLTEYTLRTYRRRDKLSVAATEILRSTKFRL FVAGVMVAYLAIFTRCVYRIPELTGGWGSELMRNEPEFIALEGVMITLAVFTLTLFHP GFCFPVLGAKQAAKYASINSKDVDAESSVEMLPRGDTTYEPYSHRSV CC84DRAFT_357355 MQPALPPRDGGCSRRLSRGVNCFTEQLWSSAPKAVGRNLGRHAH SSPLTCSISSFINCKISTVMLHFLLFSSLVGYAWAKTVTYDWYIRWRLVRCVFTTPRS SLTSARQRQTVSLDLSSVSMGYGRRRALIPRCNC CC84DRAFT_1222362 MNETTSLHFHGMYQNGTVAADGPVGVAQCGIAPGRYFQYRFVGN PAGTHWWHSHEKAQYTDGLRGKMIIHDPVWEASLKVHRQIYLSVSDWWHQQSPFMVHD YLSPNNTDGSLPTPNSFLLNDSRAPPRFRLKRDRRYLVRIVSFAGLVCGQFHIANHTL TVVAIDGEPVRPAITDTIAICAGQSYDFIVLGNQYEQAANYILKMTTDMLTGPIPSSQ TRALIGDIALENNTSIAAGISPNGSFTGPYGSQGVSYIPTLLNFNWTAQGILDDATLV PLDNEPLFKNVTRRINFRTNQVYYEGIGSRISIGIQPYTEPRVPSLQSALTTGQLALD WTTYGPGTSPYVVRHNDIVEIYMENPQIWPHPMHLHGHNFQIAGRGLGSWDGNEDSLY QTPARRNNLVIPPFGWFLIRFIADNPGVWFLHCHIDLHLVGGMAATIVEAPDVLQLQQ RIPIQNIEACKADYRCSVGTCNCRLDKLSEEESNEQCNTIFNNVNPARYGALLPC CC84DRAFT_1168920 MLKKPAFSQPGALSRINQHSNPPFEEQDFPGKGRGIVANKTLHR GDQIFASTPLVISNPLSYNLLESERLRLGHRGVDTLPTDTQKLFWKLLDHFKGDPVDD RINTNAFDITVNKVQQYAVMPEIAMMNHDCRPNAAYFWDEDTLSHYVHATQTIYPGEE ITITYINNERKREARMKNLKSNWGFDCSCSSCAAHPIFAAESDVRIQAIEDITEALND WTSSSTATPEMVETLLSLYKQERLEASMGVAYKHAAEVYSSFGDRYTAIKYAQLAVEL LHLDRGFNDNDVKFMLAMAKDPEQSWSWKKRVGHKRFEGSSCGHAH CC84DRAFT_1168921 MPSPFNQEDLSLVYAAIFLVISCYHWYRYLYTKEQKVPPSVARH TSEPAERLAKALASALPDETILPEDATSFLNATDIYWDQQESERVPSCIVQPQTVESV STAVKILKHAYAERVLGCRKNDLESGLREAGLFAVRSGGHSPVSGAASIDGGVIIDLS KFNSIKLSQDEDSIEVGSGCRWGDISRILDDKNLAVVGGRNSHVGVGGLLLAGGISFF SPQYGFAANNVISYEIITADGSILTASQKSHPSLWRALKGGSNNLGIVTRFTLRTLRL STGKIWGGWLYLPGFQATRVLAGLHSVLSAPLDEHAAGPLASFTYIQQIGMQIISTSL VYTRPTASWPPCFGPLKPIWRLWSTCKQQTLTSVTDEMETLSPPHLRQAYGTTTITND AATLAEAHATYKDGMHAVRGVKGTLWTMVFQPLHPTWLRKGDPNPMGLQDCPDAPLVL VSYTVSWYRPADDELVHREVKKGIERIEAFAEKRGTGYPYKFHNYCGEWQDPFAGYAK EGKSFLQRVSREYDPEGLFQRGCVGGFKLGLDEKDGYSCQTSTRE CC84DRAFT_1168922 MHDWKASDEEIKTAYKKVAVRYHPDKVDEQTKGDAHDNMLCINA AHDVLLDKTARAKYHKDGNLPTVFSHVFPDLFPRV CC84DRAFT_1156036 MPGRRQSPNNTPTRLRQIALVVKDLEQARRELTHVIGTEVIFED PAVAQFGLKNFLVPIGGDIIEVVSPVQNNTTAGRLLEKRGDGGYMIIMQTEDAKKRRE HIEANQLSKVIWGYENDDVACVQYHPKGLQGGMMVELDSHASTKNNPTPLKDRFSPWH ACGPDYAKYSEGMKRTSHLNLEGCVLRLAPGDLGHEAAARRWEEVFGVGRSRDLLAFT NARLGFVRGQDGEREGLVSVTVGVNGTREFHAILDRADKAGLCGDGWINMCGVKFYFT LTGHGQAKL CC84DRAFT_1231406 MPFSINNPLPSSMRSECRKAGKILASFVDPRQAFGPDKIIPPQV LANAKGLAILTVFKAGFLGTARFGSGIVVARLADGSWSAPSAIGTIGGGFGGQIGFEL TDFVFILNDASAVKTFAQAGSLTFGGNVSIAAGPVGRNAEAAGAASLRSVAGIFSYSK TKGLFAGVSLEGSGMIERRDANEKLYGRRWTARELLGGQVPPPPAAEPLMRVLNSRVF AGVAGAQSADAMYNDIPVYDDTHDDVVWNGRRGSAMGEGVRTNRTGSMGQADEYEYRD RPSRASTWQDDVYDRQPVSAGINRSFSTRANPNETFDRFDNRSSRGSGFGDDFAAKPG RPTAPKPVFRRDSMKPGQAIAKFTFDADQPGDLGFKKGEIITIVKKTQSEADWWTGRI GDREGIFPSNYVEVVD CC84DRAFT_1129919 MSRSQALSSFIESAPPGELGDVTKAIKNILGEDSVQSELEPAFQ KHNEEQFALVKLPGSNENVIVSPYNSLGDGRYYDTENQSSFAYDHASLGGTASDVRSY AVESNHEELVKGLLKTLRSHGTEHYPKYHIGVYPTSDDSQIALLTVASKYSPANYWNG RWRSSYLYTPSSATITGTIAVDVHYYEDGNVRLLTNKTVNAKVSGSGAADVVKAIALA EKAYQEDLNKAFGGLAEGAFKSLRRQLPITRQKIEWEKISGYRLGQDIGGGRR CC84DRAFT_1263770 MMPTLVGETIPEVADERDQAAPGLEPVCTPQKSKSPKGRSLSDS TPHLEPSPKFREPIRASKDDHTIVASPRTPRRPELLSRGLSLQMPSRETPMPSPAHFA TRVPLSPQLDSRNTYASPASLLPRRSRGAEFSRACTHLHHSTLAEQSSPDSSPTITQK GMMIPSRKPRGNSMVLDSPNIGLQTWGNSGRTTAASSSVGSINMLGSDDSSSASDEGD PMDPDDNDDPMLMTPQAVKNPPFGGPPVGSSPGMWSNMFSPGHIPTFMSIQRARLRKG RSRKSSSSASGHSSLASPGPTSPPNGKSEGYFARETAIRKAGSGSRRESLSLFTNDLH ISSGNDSGDEAIPMPQTPGVVRRAVTRRGNLLPKSRQFGRIRAELLEESAPVDSEFRR EAEIIRQVRESDADPITAQSSPNLLPTVPGLDGPLEGVPEEGSESGMSLDSSTSKGLF AAFGQPRNNYGRGFWQRDGPAQTPPPPSFPRAGSSAVSEDISMDSPTVSSTSTSLPSG TATAHSDGFVHPSSVSRSSTPQPLAPLTAADGLKKSSKRRRDDDLDEYSIKRRAVSPG LSVHNSPVLSQSPANRDLWGSAKLAKEPSSGTVASGERSNSGSSVTMTPSLGPKRIGL QGMTDTSDGLMKMSIE CC84DRAFT_1168928 MKQGQNLLFELYARVLSSERDAVKQHFVFSDLFHHRPPYLQGEY DAAIWKNVELFNFDSGRFLSTATICRCIGRIKRNERDQTWVMVVLNIWEATWEDIDWA EGVVNS CC84DRAFT_1103282 MQDIGGLPDLIEVIRIQDSGPTEAARAIRKKLKYGNVHRQLRAL IILDGLIQNAGSRFQKGFADEPLLERLRLMAKDDMVDKEVRDKVNVLFRQWAVAYKGT PGLERIATLYKELPRTKRPQPHQSRVVRENEAEAERENASSSPPASPTTHRQNSPPSH FPQASSSSGRTVALGATATPSSSLFRKDKKDKKNKGKPFNLEREKGQLLETIASATVA SNSLMNALQLINREVQRVSENPEVSHRFETCKLTRRQILRYIQLVESEQYIGSLLNAN DELVKALMAYEIMDKSIDDDSDSDNDDSSAPSSSMAGLSMDDAPPAKPPRPVSIPMPP APAPSKQPQIEPEEEDDDPFGDSHAVKTPYERNEPTWRDV CC84DRAFT_357884 MNFGKIKVAIVGAGPAGMTALKEFRDLGFDVTVFEKRSDVGGVW AWTEDAESTTALKETKLCNNKYSLALSDYAMPKDFPWTVSAPELGSYFSAYAKHYDLY PNIHFNKTVTKLTRDHANQKWQLNFADIPSNPQSFDKVVWATGGFVKPKALTLPGQER FKGRILHSSQGRDLSAFKNQNVVVLGIGNTAADVANALVQHSAGKIYFSHRRGARVLR PSDAKTGIPSDVMLTTGLVPLTWFLQKHCPSVYGWMMDSAVAQNFKENWGANDPAWGL AQSPSMGDGQHVVVCTQDLVPNIQAGRILSVPGIKRITGGSTVEFDDGRTATDVDAII LCIGYTDDMDLVTEAIDMTAAEGDSPALPNMYMNIFPPTYADSFAHISLTHLLGPQIP GRVLAALALPQIWANRSPLPPTSEMRTWISRHQSWLRTRIAKAGPNGNGYQEVDNGEW SRFLHDAAGTGLYEHVGWGWKAWGLWWRDRELYRAVSGLPICAFTYRLFETGKRGVWN GAREAVLEAWKDLEVLKSQGVKKER CC84DRAFT_1102951 MTGASAAYWLLSVLVLAQNAVAQDPTPTQNTDTAAHTPNTGYNG GDDNPKDPSDAGAAGTQQGGFSLSKGGLAAIIVVISLVIILGVSSAVLFWLAKKRQWD VRQSLRRASRRLTGRSTADLPATKRENRRTGVRLASPPAGRRKQPGQEKDLEKGLSEN PKRGTTTTKITSTFDVDTPTPKAQGWKGLTLPGKK CC84DRAFT_1180869 MFPNCRPKCALQLLRERVLEYRTRRLARYVGIFETPAEASRPWR YAISKPFQDYHTLNQEYGSSFETKPEFSNPKDEHKFLSVVGRRSNGAFPVAQSSAVPP DEEDLPYLLYSKHASRWLRRWTGAGWTSGSSSKCARRFETSQTGFLKQR CC84DRAFT_357746 MLRIAMIFHSVDFGHRLPVAEMTQSSPSDFLTCTPQIHCIDSDL KRFSSIHEVSRVKWQMWPVCVPLYKPSHCAQVTHTPQSKILAIDSHGYERQCRPVRKY HGLKSDRQSQPCISHRRAPRADLRSLIWRLKFRGHVALDQKCQIATELSNLASPTLIT QPSHWAPRLTMELELIKLF CC84DRAFT_1209745 MTEIRPWSGSTPKRRAPIDQGRVPAPLQQTLSQISYLPLLHRYS RLRAQGNPNSTPPVSVGAAASMSQRTIVHASSSDKIQAEIGIPQSRSGLRKQKPLLRD HVVLDPSAGILRRYAGRTAGQGGAGGRDPQWRRRALVSKKEGAVAELAEGLRVFRRGW SVRVEDVYGAGRVNSEKRRRLVRRGHLGEEFGEEHCFLDSD CC84DRAFT_1209746 MHSSDLSPLGSAGSDPEEPSTSRSCPTTTVKPDANGWVPPGTCG YISRPYYPSFNSALISCAAAVLVLLGFSLLISRSAYRRNRQLRNEGGPSWGLRLFLPW IGAFIATFFLAAYVLRAFGTKYQQTPEFVAISDTFVLICPILIFALDCIVLTRLMTAY CQDGKVVGVTGRFLARALLITIPLTAIEQLVASILIAPKHRASSPGRSSSTAILGLKL YLIGIGIQEVLVVYALIIAILVSMKFGDNETVETRAKMTHTSSQLLKKWRSMMYALIF SLTAIGARIAYRLIELSGVFTGYLLVLMHNEIFFYSLDCLPALAALGIWAIVGFEDVL DHPSLNTMPIGAYAYHELNLELEMDHDNVSSTIPGDKGSDIPAQV CC84DRAFT_1222376 MADQKYTQLRSEEYGEETVSYGHLNNKGWSGALNIRCLTINMIL FTSGVFVGILLGHARPQGASEQLTQYSMVPSPAFEAISSQPLILTHHELEGHGYTSPY QGFPTEETTERWMDLMDGYSIRVPSTSLDALNLESIPLNDGSGDVWVSMNVYHHLHCL DSIRHQIAGVRCSNPDGSTANHTDHYGMDEEHYFPPHIDHCIETLRKRLICQPDLGVR AIAWNPDKPGVAFANNTVDSACVNWVAVQDWTKKHSFSEEEKLITTPDGHTYIGPRTP PARCGE CC84DRAFT_1209747 MRVSTVSAIIGLTAGFASAAPVKPKEVQNRDADPQWYDITYVDK RTEEEKRKADPQWYDITYVDKRAEEEKKRKAEPQWYDITYVDKRTEEEKRKADPQWYD ITYVDKRAEEEKKRQWYDITYVDKRAEGEKKRKADPQWYDITYVDKRTEEEKRKADPQ WYDITYVDKRAEEEKKRKADPQWYDITYVDKRAE CC84DRAFT_1053437 VTILNVFLFLASIVILSVSKNRSISDQDYWRATSYYSPVFDRFN IPKLTRTTNGTFWNTEPPSIWRARTGAEADAAWESIGSNIAPIIINGAEVIALGKDPS VAVKAPPELNLGSDAYIAGMDVFHHLHCLDKLRREISYKHYHEAEEGPSPGSELHEAH INHCLDVLAQALRCTSSVDMVTFNWVEGHRMPQPDFNNKKVCRDFDALRKWTMENGVD SDGFFKAADRPPPGAIIVPE CC84DRAFT_1231458 MKIFAALFVSSLSSAYANPITYVTDSKIVQRGTPTTRDATSVQE NVSLCTQYAYYATNNYEILNNLWGKDSATSGSQCTYFEGTSGSGIKWSSTWTWQGGEN NVKSYVYAGRLLTKGNTVAKVKTMQSQINWSYNTTNNVRANVAYDIFTASDPNHVNSS GDYELMIWLGRLGGVWPISQAGAPIATVTIAGYAFDLYFGYNGSMKVYSFVRSGSNDI TSFKADIKLFFDYLVKNQQYPASSQNLIVYQIGTEAFTGGPAKFSVSTFSADLTT CC84DRAFT_1168936 MADLATAPVVLPVGGPLPEKEPKVQTNEIQLDDETNELGDSQQK DEDVALTARQLFAFWDGVTHCAEPENYKEITAMLQQAVDEEDQATPALVQNESVETPA AETEPEIKVRVINDFEYEFMGDKYQISWNAEGKPERHPAWPSEFCLVVNDEDATKPYF QVFKNKLESLIVDTQHPEPTSHAWPELVQREPAHLVYQLGRKYVFGPSSLDEDGLPIL GCYPHADHFNYAEHAILGDRLRLKFSETETVRAGDRRLNLENGNKLTYGQINGLGGDF FATNNPICTGSSFEQQCQYFLDAYNLLGKAASGSKEAPLIDSINAKEMKAVEEAIQSG RSTKQVYKDLSSNDPSSVSALPITGTDEKLTKATMERDGPSYARMALLNLDHFGDDAH TAYNAGHTCALRAAAAGKLDIAYAMNAFADHYLGDCFASGHIRTPRRTLHSPADAIEK VCSIINDIYQEVTKGMNAESKKQALLQGAKDAFVLPYLTAKLTYHARDILAIAPDVCS KFMHDEDNKRGLVVRNKRGDQWAAFGDSMLFESANDRNLNYMKEALQASADEVYQAYV TKQVPSDVNGFAAWRIAPSEVLAQHCHRPLFTPNGHYRTKYSEPYCSDYSDPKSWKPK SWKPWDLGIPMDYPRLMKALSDSDYFKNL CC84DRAFT_1222381 MSQPDRLPLDQQMLRGAGIWQAIIALPICLWLGFEAFDTFLEPW HNAGIYYFLRNSTTAVLAAGIIFCVYVQRKAAISPYQYVLFETAKSVLATALWLWFIL DAAFGPWVAHCREYEWRGPCHVGARVSRAALASIVLFVVYYPTLGYSYWAWNRVSEKD EEDDEERVERSEVTDRSPLLG CC84DRAFT_358379 MIAAFPSIRHRDASFSTPDQSQGRKTVNTTGLVISMAISLGFIV FLFTLGWWLGRFRQQDGKPQSRLSNLNAAVPRMTFKTWYKQDVAHRNDGGEAAVKEQV CVICLEGIRPEDDVRALHCGHCYHGECFDRWYLSSRGFCPLCNRSVFSAGRAATM CC84DRAFT_1168939 MPSNLLMSKLSPHVWMSRIVTGVGIIGIGLTGMKAAWSFYLLRL LLGIVIAGMWPGMSYYLTLFYPPSRTGKRIGRYFTASQVSAAVVGLVSAGFQKMDGLG GLVGFQWMFLLYGIVGTLVGISLLWWLPDRPLAPGEVREKSSWRRFIPDSAPALTGED ARIHYEDLTRVYRRKVWTMKDLWHVVIDWRLWPLVVMYFGVVGVGIGTQAYASVIIRG INPDLSGINLSLLTAPIWIMDLIAILIFTPLSDRFHHHRAAFFSFAVLIQIGGLLMTT FGGGAKSWTRYGGLLLVCISPSTFLQQSVNHHDQVGFGLGPTVPICMTWTNEIFQPRH GEVGVAAASALVSGLGNLGSILTTYALYTGWPEDAVGPHKYRKSNLVMIGILCGSILA AGTMVVLLRVFGDGMSRDGNGGEFVDGAARREIQQRGFRRILPFKR CC84DRAFT_1102985 MLCRATLRAVRLPVVSKPFLGVRAVSTLPSNPHIYVFEHPLDAT RSLLTLLPTEPPNVQLALGATTSVPPTPDSFTENRQFHPILQSVLATHAINDPSVKQQ AAAFASPGGFNLGQPNKRGGDGAGAANQQAGMGGANKGGWIHVSDQRNPPDWGRIAWP EDIFGSVEVDGDGSLIGNWQDSGTYRILTREGILGLTPYLREKLVRRLKELESGNN CC84DRAFT_1263782 MQVFKTILVAVAAASTAFAAPIGAADEKRDIPIKIRSNIKSIGV YAADQPPASD CC84DRAFT_1156064 MESEQSFHLFTSIRYDPLLLESAENSLPILNYVAPSPFYMLIYH RDRMLEAAQHFDFHAVAQRLEHGESLHQELLQEVAAYLHRGGHDGPLKMRILFDKAAN MTIDFAPTPAVPLSTLYPASFDPPRPKPIPHPANPQALPFQPSPLTGGALTLGSTDTL PPTPSSDPPPPPEYHLRLDTQPTPTSAFTLLKTTVREQYDAARARALPANPSGAPYAE VILYNSTHELTEGTLTTIYLFRGGRWVTPPVGVPAGEFTAQTLKDAVGESVDLDEGEL RRPFAGRWGHSVRSAKVGAGGQRGTSRRWALKKGFCMEEPISVGTVEVGEGVWISSGV RGFAFGRVVE CC84DRAFT_1129947 MSANPAAPVGPGPFPVTLPPAGSSSLWDRISSWASEHKGVVYTV AGLTLVVSAGGVIYYLSEDRKDAGAQSPSSNKKKTKREKRRAKERAEKEAAKEQETAP EPKKATVAPAVEDELPHVDESTVGSLSDEERKDYAAKLKAAGNKAYGSKDYNRAIDLY GQALLCKQDPVFYSNRAACWNAMSNWDKVIEDTTAAINLDNEYVKALNRRANAYEQVD RNSEALLDFTASCIIDGFRNESSAQSVERLLKKVAEAKGKAILAQKEKKLPSPTFVTN YLQSFRPKPPPSGLDDDAELDEESGKGQLRKGLRAMSTKTAEGYAEAAESFEKALELG DLGEHESFAYNMRGTFRYLQGDNEDALHDMDKSVELQQSLTQSFIKRASMHLELANPA AAEADFEAALAQNKDDPDIYYHRAQLHFIKAEFGEAAKDYQKSIDLDKDFIFSHIQLG VTQYKMGSIASSMATFRRCMKNFPQVPDVYNYYGELLLDQQKYQEAIEKFDTAVEMEQ QNKPLFINVLPLINKALALFQWKNDFGEAEQLCQKALIIDPECDIAVATMAQLLLQQG KVTEALKYFERAAELSRTEGEIVNALSYAEATRTQLEVQEKYPRLASRLGQMGAGLGA GMR CC84DRAFT_1168944 MVKFYSSTDTYPYPFPAVTLAYFLRYPNPYSTHVISTDTIARDF DPDTQRLTTIRLHLKRSKLPSAVTKLLPRSLLGASATGDTQSYILEKSVVDVKEGWMD TESRNLEWTGVLSVIERQIFRRPVPDVPDDIKAFQGMEGAARRHGFLNFGGAGAGAKV GVDLNGETTDVESSVTLHSHVGETWRKKRAAASEGSSSSSEDEQTQKVGFLRSWGTAT LQRNIEKIGLTRTLRSQPNAREGMKVVLERMREGGLVGVLEGMRKDREAILQGRDHIK KDGPPRAGEE CC84DRAFT_1168945 MTEPEPPSVAALSLAETATEPVHGKKKEQEINPWDVQAATDEQG NVLEFDYPAISQKWATKLIDDEILARFERVTGHKPHRWLRRRLFFSHRDLELILDTYE RDGEFLLYTGRGPSSDSMHIGHTIPFEFTKWLQDVFDVPLVIMLTDDEKFLFKEKLTQ PEVYEFARGNAKDIISIGFDPKKTFIYIDSEFFTSGYNRHFSLNATEFEKLITNNQVR GAFGFHGSTNIGSNAFAAKQCVAAFASSYPFIWGNDIKTYHRSAKLAAMPCLIPCAID QDPYFRLVRDNCSRMAQPSPKPALIHSKFLTALQGAGGKMSASNPNSAIFMSDTENQV KKKINSHAFSGGQETEALHREKGGNPDIDVPYQYLAYFEDDDEKLLKLADGYRKGEIL TGEMKKECITLMQKYVKGFQEARSKVTDEVLAEFLRPRKLEWTGNPRVEKKEVKEEAV EGGAQLGEDGKPMTKNQLKKLLKAQETERKKKEKEAAKAAAQS CC84DRAFT_1168946 MDPRRPGRTPSPGHPLQNYQLDDYSHSQAGPLEIPMGPGPGPGT PGDRLQIQPSYSVENIPYGATDYHDDYTSHPQPHRQDSNYSLDPQAHHDAYYNPPYDP SPHDESPHPYGSQPDHYWQDDDRRPMIGGANSYGPDPHDPLDHDEYHPGDDSHLQAEN PFHDEPQPTPSPAPIKRWKTVKEVQLFKGNLVLDCPIPPRLLNQVQHAQPPERDEFTH MRYSAATCDPSHFDEERFTLRQKLFAKPRHTELFIVITMYNEEDELFARTMIGVIKNI EYMNSRTNSKTWGKDAWKKIVVCVVSDGRAKINPRTRAVLAALGVYQDGIAKQQVNGK DVTAHIYEYTTQMTLDIKKGVVGVKKGNTPVQMLFCLKEKNQKKINSHRWFFQAFGAV LDPNICVLLDAGTKPGKDSIYHLWKAFDLEPMCAGACGEIKAMLVHGKKLLNPLVATQ NFEYKMSNILDKPLESAFGFISVLPGAFSAYRYVALQNDKTGTGPLEKYFLGEKMHGT DAGVFTANMYLAEDRILCFELVSKRNCHWILQYVKSANGETDVPVEMADFILQRRRWL NGSFFAAVYALAHSFDIFRSDHSFLRKMMFLVEFLYQTINMLFAWFALGNFFLVFAIL TKSLSSEIGTAGKVLFIVFEWLYIGVLITCFILALGNRPQGSNRWYMSMVYFWAIIMA YLMFASIFITVKSVQKQISNNNGFNVADIFADKIFFTLVVSLASTYLMWFIVSILFLD PWHMFTCFIQYLLMTPTYINILNVYAFCNTHDITWGTKGDTKAEKLPSVTTKADGKAE IHAPTDDADLNTQYESEIQTFSTKYKEPEKSVSDSDKQEDYYKGFRSGVVLFWMFCNL ALCAAVLQTGGLEVTISDANEAAQKKTQAATIYLAVVLWSVAGLSLFRFIGAMWFLVV RMFRGV CC84DRAFT_1168947 MICLPTLHYTVRLTIGRARESLSKSCLSPRAVLAPSTATVLASP GGPFLPLLSPRGLTQPPNDAIYTTAVAFSLPSTTIARSLRRPQPVPTTSRITFPSFPQ LRLDSTIMSGWGTDGMNLPLTDTLPLTLLQVRTTGALAVATLRLPMLAPIPGALVTVA PAMPVVAMRAIGPAESAMKSATSPASVLKSLRAAV CC84DRAFT_358809 MCSHNKADCSNPKVERPFTGTCNLCGVEGHSARNCPSATCKLCG EAGHKALECTSRRKVDWTGLPELDAEEAWTKLVDASEEKDLDVFRIALRAYARAIDGA FIASEVEQALRDSDLNVFLIALRQEVAPHMTIVDLIGNPDREYVLTIQLSAKPRRAKL AQGWPADAAENMERLRSAGFVQDRGVPLCSNCNELGHIKKHCKQEVVEREKMTPIVTC VYCQEEGHRARDCPKERVNPYACKNCKQEGHKAADCPEPRSAEGVECHKCNETGHFSR DVSLFNFYEWKNQRLMFFEVPKRRSSSSSNLPQLRF CC84DRAFT_358867 MSAAIDNEDLEELAISMPAPRRTSTRPPDTPTPVAATTAAHETK IKADSKTSQRLGQYTIVKTLGEGSFGKVKLATHQVSGQKVALKIINRKRLVTRDMAGR IEREIQYLQLLRHPHIIKLYTVITTPTEIIMVLEYAGGELFDYIVNNGRLPEAQARKF FQQIVCAVEYCHRHKIVHRDLKPENLLLDEHYNVKIADFGLSNIMTDGNFLKTSCGSP NYAAPEVISGKLYAGPEVDVWSCGVILYVLLVGRLPFDDEYIPTLFKKIAAGNYSIPS YLSPGAVNLIKKMLMVNPVHRITVAEIRQDPWFTKDLPAYLSLPPEDFYDTGVDPNKA IDPRSLAPSQPEVVVQKLHETVVGKLGKTMGYAKHDVQEALARDEPSAIKDAYLIVRE NQMMKENPLVAGDRSLQPFLAQSPPSQQDYMHSIPQAMSSTRPQVIPPPADSERPRQG STSSSQITSIRSPVSTIAVLPSSLPEYHKAYMKGHPKPPTYPLDIPTAPHPLQSEEER AAQARRLRPNFRAVPDNNRPRPEPMTALPSKKPKPVKWQFGIRSRNQPAEAMLAIFKA LKAMGADWEVPQTRKPGGSSQSRSRSGSRHSGSHSRQNSHSRSRGSSISSSSQDEYEM SDREQDPDVSPGRRNLRVHNEEQSRGRQRKHYSVANDWGYGIPEDPWVINARFRKDGM YPPGVVHPSSTHSSRVDLQQDPAALRRRSSTNTSHSSLNHVDGITSLPDASGSQAGDS VAGSTRHSGPDEAVFIYMSIQLYSIERDFFVVDFKCAGYERLVSNLVHEIKGNASVLS LSQLANNTAGHDDGWDDEKGVWRQLNDGALTEEVAREVREQGGVLRERVIEKGAGRKE GEKRCTSPFPFLDVASTLILQLSGE CC84DRAFT_1168949 MHIKGTPAISPRENARATSRQCSIVPQTPPPLQPPRSAQPLSTT HATHLEHPLPSHTSAQPPTHPQSRYWVPKAGAPGTPVVAISVSLIDAAIGRIADMHRQ GSLMREGDDWMDRAGQAGRCGAFAMAGGGQGGWDGGQNGTDIDRYCVPCVPIHVVDRS GERDEHARARRSFATPRVRDTVTGAPERGRGCHFWNSWAGDALCWSMGSQRLRCLAAG WDAGHVCRIGGVKVLDAD CC84DRAFT_358705 MLFPTFSTAVMAFAAFTSTVDALPPRPGTTTLSNLAKKMPTNTL PAPTGLELKYVLLGVGTQNYTCLTNNATAAPDTTGATAKLYDLGTRLNSDPLAQWKIP AISGLALSLSAFGTWQLDMYLMAEGYNQWLGNHFFTLKVPTFSLYKTKSTPHPLAMVS KQADQDAPKTACQGTKGEGAVKWLYLVDQSVPELSQGGVNTVYRLETAGGNKPATCQG QKQYFEVPYAAQYWVYGPKA CC84DRAFT_1209759 MSKVISDAFSRWEVVSQGIVLGGVTLLSLLTLGILYGIYNAFIH PLRQYPGPLLWGAFRFPYVVAVHSGDMHRRLKVFHDKYGPIVRIAPNELSYADAAAWK DIYGNRPGHQPFERNRTWFRKMSPDEPHSMMGYSEEAHARQKRAFANSFSEKSLRDQS PVIENYIDRFIGQLRARSSGQQWTKKTVDLSQWFVFLMFDISGDLSFGESFGCLSTGK AHPWVEIAQDFGKGLSLIASVNLYRPVDKLLRYVIPKHIRQRHIAHREMSAAMAKKRL SSKVERPDWVTPAKNYADSKDVLSEGEWAINMTIIIFAGAETTASALCAITRHLLQNR GALHRVTEEIRSTFATESEIKIASTGSLPYLNAVINESLRIAPPAVIGVPRLVPKGGD TVCGRLSLTTIIDIRGLQSIPGKPPGAQLSPPEFVPARTLSRSEV CC84DRAFT_1168951 MFSPVLILLLAIAAFFLKSLIRGVRSPLAHIPGPWHTRFTNISL RIATIKGRRIHHVESLHQRYGPIVRLSPTQLDVSELSAFSQIHRIGSHFHKSPWYEST HRGRKPGIFAMQDPGQHAQRRRLFARAFSYTSISTHWEAVIREKVSLAVQRIRESAIE GGRDQSMATNDISSMNPSHGADVLKWWTLMATDVIAHLSFGESFQMLELGQQTAYIDA LQSANLSSVLRSEAPLVWHIAYWVPFGKLHAITQAEKVVLKYGARAIQNMRREGGGIK NLFGQMEAAAEKDDGSITDDEIETEAGNLIVAGSDTTAVTLTYLVWAVLKQPALQKEL EAEVAKLSDALRLEELIQNMPLLNSVMEETLRLYGAAPGALPRSVPKEGAVFGGYQIP GGVEVSTQAYTIHRHPDLWTDPLSFNGYRFLDKSTMTSAQKTAMHPFGAGSRSCIGLH LAWMELRLGAAMFFRDCRGARLAPCMTDEMMEVDNRFLISPKGHCCFIVL CC84DRAFT_1168952 MTALERATILTEILVDEPPPPAHLHLRSRHDLHCTHRPYTASQS AALGIDHSDLNGKARIVSSLEQHV CC84DRAFT_1231486 MTTATAHGSASLPEKQYWSTVRYLRFTCLTVYRRLFTFLVLLNL IGLYIILHQTIVSLDTLATAASTNILIAILVRQDLFINGIFRLAWIVPWRVPLAVRRW IARCYCYGGIHSGAAMVGMVWWIGYSIVLTTQMVKQGLYSVQLLMTTWTILATLALII VFALPGFRRTHHNIFEITHRFLGWASISLFWTQLLLFVNQTTPPGQFLETLLHTPTSW NLTCITVLVVHPWLTLRTWVFHPTVLSPHAVRLRFVRPVHKFSCLSISTHPLKEWHPF ATFPTPPTSTQDSHLEGSILVSDAGDWTRALICHAQAITSGQPTHQPTNGGPRAPELR LWVKTTPVPGVLSLTTLFPRVLLITTGSGIGPCLSSLLARPAAQFVRLVWSTRSPAAT YGAELVAAVYAADPGALVLDTDAMGRPDLVRVGYALFRALRAEAVFVLSNEKTTRRVV YGLRCRGVPAMGPVFDS CC84DRAFT_1168953 MTNGDRCAPPAQRSAAPVSVLFVCLGNICRSTMSEGVFQSLTRP PHAQPHALIRDIDSCGTGAYHVGDGPDSRTMQTLRNKGITRYTHAARKFRASADFANF DYILAMDDSNLADLLELRAREVKRRGGEEEGVGRVMLFGEFGGKMRRGGRGEEVQDPY YGGKEGFEVAYEQAVRFSRAFLERLENGEL CC84DRAFT_1168954 MPTPSSTAAQRLDAVSAHVSPPTMSTEKKPPITCHVLDTSLGRP ASSIPVTLTLHNPPSSLSASGLTFSGTTNADGRVTSWTPSSPFSADGLDVIFKRAGDQ KYSLTFDTESYFGERGVKTFFPEVEIKFVVRDGGKGEHYHVPVLLSPFGYTTYRGS CC84DRAFT_1168955 MERIHRGSSCRTESLWPCRRFRCDRFSHICHTEWSSALGFDVGH DPRVGRTDDSGPSNDSDFDRTSTLDAFDSAYTSDLDAAYYVALFPVAYLGCIGWAVLE NCLYPDCSFADPGYVHLGLVLGPVLVSPWLSTLSIGSS CC84DRAFT_1102981 MASFNRERVEHALNELLVRIVPEFPDEDEEVANERYDVAYDHAY RELSEAGDVHLVADINHVASQIDRRLLSDAGGAQKSARFNNLLSRLVSQPVLDQKWRM LYFLHELSGIPRAPEASERRGRLREPGTPPRHEATSGSPAFRDAFARPGLSQLPVNEG GPDEMIKAAAVRSAAVARSERPERQRERRIQDSAPERLGDGEQADGTEGPTEPALLRD LPFTLQGLSSTNLAFSSSTVIKLPPSLPVPLVSLLHTLAEPSLLYRGLSEFVESTEGG LVGQSFRSALGKELRSYLGLVATLEGQIRRALAQLDEAQPHHGIGKAGVTLKRCVIWT REATQGLRLMSLMVEESKRKKGGALISLIHSFSLSHGDPYVGAFAERLLSHVTRPFYD MLRQWIYDGELADPFGEFFVYEQSEEEINEAALANSNEGKGGATSVWEDKYKLNDNMI PTIITQEFANKVFLIGKTLNFIRYGCGDAAWVDTYSKEASKELHYGDTANLEQSIGDA YKTTMARLIDLMANKFKLFEHLQALKKYLLLGAGDFIAVLMESLSANLDRPANTQYRH TLTAQLEHAVRNSNAQFDSPDVLRRLDSRMLELSHGEIGWDVFTLEYKIDAPVDVIVT PFGSKQYLKVFNFLWRVKRVEFALGSTWRRCMTGARGVLGTVSDKVGSDWKKVRCGIA EMVHFIDQLQYYILFEVIEASWNELQEALHKPESTLDDMIEAHAKYLNSITRKGLLGS QSTDFTGQLHELLKTMLAYKDAVDGLYSFSVAEFTRIQEQAARVETRTAAGHWGLTDR DTSSPDPFANKRASRSDAGSPFPPPLLKIGDAGASEDDVLPALRKRLAHLIKDFRARI TILLGDLIHQPDHDMRWLAMVMNFNDVYQPKRRHSHRREKKREKEKETPSAAAPKASG GKSTS CC84DRAFT_359196 MKSLLTSNVTMISNERNATSQSTTSEESVPLAKRRRIEVPTKAE SENDMHLSTPLSQGYNMDNAPQLHGAGVHAHHQAMSSDSDYPKAKEESKQDFALDMLQ SPNIIITIECGVDLETHYHIPLFVLSAESQKQIEIFCQAEGLRKGYVGVKALRKRVKA LYPLLELHAPHIRHTDRCREKAMALILEALNDFPIPMYQAGVAKRLAEATNSVFCKND LWTKPPGKKLDKGLHPMNDIKGRLHHLQDIAVYTVLERLDVYLHDLKGLEKEEASKSA VKAAAQQRIILPSEDPATVEVLVEWLYKSKSTLTFTSVTHLYAIHSLADKLGITHLAA ECMALLTSATSRILRRAKSEGVTLKDLLDVCTRGSAHQQSHDREPDAHSDTDLLSSPR VVGEVFKIALRTPDPPLVLQDLVANAIAESEDTALLNQLLPTMNLEMRGNVTVAMLHN IKSKREPSHPQAHSTASRSASVKPETSHEKSQRQDVKQERVSVHGPTNKTEMCNEEAD TPAAT CC84DRAFT_1168958 MAAATTSSSMSPKDNVNKSLPSKVEEPVKVEATDKQPANTQETT ASDAKPATSNAPLGPPPKPTTTGDTPDYFNTVHNAFSVEPNPFEASFGGQSGPAETPG KTVLPPVANITSPAPLPGITPGWQSLRAGPLSPAMLSGPTSQADYFSESFRGFPTPNE SSLRTGLTPGGGGSMFPAPSPNTQAIFNLQSTGVTPGTAEFQQSALRAAAQAQANQNK AIGTSGPTSQPDTVTAGMDRNNFPLQQPQQRRAQNDPYANHDVSNAANDLLSFATQNG GARNGQQPYSMPPQQQGMHAGHMPVQPVSHDNSRRNTKGSINSMTGSADTGDFSESGQ SEEAKTNTRSRGKKGAANQKQTAGTKRKADEPKGNSRKKSVAASLGDEDEDMHSDDDM KNEEINGRKMTDEEKRKNFLERNRVAALKCRQRKKQWLANLQAKVELFSTENDALSAT VTQLREEIVNLKTLLLAHKDCPVSQAQGLHGAAMNNFLGSDMNHQNPYGIAQLQPNGV HMGMPMQPNGQMMNRFVFPSQRGPGAEDQENASYPPKY CC84DRAFT_359324 MSDSMHEAVQLLLLMDDVLGKGTGLIPVWYCLAQRPKQNFLFAH YAKACPLSNLASYYIVNLKQVSECEQPFLSCFAVFFFQPFTVTHSALPRHTISESTRT SRLLLGFSGPKSLLTTIFLVLPTCRRIRSRIRNSFFGSHFLPVNSPLVL CC84DRAFT_1209765 MKVVGILSLLFTAVAVEAVALTPRADVGDPCKQDNNCYGGAKCC NNICKLGSCTAPGNNAGAKCANDYGCFGGAICCTGLCTLGSCRMDGTCDADNDCYGWC HNNYHLCTSAMPACHV CC84DRAFT_1129989 MFTMRLALLASTALTAVSAIDTISVKGAKFFTKSGDQFFVKGIA YQLVPDDPLIDNTQCKLDSDLMKSIGTNSIRVYHVDPSADHDDCMKTFADAGIYIWLD LDTFDTQIEQTRPQWNQTQLDRFSAVMDTFHKYDNLAGFFVGNEVLTTGDGSVAAPYV KAAARDLKAYRDKKNYRNIPVGYSAADIATLRPMLQNYLACGDNASEALDFYSLNAYS WCGDSTFQQSGYVDLIKNVTNVDYNIPIFLSETGCIKPRPRDWADQEAIFGPDMIDNW SGSIVYEWINEANEYGIVSYGEKVDPASPGAPPDGFPRSGTPTPMSPEFPNLSSRWKT LTPTGVKASAYNPKLTAPPCPAFTSNVWEVDAKSEMPTIGQTYQAQQSGASKTGSGAS AGPTSQGSASASPSADKGAASTVSTWGLQSMGLGFVAMVAGFCIWL CC84DRAFT_1180895 MRLCIVTFAALMTAAYALNDAAPNVNANNLAARSEPPKASATLD HKKHKHPHDGLKHKHDSTHHSKPTGKPEHTWKPEHPWKHKHDSTHHSKPTSKPEHTWK PEHTWEPKSTGKPEWKGKGKKGKKGKGVEDKEHKDGN CC84DRAFT_1103380 MTAEVPQSGLLALVDELLLSIIDHLDSRDALCSLAACCHRLQGL TEPYIWRFLLVTSGDRARNIAAALDVRETRSSYIQELSIRYPDCDREGIQELNHFIVL MDKLRHLTIESPCPNNSEWKSDVEFDGWTRIDYMALLEASVYPRKGISPTLPMLQSLT LHGHGPDERKFTFGRCAVVFLHPTLKSITISCTNFDAKITHADITDQQRRSTPLKSLT LIECNVNVRFLDVVLSLPKALKELDIGERLHAFPGCIPSTDSTTRTSQPAFLEALIRQ ADSLERLSHIGGATQYLPTKYPTFEDDSARLRHLSNLRSLSLGVETMLLGHVQRDDYS ASLRELKVLDVSWANNLKGVSEDTLRHPGRVLRHCTDVIKGMTRPVDLSIVFSNPNPE QILSTIPTANIALVLQTIIDGPLRTPMYTLSSLLRSSNRRLALLTSRFSTKQSYIPPY MYGEEVPFEEKFYDSDDFWRVSGLNFRVMDDEVFVEEVKKKPKMVCAGCKDRMGRSEC FNAGDGSICIHCERDMRDGGIDGNDIQCAYDVDTCA CC84DRAFT_1231502 MTIEVYSDPCTINSHKVLAGLEEMKADYKQNFIDYFAGEQKSEA FKKINPHATVPAARDGDMTLTESNAILQYAADKTGAETLYPKDLKHRADINRWMLWEA ATWFSVCYVYLIENVVKPLMKAEPDQKAIDAESERFHRGAGIMNEQLGKTKWLTGDNV TLADIAVAAPMHLHAASKFPLDQYPNVKRWLGQVESMDSWKKTQVAVTKALLPCAPTN GSSVATSNGNSQHKVRTTVNYTKAVDGLTELYFYETEKAKHIHEPGDAPVEVEMSDGW PYVNDFHLDKNGFSVHSFKTSHSDWENEEAVRSAFYPEVVDFLKHTLGAKRVLVFDHT IRTEKNAKKALTDEKNTTQRSPVMLVHCDYTAESGPLRVRQLLPDEADDLLSRRVAFI NVWKPVNRIVEERPLAMIDVKSCKDEDFFKLHLRYRDRNGENYVLQHSDRHKWWYFPK MTPEQVILLKTFDSEADGRARFVGHSAFVDPTSPDDAPPRESVEIRTICFF CC84DRAFT_1231507 MRLTFFTAASLVLGALGSALGKKCLTEDAATQVANNFRSTIAAY TPELAARVFAVDFLDYSDGVNMLMNNGCPNGPKPLGSPTFTSLADFQAAQGTQPPIPF EILKIWYNCNTVTLRWRSVAPGFVQPEQQVTGLIVLETTRSKGEEPFLIQTVYSEFNS GAWLYDLGIFVPTNCAA CC84DRAFT_1209768 MQPRHNEITSGLTTDEYSSQRCGSLRLRARDGAFGNRVGASSRF LSVPTPPPRDAVAQPFTSSTFGLATAISCNYGTSNTMPLQTPSPHRFLAPGPPATQKP KLKPRSGLRNVQTPRPTALHSRSTYEDTNETTRVVPAKRFVLPQPSSRNPPNTGERRR GRDQHDEAWADTPITRRPKPRLSKAESIDCTSPSSSANTAVNVLPSIEQSSILVEDKG QEDDTDEEEILFVREERNKRRRVSPDPSTSPSHARTEPATPHQQSSPQIASPVSHRFK IASSRPNVAEQASTPVVDRPTHPRPHFILPQLSPSPTKSVVPLPETFSPSRKHGKYVP NGMASTLQSWILETANTGYSANTNASVSWGRDKEDGVKLRIEVLSVMGGRGSGESEVE CWPGGVVFLRGVTDASLSHAAGTLGFTQPEGNYNERPEFKIMLAGQGGARGKGCVRVR EGGFVGVRTPIWDVHVGQGQEEERLLVGVDWMVL CC84DRAFT_1231515 MCFPPIINTSADCTQASAIIIVFQTTVTYTITIMPAPRNTREST RAPFSRHQTSPANPIESIQAGQSKEPFSTVLARSLQSRVPPSAYNEPIEVTIARYIPL PDTPPGTPLRVDSPLLSAQPASSHVWPDTSKIPASAYVRAPHASLGERSIHLEFPACL REIKRHCHTKAIFHDIVARCRCACPAEHPTGIPIASTPEAPIPLLDQTSARPSILLTG PEHAHPTMCLHTWEDMGGDMYKEHKVVVVVPARLGNKRKTWRGCWGIECNMNGKGSSL RCGECYTRVWLSFEARRAWRPMPGATRNGRACNNDSEDSDSEEDS CC84DRAFT_359401 MNALSFACAFPAQHRVATAKNLGASLSSRATWDTGSGHLRCTSS SRHRCTAQDRHGASADAATDRAAPTRNLSPALAGDVHPVNPQAAAICSDHRVYRRYGW RRMPSANVSQSQCLTLRAAVAVWLTIPGGAFA CC84DRAFT_1222409 MRQFATVSYSPWANPYSTGPNAGKSAYATPAAQQPVYRRIVFPP APIFYHPDVEQVVGEESDDDDSEEGQTGVPVDNALVEAPEPPPSTAPEPTPAPEAPSE AVPEAAPAHQPVELEPPPASDPPAEPVPVPSASTEEIAAQSEAPEAPSIPVEDNAGEE AKPDDVKDEPPGGIAGDATAKEGENVTPSATAEASLSVVDKPGPSDDAAAPVDVPADD GPPPPPTEPTLEESATSDEPEQVAPVPPIVTAQEPAEKSGECEQAAQEPPMSPTTKSV HFSPDVKDPDAVSIGSKKKGIAKKLGKGKATGFVRIRDPVPVRPASPEEKKKPGKEDR KKAVKDNKKKDKPSSVHKADKEPVEKEPAPSTSSKKKPGKADERKKKKHDEKERKSKG KKTRKESDASHTEDEPAGDGDEQPDGSVIASVAAPPSDDPQPAGEAPGECVTNPPAED QQEDSTDATEQSAPEASPTDGDGQPADLGPAAVDEPPAEEVAALDPSAPGEDTPPSEE QASPEKGAVTDEVAPPEE CC84DRAFT_1222411 MVVLVDLDDPDDDGFTPGPAAAADDGRPNPNVNGFSAALSCYPI ARQLARQLDLNSLHDLSRTCRQFRANLLEYRDQLIRHTLHCDFDVEDEAIPRPTGSRL LTSGRVARCARDMVAECQRCAAVVCRNCTIKPPPAPTLRARHRRLCRTCTRAPLAFLT AAPRPRASSESSLPGTPDSPRPALLDDAADAPRAFTAPAFERTPCICADTVWLCQPCG QHLKNADTMYVRAWTWRTRYSHYLGGVGTGAGEGNEGVECGRGAQCLAARVVEHEVDC NASDPAYSGSPETGERWTGTSYYAQEIEGIGGVVKMKLKKQVRVGECVKVYEDERDKS IRWLEREVSGRLRSWCSWCERVVLGDKDRAEVRGGRAPSSAGSEA CC84DRAFT_1209770 MKRLSSNHHARLHRLKLEISSTPSDPTVTHYTIAKTMDRYQHHG STFQDVGSRSRQESSLANDSAPFQPSIPSLRPFPLHPTYKHHPPPLPLLPCLPIIPSI ISDFPVPSLSLHPLTLPLHTSPIKWPIHSNNLPVHPHARPHQHQPLRIRLRHQYTSAL TAHLTTPCRRTPSHTRRYRRIAASLLTEHLPEQRLVLGMLPRVHTAVHARRVEQRVPG LLPRTRLPGPREEAQPVGGLCVVPPREGVAVGGGECAAADGVWVGEGEYEVVAGYVAG FEGGAGGVGWRGGVGVICVCELKGLGRAFWLEELVKELHGRQGAGFRGWEECFEASLL ERDLAEC CC84DRAFT_1102849 MAQTTAWSLTVIALLTTLGRFAIHWHRRQRIAWDDFFNGLAMAF LLAFTGTYQVYGPTDYTKQLYAMGLIKEDEVVHSDEKRNAKYNAANALLFWCVVYAAK ASFLALYWHIFAFSTKFRIAWAVTTAYIPTSFAITFMWSFFLCGNPKYFPDIQPQCHD NAPAMVVPMLSAWCALDLIGDLLLVVLPLAMLRPLLMRTAQKLELAIIFLLVAVNMVL TILRTVYSIDVDLARFPDQNVLWCFLQASGAVIVCALPCYRGILTRKKPDSLSSDGLN TSESEFADIWQRYLISIGEHKEGSRTEREKDLEAGVTKGSSGTQTHISEIARA CC84DRAFT_1231520 MTANNTIVEELVGEHPWDLTVRFDGSLSRDSSPFKGPPSAKVDQ LWEDLVPQRMMVISEDVFQTINASMHSVKAPSNLGKGRLAAFEGFHYIHCLHNLWKTH YPEYYTEEAKFATENREEWLEHVDHCVDMLRQKLVCDADPGLITYNWLENHYNPHPNF NVQHKCRDYNRLLDASARYGVEMSVLPEEGIRRTEGEKVVDFVEPPFDPLAEE CC84DRAFT_1263807 MKITAILSVLLPTALALPLAAPAPAAAGVTTRGSTTSPNSLFAV RYRKDLISDPQKRAEPADSIFPVRYSSDVAQDSKKRSENVGFPTKYSKDVINTALKRS QPPSLFAVSYKKDVIDSKAPAAKLEKREATEGIFAVRYSKDVVPSQD CC84DRAFT_1231524 MANAAPSTTLAPFPGTHIQFAHSLPLPLPSLTAPANPLLPQARI EYTRTNFCNGHASSTSGVWHVVLGSRNSAFNGFIGYHNQVHPDGKNGGHAWRWIFLIE GVMPVAWGFVVAALQPSTPETVHASAGTPSAPSSCHPSFMFALSSTFSSARISQTAPA GAVSFSS CC84DRAFT_1231526 MRFSAVACSALFALVHAGPLAADSLDARATTFTNPILWEDYPDL EVIRVGEVFYYTSSTFAFSPGAPVLKSYDLVNWTPVSHSVPNLNFGTKYNLNSATDRA YVKGIWASSLRYRDSTDTFMWIGCVEGKSYLWTSSGGGAKNNNGEVSSWNWKSTASVN KCYYDCGLLVDDDDTIYVAYGSTNIMVAQLNKDGTAEVKSQQVYTNGQYIEGSRMYKK DGYYYIFVTKPASDEYVLKSKSPWGPYEMKVLVQSIGGPLSSAGFSHQGGIVSTKDNQ WYYVAFMDSYPGGRIPVAAPITWSSDGWPSLVKDSSGKWGTTYPMPVSTSKTVPPVTG TDTFQGTSLSHEWEWNHNPDTSKFKLLGGSTGGLQLSTATVTDDLYTARNTLTHRIIG PKSAGTFRLDISKMADGDRAGAALFRDNMAYIGIHREGSTNKLVYVNNLKLDQANSWK TSATGTVAASSTLAAGTTEVWFKVQADITPAFGSSTQRTTTFWYSTDGSKFTQLGGAF GMINDWQFFTGFRFAAFNFATKALGGSVTVKSFDMQKI CC84DRAFT_1222416 MATNGGAEPTKVTILGKDSIVVDYGLWQNHVAHDLVTTIPSSTY VLITDTNIGPLYIPAFEESFRSEAATVSPAPRLLTYQIPPGENSKSRSTKGVVEDWLL SQGCTRDTVIIALGGGVIGDMIGFVAATYMRGIRFVQVPTTLLSMVDSSIGGKTAIDT PAGKNLVGAFWQPERIYVDLRFLESLPKREIINGMAEVVKTAAIWNEDEFTALEGNAE PILHAVDRKLENGRRNFDSIAAILKRIVLGSIKVKAEVVSADEREGGLRNLLNFGHSI GHAIEAILTPQILHGEAVAIGMVKEAELARYLGVLDPSAVARLSKCIASYGLPTSLAD KTVRRRTANKHCPVDELIKIMAVDKKNSGAQKKVVLLSGIGRTHEKKASSVADRDIKI ALSPSISVHPGIPSDSNVTCTPPGSKSISNRVLVLAALGSGSCRITNLLHSDDTQVML DALARLQAATFSWENDGKELVVTGTGGKLKATDDELYLGNAGTASRFLTSVVALADPT GSVTSTVVTGNARMKERPIGPLVKSLRSMGIDVTYQENEGSLPLRISACGGYGAASGE REFTGDIELAATVSSQYVSSILMCAPYSKKPVTLRLVGGKPISQPYIDMTIAMMATFG VQVEKSQTEANTYHIPNKAYTNPTEYVVESDASSATYPLAIAAITGTTCTVPNIGSGS LQGDARFAIEVLRPMGCKVEQSATSTTVTGPPRGQLKAVREIDMEPMTDAFLTASVLA AVASSNGTNTTTRIYGIANQRVKECNRIQAMEDELAKFGITCRQFDDGIEVDGRGYEL DAPQSGIHCYDDHRVAMSFAVLSLVAPKPVLILEKECTGKTWPGYWDILHQLFKAELD GVEQPRVLRDTHYGGKKPTKSIIVIGMRGAGKTTTGGWAARYLGWPFVDLDTALEEHV SMTIPDLIKAKGWEGFREEELKLLERAVKEKSTGHVLACGGGIVETQAARDILNNYQK DGGIVLLVTRDIEKIMSFLQIDKTRPAYIEDMRGVWLRRKPWYLECSNYQFHMQSVDS VGLANTLEDFSRFLDLIFGKNRSLQSLKKRKQSFFVCLSAPSLQPWLEKLPEIIVGAD AVELRVDLLEDPDGSEGLPSFEFVVDQVGLLRTKTTLPLIFTIRTRTQGGKFPDDAYD HAQLLYSTALRLGVEFVDLEMTMPEEILREVSENRGFSKIIASHHDPKGQLSWTNGSW ISFYNRALQYGDVIKLVGVAKTLQDNFALAEFKAWAESSHPVPLIAINMGEHGKLSRI LNNFMTPVSHPLLPSATAPGQLSAAEIRRGLTLMGEITPKKFCIFGSPVQQSRSPPMH NTLFHETGLPHTYGIHETTNAEDLKAVIRSPNFGGASVTIPLKQDVRPLLDGVGPEID AIGALNTIVPVTEIDETGKEVTKLIGRNTDYLGMVLVLRNAGAQGSAGLQAGLVIGGG GTSRAAIYALHEMQYSPIYLLGRNPQKMSVLREAFPKDYNIQIVNAASIADVERIPTV AIGTIPADQPIDSSIKEALDALFAKAKNEKAEAEAPIGSRPPGGKRILLEMAYKPAVT DLIKLATEAGWDTVNGLEVLVGQGVKQFEYWTGISPLYRIARDAVMGA CC84DRAFT_1102729 MAPLRRLLALATSTFLFLAHASPLSAAGAGQVVPGKWIVTLRPD ADVATVSSHFVKVREIRARNVGVRHREVGEIERQYRFGMFKGYAGAFDELMVEELREL PEVLRVEEDRIMTTFDFITQGDTVNWGLASLSSRTKDAKDYIYDSTAGHGTYNYVVDT GVRITHEEFDGTRAIWGYNAVNDKNTDNAGHGTHVAGIIAGKTYGVAKNATIIAVKIF EGNSGQMSVVLDGFNWAVRDIVSKNRTSTAVINMSLGGAASATWDAAITAAWAQGVLA VVAAGNENRDASLVSPARSPEVLCVGNLQRDDKRYSGASGSNYGPTVDIFAPGTGIVS SYRTSDDAIQVLSGTSMAAPHVAGLVSYLRGSEGPMNAEKVKARVLGLATPGRVVDAK GSADLVAFNGAGE CC84DRAFT_1209774 MSREDMSGHIASTSASPFAIYGTHIHLHRRQAIPDATGNTGNIP TAISSLPPAQLPSISPSPPAPTVTTTPTRSSSSTASSTSALTSLPTSADSLILTPTSP LLSPGTLAGIIAGSAIAFLLVLVLAIFVLRRRRRGRAIAEIPIRRSKLGSRLRHRMFN SPLPGTSSRASSRSSGRTLIVEKRDVGRPSGGGPGFGESGEDGFGKGELLQVPRAAFM MKREEEVGREAGERWVGLEISGPRPVRPRSAEPLGRLSGMGMGMGYLR CC84DRAFT_1156131 MAPAEPDHAPFQIQGEEPHFAEEREGWKGYIEWEKYPEKKKQAQ EVLSRYDFPVPPEFQLKPLPQTNPILEGVRWKYYHYAMGATLKNQPEISWKYVQEEKS ADMIHVLQFPYNGEPPRERLVKTEITPNEDFFVRNHGGIPEIDADKFFFDVDGMVNNP KKITLKELQDESIFPRMTKTASLQCSGTRRIEQIQEYPGDGDELINAPWAEGAIGTAR WTGVSLKKVIKHCGGLKDGGKHVEFFGADTYFKKGQVFNYAVSVPYRKVRVHEVMLAW EMNGEPLPRIHGYPLRVVVFGFIGARSCKWLYKINVIAQPSLAPVQMKEYIYYSPQIG KHNNTYSNGFSIQTMPVSSAIMTPINHDQIVHDGKIKLTGWAFSGSGWPERVEVSGDG GNIWYEVPFDQLSKKVFYSWRVWEYEMPVDAEGWLEFCVRCWDNALNACLHPILTCGT QPTFVRSAWNWDLHVTSSCHRIKVYSMNRNKPATARRLKQCEEKGIPMVPITRPIEFD VETEEEYLANMTLRHGRDPEE CC84DRAFT_1130012 MPSISASIAVIGAAVAVVYIALQWQLRATQDPREPPAIETSIPY ITPAIGLSKHTYFADLRKRYGKFPISTLRLPGQRIYIINDPQLISVVQRQYKVLSFQS VEDRMSHKMFNISPLTKQLQKAEADAWRTGPAHVPSPAHAQFKPLAPGKDLDDMNRIM LKSLEESMDDWVPQDSQSRRTQLFEWVKEVLVVPTTNGVYGPENLLRDPVARTKYWAF FDGIPTMIKGGGFLVKDSVAAIEFLSSTWARILQNGGHKNHSRFIQKLFEYYNERSFP LKDFSQFIMGSSVAVLGNTLPTAFWFVIHLYSDRKVFDACREEILAQVVHSTDADGNP VRTLDITALKVACPLFHSAFKEVLRLEAVGTGVRGVEEDHMLDGKYLLRKDALVFMPL VSQHFDHERWGADAEEYCYDRFADKARPRVSNVSFRSFGGGTTLCPGRHFATTELLAF AAMLLLRFDIIPKDGKWVVPTSLNAEMTTNMPAPDFDVEVEIQKRSDDQGIKWMWKLS ESEHAAMLGDQDEHGNEKAQTDN CC84DRAFT_359467 MPLHMACANSSARLLARTRCILFSLVCRWTLFLRLLWERVQWRV ILILPRSIVVFAEIYYKSIGRKPRAYEHRHFWLD CC84DRAFT_1199654 MLIPLATALLSIAALAPEARALAVPSPQAPLARRDNLDQIILPG FPHPNPTVSYWQEPPHRIANLRTTPELPTSEVFDYVIVGSGISGAAVAFKLLSRDPSL SILMLEARTAASAASGRNGGHCKPGDWKEVKDWVDLYGEDEALRLGKMEQDCVDDVRD FVRTYNVSSGWQDVETADVYWTKEAFDEAVEIKQFQDELEKRRPNDGPWSNKRTVYAG QAARDYWKWPQILGAVAYTSHTQNPYLTVCALLEQSLEKGLNLQTTTMALSIDKVNSS DAGAKWEVKTDRGTVRGKQVVLTTNSYTNALHPGLASTGFLVPQRNQVAAVHPSKDTS NNTVFRRSHSYPDLHSGNNYIAIRAPGDIGAGDVIVGGSTKFSPTRERNITDDSVINP DIADDLHGVGRVVFGYENWGEETKVVKDWTGIICNTPDGFPVVGGLPNEEGLWASVCM NGHGMAWAFRSAEALVQMMAEGETPEWLPKRFDINRAWNYTAEK CC84DRAFT_1049204 VKLDHLGPMVVNRDGTLSRIGNWEQMTDIEQKNTLRVLMKRNKL RLDALRAGE CC84DRAFT_1180914 MAAENADSPEPEIPQGLSDEDRLKEAASAAEQAIAAQGMANKLR ETAATFTDPKKREKMLTDAYNKEMEAHGNSKKARLLQSGAFQGSIGGAGIGGAVSAGV GTLVGTVVGTVTAIPVTGLGALAGAGVGAIHGPWIKLGNMAKGKKDDGEQKEVEVSPD DEDVVPNPEVLRQRADALAADRAKQGADSGSTTKENRQPPKKKPRKLEVRSGAQK CC84DRAFT_359484 MLVRSSHPLPSRLITTDMSILDVRAVTTGSDQPRPTPSSTSRGN PIATDLHDYKLGPMCEGSPCFKDSDCDSWLTCNRFDAHCRSRESTLSLTTGDSGTGVT SLASTTVAAPSSEHTIAIPSPTMTSWPTSTRGDTTLPTLPSPVANSTSIITSTSTSIS ITTSTSTPTPTPSPAPTSARNRVLIYIAMPLTFVPLLVLALICFIRWSRTHRKPITLD ASPAAQTPTRSRLPPAGFGENEGVWTVRGHREYRRSGGTVPSRGRVRVSAGTVTSRRG VQLNRGQKI CC84DRAFT_1190857 MSASLDLGLPNDALKTNCLSIGFLPSEGDSASRRYTMAEINSKL GAKASTYGWYAQITSSGFDGSQLLAVKDDVVASGAVFVASVMPSVNFNEITEDVAKQV ASVMKQFTDAGVTVWLRYAHEMNWYVSDGTYHGSSADFLTSWKNIYNAACKDNAKVSC FWSPNQAGSASDLAPWWPGEDFVDLVGIDCYPRSGDDTSSNELFDRLYGSFYDAYSKP FGLPFAIGETGAGTGQKEQWLKTLVSQEKSKYPNYVSMSWFEFDKEADFQIVMTDDAT LQSTKATLLSGGNEQCGGAGAGTALRVCRARGRGRARAGTANDGPTLT CC84DRAFT_1231542 MGKDDTDASTSSAGAKERLKQISAHVEPNTQRKRRRRRPDSELP ADYSDILGQIAALRNIAATPDPNNRGYVRQKQAGKLWVRERVEQLLDPGSFQEVGSVS GTVKWKQLGGIKEEPEDYVPSNNVQGFGRLKGRKIVFTADDFSIRAGHADGALMEKTI YMEKLAIALQLPIVKLVDGSSGGGSVTTISKTGFSYVPPLPSFAQVVQQLNMGIPNLG AVVGPAIGLGAARVVACHFSVMAADIGSLFNAGPNVVKNATFEEGLSLTDLGGPAMHC TNGTIDNLAPDEAGCFEQIRTVLSYLPDSGTKLPPAIEVSDPIDRISESLRSIIPRAR NRMYNPRKIITEVVDQGSFFEIGALWGTTAIVGLARLAGRSVGIVSLNCEVNAGALDA LGSQKITRMLKFLDVFNIPLIQFVDVPGYAIGTAAERSATMRHGVQLATTYYSTTMPI FSVIVRRVYGVAGGIMLDCRDPRMRVAWPSGMWGSLPLEGGIEVAHSYELKEIEKKEG KEKRDAKYKELEDEYRRLMNPVRTANHFGIEEIIDPAYTRRVCCEWVSHVYENLLPER IMERVAGRVKPTFA CC84DRAFT_1156149 MSHPPQRPIQHLLVANRGEIATRILSAAQELSIKTSAIYTSGDA SHTSHADQSIELPSTSIFMDIASLIEIVKKHGIDTVHPGYGFLSESAEFARRMWDEAG AIVIGPGWEILEATGDKLRARELAEKCNVPVSPAMLTPTSSSEEIATFASRVGYPIMV KAVDGGGGRGIRLIRDGSSLEAAVKRAVEESPSKTLFAEKAAVDGFRHVEVQIVGDGQ GNVTHLWERECSIQRRYQKIIEIAPSTLQDRRIVARIVEDAIRMAKSIHYFSLGTFEF LLNPSTGTYYFLEINPRLQVEHTITETLTSIDIVRTQLLLAQAATALPTPQDPLTPPQ THSIQLRITAENPAANWTLSIGKITNFEFPAGNGIRLDTHLSHAQATVVSASYDSLLA KLIVTASSWDACLQKAQHALSRTHIAGVKTNVPVLRAVLAHPDFLAGKCDTQWLEGRM QDVLAQSQSMAGTSSRAARDAASQPAATAAAAASTLLRKGDAWALTLAPKMASGPSRD TEPEVKSHLEITRVLRNEFPHALAADVRFTTPTREPIDYTLSLSSTSASASATTSTNR RANPADASHVAIPFAGKLVEVLVDEGDAVREGDVVCVVQQMKMELEVRSARSGVVTWV CEADEGDEVGEGTLAAIVMSSHEARL CC84DRAFT_1222427 MPPKAGFSRAKTVGYDDDDIYDDYSEEEYVGEGEGEAMSEEDKE QMAAGVIKVREALGSEYSKVKEVDIQDALWNYYYDVGKSVTFLKNKYAPKAPAPAKPK AVSRFDQAAGAADAKTPTSTGKHMLICGTESTARAMSNLAIDGEGESIASLCETLRDG LAAMSRETPFTERTARSQASSCASDYTSARTKDFFWDVPWGNVPCSRLATITAQPATH KGGLLGGSSKLAALAAKRKQKQQEEAAAKADAAANGLAADKAVALLDRLNIRDKPASA PVRDDTKPRYPKKRSETPPPPEPAPVEEEPIPEPQGIRIEFPNLRAKQPSMFGAILCG SPQDEPRNQHVESALNSFPLPYANAKAFTDADPFSKPSPDDLVRQAQARSAGAPKSKT KTKGSTDGEKLAESVEKLVVETKVKSKNLNVVDEYRNCGLKKVANFVVIGHVDHGKST LMGRLLYDLKVVDERSVDKLRQEAETIGKSSFALAWLMDQTPEERSRGVTVDIATVPF ETEQTSFTILDAPGHRDFIPNMIAGVSQADFAVLVVDAQENSFQSGLKGQTKEHALIV RSMGIQRLIVAVNKMDTVSWSKERFDKVRQATTAFFETASFSLKNITFIPCAGLTGDN VTKRVADENAEWYSGPTFLEALEASEPKPRALERPLRLTINDVFRGSGQNPLSISGQI EAGTLQIGDVVLALPSRETATIKAIELPDGSPADWAVAGQIPTLHLVDIDVVHLRLGD LLCAPTAPVRLVKSFTCKMLAFEHVMPQFVDVFRGKQQATGLITALASILDKNTGEVT RRKPRIVRPGEVARVRIELEGSAGLPLEVGGRIVLRDGGRTVGAGLLESYT CC84DRAFT_1263820 MVTLTLLATFIGLASVGQSHMNFARIALNGEWQTPTRFIRNRTT PFVEPHSPPAFVENRNYVDPTYPSDLPDSVRCGRDNVAHAQGTEILKVKAGDTLEFLP VPFSPLNWNTYNEVPDVQWYNCSGGRGGCSEESPNLFSILHPGPVIVHLSKAPAGQDV RAYDGSGEWVKIYTVGLEIRPELEEPVFWLPLDGNNGSRRFIFKLPKQTPAGQYLLRV DHIWPGVIQSGIVPQLYPACAHIEVESAINGELPAGIKIPEGLSKTSPGISVSDDQYY GRKVDAGYVYPGGPMWDGEKLVEDKAPSS CC84DRAFT_1168971 MPGVPLDAVDKAKAVFKNIFGSKKKNKKDQTTPTAATPAEPSNP TTKPTETITAAEPAPATAPETVPTASKSDAPAAPAPAAAPAPVAAEGEDKKAEQAALA EVKKATQTPEPAGATAPAPPTATAAQEPAAPTATEPAATEAKATDAPAPAAQEPLPEV PAAKHAPGMSATSGPLSDEPDFGAPEETAASAPAATVPTTETAPAAAAPTEKKE CC84DRAFT_1156155 MQDDKSSTPLPSRALERLDRSASHRFKVPIKCINDGDHVSFFLS SKAYTDIMTFIFQLNVAMLPRKTEGETSGVASAKEWTLQDPDVPFPPTVQNLAKLLEA LSRIIDEAPPDPGPRRFGNVSFRKWSDIVRERVSDLLDQYLPAEALGSFKDSSEGDVT AKQEIETYLIGSFGSSQRLDYGSGHELSFLAFLGCLWKLGAFPPGTDGATERAIVLGI FEPYLQLIRRLILTYTLEPAGSHGVWGLDDHSFLPYIFGSAQFSPAVSSPDEFRMEGS LPSAPDPGDVAKATAVERERNRNMYFSAIGFIYDVKRGPFWEHSPILFDVSGVKAGWA KINKGMIKMYNAEVLSKFPVVQHFPFGSLFVWERDPLASEIQASVHTSSQPKSNAPSV RPQPAMRDPLADGNPQTTKMPSSGTAAPWASSRIPSRAQGPGVPAGPNQPTRAPWASA TPLPPSGGTTTTTPWARPGAAASSTTRGTVPSTRAPWADREPQN CC84DRAFT_1263824 MSDYKKRKSHELAELLVRRGLDASGTKTQMMSRLVMQDQEQISA DRALAAAIQAASHNPPPQKHGNENEQSQGEWSKVKSTSADRGGAQKDSEMMRKARLEK GGQSVKSKGKEVGGGGYFGVLGEGSKDVEGTGEVDEEAFVKSLMAGGAMGGCKAIYDS QHNSGIVVNPTTAVPTMRGGAGRARTQRNTSSTRPVDDDDSLSDASTVRPLSVVAPER IPLPQDNDDQEKSGKSGRKRKAKSGKTGGLTNEAAFHALHGRPVRRAASNGSRVSMKV FFWALFIAMAYISWLKPGTMTEVLGYLVAFVKDPLGSVYQSITGPK CC84DRAFT_1263825 MDSQISHIDMVNITRPQSKAPSMVNEVPMAPRPRLRVKKQPPQK SINHFWDNFTTKHPGKVFTVLPDNIYAKRAAANAPRGSIPGQNALASYQEAADACMKK VSKIVKECRRLNQKYRDPHFDIEADFKRSQQNPEIPPDCLAALTEDTTTFQPMSVKRI EDIFDRPQFFIEGATANDVRQGNDGDCWFMSALATISNKEELIQKVCVARDEQVGVYG FVFHRDGEWISEVIDDKLYLIKEDFDEATLGRHQWLELQNRKSPEDEYRTAMQTGSRA LYFAQCSDPNETWLPLLEKAYAKAHGDYSAIDGGFVGEGIEDLTGGVTSEVFATDILD KDKFWREELLNVNKTFLFGCGQMGGIYGQRKGIQEKHAYSIMEAREIDGQRLLKLRNP WGRTEWTGRWSDGSEEWTPEWMQKLNHRFGDDGVFWISYQDLLRHYQHFDRTRLFGPE WTVSQQWTSVNVPWSVDYLDTKFKVHLSKPSPVVIVLSQLDDRYFEGLQGQYEFNLQF RLHKDDEEDYIVRSNTAYFMKRSVSMELDLEAGNYTVLLKVKATRLDDPTPEEIIRLN CNKRREKLLSIGLSYDLAHAKGHFREQEKEKLEREREQKIERKKAQMKQSYEQRQKLR RKAKLRQQKKAAKQSHKKPKITELRDVEKRLEGLAGLGINVDDEGRPSDTEPEIKPMH PNVTRHTRAASTGGVDMARQRSSSPNGGYGGRGGYNRGRDGYNAALPGALQGRGGYNR DAGQGSSMAEALAQANQTVPTQRSSSPSRGYGGRGGYNQNAGFEGRGGYNQNAAGFEG RGGYNADAYQGRDGYNGRDGYNGRDGYNGRDGYNEGGQTESPIPTPMSEEFHPGTPSQ RPYSPRPDRRPTQPSPAASRRTTLSPIPGIRPGIYVPRSRGASVASHRARHGVCSPSE MSDYSSWDSELDGPDSFDSDFDPSDDDVPPRFYEQPRYRGRYVYEDEEDEFERDPWNA VCVLGLRVFSQDTDVTIEVQNGEGERKRSDGDDRSSIISVRRKEKALDVDDSAADATS PLRTRGNLDSALSQKAQFIGSAPAGKEMDRQGTLS CC84DRAFT_1222434 MLKPGDKSSPEFARQEDIVYHLVLIVYAKQPKRGRYIAPFIEPA GLEQNIQETVQDFKTVVPSGAFLSGLLNTPVTGLADAAEVHTPLHELEGSISSLIQHE TQNYIKYCYQTEFWSFEKLLYTVVVDTPNTRIGKHKKAYQYVSKVEVQANFACLEAAV ACISTMVDRWEKDMGNHQGKIITHGRARRIGRA CC84DRAFT_1222435 MEELERGLVHKDADDEEQGDDVDDDGVEQAHWLILAANAHFRSP IKEVICAFRLTRCSADIQSHNTTTMACLCAENKAPDQKGQRMFSRFTDFFNGSHSRTS LSSSHTTSLPAASPLGGVGNLKPRFEKVGLHPSERSHVYDIMESSPSETYRVLHLEEW DKLMEENKKSGHLAKMDLYKEREELKQCEEGKEEQVTESPPSVKSRNNSMKAMKVLRI ESGPGEKKGSDTTGITADFRDSLTVKFVKSLFQNEEKDSSQVTTSAPLAEALGNEYEG SIPGSIDSLRAVANPTPSRHPSLRHSPPLDDLHTSAQSQVPPIDPILNIRVVSDNDSI SVNDVVIPHERAADAIDLQKYRFSRFYAVDSKTSSAHTHMAKNGLLRTQLHARLTGSL LPLTYTVLLFAKAIQGPYEFFGAVWKVLVLAIDYTGLRRLMCWNEADSSDVLLVPLEE YGYKMKQCCTQIIGTFMGAFAQALVEALRELDAEDAI CC84DRAFT_1102666 MFGHKKEPDTSFTQGFAQYVSSDEATAMGLASIVDNKAHIGVDT NNTYDLGSTGRKSVRLQSYGTFDQGLLVADLAHVPVAGCGQWPAFWVYRGEQAEGYSE IDILENVDKSTANTHSFYTSEECSVNFDVGNPLKTDNCHYNPQGEGLQGCSFGAEEGT FNQAFNEQYQVIALQVETDTMRIWHFRKDEIPADLNAGTPDPSTWKTPTVALSPKSCD FQKAFSQFKIIINITFCGSWAGGADSWNEQCAAETGTDCNSWVANNPDDFKDTFFEFN SVKLYQRTA CC84DRAFT_1199662 MPTLMSPPESFPGHARIQKKATRSIACERCHSRRQKCSGGHPCS RCGETSPPADCVYPSRDRKVKLSQQYIENLLRENELLRSNTGANIGSTPEARRLTPPP VTVEQNTESQETTQNPLIEERPWFHPVSALESPLHVGEASDAAFSTRFRQTVATVCTN HLPRTSFIKDEPLTLLAETDRQWPTPARARFLVKVALNTICRYYHIVRKSVVLESLEE AIKLNGKSDRLKVAKLLALFALGEAYSARSADQGSTFPGLIYFVQARRMVSIPAERPQ VDSVEIALLLTLYSFILNRRHSAYVLASSAVRNSLVMGMHLNVPEHQYHNRRAREHRI RLWWSAYVLDRSCASKLGLPMSIADDDIFVDPPSGDGIDDADEDFDDVDYTLRSIEIA RIAAKCTREIYGRRKFHSPFSQRVQSVLKEFTKWMDTLPAKFHLKNDGSSSLQRHHIV YLHLRLNQGVILATRPILLHALRIHQQSRKDPVPDPVPKLSDSARTLAETCTQCARHS YRIITDAWIHGTFATFDYFNTQYLFSAATILAVSSLLHTPQSKSDGENFDNAVELLRQ LAQSGSFGAKEFCEHIDAMSQSMAAARNEVPQSTLGTPAELQQPSVNSFAGTSMTAGM ALADPSFQGFLAETDLDMQALDNPSFYGLQTPYWPEIWGDDWVTPSNV CC84DRAFT_1231582 MAVEAAVSQPHTESASPAATITSSNALAKVETNASALYDSIPMW RKCMIVFVTSWATLAACFSSTSLLSAGTEIAADLGGTKEAVSLSTGGVLLALGSSPLI WSPIAAIIGRRLTYNICLLFLFCFTIGAALAPNMRVFIAMRVLSGLQGCYFHVAGQTI LAEYFPPIQRGTATGFFLAGTVLGPPLGPLVAGIMMTYSSWRSVLWLQVAMAGFAFVL ALVFVPPSRVDKPGHFALNLKGMEAVRNFDPLPVFQQMKYRQIFFTHLSCGFLSWTQY SILASPRHILVERFGLISPLSSGLFYIAPATGFLVGTIVGGCYSDLTVRKFIKLRGER LPQDRLNSGMWSFFLVIPAASLIYGWSLQYCNICTAVKGGLALPIVTTFLAAAGLLAA FASLNTYCAEAIPKKRQEVITGKYLIQYTFSACASAGTVPLIDAIGIGPTATIGAVLC VLAGCLTFVTARHDVGGVENKEEKKFDSWLEPCKRIKLLPTNKVFPIA CC84DRAFT_1231586 MADKMLQQVSWTQALKGKRIRESDSDSEGEQTLTIAKTPREKPG KKKPRGNNPVKMPKGSPQSASAAPGTRPTTDRQEYVIPKRNVFRESQMNRAELPPSPP ETPVAAPSSTNKSTETPVSSGAQWRHLGLYEFPLHEPEKISFPQYTWTVAWQAVEIHQ DASASLLLAEEIAHMQKETTEEFARMRKEFVESRQHQVDPDQEELKRKLGVAENALAI ERHEKKDLQNKLAAERQAKKIFEDELTADRKAKVSLQNELTAEQKGKAYLQNELSLEQ KSTKSLRENLATARQEKEDISKARNKDASDYSTKLGELEKEKRDLSEKFSAEKKKCQD LENSNGVYNQQYQQFEEQKVQVKEQTVEQCKNHVLKGLVKLLADYWNDSSLTVDNIAP ELHVAFFDVIDGNSNFDQLADHVNSYYNQFHWEPTNIEGSIEQGIVTDGTQRSASAVP QPPTLDWQQPAQANTPASQALVPVSQPVEMEVESTQVVTKTPVGTILPQMSIVNPNWT WSSPTQPSSVIGVGYATPESMEVDTTKPRRKVPCKYFSSDRGCNKWENCPFLHDEAVN RLGSAAPDPMEVEASKKKKISCRFIRSPGGCKQGAACMFRHPANSSTPQQAPSAGIGA NTADRTEIETQIRKQLPECRYARRLGGCTRQNCPFTHPADPTVAQQSSATGLSTDTTN AMEVEVPNQRPLCKFMLHPGGCKKRDTCKSTHPDDFDCCFAPETVAILELEVLPAQRA LFQAKSEEAKLQPIVQMVRGYYKFIDQMIGSARQASLNRKNRISFMKMMDGHIGAVGV LIHDAPPSLIRRFNLRANGFLAVGSSIDGWFDAGTNMPEDCIDSWMLLKMFDQVMVDK IQLPVHIASSPVSTSAPPAWNNEQSHDDSRGTTSLGALPISQLDQDLDAIIRSGAQNA NHRRNRAPPRSSRSVQPYGRHRGTQPSHGDHFGDGNRLNLRAVNSGRIQRSTSPRQPP TGPRQQAYPGTTERNVYAQDRQQNAIRRTTFSQASERSAHPAAGLNKYPAPEDPPRIE AGRSKPGLRRTYKESTPGERT CC84DRAFT_1199663 MKGYVLKGAALAVSALGLASATAAREDVLVSKRHVKRGLDCEGN YNISFFHINDVHAHLDEFSSSGTDCTRPERGCYGGYSRVKHVIDTQRPNYNDSLWLNV GDEFQGTLFYSYYRGEKIAETMNQLGFDAMTLGNHEFDGGDEELGAFLQNLTNIPVIS ANIHSTNEILNKTIKPYHIFWDKGLALIGVTTEDTASISNPSEGTTFSSAVEAVQNAI DEIKATTNITRIAAITHIGYDKDQELAKQTSGLQLIMGGHSHTLLGNMTGAKGSYPTI TTNKDGDEVFIVTAYRWGEYVGYIDVTYDAAGKILAYHGAPIHLTNTTKQDENLQAQI DAWRGPFEEFAKEVLGVSNVELDQTTCQQKECLLGDFMADAMLAYRVDAGEAVDFAII NAGGIRATIDTGDITRGEVLTSFPFGNSIVELTISGANLRKVLEGIVSGVSQTNGREV TSFLQISSSLRISYDAAQPAGSQLVSVSINDEALDDAKEYRYVTLDFIAGGGDNFFEP RNDFVALDTQDEVLVQYIAKKTPVDIALDGRIEAVYIRV CC84DRAFT_1130044 MPKRKRAEPSGSKSPESTADPSLARRKKQCTQRIAAAQKPLVAA LRIAAGLERQKHSRRKKTVQSNKDSKGLARIEAEYAHLKSLDLEKVADQHLRKTFAKV KTLRESGCMPESVGEVEKGSSDAASLNVKGRLFKVDAVRKVVDELIDELKEIVGATAS SATAEGKEGAKSKKARRQEADEEAEVDGLAQEDGEDSDAFAAFDAFIAAPSSAEDDSE DSLSDGHRPPSEEDLASEGESEDEDENEDTSNADSVDGDDGIPAFHTFSNDEDSASDS DDLSIPLPKTKRKTADPKASADSKFLPTLSHPTYISGSESEASDIEVAPRKNRRGQKA RQKIWEQKYKDQAKHIVKQERDRGWDAKRGAVAERGARNGRGGPPRGRGPEISGANEL PLGPKKPKRDDAGMLHPSWLAAKAAKEKKMDVKPMGKKVVFD CC84DRAFT_1209792 MRCGNVLILSRARAQVYLGALRPPSNPPITPPSTSSRPLSSYTT AEPRIHRASTPECYNTQQNADEVVTQYAVAREVAETASMLVEVNNEVFEYEKAREGAV KLGQKDREVMDRLREKVQALGASGGRRGRAGPMVGLPCRGGGLIDGPGTAALACAGC CC84DRAFT_1168978 MPHFTPTRALIVLASFILLSFLWTWGLPHQYAAPVLPALTDLAT QGKPAAGQLLPEPLVENAGEEINGHGKGTPTTLKTEIAPVPTRAADVGSEKSCKEVRG AQDVMVVVRTSRAEVESGLPPHLLKLLACAHHVLIFSDHAGEINSYPIHDALDLTPKA TIAKHAEFIEYDKMQADKNYKPTLEKAKALDKWKWLPMVYRTATMAPNHRFYMFIEPD TSLSWTNLLQWLDRLDYRIPYYSGVPNTVDDTRYAQRGPGIMLSYGALQQYWPRYEEL YASDWEPQVATACCGDVVLARAMKQARVEFYSSFGLLDPEMPSNLIWGQKFWCTPVVS WHHLPRAHADLLWNTQEAWTRKHGWAKPWTHYDAYETFVKSYLAELKEDWDNVSSDTQ LVAEKGRREKLTQQKQPANATPNDLKDAHTPLNAAKRDEAPDALLQAAADSPASCRAM CLQTDDCLQWKHSSAGDGACHLGKALRLGGKVATPDKAARWTSGWVLDRVGRLAEEWG RCEQVEWKFNQ CC84DRAFT_1168979 MLNIFSSLTKNGWRETFRILRHSENIIRKQPSSPRKKYVHVRRR DRKGRKMRAAFQLVEEQGGDGTGRTYRRVNDGWEVGEGEVQEIILEKKTVVSMDANGN SQRLDRRKTTNRKRKVRAEGRELRVGGCCPTFLPSHTQLVWLVRWLNSFLGDSINKQD RKAKTPPTGTQIQWRTTVYANSVTTSIQRRELERRGVALLEAKGSQSEDYSPERNVKK EPSQHFRPKRSNKSFLTAGN CC84DRAFT_1102797 MSQQQAPAMKRRRTDSEALTPPVSVDDPEGASLLSRAVHVLSTA ATALSQVTILYQSDPTAREGLMQAVECIRRVKEAGGKLLVCGVGKSGLVGRKTVASMK SLGIPCTFLHPAEALHGDLGDIRPNDAVMFISYSGKTSELMAVLDHVPSSIPVLAITS QTKPTDCPLLRDRSEGILLPAPIHELEEVSFGVCAPTTSTTVAIAVGDMLMLTLAHAL HADNTKKVFKKNHPGGAIGENAKRKAAELDTDDVTKCMKKHAGLLSPA CC84DRAFT_359872 MTDALNDREWRRSTSTAGQTYVVSTSRNLPHDFVQKAFDNPAMY WARPIAPDQMKTMLDHSCTLGMYEASGSERRPIGMARMITDYVTFAYLTDVYLLEEFR GHGLGQWLVECCREVVLAIPALRRVVLLTDSEQAKQLYRRELGMSVAGIEETTVAMTV RQAKLQALFGSSGTTR CC84DRAFT_1168982 MSNNQEIHEFEPIAHERFQGNHDFEPRGQEAEALPPADGGRQAW VFLSVCFLLEATVWGFPFAFGVFQKYYTSADLFKDDSKGIAVIGTTATGIMYFASPLV IGFTSTFPHRVRGFSAIGLSVMLLGLICASFANTVWQLILTQGVLYGIGGSLLYLPSI VYLDEWFVRRKGLAFGIMWAGTGTSGMLVPLLMTWLLEDYGFRTSLRIWAVISAVLLF PSLIFLKGRLPVQRPSIGVGLRRRLDLNFCKTGMFCVLMTGNIIQGLGYFIPSIYLPS YAKAIGLSNEASSLTISLINAAAVVGTIAVGALVDRVEINTAIMITSVGATLACFLVW GFSTSLAPLYIFAIMYGAFAGGYTATWPGITLAIRENCRDDNGVSRVDTGMVVALFAA GKGIGSVVSGPLSEVLLEADGWRGKAGGAFGTGYGSLIVWSGVTMFLGGISWFAKKLG RI CC84DRAFT_1209796 MTLGNNMGDNFKRLGEAAIPIPTIDWAYIRSSEQAIAALHLRAG SLRTNVLELFDVANNIGKDEYLTGSTALHVTQQKRKEVAAEIHSIEVDENQNLEAVQK LETELREHWATYAAYQCRIFCDCVRQKLPRELRDMVCQALWADAHHTITDWNLRALSI APENPVALVESWSGRDTSFCFEERFVGPDLQHEIIEAWWRMSVFQFKTSQLIPKFISE DFWCATVKDQMKNVLVDLSYREPNSRFHLGQQAKLGPTVAGTDLDTELAYIHALSRVS KVALAIKKRDFRKSLATVQERQRRFLHAASKLYPGLEKLQKDGYHVSVIVDGDIEIKV EGFDISTDGWRKQIYDAEELAPHFNQHRGSNVAFGCGTKVQVLPRSKYTFDGKVPQDK MSIWHDALQLFLRMRIYNPISSGPHSTVLRLGICLQLDGTGGWAAWLARPPHSGNVVL RSAFLGPAHETGRLTDQAKMSPRIKAGGAPFQRRSLERWENSAASLRPEQITGGATRA PKTASDKPPYGKDLAPS CC84DRAFT_359723 MSALAFLSNAASSRRYVLVGCLIVFSFFLLSTHVYQIHNETLLF TPYHASCTSFDGIKNATWPRKLWQSWKDDSEDPTDRTKGLGHEWRTINPEFRYERITD ANEDAYVLDNFPKVVSDLFTSLDNAVMKADYLRYLILLKEGGVWADIDVKARKPVKDW IPQEYKDKVNVIIGIERDENRNKIWDGQPYSIQLAQFVMMAKPQHPIIEELVLLTEKN LRKLVAANHAGESASFPDIMGTTGPWTFTKIFMDYFTKVTGIEHTGDEMTHMEKPMLI GDVLVMPKISFGSLGDVSDDHPDVLTKHFFIGSWLCKDGKMIEGSPVDPKVCEGT CC84DRAFT_1130058 MTHTSGYNVAVGLIVAVGSFTYGFGFASFATSIGQPGFFAYFGL SQTGPGASYTNSIIGAVNALFFFGACLGGLFGGPTADKIGRKWTLFGAALISVIGGAL TAGSVHVAMLIVVRILQGSGLGALATLVPIYLSEASTPSKRGMLTGLHGFFLVSGYNI SAWVGFGCSFSDNLTFGWRGPIAFTCIPPLVLLIGCFWIPESPRWLIAQGRTDEAWTI LSRLHHDPHDEDQVAAHEEFYQMKKQIEFEAQNPSGYIAIFRTPSYRKRVFLSCFVQY AANATGGLVINYYSVIIYGNLGLSGHMPLLMYCIYTLIGALGNLFSLLTIDKTGRRFA LLTGFTGCMVCVVIEAAMVGAYVDVATPSLGGQKVAIVAIMMFVFFYGLFIDAASFIY SAEILPTNIRPHGVALATTTYFIACITFVTPGATAIAAIGYKYFVLFACLTLVSVIVV YFMYPETKGRSLEELAELFGERVVVRLTGVDGEEREEVHAEIKREMVAERVEKV CC84DRAFT_359673 MLGPPWGTSGGNQSNTSTGTGNAPSGNGGLGTGAKAGIAVDVIL GIWIIALVFFLLRRRPKRKTLVTSRPREPYKPSDEKQVVVPVSSEISQKPELHNGSIV RSTPELHSEPVVDHGSNYSHLYPSPGQWQQQQQRPAELYNSIGQPNELCCSANASPMA LHSPPRNQDVELLTGATHPYKYPRQPASRTSTCASTGADIRNRAATCGRKTLRRWRKR SRG CC84DRAFT_359633 MGRSEAGVVDPAARDKYRLQVTAGHSYDTSTHREVAVNSGEAHL IENDLMSCWLKIQIKDYNGLPHNSPETSDYFQHPLHTSDRYSIGFSFVPKRDIKGSDL VTGFDFDHSIKDRLPPGFRYAMRIVTTILDPGIYSDPYSDKPYLYGPALSSFFAFRIG EHTSDVPAEKQLASFESDAKGVIEEGAHGSGQRIRADCHIPAKTSKRRKNFLDEKKLE KFTFEAGRMYQADFFNPYLDFANFALRIPGFSISVVKYIDDKTHQLRYVLKDRSTEEV LFVVIFTLLFGRKLEETLEGTETEHGTTQEVPIKPEHETPERHASSNTSSPYETSTPS SRSRSSSAATSDYEDERETRQDRPTAAAALANSIYSGFAALGFGRAASTSTYASSKSG SPERSGKTTATPEKPKTLDEKVDEMDDHTIEELLKDKHSSV CC84DRAFT_1222449 MWTTYFDVALLSSPVLAFLTIQHPKMHSKPSSLQYFLVSFVSLL FLRCAASTYFGTPQHTSLSVCTTVDQPNPIASIYPNNATGTLNGTVAVIPISLKLARQ LIPPQYGILEHAYRALLPNFPQGMYPAIVQAVHDHEVQAFGYKIDDFSRTGIEFPFVD LLNDNHTSFKWAPSLIMSAGHDIALKGAADYGTNVFPATFEPGCDAYRAVPKAKKPGT TYFSARSLTGAEGLTTLFSSTEEELFPLSFFKNFTNQPTFADGKTCDNMIRLFNTSLT TAPNGIERVKGTVRATIHPFAEEQEWRNVYGLRMDTAFIENNYLSCEDFRGYSGLE CC84DRAFT_1190875 MRSFLSFALLPALIACAHAGPDYHERLLLRPLPQDALLASFNFR SNETAAAYEQQNFGLFPRSLGQILQHAHTKELHLRFSVGRWDADSWGQRPWYGAREGS NGVELWAWIEAGSDDEAFARWLTLVNNLSGLFCASLNFIDATKTIRPVVSFDPEGDHA DTSNLHLLHGILPHEVVCTENLTPFLKLLPCKGKAGISSLLDGHKLFDAAFQTMAIDV RPVCGTSGRQCVVEMEQTVDMVLDIARSKRPRDDPIPRPRPIEDIECDTSKSYNAPDT CYPLDKKTEPAWKLTDVFGKPLRGACPLTAAEGPESETLCINAPPERTIHVNTTGQYT ESLSASDTLRCYKLPTGTELDLVLPEQRMTTGLVRGQPHLYAARSINGHGQERGSVQA VIRNPSPTDAVEFTYLESLPWFMKPYLHTLRAHVSASDEDPLKETYYRPAVDRIRGTH LELRLVIPPASTLILTYDFEKAILRYTEYPPDANRGFDAPPAVIRILGPFQSADRKGM YLRTTPLLLSLPTPDFSMPYNVIILTSTVMALGFGNIFNLLARRFVGADEVPAAGGLK GIIQAKIQSVRTRFGRA CC84DRAFT_1222451 MTGFDQQAPKLDITDPKVCKSYLVGNCPHDLFTNTKQDFGPCPK VHNEALKTEYHDASEQQKRTWNFDYEYFLDMQKYVRQCDGRIKEAQGRLEKTTEEIRL SNQYLNTIKELNRSIEAGMLEVQIMGEEGMVNLAVNEFYKLRVKKAEKEETEKMLRNL TETGGPSGHQKLQVCDVCGAYLSRLDNDRRLADHFYGKMHLGYAQMRKSYDALAKVVK GRAPPARDSYPPGEDRNGYGGGGNWGGGFGAGGGGYGGGRGGRRGGRGRRGGGW CC84DRAFT_1222452 MALPSPGALESLGNVVPPVALPSPLDVSFPADVSSLPADLPPLA TAQDANAGLPGSTPSPATVPKAAIEQPVDTLGGRVYMTNVTLAGHPYTLILDTGSSDT WIAASGFTCTSRLSHARLPQESCGFGELYDVVDSTTYNPIPGRVFGVKYSDGEYLMGE MGVEELRMGDVDGSKGGLTVRQTIGVVERGWWMGDGRSSGLMGLAFPTLASNYHDLNY TTVVGSLFETHQVPPLFSLALSRPTPQSPTAGGLLAIGGIPDVPYTPPFVRVPITPVL AHTYAFYTIPVDGFAITPPSGPATVPVANMTSSTVSGTSQRAHRQDGAAPLQMILDSG STLLYLPDATADYLASLFSPPARYVPSSNTYITSCRAQQPRFGVVIGGTTFYINPEDM LTREGRGRCSLAVQRMEEGDAVLGDAWMKNVLVVFDLRPEGEGMGVWIAAREVY CC84DRAFT_1168991 MLEQLIIIIDGRLGAHRHAMLTMQQIIEARFSEVDERFEDVEAV AGEVETAVQGHHVDIRFIRDSIDAFKAHVEKQHGSLYEYFRITKALLDATDADVRVIR ENVTGLTGQVSKAVQRICSLETLAKEAEELFKQQLAAVQGNITTINQRLTSGLEKLTI TDKKATAAQTQIAGVTSKLRALQDCFATYNAWWADANTQFRTLLANIAKTNMAVATLR NTSTLHKDYILFLYSQVLPEFSRDALQTYTDTVVDAQPGAPLQVDVVLNERVQSALLR MLGEQMGPEASRAGSRTAPARDQQGDEALKPGPLRIRKKAPVGHAAEALTDRFLRELE AAQCGPSAGNE CC84DRAFT_1168992 MNSPVPAFLPWATATAFVPIATATATPFPPAVLAQTGPVVYPSI YLPDLAIWVTCPSTVCNPIGSNSTFSLVDKSVTLYHDAVNFAARIAFGKSPTPAPVLN HAVPSVDAPTPTRKPHTQTVSAPDVQSAYLLPPGSYLLWSPWKEDPRVKWGYLYTVAQ IRNKTWWALEKSGILAFTHKHCPVTHKSGPYIWYQGGPFVFEWIMLLGQLLWIVLLLL LMACLAMAGKAASLALLVKEFALDRRPWVLERVVRSPES CC84DRAFT_1168993 MKRPHAAPKPAHPTHKRQKLEKPSAPAKPPAPRRKIRLDDLSWK AVSMPDRLEDFEGFYGLEEIDDVEVVREGATLSFGTRKSEEQLEREREEGRKREEDEK RRFEEMKDRQGEVDLDVGVEDEAVESEWEGFSDGQGDEEDAVGDGEAGVEEEPKPMSK KEKKKQKEKEIKERKENQKKEKAAKKEAADPKPANQAKDSKDQEQQKSNEKQAIGNGA FDLLADKSEDEDEGDVDVSAWEDLGLSKQTLASLARLKFSEPTTIQSLAVPEVLAGRD VIGKASTGSGKTLAFGIPILESYLKSPPNAKNHTPIALIISPTRELAHQLAAHLTALC ADGDFKAPSIATVTGGLAVQKQRRQLEHADVIIGTPGRLWEVISSGQGLLASIKKIRF LVVDEADRLLSQGSYKELGEILKILDHDDNTEEGVEPDPAAEVQRQTLVFSATFHKGL QQKLAGKGPKGGGDLMNKQESMEYLLKKLNFREEKPKFIDANPTSQMATGLKEGLIEC AGTEKDLFLYSLLLYHPKKRALIFTNSVSAVRRVTPYLQHLDLPALPLHSGMIQKARL RSIERFTQRPGSILVATDVAARGLDIPGVELIVHYHLPRAADTYVHRSGRTARGSASG ASILICAPEEVAGVRRLVAKVHARNEAAPGKRKSAYFIRTLDIDRRIVARLKPRAVLA KRIADATIAKEKTHSEDNWMREAAEELGVDYDSESFEKEAKGRKGRGAGRKKKEKEAS RLTKGEMGALRAELKGLLGQRVNVGVSERYLTSGGIDVEALMRGEGNLEFLGDAGALG FED CC84DRAFT_1168994 MGKSSKDKRDAYYRLAKEEGWRARSAYKLLQLDEEFNLFENVTR VVDLCAAPGSWSQVLSRVLIKGEKFGRAGWEQKQKETREYVLGRSKSNTAEDVAPSSE DGGAVQDVQQPEKRQGVRIVAIDLQPMSPLEGVTTLRADITHPSTIPLMLRALDPDTY DPASTTSSPSAPVDLVISDGAPDVTGLHDLDIYVQSQLLWAALNLALCVLKPGGKFVA KIFRGKDVDLLFAQLKLVFSRVRVAKPRSSRASSIEAFVVCEGFCPPEGFQPSLEKPL GAGTTLPIPDEKPDATKPSRTVRPDGVVEIDLGDESDEAGIEEGGARWIAPFLACGDL SAYDSDATYALPKDRVSLDPVQPPTAPPYKRALEMRKLAGGAYGKTKGQERKE CC84DRAFT_1190880 MLPTTGPRGPLFGLAVSAGFVLLFVFGFLAWQSPPQLPQLPKST THVEMTVTNEGTAKLGIHEIIRQLYQPLKIAPDESSFSFIGEDLNLTSLTLPRDPRYT KQMGKDVLILDLETRPLESTEAFNHGLFDWRKLNHVSGGVFSHYIYSLIHGYDYKFVH AHEFEDRHATWIKPSALAQHIKNYKFIVFLDADATFRFQHLPLEWMFNYWQIKPEHSF TMALDPWDPEAPQYNSDRFNRTYTNTGFMVVQNNAHTMQILKDWHECPDDTRYKGCSE WKMPKFHEQSAFGEYIRYDYADYVKELQCAEANGFPGVEVSNCQGKYVRHYWFEKQKI KEDLQQNMMNAITLPIQRIFAENIGGIITEQKENVLPN CC84DRAFT_1222457 MVPHAVLLPGKLQELLDAINHVAREDPNLKTGDLEACSELWQSS PRIYYRRPRSRKELDTREEGNDSDDDDDDDDDYEERKGAYSLPLPTPLFTASRPPHTL PFRYKPDTQAVPSTPTTITSPVPFLLLTGSDGLRDTRAPILFSGAPLSDIQTAIHAAI PAHTALCEARINHNRDNPKSHSCPTTPSSSGSPRHRHRPTTPTPTNEQLEEATRAALS RARTASLPRPTRLPVHTRSETPACSRSRPRKQRRTAPTGPAPYQPDSDDGDRGRSRTR PVISRPMLQHPIAVAGVEVGEGRPGGSGSSLHDPAKGDIGGVLEAGRTAARRRGRARD LSREGRARDAVLRGASDTSTWEGLALPSESQPRGTAAQHVFCTTAESDQASS CC84DRAFT_360705 MALPKSGLLSLPAEIRNTIYDQTFNGDMSNADMLALMNTSKQLH LEAGSRFYANNPFIVRVPAPSVPGATVLPPVNDRYLPFLKDLGVEISAGCATRPRVQE IAAAITRLTTIGAEFDRVSFLIQFPPELSFFLQDRYDDPILDKSHPITTALHNLLDSG VSKVVHIWMNGAWFAPGLATSMKARYCSGLKFMLINEEHKIIELGDPFVYERAPTGLC SCTPIKIFGMIEDSGDDLNTVVGLDLDMGEHTLELHRTDHEPFLGVDEDINTKAEEDL TDEDDIDMEDLIAFDPADVDAIEENWNQTFSLLDHEEMMTKEIEFLVVMAPHMLLPSS EAAGIVTAPQPAIAMTRP CC84DRAFT_1263846 MKIKCAYFGLFAAHCLATQAAQCWRQTPCSGPDKTAFPGEWERS IYAPSSRTVAPEHVFTTRDRKSRPWTGSASLSGNGSNVVLDFGREVGGIVSLSYSSSG SGSLGMAFTEASTWIGEWSDASNGKFAGRDGALYANFSRAGNGTYVMPDKVMRGGFRY LTVFLISNTTTTVSLSDVQLEISFQPTWANLRAYQGYFHSDDELLNRIWYAGAYTLQT NSVPVNTGRWVPMLANGWANNGTLGPGDTIIVDGAKRDRAVWPGDMGIAVPSGFVSIG DLDSVKNALQVMYNYQNKDGSFPEAGPPLLQQNSDTYHMWTMIGTYNYMLYKNDTAFV QQNWDKYLKAMTYIYSKVQPSGLLNSTGTRDWARWQTGGNLTEANVILYHTLRTGASL ATWMKDTTGLSSKWLQQAQSLRANIQAHCFDDAYGAFKDNATATKLHPQDANSMAILF GVVNASSSTASSISSRLTENWSDIGAVAPELPENISPFISSFEIQAHFTIDETNRALE LIRRSWGWYANHPNGTGSTVIEGYLVNGTFGYRSSRGYGYDASYVSHAHGWSAGPTSA LTEYLVGLAVTSPAGSTWTLRPQFGDVKNAEAGFVTDLGKFVAKRSRYASGYRVTVNT PSGTTGEVSLPVFVKGKIPDVKINGTKPRAARLEDHNRVAFSLEGGNWQIVVTERY CC84DRAFT_1263847 MPETYDHNKKTVTHGLARVNGIRLHYITAGSGPALLLLHGTPKT HYYWYKILPYLTPHFTIVAPDLRGFGATDKPPASDGYDGATNAKDMISLMDQLGHSKF AIHSEDRGATFGFCLAGLYPSHVTHLSFCEMVLSERLTEQSFFTRENIAGQYNQTGVW NWHIPFLWMPHVPEMLIQGREEEFWTHFMKAECYNPNCLEQEAVDEWVRCSRAPGGLR GILETYRAHWANVDVEEEIIRKGKLKCPVMTVGAPEFFGPIVKEQMEMVSDNIVVSEI FNGCGHSLALEQPEKLASCLKKLILSQ CC84DRAFT_1199680 MRFSLLVAIPFFSVTSAASAGGKHKLCKVRASGTNETDDAPAIR SAFSKCNRGGTVELSAPTYYVNSVLNITDLENIQVDIKGKLLWSTDIPYWLNHSLPVG YQNQSTALVLSGNNVRINGHGLGNFDGNGDYWYQWIKKQPNTSNYPGRPHQITFNGLT NSVITGLSFFRSQMWTMSIINSHNNVLEDILVNNTGNVVSSSNTDGANTMFSSNITMR NWTVYNGDDSIAIKANSTNISILDSKFYNGLGIAIGSIGQYFGHFETIENVRATNIQY KNTLHAFYMKTWTADQNGYPPNGGGGGVGFAENLHLKNLTVSGLRGAAFAISQCTRFS GAPGVGNCTNSQFQLRNIGIDGMVGDSKSNRVASLQCSAEKPCTDITLQNIDLRLSNG TAADSYLCGNVVDTRGWACTGKVCEGGSATGEC CC84DRAFT_360740 MNASATIRSAYVRASMMLEFQARLIVLFSSAIFMFAGIVDFPRI ISKESPLFASIVFGPQVIHGFLFLFANAMLAISEQHKWYIPKISDPDWLGAFLNATGG FWFMMAGFFFFQKDELAAAAAAMVGSWAFLVRSLVRWYVVMEFC CC84DRAFT_360742 MDQHTLLDIFHPFLGPPRITHLSAYALPMNAFFSSDNLGLDLLP AEVLHRVASFLPFSSILALCFVNRRLYTVCYDRVVFKHSAIHALHEDRYTSLVELQKC DCQGCVWRQIEALDQESLCSRTTTEVGSEDEWYPEPEEELEQEDEWDFDDWGPDIIQL RARPSQEWLKSWPRSDVFNHLSASDSSRIACAVERAQQCFNVSLTHQSRDLSQHWTRG RWIEWLPHLIALGHSSSLELKPWTLQRLLFAPDTSWGDGDQAKKNPCSSEYIAAGFCM LTSMLMWVETKHSISDDSTREQENIGEQFCLPEPNDYYVWDQMEWLLEEAWEIRDFDL DDRYSVVLRLMFQIWFYHRSHHTPFPSVHLLPFAAVVGSPIPFRTPDNFLTRHCIPRA QLDAYLSGEWLGWCSSFGGPDFPKIQPRLQGIHFVISESHPPYAADIVALISSSSGKD RWGSFILEGTVSIDGEVWFRQRYAESLRGDIYSRPAAIWRYRGVITPFGIAGPHEQDN SHRFASGRSARSRDGFFWLWKKDWTHDWPSAVPKG CC84DRAFT_1168998 MAPSAIPVESQIERAGSTLSNDAINALKRKVFASQDISNTTRVE TKQPFDIISSTIQFRKPEHQFWWDKTGSQLALLLNYAGYSKAEQYTELLFYAIHIVPE LGPTPDSNGHLRWKSPQTPDGTPLDLSWEWGLEGKGVVRTSFEPIGPLAGTEADPFNR YETDAWIKHLDSQGLVTGLDLEWYNHFMAAVLPSELGRVKMTEKLNFELAPVAGTFVT RDIDRTGPIIKLYIFPGLRAQEMGVSNLEVVVGAIRALPEEQYASLRCEPMLEYLHEA AAKWKMDVGIFSFDLISPQQSRIKIYTRAPNTSVEYLMDALTLGGRYDMGMYSAEAIA DVKDFWRIFIGDAPAELPSEVERAGPGFYFTVKAGKPTTPKVYISPASFCKNDAEVLQ RLRRYFATRRNADKMLPQMDNYEEALRKIYGEEFLESTGDIHFYVSCALAKDQLRVVT YLCPQTLAREEEARRKLGG CC84DRAFT_1222463 MAARSPSTRTLSRYFLRPQASLHPACRQQYRPQRYSSAVAGARL NGPIEYDTTPLLHHTSKAALTNPELPDEVRKGQTKRINLYQAVNEALRHALQSDERVI VFGEDVQFGGVFRATMNLASDFGTERVFNTPLSEQGLVGFAIGAASEGMKPVAEIQFA DYVYPAFDQIVNEAAKYRYMSGANQVHCGGLVVRMPTGVVGHGGLYHSQSPEALFTHI PGLHVVIPRSPTQAKGLLLSAIRTNDPVVFMEPKILYRASIEQVPVDPFYLPLDKAEI LKPGKDITIVSYGQPLYTCSAAITAAEKDFGCSVELIDLRTVYPWDRETVLESVKKTG RAIVVHESMQNAGVGAEVAATIQEKAFLRLEAPVKRVTGWATFTGLMFEPLIVPDVTR VYDAIKKTLDY CC84DRAFT_1130098 MSSVRSRGSADVRPWARHGPINIARLALIYGLAPVVKAIPLFHK GAIHTLADEDAPKSPADASLWLYLGIAIALVFGGGVFAGLTIALMGQDEIYLQVIASS GEGSERKNAARVLRLLKRGKHWVLVTLLLSNVITNETLPIVLDRSLGGGWPAVLSSTV LIVIFGEVVPQSICVRYGLPIGAWMAPVVLALMYVMAPVAWPTAKLLDYLLGEDHGTT YKKAGLKTLVTLHKTLGTSPDDRLNEDEVTIISAVLDLKAKAVGSIMTPMDDVFTMSS DTVLDEKMMENILSAGYSRIPIHTPENPDNFVGMLLVKMLITYDPEDALRVRDFALAT LPETRPETSCLDIINFFQEGKSHMVLVSDFPGDSYGAVGVVTLEDVIEELIGEEIIDE SDVFVDVHKAIRRIHPAPRTRVPKGEILADPSQKHTEAVKDGELQVEQPDGGSAKRLS LQESTAPKATTFLLRRRSSEAASQSLDRATTRGIRSDDPDIRQHLKHLGPSNVASRPK ATRIGTVKIKQAVNNGIPNTIPEHHRPTSPVISVKSAHAPQGGVGEGLLESAGREASD GVHSLAVGYGTMAAERLSWKDDQHRSNPADDEPPTSPKSHQANGNSKVAVGSNGSPEE NRSRSKQRSQSVSTVASLRRSPSPSRSPPKKRHTARSGSISENIVEANGVRKIVLETT SSSDSETVLAQTDGAQDQKENTNTDTESTQNDSHGAGNSKKKRKKRGKKKKGGAGGNG ENQPLLGGHS CC84DRAFT_1209814 MTDCISIHPATLPDIPTLAAIRTEAAESSLLTHFQFSPYHNIAA EKESDALVTRLSKRFTDPDGQKFHLIKAVDSRNEETVGWGLVKWEDGSWVNAGAPSSA QAVAPDEEVGPTNPGSFGRYWSRHVIAKWREITGGKPHVTIGAMNVKIAWQGQGIGRM MVDYMYREYGLKNNMVIVQTTASAEKFYQKMGWETVDSTDIDLSDWAGKGMGYGLLRC PQMVKYSWGN CC84DRAFT_1190887 MAPQIGNAADPVLTRIVQQDRTPWYQKRNLRVLYALLLPCCIGI EMTSGFDSQMINTVQISPAWQTYFHHPEGALLGIISAAYNLGAICALPFVPYVNDSYG RRWAIFLGSWIMVVGALVQAFSIGPAMYVAARWILGFGIPFCIIAGSSLMGELAYPKE RPIMTSLFNALYFVGALVAAGVSFGTQHLNSDWAWRIPSLLQAGPSMLQIIFIFFIPE SPRYLISKDKRDEAYQILVHYHAEGNSQSDFALAEMAQIESTIRLELQYSQSSWFDMI STPGMRKRVLVGSFLGLFTQWSGNTLISYYLSDLLKLIGYDDPNFKGKLNVGLNSWNL VNAVAISLLVRRFPRRVMYLTCATALLCCYVGWTVAMQQFLDRHTQVAAKITIFFIFA YQPCYNIGYNALTYTFLVELFPFAQRARGITIFQFFGRGAGFFTTFVNPIGLREVSWR WLITYCCWLTFEIIFIYFLFPETYGRTLEELAFLFETDARAEEVRQRVEKQLQRELGE FDFDFDKASVNRRSDTPLMPRVHSRTTVTAGGRDRVIDERWESGGWAGDDHSRWP CC84DRAFT_1199685 MPDQHPDDTLSGAKRTPSSEGGGSITVTNLATVETQRTESVLGN WLLRVLRIRKGPNNEIYDLDAVATQPSIWDSDNVEEYKERYIHKEWENWSAFDPSFRW TWREERAVRRKVDWKIMVWVCVMFAALNIDRNNISNAVSDNMLDDLGITRADYNIGQT ISRVGFLVAELPSQLISKRIGPDLWIPIQICSFSLISAMQFFLKGRASFLATRYLIAT MQGGFIPDTILYLSYWYTGHALPIRLAWFWMSSQLVDIGVGFAAVGLLSMRGILGYEG WRWMFLIEGIFTFLVGLLSFFLMPQCPARTKSWWNPKGYFDEKEEKIIVNSVIRDDPQ KGGMFNRQGLSVRQIWECSKDYDMWPLYALGLLFGIPKYPVDQYLTLSFRGLGFNVIH SNLLSIPKTVGSSITMLLITAFSELVNNRSFVSMAEDAWLLPCFAALLALEDPISAWA YFAIATVLLSFPYTHPIQVAWTSRNAGSVQNRTVSASLYNMFVQVSGMIGANIYQPAD APRYFKANKGLLVICVWMCVIQYPGTFFYYRWRNDQKAKKWDAMTLEEQEMYRTTTTD AGNKRLDFRFAT CC84DRAFT_1169005 MAVSRFQLKSGWAFKKGCRNSDAEYLPANDLPTEVHLDLLTNEK IADPFKDLNELSVRWIGEETWTYRTTFTAPPDYGKRGITTILRFGGLDTFAHVFINGK PLFDSDNMFIEHVVDVTGSLDIDNGAEQILEITFDSARQKGLELVKEHKEHRFIVHQT EISRGPVRKAQYDWGWDWGPILMTAGPWKPIVLETFESRIVDVWVEYEIDEDLKQVEG RVFVEMEGSGKLDADISKDRQSLEKLDDFKPTTKPGVVSADFELPDASLWWPRGYGSQ PLYVISVTLRSASASTKADTVLDEADKTIGFRKVELVQEDDSHGTSFYFRINDVDIFC GGSCWIPADSFLTRTTSSFYRAWMKQAAEGNQAMVRIWGGGIYEQDSLFEAADEFGVL IWQDFAFACANYPAHRSYLASVEVEARQNVRRLRNHPSLIIWAGNNEDYQIVERYGLE YNYTTDKDPESWLKTNFPARYIYEYLLPKVIREETKGVPYHPSSPFGNGTSTTLKVDP TVGDIHQWNVWHGTMEPYQRLPRMAGRFVSEFGMEAYPHVSTLEKAITDPDERYPGSM AMDFRNKAIGHERRLVSYVAENFRIRYDLEGFTHLTQIMQADALTWAYRSWRRDWKQH GNRKVGGVLVWQLNDCWPTMSWAVIDYYGVPKPAYYAIKRTLEPLVVGVQRKVNDWTV RLADELWQRDTSHIDMRKIWEDVQFDIWVASNMRESINGNITVQFISVRTGKEILNPL ERAVRIETNGTTEVFENEKVNVPNAQDPDKPFDTSNADPFIIYTTLTIHDKQVASDVS WPEPIKYLSFPDRGVTVEYSDDKKKVFVSAEKPVKGFVFAEKEGLKLSDNGFDLVPGR RTEVHVQGSAADGLQWRYVGSS CC84DRAFT_1222469 MADKEPNASEYYGEKNLTEDGRKIVEEMEIEAVNASFATIMAKH KPNPWGKGHLQLYALAAVCFLNSTMSGFDGSLMGSINALPNYTKYYNLPTTGTASTGI IFAIFQVGQMCAALFVWVADWLGRRWLIFIGTAGVIVGTVVTSTAKTLPTFIGGRFLL SFFAQLACSASPLYLVEVAPPQYRGTLAGMYNTFYYMGSILATSSVYGAHKHLSDSNM DWRLPLWLQMVCPGLVCCVILFFPESPRWLIGKDRHEEARAFLIKHHANGDADHPLVA LEMYEMQDSLQKDPVANWRNFFDLRVLFKTRARRYRTMLNMTFAWFGQFSGNNVVSYY LPLLAANVGITSTDTKLLLNIMYAVEGYIFATAGARLHDVFGRRKMLLGATAGLIISL SIAAGTAAGYVNTGSETSSKASIGFIFVFGAIFAFGFTAMQPIYPAEVMSNDMRAKGM GTYKIVGGAAGFINTFAAPIALSNIGYWFYVFFVFWDMFEFAFMYFFFVETKGLTLEE MDYIFEAKNPRKASTEKKKVKVRTVLSGDGRVEEEIVAKGGP CC84DRAFT_1169007 MPSLDFVKAVWTQHFPPAPTFTEKNIAPQKGKTFLITGANQGLG YELAKLLYPTGATVYMASRSEERTSQAIHKIQEAHPEAATPGTLKFLHVDMADLASVK RAAIEFAAQEAKLDVLWNNAGIGGAPLGTTTAQNIEGHIGTNCVAPLLFTQLLLPLLR EAATSAPKGSVRVVWTSSLMVDTFSPTNGIDFKTIEAGKTTDSNIDYAVSKVGNWFLS HEAAKAWEPYGISSVVQNPGNLNTEAYRYVPGIMRKFLQTFLLYETKYGAYTMAYAGL SEEVMNGEYVWPWGRKGKSPRADIHEAIEKGAAERFWAWCEEIAKPYL CC84DRAFT_1222471 MPPALSDEEISQSSGDEEIPAKEVKKSKPAPVEEEEEESNEEEE YVVEGIVAHKTVKGKVLYHVKWQGYDDPADMTWEGEDNLEGVEALDEYHTLIGGRPVP GKKRKGRKSGVETESSTPVAAKRMKKEKEWAPPPGSWEDEVDHVDTVEQRVNPKTGEN EKFGYLVWSNQIKTQHPLPLIFKKCPQRMLTYFEQHLVFNQADTEETNGNASMTGIDD DDL CC84DRAFT_1169009 MAMQLDMSNAQVMKDESGRPFIIVRDQGKKKRQHGNEAVKSHIL AARTVANIVKTSLGPRGLDKILISPDGDITVTNDGATILSQMEISNHVAKLLVELSKS QDDEIGDGTTGVVVLAGALLEQAADLIDKGIHPIRIADGYDQACEVAVAKLDEISDEI KFSKENTEELFKVAKTSLGSKIVSKAHDHFAKIAVDAVLSVADLSRKDVDFELIKVDG KVGGALEDTLLVQGVIIDKDFSHPQMPDEVKDAKLAILTCAFEPPKPKTKHKLDITSV EEFKKLQTYESDKFTEMIKQIKDTGANVVICQWGFDDEANHLLLTNKLPAVRWVGGPE IELIAIATNGRIVPRFEDLSAEKLGKAGVVRELTFGTTRDKMLVIEECANTRAVTVFV RGSNKMIIDEAKRSLHDALCVVRNLVRDSRIVYGGGAAEIACSLAVEDAAVKSPGLEQ YAMRAFADALDCVPMALAENSGLSPIETLANIKSRQAKENNSRLGVDCMQTGSNDMKE HFVIDPLISKRQQLLLATQLCRMVLKVNNVIIAGSDDQEF CC84DRAFT_361578 MMLTTIFRLHIAGGTPLVRAWRGAPSIYHTRFSSAILLPFPLPY ATHHPPTHSGNPQPTSVSLQYTILLCICIVCIETHAAARPTRLSARNPIRKLRLCVPA SVPNGTAHADR CC84DRAFT_1180962 MHVRDAPAGVDYGVVLPTVGWCPSARLAAWWAVRARLAAFVVAT ALEAAQSSQQASAAAGARGDVSASQPGCGAHGQPRGDGQKAVASDGDRLLEGSHRARS GLQGAGPGGSMRRLWRQGGARSDGPPLLGLWAVQGMPTIYYRPERCSLRGSCLRLLRP DTIYTAAPLHRAAAPALRRAFPRPRTTHRTSTLAASLLYVRRRPVAARRLIVEPAADR PDAITSPSTKADAPAPDQHSTSYCTCTPPTATAPHANMPLHAARPIVRVEHGSMHTIE TRNAENLFGLWSVFKQCSPAMEDGKRYENMAWRVWSRETFCCQPVHSAPSTWSFDSKS AVTDDVPELSSSIASDDSAADSAITATTRSNSSSRPDLRRHDSINSQARGKHIAPIDL EKVVNSIQEKKAIEPLSPLPPQLAPPVAKETPKKPAQDTNTTPRPSSPPPTARLIPES SASTIATPVGSDPMSPMVGSEVSTSTDVSAHSVVHGFDPSRISTSVRSSTNLNPTPIL KSSFISKPPPSRAEPVRKKAPMFTLGGSSDEDNSSSLEAYSLAKRSSLSDNLKKAGLV RKNLSFNNQVTTRTIHDPTSEESEGAIESESEEDSDDNAIEEEDSDEEWEDDNDDSAV ASIDERPMFQRVDSQANLVSRRSLLTTMMHQGDRAQALQNAASRSTPAIRRSRTSTPN GPSTGNSPQEDAGLMMRQQATRSKPIIMTTSNVHPPALSPRTTRRNMLQTELTASLRS NLLWERQQKNATTNAVNKRAQSAVSMPAMRRAATTSDVKGLQAHAQQTNNLIKAATHR EAAKNNSYNDYFDQGLQEYHQKGW CC84DRAFT_361958 MYPRLQASNGTLMVFRMSILSRFCSSQATVLTLAACHCWPGSRV EHDRDNRSLRCLRQALLAIITDPDRELPSCLIAISLLLATMQKCYVDYLQVGRSRSSA CLRRITMPYKNHVQPLLFPKCGVMAGFMRCTIHYTACRQYWRYSVASDLILPCT CC84DRAFT_361985 MDTSRFTLCFLGYTSSQWVSDRQFVPTSVGWSALRLVLCSDINC DEQRPAQPTLIGYICGVPNCRISLSCFIDTNYTRIAAPFAAHRSLFSSGETEQRAAPS GSAVNDPNTWSSIHELTVHCGQRLCAAIIEAGSLVIVMRGSATRSYNKPTCLIALSSD RSRPECQNIRLTNHRTIVAEPKYAIPPRAGSINRFSRSNIA CC84DRAFT_1199692 MRLLHFNTLGRLVLTDFRGKTIPPYAILSHRWSESEILIEDIAS GFCAKQAAQDKLQYFWIDTCCIDKWNLSELSKAINSMFQWYKNATRCYVFLLDVLVST ATEPAQRNDWEASFRKSLIAPVSVEFFSREGHPIGDKASLGQLISEITSIPLAALRNC PLDQFSISERERWAKNRRTTEEEDIAEVDVAANVLSIIPFSQNPRFVGRESQLAELEA KLFSNEQTTTTLAIVGPGGTGKSQLALEVAHRIRQNMKNCSVFWMDVSDKDSLYQSYA SVAQKLGIPGWDDDKADMKQLVERCVVEISARQCLLIFDNVEDTILQSGGMSTTEAVE LVNYLPQSNLCSTIFTTTSYGIAKALTLQSIIALQELTPDMALKMLQTRLATPLSSTE QLEAKNLLGGLLYLPLAVVQAAACINASGMTVQNYRAQLDKYSSNSSTEQLRSASITD PVATTLFSSLDQIVCENALATDYLFLAACVNRKDILLDLLEAASPQAKEDAIIVLHRY ALVTRRPTESALDIHRLVHQALRKRLQAQGWLQQWTQRTITQLLQVFPSDDHNNRMTE GMRRPKS CC84DRAFT_362019 MPTKYTHPRGPFHFQHVSVIHTTLPLYKPVRPRDTKRNSRPSTP SHRNLQISERTPTLFTVIMAPLQNPYHAPLRQIQYRSYLKTIELYHYSHQVLPISRFE EMFAAQTPGFVRRLESRDTLCDKNGQEKNHVPDGDEVPIGFTEVAYLFNIFRNRGSAQ ASGSALGFRFLDEDRIEWMCFDRPVDNLLSGATANDGRHLFQKVADLERGDGSTRWKG TVGGWPEHRQWCNALDNATRPLLRLTMVERSRARLDERKARDARNAELHSDENNE CC84DRAFT_362032 MVYGSSCSSKLQLRHRDIHTHNTHLSDKPSLMSKRISYSTAMPH KSQRRIPRHQKSALRPIAPQPTEFPGAPSTALTAPPTPNKPANSYNPLPAALAHKMGT LITLSRRLESILHGLDYGLFTTPSEHRDAQARMKHLRTVYSSLRPQVDRELAALGHLP ATGAPIIFPAPTTKPPSPFPNLTTSHPSHKRKYGPTSPQYTVDKALKRKADSQGGAAP AHVHVLPVAKKQRLDGGAESDFVETDCESVDEGSSEVQAEWLPAPITNLAVERPLREA ERKRMMGWSWSAAVRARAGARDVMVDCIRSPLGTGMVW CC84DRAFT_1180967 MARHYSHRSSGDHAKNRHHEGRCDRRNDYYEPTRPTRRQPSGGD YWSQYASSGSYSSRRSSGGSSRRQSASGGFLARRSVAGTSLSRYSSPMSSIDEIRCSD WELDMEWYFDSLRQGPGGQRGLDPIVDHPDVRHDRQRRGYLHRSEYVANQFDVTLRHV RDLLHHMHDHYDTVTCYSMGLLKAGDIIYYIEPFVLGFDQMDEGEAIQVLGGTRFQAA VQAKGRFGIVTHVIGRQTLKVAPMYTFNGDGLASKPPSVRDDYIELGLHGRLCCAPGD EQGDIDLADLDSGFYHASFSGLVEECHSSCRGKCWMVAGKAQRIVKWQEGQVNVEGRT GRDG CC84DRAFT_1190896 MLDEALPTFFLKPSADKIKHHESYYYTQHGSEPEASYSLTGIDP ASNEARNTYAVALFDAHNPDILYGEVLSKPGWSQPSLSQDDIRRNGGIPPPPQPIFPT EFAIQLYNPDQQILVKQQTTKWSSTVSYEFSMPQTSFRTPSSSTLDRTMNDPGADATT PQINFAWRKEGRLGKDMTCYLTGKSTDVVGKKAKKNKEPDIAVALFSGLKEITIMEPN LYRVEMEDYKGLEVVILLGGAAIRDLFFSNPRESYHILDPNTRKNSGGIRTRKGSSPL EPSTVMPPIMTPQPPAQPQRPAQRPVQNISSPPASGLYNQPSRNTAKRTSLPPLKTEN QPGPRLDPRRQWELDAETARLKEQVEAEAREQRRQAEARRKQLEEEEERKTRRFLEDE ERRRKHEEKERRRRQAEIDRETERLRRQFGDQSNLLPLSQHPQRHSVPLTQGPFHRPP VPASQPLRPGPYLQPPGPQATGSQSAYFSNGLPKPNAQQKMKKKSFWGLRSSSESSTT TLRKKQSSMF CC84DRAFT_1051882 MLASTLLPLASFAALTSAHFHVLYPEWRGDSLSLDTASQWIWPC ANVSETTNGTRTKWPTAGGSISINGSHEAAFTYVNLGLGENVTNFNISLIDNFNQTGK GVLCLKDAVKAALEEGLKAGNITGGTDGLDGKNASLQVIQISHSGSSLYNCMDITFSK DAKPLDDDQCKNGTGVGGAPIGASQAQEAEPSGTGSGQPGAASSIKPFIGSSVLAAVL AI CC84DRAFT_362126 MKYSFVASLLASSTVVLGELVPVHFKAPSPAHFRDVVRNDTYDF GCRPVAQPTEDGQFRLAAWLSEPQIVELEKFYERDAEISIKRHLVKRAANAPIGTGDR FSSGTVAPKGLGQQASGTTIASIMNVNEITSALNALKSTYGVNLLTLASKTFEGRTQT VGYVGAGTDKSAYHLYLSAGIHARERGGPDNLIYWISDLLAANKAGTGLTYGQKTYTN AQVKSVLTAGIVFFPLVNPDGVAYDQANGNLWRKNRNTKSGSSGSSVGVDLNRNFDFL WNFKKFFASSESPASTSPSSETFYGTAAASEPETKNHVSVYSNFPKIRWFMDIHSAVG DLLYSWGDDEDQTTTSTMNFQNSAYDGKRGPVGDTAYKEYIPSQDLTNIKNVASKTVA AMKAVGGRSYSSQQAVGLYPTSGATDDYAFSRYWQVSGANKVYGYTLEFAPSGNFYPT LAEFNTNILDTNAGFMDWALAAIAVGLE CC84DRAFT_1222480 MHAIRKAYKDTKPTVLLFQTRSILEDAFISSFTTNPDPKAQYYP RRIIVASIQPLIELTETFHNPSLLVGSGAAVLRENPQFNSKNCIDGHYHAILIRSEAS HCLLVAGMAGAILVAGVIGYTVGRFTKSAMLGVVAGATAVSVFAYLQASTFKE CC84DRAFT_1180971 MDHWKWWLRELPKSRPANGDVGIEATVEEISANSLLVAYAGVLD EHVENLKFGGRCGQYSAQDLAVIMRDLVEMAMKKDGEPPAIFDVHTMELLRGRVYSNF AQHINGSDTREKTAPAELWKWYLIFKQLQPDAKVPWNPFFVTRVSQHAASLLTYRIRV AYTALLFKHCKAADRKEVWAPLRSCRDYVENPTSAKGTFRWLAPSNTCLCAK CC84DRAFT_362281 MLMIFRSKFLAAAISHSQTYCRSLSTKIVQTLPREVRDMIYVYL CDRMPRVSISPIKDTQKAPWRGLDSIQEAETAGWAYIHRIETPLPHLFSRKYLQKDFL FELAASLYQTATFHIWDADDIVDFLNQDLLGAGCIPKRHIRKLSLVVFTDEHGSRCRS KRQIREKIAPLAHLFDIRHRSGFHLDLHLCIHRAKLRPNVARFHQLLLPQLRELLDAG FALRFPRIKYHYDVHRRSDRSMANQLQASNSRDSEDWVVDAAELDAWEESEYVRDAFK KSAMHRGEYSGDDYDGYDSEFEEEEDGEGEELPEDFVDGDVDSDDDGGYGSY CC84DRAFT_1190899 MAISKRAITVAAAVITFFTQRYAPGATLSAGAVLNTVELAILGI FARFCWGALVYPLLFSPLRHLPQAPDANLIAGNWKRIFKEPSGEPQREWIDTVPNDGV LHYRWLFNEPRVLITTPKALAEVLVQRSYEFVKPERVRIGVGRLLGVGVLVAEGDEHK RQRRALMPAFAFRHIKDLYPVFWDKSVEMTNAISHEMKTNSTSVIEIRDWASRATLDI IGLAGMGQDFNAIADPTNELNVTYRTIFKPSTAAKVMQVASMFLPDWLLQALPVKRNE EFKEAIRTIKRVSADLVRSKREKLEKGGRTDVDILSVAIESGGFTDDDMVNQLMTFLA AGHETTATSLAWAVYILCKHPAEQKRLREEVRTNIPAISDPTIQVSSTDIDHLPYLNA ILNETSRIFPPVALTLREAERDTTIQGHFIPAGTTIIICPWAINTSNALWGSDAKEFN PERWMGPGKANTGGAESNYAVTTFLHGPRSCIGKDFAKAEFACLLAALVGRFEMELEE PDKPLEIAGGITNGPKGGLRIKMKEVVA CC84DRAFT_362047 MFKNAATMLEYKSHLLGLVANMPGQDTLTYSTLIEKYGSQQTLL WVGNSKLIMDFANRPELERGATI CC84DRAFT_1156251 MASRTLRVAVTQAEPEWLDLQGTVDKTIHLIEEAASNGAKLITF PEVWIPGYPGWIWARLVDPALGARYIQNSMPATGPEMQAIQKAAKQHSIAVVLGFSER TPSNSLYISQTIISPGGEILLKRRKIKPTHMERTVYGEGSGSDLTNVVAVDFGGTVGI VKIGTLACWEHTQPLLKYNTYSQDEVIHVAMWPPLDAFGAQPAPENPGLFSMSHDGAY SLSTTHAIEGGTYVLYTTAVCTEKGIDTFDSKQGLIFREPGGGHAAVIGPDGRRLTKE LEGGPTKEGILYADLELDKGLGVRAFTDVVGHYARPDLLWLGVDRQEKKCVVERPREQ Q CC84DRAFT_1209834 MFSNLVISCMVCGQQDDLKQCAQCKLVHFCGQEHQQTHWPSHKA VRKKIATLRKRLREIKKAGRESFAELTGVLSLQFTGIRRDGIHLNEQLQLAEQKLEID PPVAIESALKHVIDLRNLPFRPLSDDVLEMATSCLIRLDRDQEAYNYLTHWTSRYKDH DRFEAPDIFEGMAPSAAFLASVFLVKLRLFTAMDSARQCYIKGGNCSDPRIMTTLEKC GLKDVMKGGPKLGEHLSAIIFTLQDDMKELYLQVEQIQPDFWSRLPSVHENREPCHDN SGMETQQVLDCYTVTVLSPRLGAVSNSSSPFQPTRCSLVPRKRWMERKFPSKILKLVS RVVIYLTSSAQILPGRQLEKRR CC84DRAFT_1169025 MAAPVVYRDVAPGADLHGQLFAGKKFWVAQRVSSRQRYLDLIRS NGGEIVLLERKADYLIADHASIHCPPGSISYTFIDESVEKGELQDPEGHRAGPSEGTA RAPGSLSRPAKGTRVAYTPEEDRILYKWVHDSGKAGGLASGNEIYKQLEKKHPRHTWQ SWRDRYVKTLRNRPPSAFNIPDNAPPSPPSDAPMNPAPASVRTPSSRPTSVKPATEPR KSNSSRHVNSVDYTVDELTAPGLFEKADWEMLYAFAPDINSWRGDDYRQGWTDCAAGK TQTAGQWRQYFEKIVWPQWQEDSDEKHAVIKERVEKRQEEEDGRKTSQTSQTSQQGTP SKSDQLQAGPSSLDSKAPTTKRKRTELDIDAFELYLSEYRKGKASSTYVLFARDMVWD LWNQRPELDNTGLHTILLSQWNALSSEEKAPYFAKEAADSRRASKETVTLSSQVFLSS STVVNDTPKYISEAYQKSLQQLRADWDTGDPSSDARDGSIYPAKRQKNEPRHMGTVHD PVEVSPTGTDSQPLTQDELPDARPTAQPTDDGDDFDVGGLDAIAPPQDAPDQGASNHA SDSPTPRAARFKAPEFDTQAILSSPSQGASLNPLPLPAHLTQLGEADAEDSGVKLASD ASTTESLQEFSQMINESTHYRGTTPLPPPQHSSPPQSPASIASSTGSGDPDPPLAPDE VDEYFEEQHAEGFPDEFIAAALKHTRWRPELATEVLQAWKLRQPLPSKRGIWSDSDDE EVEGGDGLALARLENKHTLDGWGGVTERMNFLSRYRSAKE CC84DRAFT_362969 MTVVRGIIGFTVAVSVLTFIAFFGRTPAFRNTPIGFCHRLLIHR IPSALRALDVFLTNGRITSGGSRLGNHLMNEKHPVVMIFFLGLITISASLLVPTVWSL LPFHHKLLVAILLPQPYYFTHLCAKRNPETIVTEMNHAVQMRHYPYDRILYYPANACR TCKFNKPARSKHCSICKACVSRADHHCVWVNNCLGRGNHKWFLALLLSTAILLAYGAY IAYFALSPKVHKQYARYESWYRFKPSPGSNPSSWATYGEKKLHYFLTYVSIYIDEGGV SAAGVGLLALLTWPLPLGLLCYHLYLIYAGMTTNESSKWADWADEIADGNVFLGKRRP ETMRDYRATDADLHDSARSSSSATPMPTPPETPPEDEEPPTSWPLESRHILVRTTNGQ PPTGLPPRIKSVANIESFERVWDLAAVENVYDLGFWDTFFEILLH CC84DRAFT_362970 MSRALSKNEHAQHLAQQHQGQQQVARDVETTEHKSSANASAGLN LNVFGALSGALSSSKRKETHTAPDGSSHTVEDAHDKAAAAGHAAASGSAFAQGSAQDG VKHEKRREVAQAQEQGKLVAGQQQRVDHLGIGK CC84DRAFT_1156259 MEFLKTCNTNAQAIGDFEAVAYQAFSVARNPARSSSSTLDWNPS DDIRVAEAELRSAHHIVLHDAARAWLWLFRPAAPDHVAQPPLDPPALDDFRLNREQHG VVKAHELEQRSARNPRNAPPSAASNSPVTPRPQKAIHGGAAQQSQGGAPLVTSDQPQH DVVAIYGLFTSAVVALISFHLVKDYNAIALNYRTFASSSVLSRDSGFRHASPRPPLRI TNVDVAWASAGTLVVSTFSLTRSDMHCLADITPDDEQKRLVGKCVRVAPNGILAKVMS FTDPVDTTADDAGQKPQRKRPRFSPLEKSIERWKSSVKRWLSWKGYSIPLLDNKDSWV RIQFAHMGQAVASSPAFSRPAREVLWPRALCFCFLESPNDAHLLTSHEELPLQDADAL AWFETPYNKGYQDPIDVAQQWFLGKTERDRIAEAHRKAKKAEEDATRAKEENHGLFPS SPLNARTGTYGDLHSVSGVYPTPPDGVPPGTVISCGDTPSVSGLATNVILAPGGNNPA INVSVPQDSTSGESQQQASTSPNFDLQFEGYQTDGNNDDLFEDMDEGTFDEGNGVTDA DFNFFDDADGEDVIMPDAPPTVKTEPAPQEEAAMKDEPLDPMAALEFALASASAEPEQ GTQHEESGYVPLKLEVAALDSAIPESSHLSNSGLQAVAPTPEMTTPPLSPRFIETALL PSPKLKTPQKQLSTHHRDSVFGSLNFSRKMSLSDAKYKAGRFMSISERSTKLDGTDNT HRPKSLRDLPLMTKLRYAIGVASATGNIVGSPQDVSDSEDSDASSESSSESEEEVNDV VPMPFVGNIIIPIKRKLPTESVATPMSAASFADSFGGDFSELIGLQTDESALVVLEPT IFDWSLLHLPPPTELAFSGARWALPAFPYAYSSVPSTPTSQPDLSMNLIEEKALSGKD RIAIAQIVTDQIASATLDLLQQGHGSECSKEQPSESIWHSAIKTIFPNATECSVAGLA AVQDVFPDSLTQMKAQQRPPPRRPNEAATALGHHMFPLNPPYVRVKRADTLWDLLPPA IPFWETLGLGPCSPSKNVMALSIYPHSSALKPCVEGFLVNMQLAYDGCKLGNHSRADA LTEYPNGLVPCKIGAGASLRTTFKALRDTCVQLSKVLAAKHAQMRDKDDSRIDAFVIY MIDPFEQAPAIWELCSAFWSLFQSYQQPPSRTEVQKPDLVLQIVPIRYIASFEAPVIL DSNIYTALAREVYDRCPPSAPSEDKLPLSIYSAPSFQLEEPIPRNIQFKLMADTPQDL MRENSYMHIGYAISLDGTWVTAAWSDTCGKSQAVVSYNLGTRAFADIAREIWQTTIEI IQVRRVMWRVCIAKAGVMEREELEAWVFLVQCPAVLNLFTTLMTIDTEPHLKFTPTMP PPSASANPATHTPGSTPQAVVSPEQGLTPAATPAVDTAADPAADPDARLVDMTDETWG IILAHRLHNSNSTNEYRPALISGLLVKRGLAPGLSAPPLAPDAQPGPLITAVNILWMG TVNSTRVAASPFPSSAADASSPAAAAPASPSPQEPRSNSLAWTPTPQTRGAAENLMKE VLGQFRGLGLLARLKGVQGARHGSVPWHVAVALRGVRGLSRTVPC CC84DRAFT_1209838 MKISNDSAVPVYTIAGAEARPLPDWLVRRRKRSLKQDAAFAQRV ELLQDFEFQEASQCVRVSEDGEWVMSTGTYKPQIHVHNLSQLALSFERHTNTINETFQ LLSSDWTKSIHLQTDRFVELHTAGGLHYRTRIPRYGRDLAYDRHSAEALIPSVGVNAE GSGEVYRLNLEVGRFMKSYEVDVGGDDMTTTGAGSLQGGINAGSVNTAAIAEDSHNLL AFGTSLGTVEFWDPRSRNRAGILSAPTDAFEGRSEISALQFHRSGLELATGNSSGLIH LYDLRSPVPILKKDQGYGYPIKNIIYLNSATSSRAQTAEPKILTSDKRIIKIWDASNG KHWTSVEPAVDINHVEWCKDSGMLLTANEGKQQHAFFIPQLGPAPRWCAFLDNIVEEM AEDPNDPNAFNKNSGGDVYDNFKFLTMEQLRQLNLDHLIGTTSLLRPYMHGYFVAQKL YEEARLISNPDLWQEQRQKSIADKVAKERESRIRGSKKVAVKVNRRLAEKIMEREDKA ERRRAKRVLEKGGDDDVLADASGAAPAVEELDVDADAPKGVLSDPRFARLFHDEDFEV DEASHEFNAINPSTRLPKGLTAVEQEALDSARGSSDDDSGSGSDDEPAHAQRAADNTR ISTASYKKAGHNKRPGPQMVVSSSVRRSEPSRDRSFGARVAKMRAQRPAGGTTTTVVG EREITFAPERRGKSGGEAQGQRHDRRKDKERRSASNNAFRGM CC84DRAFT_1222490 MADKEFTYSDVSEHSTKKDLYIVVHDKVYNASSFVDEHPGGEEV LLDVGGQDSTEAFEDVGHSDEAREILEGLLVGKLKRQEGDPKPKSYAQANASVADADG ASTGVGLYAIVLIGAALAFGAYKYLQAQQGEQ CC84DRAFT_1190905 MFRAQTNAFDDAVLKATDENLTSENWEYILDVCDKVGASDTGAK DAVAAMIKRLAHRNANVQLYTLELANALSQNCGIQMHKELSSRSFTDALLRLAADRNT HQQVKAKILERMSEWSDMFARDPDLGIMQGAYERLKSQNPNLRPPSKPQKTQITDVDR QKEEEELQMALAMSIRESKGAAPSSSKSNAPQDSTGTEGSQADAPAQPVPAGTTAATV SRVRALYDFQPSEPGELQFRKGDVIAVLESVYKDWWKGSLRGQTGIFPLNYVEKLQDP TPQELEREAQMEAEVFAQIRNVEKLLALLSTTSQSGGDARENEEVTELYHSTLSIRPK LIELIQKYSQKKDDFTQLNEKFIKARRDYESLLEASMAQPQQPYGGRPYGGYNAPPAA AYGGYPPTSPPPPHYGGAPPASQPPPSQYPPSASNPAFFMVSPGQQRPQQPTPKPEPP ADAYPQQGRIPSGGRPQSFAPQELSTGHYDSPVDKRHSFAPPQGAPSAPPQDYAYPPS QSQPPQAPPGYPPNTNAPSAPQNPYDQVASPYPPGQAPPPQTQSPSDPYTQPPPPQQQ PGFGYPPQQPNHAPPAPPGATGSPPPQQSYLPYRPGGQAPSAPPAGGDEGFYR CC84DRAFT_363084 MAIGFLRGVFTRRKHAIWSVLCSLARCVFATEIPFLVVSRISSR MTKTAFWCFLHYPFTLVCITGGSFWVRLLQEPG CC84DRAFT_1222492 MDAHPSRKLVKKAKGPPISFNNVRNHSLQRAPSAPTYPSFHAQR TADPAAAPFALALAGEAQPSPSPPLLRRQTSNPAISLTRPAASGASSSHSLRTTPELV GAPFDAAAINKSISATIATQRPPLAHQPSSRAADHPPPQSKKKPGKSPKLRQSASFTL ARRMNDTITPPRSDGGTKSPRQRYSDEADAAHSKNRKSDGGKKKGAFSSFMNSMLGSP RRPTISTPTNPMHVTHVSIDNETGEFTGLPKEWQRMLQQNGITEQEQKQHPQAVMDVV TFYKDNAEKNEEDAIWDKMGPAQAYAYQANVTPGSGYSNIQPLLSPPQSPRFPRNDLD SFENPRAPPPIPRSHTSPGMGPPVSPQINGTLIPNRPAPKPPGASAANLVPSRPAPSA PSQSSQQPLQTPREENAYPQVAYVPPTVTDSPHGTSSAPRSRANTTGGTPPRYDSPSS ATAMSPAQQYQQQQAQAMAAAQQSMSVKQPQLARALSQKTPQPQPPHLAPAVPAPSQP SPQQQFAQQSDPQNIPLPSQQARVGPAPRPRQRPRQSQGPDIVAKLNAICTNADPTLR YRNLNKIGQGASGGVFSAYEVGSNKCVAIKQMNLEQQPKKDLIINEILVMQNSKHRNI VNFMDSFLVRGDLWVVMEYMEGGSLTDVVTFNIMSEGQIAAVCRETLNGLQHLHSKGV IHRDIKSDNILLSLEGNIKLTDFGFCAQISETQNKRTTMVGTPYWMAPEVVTRKEYGR KVDIWSLGIMAIEMIEGEPPYLNESPLRALWLIATNGTPTIKDEQALSPVFKDFLHFS LKVDPDKRASAHDLLTHPFIATAEPLNTLAPLVLAARKARAEERRKKGGM CC84DRAFT_363121 MSLVVQPHDTAEKQPPRLTETRYTNATGAAAAPRCTRATIVGCP TSPTCNKQDTHVPATLPHVAEALAKCEMHCTRISHNQDENNVACREGQPHPFSWIFHV WKSPRKFSRSHRMVPGKACDKHYPSPAAAAPFPWATGKAPHRTSKEIGGVLIGRCSNF NKVLRAYLLKATPEPYGDR CC84DRAFT_363118 MTFRRIPANFMTYNTLVPRCVKDWKDTLFIGFLGSIGKGVFVAA RSKVLANTPRNRRSDLCRTRESPVKPPPMRKRTWRPR CC84DRAFT_1199704 MPQMDLGMNGAEPNVMSRPPHILKTGVFNLELIVDMVVYGSWMA TLCLASFVFVAYGFGNGDLGKNCNNSHSERCYLVFRARATTFVCLNSFALFLAWEMVN FRRSFFRQKPRCTGYRCCYVFYSWWEDSRSSLFLFWAIIAGFHEGITWEWGVFLVKAA LFFAECEAWKWAKHVFFRRRAKKQRGGDSLDDRRYFDMPITVNSIFDTTLSKQQQ CC84DRAFT_1156274 MPKRKAESNTMTDVQMHNTNGSTAYGKAAVNGLLKEESKPAAPK QTNRDDLQLVLQSFRLLIADLCQQFGMGHPGGAIGMAAFGVALWKYTMRYAPHSADWF NRDRFILSNGHTCLFQYANLYLSGYKAMTWEQLLSYHSERPDSLCPGHPEIEHEGIEL TTGPLGQGISNAVGLAIATKHLAATFNRPGFEVVNNHTWCSIGDACLQEGVGCEALSY AGHLKLNNLTVIYDNNQITCDGSVDLTNTEDVNKKMEACGWEVVDVVDGVNDVEGIVA ALEKGRDPKRTKPLFINVRSIIGVGSAVAGQAVSHGAPLGKDNVAAMKKAYGWDTEKV FHIPDKVKKFYEDIPARGEKYVKEWNDLLVRYTKEYPDLAATFKDRMAGKLPSNWASM IPSSFPADPTPSRKSNNMVVGKIFAECPTFMVGTADLTPSVNMTWPNYEIFNPPDLTP ISGKKGSYKGRYIHYGIREHVMAAIANGLAAYAPRTIIPITSTFFMFYLYAAPAVRMG ALQKLKVIHVATHDSIGAGEDGPTHQPVELAALYRAMPHLEYMRPGDSEEVAGAWARA IEYADGPSMISVSRHAIPQLPGLTRRAGVARGAYVLQEVEDADVTLIAAGAELSFPVS VARQLAETHRVKARVVSFPCHKLFREQPVAYQREVLRRHEGIPAVVIEPYVSLGWERW ADAGVNMKGYGHSLPGKYIYEHFGYTTEGMAEGIEGFVREFKEGKVGRGEFVEL CC84DRAFT_1130158 MSAPAANADSRHASPPEAGGQATNDHHDQPWTAPSTPRASLFSA GRSAPLSERSPDHHTGDAPTPHARITSSSTSSRPLATLAIANMKRSQRTRATDDPPPI VQFSTQIPSAQKATDDPTTPPDSKPTRDSRGREYTTLTTLSRLFAGNDTMPCSRAPSY THASGPLYMRASGDSMPLPDHLYTRGLLEGRHSDITVIAFGQSYNLHRLILDRAPFFT TALSEPWLESQSRQVKLYPEDIDNNITQPAFELAIKRLYGVDISQECDVEAIGLFATG CWLEMQDLIDASIESILRQMTPELLGPLIRLVTSNYYGRSGDRILESAKAMLSRNGWE MPLKFWDGMPGDIVREMVASDGFYVDSEWDRWVLAKRLLDRRLRQAALGTGLIQRGQR PTPKAPGWSSLTAVRFGGAYRQDALTMQQSATDAQSGWLALYTDPDIERILVLLDEGI HYVHMEYEQLEFIRKARDIFGLPVLPDKVISNALWQQLELRQKVLHADDSSQELGLCS QSPEPAAVNAGTKTEGYDSKGKQTAVVPTQDDDQEPGWESGSWDGNGHPRRFWIPSSD CNIVLGNGADPVVTTSSSFQRHATRLSATLQPEDAQWATDFASSPGTMANPHTRMDHG RPISAGGSNAGQPKPITYTEFPPFRFAAEFPNPRFLKEKKRVYSRTVSYAGSLWNIYI QKVRSAKNVQLGVYLHRAKERDVDDNIHANGVSQQNAGSVNERIGQVERDLSLRNERR ERRRSGRAPPSDNVNDEDTSGSGGDPDGTLVSTGSRNPLFASGSLVGRRSGTIPRLSS TRGPSQFQFPVITSPEMEAEGSTSSPFFPLTLDAPSDNPSDETDDDEDGVPISYANPS LSQRRPRAAISTLPSYVDARPTIKTYFKIYSPSKGGRMLSIYESAPDRFNFSQSWGWK SSTLMLDEVALTGGAVEPTAPEEGIPGKKGEGKLRFMVVIGNL CC84DRAFT_1263882 MGSVFHAFPQLRTAAPRLARRLFVCRPCLNSPARAFPVRTPPRQ PSVLQSVRWTSTQPLRTSAATAEASVAPAAATSSAKSSSWPKTSSTSVAYWLLGSAAS VFGIVIFGGLTRLTESGLSITEWRPVTGSLWPGSQAEWESEFDKYRASPEFKQLNSSM DLADFKQIYFMEWAHRLWGRAIGITFLLPTAYFIARRRVTPSMAWKLTGICGMIGFQG FIGWWMVKSGLKDDLFAEGSHPRVSQYRLTAHLGAAFAVYCSMLLTGLGILKENRMLA NPAKASEWVRTIQHPALRVFRGSVVGLSLLVFTTAMSGALVAGLDAGLIYNEFPWMGL GLTPPKKELFDPFYSHVPDQSDLWWRNMLENPSLVQLDHRILATTTFTAILSFFAYTR FSKSVRANLPKDAKNGVMGLVHLVSLQATLGISTLIYMVPTWLASAHQAGALALLTGA VVLYSRISMPRRAILRQIMNKPQAPKSVQAQPLRSRIPASQAES CC84DRAFT_1169035 MSTNPKSQLQSSGVDFTPTIHHDTYDYIKPQQFDLKNRAVFITG ASKGIGRATAISYAKAGASQIAIAARTDMKEVEAEMMAAAKDAGKSAPQVLRLQVDVT DDRSVQDAAKQIEQTFGRLDVLINNAGYLEKFVPLHESEIDEWWKVWEVNIKGVYLIT RALLPLLLKSKDSLRTILNVSSIGANIIMPGASGYQAGKLALLRFGEFLNADYADQGI LAFGIHPGGIATELAKGMPEAMHSMLTDTEELAGDSIVWLTAERREWIKGRYVSCNWD MKEFLAKRAAIEDGDLLKVRLDVGLS CC84DRAFT_1156288 MVQQHVEGQDGMEAVSPMMHPPQSPPQRTVSAFSETIDNTPPIP VEYGQNSHGQYQDKKYQPDAYAYFSAPEAVEQQQYPHYGVPPQGPGSPPPQYAIAQPY GEKGKQDVAATEAAVGSPPLQEKKIAGMRKRTFWIVLFVVVALVLLAVGLGAGLGIGL NKKDKNDQIIADPFCKEKPDLCIGGALDSRYFSTKGAFNGSGIALAGESWNNNEFRLF TIYYQHWTGEIRYMQYTKDRKWVGGTSSETVAKNAKNGTAISAVAFTLNETSYVHIYY VSKDSQVNQRILSNDTNLWENGPINDMKLDVLDNPSVGLQACYKGSFYGDSDYKKFPT ANGEENKVPFDGATGINLWYASDESTFQQYVWYSSNENAWAKVAPWTNKNVHAGVGCY SWGAGSNTYTMMVNDNNATEIWWKDGNSTSTSSDKHPVNAWTNSSAAIPNVDPTTSLG YTNYLYMQMEDRTIKGFNVQFDAENTYLNDDQFTISPLGVDEHAVSGTHLTATAVAVK DDKNSSITVWDSLYVFVQTEGDDITAYARPLKGGEWSKALLTLSDD CC84DRAFT_1190913 MFKAPHFNWYGRGFKLRAAITIACQMAFVLFGYDQGVFGGIVGN PDFLDTFNHPAPGLEGIIVSIYNLGCFTGCILAFFFCEKTGRRLAMWIAMVWIIVGAI LQTTAYSVPHLMIARFITGIGTGIETSTVPMYQSELCEAERRGRLVSSEPLFVGVGIE IAYWFDYGMSFTSGSIAWRLPIACQMIFAVFVIILVFGLPESPRYLYKHGRSEEALAI LCDVYDGTPDDPKIAKENGEILEALKVEQEHGEYKWSQLLKRDKVQTGRRVLLAYGMQ FMNQMGGINLVVYYITSVLQLNVGLDRNLSLLLGGVINLMFFIGSLFPTFFLDRIGRR KPMMWGSFGLGVSMMLISILLSFKNQGGSVAKSTASASVYMLIFGATANCIPWVYVPE ILPLHVRAKGTAVGISANWIWNFFVVMITPTLLNNLEWKGYLIFMCLNFAFVPLVYFC YPETSNLTLEEIDWLFYEGNVVKRSIRIAKDGWEEEAMSGCSWPSMRESEMRLLEAYR RENTPV CC84DRAFT_363250 MHNPSGSIKGLFSSIMSLGSFVALPAIPYIADGLGRRWGICIGS IIMILAVVLQSASVNFQMFVAARFFLGFGVAIAHGSAPLLITELCHPQHRAIFTTVYN TTWYFGSIVAAWLTYGTNNIGSDWAWRIPSIVQALPSILQITCVWFVPESPRWYISKG QNEKALAVLAHVHAEGDANDEIVQMEYEEIRETIAIEKEAEQTGWLEIFKTKGNRHRF IILISAGFFSQFSGNGLVSYYISGVLDTIGITDPDMQLKINGVLNIWNCLVAVTMCFF VDKIGRRPLFLVSTAGMCMSFVIWTILSERFEATHVKANGSAVVFMIFLYYTFYNIAW SGLLVGYTVEILPYSIRAKAMCYMFACVDLALFFNTYINPVALDAIKWKYYIVYCVWL AVELLVVYFFYIETKNTPLEEIARHFDGDAALIGGNAATEKGRIIEHEIHEKEKTAAV TEERVGSS CC84DRAFT_1048095 IAHGISSIIPSFIYSEWFEPNGVKRLNLLEDLSGCNAVNGELFP HAGGLINPDWDNNWYLRASSNVSDPSRKLTSSPMLVVQGTGDRAVPADAVSKAVSETC VLFPKSQIRYALLEGAAHVPVLNTVQLIWLQWIEDRFMG CC84DRAFT_1263887 MVDISEPDTRDAVVISETTSTFCGFVAMEATSNSTICAQAPLTT STSTQTEGAVATAGPASLTRDEIYAGDDYIGSVADFETDDYINTAGNETTETSQELAA IPQESTATSQEPIATTADSARASQNPVDQQIPESTLVSTSFASASLTASSPSSNAMSD TQVKQILESIPFREYYQDWNLNQLRNSIKSYEHSIEKAKKASDGMFDSVTELDWKIRT KKAYISLVMREQENKKQVGKIRHKIARPFAVIRAKNATDPCICDDLQPPPAVSDPNAE LREAVKGWRDTVDGRAEDYRDTTDGTHWWKHMESEDSDGIDNNEYHDYFPNVPRKVVY HSTAVQTKMITSPLMYEKVFGKEPNKVESRKILRTIGSVDYVDQSEVWYGKPFLASNG PYFDTQTKTQDSGFQIMDFEIREANILKTKAKASITASKLNKLSIERDNGIRVFAEPS KARVVHSQQFKALRANIDRAGSVERYGSFTPMIHNVVYDEIKSTAVASAIRAQVTSHI RTPSKNLPQPATINMIAMEQKKIPSYCSSPSAGLYEDVQRDRPKLFENGWPIDQFPLK EIDGTYSHDVFTDFGLPNSGHPRRAIPQIVRTTTHPDAATQYNSATISTQQTPDDRTT LRASTSSISMKGPHSPTKEEGHGRTRKRSICQASDEGHKSHSPSKSSYKRPKFEKRTT PSSSHFSTPYGTLSSSNAGKASMSISSRLDTATPKSDHLLALVAQISSKRKRDASPTQ EPSDKIEQSNSKRPRLQPASTPIRRLPPTESTLKVINPKSNLKAVAFANQKNARPDVP QVTRMEPYVNANATLSKVQPQKPTQKQVQQPISAVLRPNPAPAFSRSAWANHVPTVNR ENKENPNRWRINLGAAGLSRRHDPYGASGRPGRRS CC84DRAFT_1180992 MAILSTAVALVAGFTAFAHSRSIQSRENVDVSNAKTSLTLVYQN NLNASDDQNHVGALLLDPVSQASAAAACASVNEKLLPKATLQKYHSDFTYALSYQDYA EYFDTASGFYIENGIVSINHQLSYKSTSKDSRKRLPVLCTQSANNGSSKAGPVDGSQI AVASGGNTFVGYRNQKSFRFLGIPYADKPQRWTYSSQYSKKGQTIQATAYGSQCAQGS SGSEDCLFLNIQTPYIPKSGSKKSLRPVMFWIHGGGFTGGTGADPLTDGGNLASKEDL VVVSINYRLSTLGFLAIPGTDISGNFGIADQVVALDWVRANIASFGGDPGQITIIGGS AGAGSVRALLGSPKAIGKYQGAVAVSNLGGGVTLGLRGDYGTTYSTYYTINQSYAVAG QQIFSASGCNDTELSAQIACLKQVDPLKLVSLPTVARYVVQDGKYVNTPKLILATRND STAHVPVIFGVTRNDGSSFSTYPKSPVSNHSQGLQVALGINSTWAQRIIDSQLFPYYD TGNLTLDSFNVSQRVATDKTFRCIDQATVYAGTSTHAFQKAYFYQMERTAGGYDPNNL GGPANNNPNNPYFRFHGADVPFVFGTLSKIREPADLYYTQLTTSYFASFTKNGNPNPD TDYLRVRGYDKVADSIKQTGPWDEVTKIGKEVRMLDYPSRSGPYVDVDQCKWLGYGLD YYLKGGI CC84DRAFT_363273 MAFSLGMSFHDGETKMHELLHVPHLENPTSTTLTPQAAFRLQQA PLLAIGTLDSQERPWTSLWGGNHGFSEMLGGGIIGTRTLVDAAHDPVVNALVGHAPKG EMVPGKEKMLAGLTIDLMERKRVKIFGRAIAGCVNEVKVEVEDDHAKSAGVPESQDQV QLITKIEQSLGNCPKYLNAYEIRPALVSPTLMSQSETLSEEAKALILKSDMFFLTTSV PEDMDTNHRGGPPGFVRILSDTEIVYPEYSGNRLYQSLGNLLINPKIGVTFPAYDTGD VVYITGTAKVIVGADAAALLPGSNLAVRIKIEEARHVKEGLPLRGTRKIPSPYNPLVR TLASEGNLKASARAARKMARLVKKTPLGPSVARFTFSVSDGVQYSPGQWAAFDFSHDL DIGYSHMRDDDPRSLNDDFVRTFTISSSPVGDGTQKEFDITIRNVGVVTSYLFRQNER AGFEVPIVGIGGEFVIEQDPDSNKLTPFIAGGVGITPLLGQIGSLILRPNRLKLIWTI RLTDIDLVLSVLETHVELARCTEVFFTGSTETTEFETKIEQLKSTGAKVNKGRLEKAD VDIQEASSFYLCAGGPLRKELLRWLEGKVVIYENFDY CC84DRAFT_1222504 MSFVSSRTTSPWAEDTLWSFSSSYSTSATASRTPEPGYRVLWDS LTGWGVPHDSSTASPRVTPTISAGVSSRKSASSVLSSASPAASPNTPTATSASTSMPI EVHNITVTRIKATMSWPSFNLCLWPGVHTALASTTPSLWACLVLSVPLVLYVAWLVTH SRTFTAYLEARTKHASARQTFRSLWNTRDHWVLSSLISLWLRDCIPGDGMWRGRNARS LRDKEDKSALQKLVRMGIFPLFIQDGGLRTTEVRKGGLGPWGWWTCRLWKQSKKCGVF EFVVPRARGWMIQEEAVTDAKEKARRRMDDNYIQFVYHLCRSKNLRRAARWRVAVSGQ EWVGGEDRPLELTVHWSKAEPDRSVPWEMGATSCIRAFLPFKGDRILRKGARIDRLWG TVADWLMGTRLLTTIHVPVVRIEAPDSQYIELDKEILLIAQESGLDEDWDETLANFEL RKAYRRAELDPADILPADFLTID CC84DRAFT_1199714 MRPLLRPWTARRSCWPASLRLFSAAHALPRCEHIAVPSRSNGSL SVDVFHSSTPSSPVLIYLPPGPVIPESAEEQTRIISTLCATSGATVARLNFRASSQHQ FPTPVHDALLGYDWVRQNLLQDGFSRPIIGHVGVCGELVGGSLSVMLALTECRPGESR ITAAAVNNPIVDWVFPDDLPTVAEAELLEPAAPDETAMLADEDPMALTDRSQPDSHTN RTMKDRKRSAKPLRSNAWQLYGEDDSIPTVTLSAERDVLFRRQEDVFDRFASPIHFFR SPHGLLLYPKQDDVWASEQPDNAMDIETRMDLSHYQSHHLSPSTPELPVLARCRAYAR IYPPAGSNLNLPEWRISAGSQSPLLDQASELAKMIRRSVARHTLKKRMGRIRWQDTTE KEYYDDFANGRVALDTFAGAGLWADQANNEQWEAQVEQTGQWMKEVLKPEFT CC84DRAFT_1222506 MPESSRTRAFFVLLKNLVLAAGVYAILYGIITLVSDPTVGAKGK LGIPAFLDKTGPTELIKTTKSGVQVKFASSTKTVTINPHANVFNRPVSAVEAKDDYMG PRPHVDTEADLHMLVEECRGTYTGIEKMRNVFDCLQFFANDEARYYSLPEPADRASAQ DPRQAEYVDADGHGRTLTKYVSLEQAKPANGSKIGTCPGPIVPYHVYWTGPATWRVEV FIKSYLYTQNLACSRLWLWLDSDRNPHAVDDMLNKDALFARFLPFVERGDVVLKAWKF PSRIPLPKDEDNRNGYGYYSTPGRPNAQGEKVVAENIVEDKDGQQWLVLTPKQMTFLP VAVSDAVRFVVLHIHGGAYFDMDVLMLRDMRPLLLPKEHAFAERWAAHPHPGDYNTAI MSLTANSSISAYMLYGGIRMGLNFHPRVLGRMAWKENRDQEFLMLETAAFDPIWTEFN WDREGRCTVPCLRDYGAAFRGREGALKDEWESYDGPQLKVVNFKEAQSNELRKRGRED DENVPASASAPSKPEHVDSFQATLDEEVELRHAGVVADYVLAEDKFPPNNRTLENFFR GAWTYHIHNQWLKHPEPSSWLSVLEQAQDGFFAGERVNLYGEKWTGPSLMPYNYWPEY V CC84DRAFT_1263893 MAGWFGTSSNSALDEQIERATSSSLEDMPLNLEISDVIRSKTVQ PKEAMRALKRRIGNKNPNVQLATLNLTDTCVKNGGSHFTQEIASREFLDNLTSLLKAP GAVAPDQSVKNKMLELIQSWALAAEGRSSLSYFNEVYYSLQREGFRFPPKEHIASSML DSSAPPEWTDSDVCMRCRTAFTFTNRKHHCRNCGNVFCGSCSSKSIPLPHLGIMDPVR VDDGCYEKLMNKSRGTPAPRGFDATKPSHTLYQGSMEPRNPRVEDSFDADLKRALEMS LEDAKGHSGAGFVPQSQLQSQKSPTTNGTSKPAPEEEDDPDLAAAIAASLADMEEQKK QHAATLRKQTSVSNGAPIVAPKNDYELTPVEAENINLFSTLVDRLQHQAPGTILREPQ IQELYESIGKLRPKLARTYGETMSKHDTLLDLHAKLSTVVRYYDRMLEERLSNTYNQA GSMYGLPPANQRPASTLYPSIQSGAPSDPQAGENFYTGNADPYARPQSFYGGGYHQTP QPSYTPSAPPPDQYQQPAQPYPNLSQPPPSTTYQSPASPQLQRQVSNQQYPPQPHSAY PPQAPPSTVSDAESANYYYGDTNAAPSAPPVQRTQSFTSQAAHGPAPSSPEMYRAPPA QTPLSPPTQPAAAPQNSAYYQQAPPQHKQQQQQWQQPAQAPGQTTWQPAPYAQGGYGP ESFPPPPQHQLPAQQKTIDEPLIDL CC84DRAFT_1130193 MSAAAPLPPPGLRPSYAMNGSGHGADGWRGSNAGGAGGPFPPIA EITASATEKANEMRSLTIGHLLEQARYHMTQAVTSIQGRQSPAAAFWEYLVAYKLVVD VIPRHRDYLDRVETARGQLHRDFNQVLKEVGANEDRFTNIKNIITNDNKRNGAQHLPS QPSSRPTSTNGIRARRDDELMLPDVPPGPPVGRSAPAVPLESPRRKPPVHPKPQSLHG RAVHQSTSSVNGVTVNDLSERFAKLRGTAAPIDTSSGRSSMDLSVKMPSPSDYSSHPR GPRDMPPPTHLPPPPPIALNTQWAASLPKEPSPTYSPARNLSLPGNINPPRSTPRSMV GTGGRNNSIASSASYQAPNANGQSDSYFPAPANASVDLSSRRKSVTKPAESQITAEKL YDYIRMYNVLLIDVRSREEFDAGHIFIHTIMCVEPASLQDGFSAEQLQERLVISPDEE LALFERRHEFDLVVYYDESTKTNSFLNKYNPNERERPLKQMYETLHEFNHDKPLQRPP IFLMGGIDAWAELVGTQALKMTSTAALVASGQTRTHPVRRAPRPTRILTQSRKTSGRR DYTPMDLDEQNRLLEEARRGRAVVEQPPQEEDEDDAESPMYRTTEDFLRRYPDLEDQQ SMMYPPPRPQQASPYVQPPIPPAPSRPAPSVPRPSYTGVHERQLTRQSSGNQPPVYVS SGRGASNRLHRTGLINFGVTCYMNSVVQCLSANPNLTNWFLSGRYAEYLQKRNWKGTE GILPEAYATLLSNLYKGDTSAIRPSTFRKVCGRFNQTWQLDEQQDAKEFLEFVLDMMH EDLNTVYDKAPLRDLTEEMEMAREQLPRPYAAKIEWNRYLHRNASLIGNLFAGQHASQ LTCKKCGITSTTYEAFWSISVEIRQDRACDIRDCLRSYCSVETLDSSDTWKCPRCKKQ REATKKITLTRAPDTLVVHFKRFSASHGQAARKVRTPVHFPLQGLDMGPFMEPPITPE GEARARATHAQTNDLLPQLKTDPAMNPPYMYNAYAIIFHHGATLGSGHYTAIAKDQAK GCWRNFNDDRIRDFEPTQISTEDREKAYVVFYQRERVAGGI CC84DRAFT_1103203 MAKGRVCLAYSGGLDTSTILKWLIEEGYEVVCFLANVGQDEPWE EVEKKALKIGALKMVILDLRREFVEELCFRAVQCNASYEGRYLLGTSLARPVIARAQI KVAQDEGCGWVSHGCTGKGNDQVRFELAFYTLQPSIKVIAPWRLPEFCDKFKGRQDLL DYAAEHNIPVTSTKAKPWSMDANLAHCSYEAGILEDPDKSPPDDMWTMTDSPLNAPNE PQNITIKFEKGIPIAVVAGDKTYTDSVELFEFLNKVGYTHGIGRIDIVENRFIGLKSR GCYDSPAMTILRLAHLDLEGLVMDAQVRALRDQFVSHSWSYQLYNGMYFSPEREFVEN SLLFSQKRVNGEVRLSLYKGNTYVLGRSSQTEKLYSEEEASMDTLDNFSPMDTTGFIA IQSIRLKKYGLQQLEAGASGFSVGRDNFIRDQAH CC84DRAFT_363551 MATLGNVLATQPFKTLYVVAALLVNLAKLPFWIAKYTLVRQHPA WSFRQALALRMTRAFISVVSVVRMRTPLPLTPGKEGARFAVIAHRAEDAGKFKGPMLA NAQTVQPGDVGATWYPARLEAGGVAADTRVLVHIHGGAYVVGDGRTEATGYLARSLLK HTPATHVVCPQYRLSTLPAGPASNPFPAALQDALTAYLFVLHELKVPAERVILGGDSA GANCAIALLRYIDAYGGELDIPPPGAALLWSPWINPTDGDTSFVRTNPHYGSDYIEPS FTSWGVCAFAGQDPPLAGPSIIASNPYANAKVSGFRTPVPLYVNVGGAEVLYFDGKEW AEMMRKEGNAVHLDITEGVCHDLFMVAGLVGMEKKATEMAKSAGEWLRGLTRK CC84DRAFT_363479 MGGPTRTTRGRHQQQPPQLPQPSSGTTIFDESIGDLLSDGIGYA TPQKTETVSPRTERSRLSQSSEPLAIRQPTNGDGCDNSPATLCSESDILGTHMKEVIS VISRLEGLGLQQQSIPLPKCVVLGEQSSGKSSVIEAISGVRTPRAGGTCTRCPLFIKL ECAGDPQAGWHARVTLRLSYVYKGVARSAKFPGWEPNINVAEVPFAACDSPEELEEVI RRAQSALVHPLEDASMFAQGRRPPFDPEQTTKVNFSPNIVCIHLSAPTLPNLSFYDLP GIISQSEYPDDVKLVKQLVQGFVEDRDAIVLVACSLAADIATSIASGYARTRWGAGER CIGVLTKPDLLPAGSSYEPLQKVLSNAELKFGHGYFVVKNPDQVALNNRLTHHEARLH EQCFFTTQEPWCTSLQSHSGRFGTANLQQFLSEKLAGQMVKALPGIYEQVQLRLDDVE AKLKLIPEPPPNYGATRIIMDLINAFSWHVQKEVEGAYPCKEWRSCWKTLREAFLEGL DAMRPTMVRRGQQDFGLYTPTLAGRSSDDPLYLSDGDEDEYMRDSVLPETPPNKRKLD TPTPTSNKKSRFSVPPIPTPAKTRPVHGVDYNDKRKVFQLDEVCEHLSANSQSKIPGH IEPKVVDDLVKQSIAHWSVPMSKLFVDLENRLKTFFRMSFEQHFQPRRETRLYIEAWR IVESILDTSLVEQRTMAGDAFNDEIEGPYTFHKAIFNGEKNSMCEKYRNARFDTRLKV YMEEMAKVVGPDKVPSKEKILKNESLCSRLKEEPYEVEVDVVAQITSYYVLAVRRFHD SVCMRVESKLFTRLRSRLRDEMEETLGIHDENGTRKAMELLAEPSQHAARRKELLAMR DALVQGRRELDALYEKHGNKIAASQGSHGYAAPLFNSSASFGPTSTPLTDEMQDITQS GRRP CC84DRAFT_363478 MPRLAAPPCQHLPNPCLVFHVRACGLVIPAPRLSSARMRAWTLS RCRLLGEGEHRRYSNRSSVKPYSNPVRSSTDCYTYDWQRPRLALGVHVAANGRPCSLL SSMRDQSWTSVTSGAYSTPRPVEPRLVDWVWTQAALRAATHVYLAQERGRLGGENAKQ VRDGELPCHETKANVNIRNSHSPVLAISIFMAPLISFVPLSCTYDSSTHVTLHVYVPL VQSSIAVSAQ CC84DRAFT_1130203 MDHTRDPCPWVILNDFGGAFAMGAVGGAVWHGIKGFRNSPYGER RIGALTAIKARAPVLGGNFGVWGGLFNTYDCAVKGIRKKEDPWNAIIAGFFTGGSLAV RGGYKSMRNGAISCAILLAVIEGVSIGFNRMMADNTKLEAPPMPAQSAPPAPSGGMAV AA CC84DRAFT_1103237 MHMSAILTPTLPAYRPQNLHYGKFENTTDAEWAVLGKHNLAYAA PFTLSVLPEEEEDDGVVVHGPLLCNVPSYDGSYFRRNFTILGRDGEYGGWLRLVIRNE TSGNREVLVWRKRE CC84DRAFT_363594 MFYNSTLAWLLASKDLLCEALEQCSLHASLIYNRRSHDMNPRSQ RLALDKAKSRRLEIRCFGCHQGRTRTAKQPCKASLKRARKGAPVSRAWGAREWSHTLI FNASKPLSTLDACLTALRAWRSRRGPWRCHRRRSRGELSSYSCVLVEK CC84DRAFT_1263899 MLSQRGIAVRPGAIDFSALTGLAPSSLVARASAADTTRRIWIIT GVVMLVSLIIIGIFCAVVLCLMHKKKRQRQKQRIDLGASNANHRYRPVDNMELEYGGV QELPAENSFGGGGAKSELRSDFNEVPRVQQLDGFVAAPKPSALPAELPSATSYKNDYH R CC84DRAFT_1169048 MAKKAKSRTIMVRLISMAMTGYYRTLMRPRVHRPLSMMKYDPIV RKQVLFLEQKRGKK CC84DRAFT_363638 MWSGWSPEPKGPISNWTMGEVVQAKALSVWLPRYVGCPSRLLVS SMQSDIAQPLCGHTRPWYVVHCRRGRQQYLVYMTPKHVHTSNSQALDSSSSPAALSLR IVSSSFPHSKQSSKHSAAQPKDNLGAINTLPMALTHRPTTVHTSQCNCRIRTQSLSPN SSPYRIRSPACTPLYRKCTSAAHKRDITCAVAELLCTAQPHLPCLSNQNAIFCRPSRQ AQP CC84DRAFT_1181003 MQAGVAGAWVHRRRKKPWMPWQDGWVANNKRPRCPLASAPSPPA QRSSSLSRWATHDGWRMPTEGWSTAVLKRCGERSPIMWKGWVTGEAARPSVLQCNAAA ASEESGHGKSVKLHTHLLPRVSSHSSHSRTDGSGGRDRRVATLDTLKKSRGRPGSDTC GKEKCY CC84DRAFT_363635 MCRSNMSAAHVLDATAGVISVLGTRSLSRHRQKYDDSSEVHVNA GEGSGYAVLGCDMPRLPAHLHHHYSSSLIRLVTHPRYHPEPSLMQSYVACRPHTAILH AGANLRGGPGTAKLASEVGGARERRRHDWSACWRGARLGDQVFRARVSSAGVEGLAWA GPRVCRQDM CC84DRAFT_1103107 MPAVISSKSSSGSRKERNVGFMRDPVSAVSRPVNTEESWAAHHF ETHAQKCAYCRNPYEVHRNHEQLCEIGHRLAQDVASFLYQRADGKTYSTVEEENKLVQ IEIPSGYTQVRSLLKAIERSLRHRTRAPFVSMDRTYYVAARMPVRSHSVKVDQEIKHK SRPRSGEIVDWPSSPKTQKVTVEVDNSSSKRGSLYEQDLELQRRNAKNYKIEVREPSP RDRREHRLSGYYR CC84DRAFT_1169051 MELPLPKLSFSWSHRTLRIQAMKNFPQIPQIQMVLRATQVAWRD VQNVGGACSSAVSMPPVR CC84DRAFT_1169052 MPPRSVCLNVFTTSLGSGSVKKESEVVVRETKAGNGDGAAEERA RHDSVQEDSIATSKPFAQAFTAAHTSPIASTTIDVATLPADIRGIVNPFFSTVLPTTC STCTRPPPSGHSLLRCSRCKRAYYCSRTCQKQAWKTHKADCDPTSSPSPYSVLDTPDV SMPGSPATAADEHQFNLAAPVARDVASQCGAIQDAMYGLSTTAH CC84DRAFT_1190926 MSPILNLRILATSLPHSLRALSIITFAPAFLLLLLLLLLLLICG IVSARVNPAISILPLFFSALYSAILLANEKIRGCQAAGLTGSPLHMMCDMLCGMPLLV CLIFGWVNMGRWHYEAAAIILGTYGTIFLLFNLGVYAYFVVKQVLDAFTPGAQYPSNC PQCQHMAFPQISVKIWSERHEYDPLLDGEERPAADVDAEDAV CC84DRAFT_1169053 MGFKDSIRACGLLTIDLHRMDEFTGLTQCAVSEHESLQEDEDNI NVPWQSQKWDFFGRSRTIDG CC84DRAFT_1156334 MSSNNTPREGFADKDGHFRRKDSAFRNQILSDPASAFPAESGRY ALYIHAGCPWAHRTYITRALKGLGDVIQLIVLDDAEKRDDKMLWCFSGEKRDPLYGFK YLKELYEKSEPGYDGRYLVPTLWDKKLEKIVNNESSEIIRMMYTEFDAFLPEHLREST KGAEGLYPPQLRSEIDAMNQWVYDTINNGVYKTGFATTQEAYEANVYPLFRSLDRVEE HLSRPGHQPYLFGENITEADIRLYTTMIRFDVAYYPVMGCNLKMIRYEYPHIHDWLRN LYWDEGEKTNGGAFKKTSHFEFYKAGYAAAKGVNIIPVGPIPYILPLSA CC84DRAFT_1181008 MALRQFRWSYYREETLWNQVQAHASLTAGLRPTNHARGSLNTVL HSGIWDKVGQVLERSEEECGCAAWAFVCGRYFAKLVKVDAYPPNMIFPKSSINIILSH LEDFKLGGSCRLHSFDRTLASSMRLIARRTVSMDCASTAWRSAERIVTEISIIGSSSV AMHMEGLTANAGFAMFRRHDKSAGVDETSIDES CC84DRAFT_1222521 MADTIASPVHRADIVQTKPGFHYGGSEEDSENNAPIRDVSGDIL DDGLRRGLKGRHFVIIALGSIIGPGTYWGIGYAIFLSGPLGALLGFLVVGISMWFLMQ SVGEMTTLFPIHGGFVEHAGRFVDPALSFSMSWLYYLMWSVFLASDWNSAILTLRFWV SDEQVPSWAWTIIFFVVFTVMTLLGVRVYGEIEYYFGMFKFGSLILLFILSIVSNAGG FGGGYTGFKYWKMPTGPIINGIDGFGQVFVLAAAYYVGTEIISLAGGESKNPKRDVPR AINSIVYRILVVYIGMPLFQGLICPSDSPDLLSASSKTASSPFTIGFVKDGWKSAGHF VNVLILVAFVSAANGVVYVQSRTVYSLALTNRAPKIFSKTTSRGVPWVAIVTSNFWGF LCLMNRTLTAGQVFSYMTSVGGTSAYIAWASIIFTHLRVRAAAKRQGVELKTFPYRAW GSIWIYRANFAFNVFILFIQGYTVFKHPFDWRNFIACYITIPTFLLMFVGYKLWFKTT WVTLEKVDFSDRREWVAQVSDANVQRSLKRRALNLVKD CC84DRAFT_1156339 MSGELEITKPLRRITDPSDIPRFAALVAAAFSQDALNRYLFMGR ESNPEHPKIQTIEFRAKYWEAIVRPRFEGGAILVESLDWAAIGLWFPPGVEKKPNPAS TLSEGVVEYLTFFKALREEKLGTRPHWHLNVIGRDPERTDKGAVTSIFEPFLAAAKAS NIPIWLESTNAHAKGIYEYFGFKVVGSTRIGKGIVGSDGWAKEGGEGVESWGMIAGLD GW CC84DRAFT_1181011 MRISGVLSTLLLASALACNHENIARQEGNASLPFTFTPGDDPPA DPATISYFVNHVGINVANLTRTSEWYSRIIGMRHIFTIDLEGGFSIMYMAHNQGGRNG TGFQTGAEMQRDKNNMAGMIEFQSYTGSGNSNSTGSETQRPQRPRAILSHFGLIVPNV EAAQARFESLGVRIIKRAGEIDLTGQTEESRLLGAAWGFADLSNEETQKDAMKAAPGL EVIGFRTFLIIADPDGNMLEVQPQSGAAI CC84DRAFT_1169056 MNFLQLIEAKRDGSALSDEQISYIIKGITADTLPEYQIAAFLMA VYFKGLNDAETRALTLSMRDSGIVLQFPRDPSRPVVDKHSTGGVGDKISLPLAPLLAA LGFRVPMISGRGLGITGGTLDKLESIPGFSTALPVDKIVDVVQRVGCAMIRQTEDMVP ADKRLYAMRDVTATVPSINLITASILSKKLSEGLDALVLDVKYGEAAFMKTREDAHKL AEAMETLGNACGVKVEAFLNDMDTPLGRTAGNWLEVVESVECLENRGPEDLRELVLQC AGRLLVLTGRESNLAAGLAKATTCLESGAPRAKWDELIEAQGGDLKAFTQKLQSPIEA FSLPIPSNKSGTISDCNARIIGEVVRDLGGGRAAKDSILDLNVGVADMKKPGERVKQG EQLCVLYARNEVEAVANSERILLAWTIA CC84DRAFT_1190930 MRSLGRGTETSIEKLQPLRTSATAVVKSLLRSSISRSTHCTICS SDLHMCAIGIVEEVASESRTLISGGRVIILPMIGYGECFYCKRRGFSISDQTTTSQGL VQMYGHHSSGIFGYSMIMRGYSCNQAENCSVPDTDIRLVGLADVTPTPWHGRESAEVD KDDVVGRLAKVHRALKVYAINNDINLLNIANAYGMIPIDVDDHSDPADDILSLDPHDL DRGIEANGVKSTNSIAHTVVRALGVESTRKGGNIALIGGFFHTINKFPIGMLTDNAIT LRFGQLYAQKARRYRSSDHQHDYMLLCALCSVFHSYWMLSLENSLVRIMSTG CC84DRAFT_363788 MRHLPRHMIEELQSYSASHLPKRDTNKKCGPGVGFCDPGDCCSP VGYCGRGSQYCASPNCQIDYSNGCDATSKPLGESTLNVSRPLIGNVTYDGAGIDHCKN PGTIALTFDDGPYNYTSHLLDVLGAYGAKATFFITGNNLGKGQIDIEETGWPDIIRRM HAEGHQIAGHSWGHQNMSSLNETEQVRQVEYLEMAIRNILGFFPTYFRPPYDDCHNGC QTVLKRMGYHITYQNVVNNDWQNDEGSKIEISKEKFVASMRQTTPRDANHIFLMHDTH YQSSWNLTSYMLDYFELFNFSRSVTVGECMGDAPENWYRAAGGAANHSLLLRKSRGST LQYSTLLLACTQLIVNIFS CC84DRAFT_1231766 MTESIGQEASNMHQASEALQAPEKVHSSTKRKLKKNLRRKTMEA QVLEFRAWEKPHRTDEHITPGVYRGFWIWLARCLTFYIPSWFLKNYMKMDDLRQRLAF RQKVLFCFLLAAIYALICYFLVIQPIVSCVVKVHIGMIANDSAFCSTVRGIVYVYMGL GGAFMLLVAICVVRVRYTSSSFEEHDALLVMQIPCYNEDEPTLRKTIESCVQSSYATK RKLLFIVADGMVAAEGQKPTYKILLEDIFDHSADLEAGIENQAHSYASFDEHGASDNR AICCTGHYRGVPYVIVVKVGREDEKDKPKPGNRGKRDSQLMTYNFFHYVNYRKLWNPF FENLEFKMRICLNMDARDAMYMLAIDCDTAVDRTGISYLVDRLQNRPKLLGVCGYTGV SNHMSSFVASSQVFEYWLTHAVLKAVESICSSVFVLSGCFTIYRLKWPNNRPAILHPL LLEDYAGSYDKTLHEHNLLSIGEDRYLSTLAIRYFGSDCRLQYFSAATCTTVVPDKLS VLLDQRRRWTNSLVHCHFAHLNVPPFEASFFTHVRLLFVLFCELFMVFILPLALPAGV ALAVISVLLTPYAWAVLLAFLLLPILLCILCLDWTYIPFYLPFFPMIAVFSIVIPIFS TRGG CC84DRAFT_1263908 MESDLYPHRGFMLDTGRKFFPVEAILDLLTVLHQYNFNVFHWHI YDAESFPIHWPADRGLTNASQAHSHTSRHYTPGDIQSVISHAQRLGIMVYPETDMPGH SDIWGIWNKDLVVGTPDLKRPEAQLDIRQRQTYDHIADLVSTVDGYFRSPLHHFGGDE VAYIWQTGDDNKLFETFLHWLKRLQPNKTLILWDDPLTDEGKRIDISKDWVIQTWHDG ASQEMLNRGYRVIVSESDAFYIGNADHDKIGSFVFPDDSNVLGFELVWFTSEGDDPYD FRQNWVMDPIKAASQIRRNRNKRTS CC84DRAFT_1169057 MAAKIPPFEHTSLDDIPAIAARIRHGFLSHTTRPLEFRLVQLRK LYWALKDNEALIIEACKQDLGKPTFETHLSELSWCMNDIVFMQNNLPRWAKDEKAEDI TLTNKLMGPRIRKDPLGAVLIIGAFNFPIQLSLGPLIGAIAAGCTAVLKPSEGSPQCA AVLEKIIAESLDPNYYTVVQGAIPETTALLDQKWDKIFYTGSANVGTIIAKKAAETLT PVALELGGRNPSFVTKNADPKLAARRLLWAKTFNAGQVCVGQNYTLIDKEMVQPFVKE LEVQLREFYPQGARNSPDYGRIVNQRQFQRLKKMLDESHGKILVGGTMDEDDLFIEPT VVQVDSMEDSLIKDESFGPFLPILAVNDLDEAIKIANEVHATPLGLYPFGNKAEMEKV LSQTRSGGATLNDGFFHASIPTLAFGGVGDSGQGAYRGKASFDVFTHRRSVTSTPTWI EGMLSMRYPPFTAKKLQQFLKMSEMKPNFDRQGRAIGWGGWVLGLLGFKSLAAVVVAV GVRLYLQRRARL CC84DRAFT_1231768 MNVTASHLAVAIALVIASKILYNIYLHPLRSYPGPKLWAATRLT WTHAMQSGQYHRKLHELHTRYGPVVRIAPDELSYTTPDAWRDIYGNRSIPKNAVWAGQ EEQKHPVSIVSTNEETHLRNRRALTGAFTEHAIAEHASVLEDLVGLMVTRFKESITSA DGKTVVDLVDWTNFLTFDISGLLSYGTSFSSVSHGRAHPWVEISCSFGKGIALMASIN FFAPLNRALKFAMPKSVMEKMEYHKQLAHEKFMQRLAMDDGRGKQDYVGSIMAYNADK GEVKIPDEEIEANMTLLIFAGSETTSTALAAVLTQLLQAPAALESLEKEVRAAFQSEE DINIESVARLEYLGAVIQEGIRMGPPASIALPRVTQREEIVAGQRVPSGTFVSVNQYP TFRSPSNFTQPDSFIPERFLSGSPFGSDRLEAFHPFLMGRHKCIGQKLALTIMRLTLA RLVYAFDMRVVQTVRDFGEQNTYIFWEKKPLRVELRLKAGSSGAAA CC84DRAFT_1169060 MKSFTTLTAIALLPAALAASLTATNKCTNSIYVKPDAQGFSGAI SEIKPGATWTGPFETTKFGNAVKFSSSKTDFSKPISFDYSVSGGLTYYDVSDAAGRPF KLVARDTTGGGQTCPEVGCPGSACKAVKACDSSHTYAIQAC CC84DRAFT_1231771 MPTYHQENIDTISTSTPSGDPEKVYADTAVGANLELDENALPPG YFRSKFFVGTMAGIGLGLMAGDPNITWVALAYTLTSAVTLTIIGRVSDIFGRRWVFVG GAALGVIGSIIAATAQSVNTLIGATTILGIAAATQLSYFYVMGELVPMKYRLAGNAFC YLFCIPGSGVAPLKFDYVGTALYTGGLLVFMMGLSWGGSVYPWASASVIATIVIGFVA LIAFVLWECFVDLKEPLVPMHIFSNYSWNASVLLTGLGASVYYAFAIVWPSMVAVLYS DGGVMASAGLSSFVGLFITIGQIVGGFAGKKIGYLKWQCVVGITLGAICFGSMATCGV GTKARASALVGVGVFCIGWTEGAAITIVTLAAKDQFALGTSAGVAGSIRFLISSIAST VYTVILSNRLTTTIAAQVPPAVIAAGLPATSVATFISAFSLGASAFEKVPGMTPEILA IGTNAYQHANADAYRTVFFSNIAFSGVAIICSLLLPNVDHLLTGQVATTLHSGRDEDH IAGEKS CC84DRAFT_1231774 MVDEDPFLFMELPGAEIRNMIYRYCADMSTRQALVVYLPKTGTL RPSTRQVRAAPGKYRELDNSEKKKRGRKGTPEEVAPALVAPKESNRPFFGLTQVCQQI RKEFYPVYIANQEIGMDLTNTSKYMETFFNPDVPLLYADALDPNGKNMPFRGNITIAV ARRILPIEKTAGWIDALPLLNTWANSAHIEAGFGRYSRHDYRPQADGEAKDLYRLYGR KVLPDRSCTEMNKLWRIILRQRKLAAVRIHREPGGQDKPHFHLIWKHAHRESWMTGKN SVIPGTVDGRATVGSWLWNLGFDEMEHFEVRVGAEQRPGEFLFIN CC84DRAFT_1103217 MSARPKSMSDFQSLIDASTPLNALNGQGLPRKKPSLSLAAGLMM TTESPRNFSRPTTPAMAHAAPPGGPWSENLFYAYAQKVYTAVPGPFAILAPSDYAQSA PYVLTFASALVAEQWWSLVQREYPESSRPGPQLFILKGDDMQEQIQDNPKFYDLRNSW FYTPSDGATAPIPLQDYRGNPVAPPEPTKEREERSEPSTFDLKGLEATLEKMAAMITE NTESIRALSVAQSTGLQTMQEINESTSTQIKALADNQAALQAIVDQNASHYIALSNSS FGAQSSMQSVLQSNAKQIESLASGQKKLVETCADMMHSVEKVGEAMKEVGSDSASNAS VIRETERTIGTIVNRIQPPPRKLNRRVKGVWYEYDDGPNGTSQLSGPMTPRKKVTMLD TPPKTPLSARTA CC84DRAFT_1156354 MTTPFKNIIILGATGSVGAPILAALLAEPTFTTTILTRATSRAK LPPNVPVISISDAYTRDELTRAFTGQDVVISALNTTAVTQDDLAFRIVDAALAAGVRR IIPSEFGANNLDPRATELVPVYALKGNMLRYLMQKAEASHGALTWTSIACGSWLDWAL DPGKSGNFLGIDVRGRRATIWDSGRARFSVTTAGNTGLAVARVLQRAEMTANKQVFLS DFVTSLREVVDALERLGGQGFVVEEKESGPAIREARERFEKGEFEATYPLLAISFAGD VDVGYDFEKEQTVWNEALGLPTRTLDEVVMGAIESANSS CC84DRAFT_1169062 MQFLAILAIAFAATGVSACKDDNIFCAPGMGPCADPAANCAKRS VDTTFGGFANVARTVNELNKPAEAKIDAE CC84DRAFT_1169063 MRRLWTILLTLEIAVQWLPVPASLKFGSGAATIGYTNQTWKLVV GNRVTLVMLISFFEAV CC84DRAFT_1103120 MATSTTTTVASHTGGRPSLPTLLCFSALTLSIFLAALDTVLIPT ALPSISHDFHIPDSLYAWTGSAYLLANAASIPLWGKLSDVFGRKPIILLANSIFLVGS ILCAVSVSAPMLVAGRSVQGLGGGGINVLVYVCVADLFAIRDRSFYMGIVGAMYAVAS ALGPVLGGMFAEKLSWRWCFYVNLPLVSMAIVTLYFTVHLQDARTPFLQGITSLDWLG TATILLATILLLVGLQLGGTSSYAQPLVVCFLVLGSLTYALFPWTQWWCEQRGGSPIT PLRIFRDVSNLSALGVCACDALVFNSIAYFLPLYFQVVLSAPPQTSGVYMLAVAVPLA ICSFVGGWIIEKTGRYLEVLQVGLGLMTVGVGLFISFGTALQLAKVIVFLGIIGIGFG PNFNAPLIALQTRIHESDMAAGTSAFGFVRMVGGAIGVVVGQVIFQMLMRPHVSAFID AGLASELAEALAGGEAISQAGVVALLPEGQRKVVRGGMAAALRGVWIFYTVASALGLC ISFGIHRSRLTRDAPKEKGETSSTSREGEA CC84DRAFT_1103241 MRTIFKRCVVCPTEAPRCPDECSGSNSNNCVQTTQTCDACPQTK CMNIDPQGSGTSSAKPNVGAIAGGVIGGVAFIAVLVFVVWKFCLKGKRRSWVEEQAWS DGMDMSSSQEKAQNDFASRRSTRASTHTVASMASSVLTRASNIIQIAYIPGVTNRSGP QSPDLLVPPVPPIPAMSPSSGLSSPYTASEQHFFVPDFRDSMASGSTDVRSSYARTSI APSLARASVASTVYRQNAIVSPLPAQTIVRGKAAVVSVKSSGSNSPAETPMAETPPIP QIDPKHTVKPIRIQMPRQGSAASSLSPQNSVRSTAQLGPVRALNITKKKASDVTPPKS SSSNKSNLTGSTAISDNQVQTMRPLTEYSVTSSDDGLAHARARKSGLIDSDSDSDDDD HARARQSLLRDSALVSEFSDGQSIADLRSPFSDYAATDRPTAPQRQTSRSSRLQAAPM TPIVEESGKRHSAASKRTQSPFSDDHRSDL CC84DRAFT_363880 MRSTWRQLVGSFGFALDCGNGNGQLRVCKADSVGFGECGAVRGK GPVDDLVGAFAPLEVCAVGASGKAEISVSTLLYVFHGERCPYGELFDSLCSVVINTGC AKGCVLNVGQNYAVCLPLREIHVLDYAEMKGWLQPLVFWAHLHSRIAHGGVMHSLFSP RARNSRPMLVVRKVIVDRLLAPFCPCAAFCRDLQRAQSAQVLHLSSGPTVGGWWQTLT WSG CC84DRAFT_1222537 MAAQHTSSTGSMQGHQPDHIPLEPIDATPNDYESLSSGSSDGMS TRPRAFLIHLFRPHVRDYESMNLVLDHLGTFCDNALLEIRLNQSQDLNLTPLQMFHHA YARTTNLWPLDERVNPDLREAMRKFDWPALWNATMEERLRIRQYQNDLGMYGSCRRSG SQRWIIICAAYADLNEYAFGLDILRYLEPLPTMQAYHSDFNNFAVVPRDISGEDMHWF SRSFFPHWDQAFQLLKARHPHRYQEDARHILHAFVRQGVNVVDVFLKYWYQGSEDGLD DDFGSACERAGRCAVDRQWKVELDSPFASPGPDPLPLSIRTILARADHVRSQKTLFTT VAYLAGTKERENMHREMFRMILGSLKDWATATGQDAVVDDMIKAMHKNEDILGDTMDA IVDRDDGPYEWSSNRVTQNPLGNRPLHMPPVILHYGLPDELPELTEEPGEDIAGGQLS TVGQLDDDGYTLQLPRLGLIRRFLHRVHSIGARAYVSPWDLELVDIPLEAFGPRIVPQ TVSHACKAPQDSICVICLDSYAHEESVSKKPTNNKQIKQEHQALVVQLRHRVVGIRRS QKANKESTQPMQLNSCSHVFHLACLDELLNQAYPTSGVVQCPCCRAAICTARPTRVAR GWVGRIPLIGRFARG CC84DRAFT_1190941 MASNAAATNGHDSAKKKLILNAFVEMCSGHQSPGLWQHGTPDDT STEFNSVSHWVNLAKLLEDAKFHGIFIADVLGGYDVYKGPRNLEPAIKSGAQWPVNEP LAVVPAMAAATKNLGFGVTVATTYEQPYHLARRLSTIDHLTGGRLGWNIVTGYLDSAA RNLVNSEQPEHDERYAIAEEYIKVMYKLFESSWRDDAVILDRKRGIYTDPSLVREINH KGKYYTVPGPHICQPSPQRTPLLLQAGTSKAGKTFAAQHAEAIFVAGHSPSVVAKNVA EVRELARSFGRKGEDIKFLALICPVLGRTEEEAKAKFEDYAQYGDLDGALALFGGWTG IDLAVYQDDEELRHVESNAIRSAVEAWSKASPGIPKWTKKTVAKHITVGGLGATVVGT AAQVADEFERWVNEADVDGFNIAYAIKPGTFVDVAELLIPELRNRGLFWDDYKVQGGT YRENFYGRPGESGPLKEHVASGYRWRKDVSAHDAVIPV CC84DRAFT_1209874 MASELLSRAEEVVVQPPLSQAVGYVVVVVIGLVIAFAMVLVTRL LKHTVGEDNKKTEMFMTANRSVNTGLTASAVVSSWLWSTAMLGSTLVGYVNGVSGPFW FAAGCSPMIVFFAVLGISCKRKIPEAHTLLEIVRIRYGTTAHIVYMFLCLVNNLFAVA NMLLGASAAITAMTGMHIIAATFLLPVGVALYTFVGGIKATFLTDYMHTFTILIILCY FSVKAFTLEEVGSLGNLFELVKAAGARHPVPENEQGSYLTMTSKGGILFGILHICANF GLVIMDTSFFIKAFSASPQAVVPGYVVGGIAYFAIPWAMGTLASSVVLGLEHNPIFPT YPRRMTSTEVSNGLVLPYFAMTIAGKGGAAGILLITFMAVTSTLSAQVIAVSSIISFD IYRTYFKRSASDEDVIRWSHYGVIFFALFASAFSTMLHYVGVDLGWTLYMLGVLTCPG IFPTAFTILWRRQSKAAAIIAPLLGMITGIASWLGSAYALYGAVTIATTGQTVPCVWG TVASAFSPLVYSPIITLFKPENFDWADFRKERLAFEVSDTSSIISHRNSSVVRVNSEL ETQSPDEQVLLRRWGRIAAVWALATFLGHWVLWPLPMYASKYIFGKGFFAAWLVIAII WLWGTLFIVGFYPLIDGRQQIWAVWQAIRDGRKKRGEAGAASTRSASGEQTPESVEEK QGKTG CC84DRAFT_1156364 MAHASQNRTVEILNAAAAGKYGVLAAIAYNIEQLTALVRAAEAK RSPIIIQLFPSTLKQLPTLAHAAAAAVRSATVPLSLHIDHAQDVDHIREIIATLPVDS VMVDMSHYDEQENLEKTKILAKECHAMGIAVEAESGRIEGGEDGIADTEGLEALFTSP EDVDNFIAAGIDILAPSIGNLHGDYGPSGPAPGQLHFDRLTAINKQINSRVLIALHGT NDFPPELMRQCIENGAIKLNVNKLLLEVWNEFLRKNAAEMPLVKLIDEGMKVLQAETE RWMDICQSSGKA CC84DRAFT_1169067 MPAQKPRKPRPSRARGLRTTTGCLTCRKRRVKCDECKPRCGQCL KSDRECTYPQATDDGAATTGSSGQEATTSPAQPRGSIVVDSPATRKSIGDADMSALGE SPELRFDLSPLALSTSLPSPNSAPFEWYDLLAEDAINNIQKHNLGFAEISLSRRQSPV PETEDEIDPQLQATGQSRPTDAAFEAWKSPNIIALSDDELGFFHHYINVLAPILDLFD PRRYFANLVPKLAVHNVGLLKSLLAVAARHMALLSDHQTAQNALNVQTPVSHSSLQDT NSLNNHNPFLEVATQYYYETLQYLSQNLLYPSYTKSTEIISTAILISTYEMFDASGRY SDGGWERHLRGIFWIQRSQDNNGETKDGLRRAAWWMWLRQDIWVAFRESRRVLTIWRP TRRLVDLTPDELTTRIVYICARCVDYAANEKHYDMNIRIDQGDKLLQALDDWHRILPP SFQPIYAHSTPQPGLFTPIWIHPPSYAAAIQMFHFARIVVLINQPSLGGMSEFSRRQR LLDESVETICGIALMHQGNDLPSAFVNYQALYAAGLCVQTPVKQNAVLQLLEKTLEIT KFPPKTLLDDLKRYWRAEP CC84DRAFT_1169068 MSPSAIEATTTAPPTSASESVPNQIAQSVPAPVYLSTEPGPDHD WKVTLKNKVIVITGANRGIGLGLATVCLANDAKEVYSFDLFEPGEEFQALQQTHPKRV HYVHCDVTSEESVNKAVDQVVAQSGRIDGMVANAGMTKHQPALNFDRPQLEQLFNLNV FGAYFCAKAAANKFIELGIKGSIVFTASMTSYRPNRAGPSAPYGGTKAAVRNMAHTLA MEWAKHGIRVNSISPGFVMTQMTSKIREAPDFNLKMQYYGGMPRLADPRELGGAYVYL LSEGATYTTGIDIPVAGIVGAW CC84DRAFT_1130255 MDSKHERVEIAVGGVSWTPEEEKKLLRRIDLQLMPIMWIMYLLS YMDRTNIGNAKVAGMQTDLNLTSNQYSICLVVFFVTYVVFEVPSNMLIVRIRPSIYLP CIMTIWGALTCCMAAIKDYKHLVVLRIFVGVFEAGFAPAMLLLMSSWYKREEQSKRFG IYISAAILSGAFGGLLAGAITGGLDGAAGYEGWRWLFIVEGAATILVALLSYFILVDF PATTARLSDRERAIAVARLQQGGVTARAEGAERMSKAQSFVLAIRDWRTIGFIFGYMV IVGSSTLSYFYPTLVNGLGYTSTVQAQYMTVPIYAVAFMCTAITAVFADRVPNHRGLI IACWLCFSLITSILVCVIYNFTARYVLLVLMAAGLWASNAISLSYAGATFGDMEPEVR GVALALVNALGNLAQIYGAYLFPADDKPKYLLGFGVISGMLGFGVVVYVIMHVKTRKA LGMTNFFKD CC84DRAFT_1190948 MIVDSLQVGFTASNLWQEVTVAFFVYLSTGIIYDMYFHPMSRIA GPVSWFCSRLPFVWALLRGTIVRDIETLHRNYGPVLRIAPNEVIFTSNEAWGNIHLPR PNHQPFLKDPIWWSSQPGHPNSIINAIDPKVHSRIRKIYVNLLVEGLKDQAPSDLSFE IDISPWFNYTTFDIFSDLRFGESFECLEKSIYNPWIDLLFNRVKTTGFIFSARFYPWV EFILMKCVPRSLNWVQKDHYLQIVDKGLPHGEICATFMILTTARSETTATTLCGALAI LTTEIRFAFHSTENITLAALHDPPYLIAVIQEALRLCPAIPWVLPRRVPNGADSVCGI WLPGKRNSDITIQTPVSIQAWKLTLIELFSTCPRNCMGQHLAWAEMRLTLAKLLFSLD LGVVAGKQFRWEQLRIFPLVETRSICVLLKARAVSIEEWVLCSSGKLYYDNDKSIVTA NGFTRPFVLVTATWNSVFV CC84DRAFT_1231798 MFPPTDRKTSANLRYDVVVDSLNKRADAAVDAGRGGGHGGGGGG GGHGGFSGGHGGGHEGGHGGGGGGHGGFSGGHGGGHEGGHGGGGVYHPVYEGPKYVPV PIYPPYPYPPYPPYPPHTSKTKTTSKTKTTSKTKTTSKTTSKTTTTTTTTTTPTTTTT TTTTTTTTTCSIPETEKTYYIPAAATVGQTLAVRVPSCAKKMRFIVRGGGGGGPVDSQ AVDPLGGVKQWLGYGGVVTGNLDVTPAQQYFLVAGGAGDKGGSFGQSAYGKGGLAANG AGGGGGASALLLGTSALGEEIAVAGGGGGDSTAVVPFQVPNSWSLTYTNSGNAGIQGE TKTLTAPPQDTLKLQTDGGFPGTLLTPGTKGLFTPLSVTTAITGNNAVGHDGADGVSF TYSGTSKLGSGGGGGGFNGGGSGAVGSVEAPGNKIVVLSGGGGGGYNYYQIAKVTQGT STVASSNTAGRCPRHVPLDSSTTTTASW CC84DRAFT_1209879 MHSASRCILSLVLVIQSFGQQSFAHVNLGAAAPYGGLAKTYITD NGFTVVQGVVASYRAGLITNSPPGLYDGVNNGNATADKAHQDASAARSAAAALASTAR LHADITGETFRHGVYTSAMSVGFSGVLRLDGENDPNSLFIFKIGASLTTQPQCRVILI NGAQAFNGGLYALNGAVGLIKDQIIAQSHCPVRSSDYVSSTFSVRSSSATASNTLTST SDGSSSTTIISAAIHSTVDSIIYDTLDTLSFIPSSRLSSTLS CC84DRAFT_1054094 FEEETILKSFETTGISLFDPEVILRRFKKTTQDDNQGSRESSKK DAQKLRRSLHHISAKVQLLHHENAGLREALAIKTKHKKNVKPLDLQQRQEYHGGAVFW SSSKVRKARVRQSVKE CC84DRAFT_1199737 MAPMIISEKPSEGSWSQTASMPPSPVSRSKEPLAICGLACRLPG DSSSPRDFWDLLANGRSGKGEVPKSRFNVDGFYHPNGSDRPGSMMTKGGYFLNEDTRQ FENSMFGINNLEATYMDPQQRKLLEVVFECLENAGVPLDVASGSNTGCYVGNFTFDYL VMQSRDADYLTRYNSTGMGTSILGNRISHVFNLKGPSLVIDTACSSSLYCLHVACVAL ENYECDAAIVAGANLIQSVEQHIGTMKAGVLSPTSECHTFDASADGYGRAEGIGALYV KRLSDALRDGDAIRSVIKGSAINANGKTAGISLPSADGQEAVIRKAMHKASLSPNDIT YVECHGTGTKVGDAIEVEALNRVFQRPLDRPLFIGSVKSNIGHSEAASGIAGVIKSTL ALEKRLIPPTYGLKKLNPKLEPFKDSISIPTELISWPGDKECIRRIGINSFGYGGANA HVILEEGTHLPRPSPERLFVPQSSVILPLSAATMASLEARASDLARHEFGDTTILDLA YTLGSRRTNFRTRGFLVAKTAMNLNDVFTSQMLTTGVAPSQSVPPPLAFVFTGQGSQW PGMCKELFEIPAFRNAIAEMDSTLKTIPHVPTWSLREAILDTENPDLIHTPQRSQPCC TAIQVALVQLLASWNVVPDTAVGHSSGEIAAAFAAGHITAAEAIVIAYYRGFCVSKSV QEGAMMAVGLSEEAVNDKITENGLQEKVRVACVNSPDGVTVSGDCEAIDKLLTTLEKD GTFARKLKTGGQAYHSHHMLPLGEEYESLLDRVLPTLVPSYRMAQNVMVLSSVTAEHK LSGFNSRYWRSNLDRQVRFKQAIQALHAHAHHTFVELGPHSSLELPVKQTLADLAVSG DQVRYFAPVKRNGEALSSALGLAGNLWLIGYAIDWTLVNGLRGLTKSVASSFSVVTDL PRYRFRYDEVLWNEGRASLEYRQRKHPRHELLGSLIPGGNGDDLVFRNFLRVDDIAWL KDHKLEDTIVFPGAGYLCTAMEAVMQAADITLSGKPSFCFSNVHILKALVLSEDAAAK TEMFTSLRKSAITNATTSSLWWDFSISTYHNDTSIAHAKGSICISTGDTFMEPKYEAP NACLEPTAKRTWYDRFLRSGLNYGPAFQPVSEFLTPSMKDASSSGAKLQLRTTYGDEL AVYPVHPTTLDAMLQTAIVATTKGLPANLNAKVPTRFGSIVLNTTADGPCQINAHARV TGFGSAEIGCEIMNPAGGIAVQLNEVRLSPYATGAQENTAGERHPMLRVLWKPDLYGL GLMNVQDAQVHMDNFVAEADSPLEDDSIIRLGAMLDLAVHKNPRVRILELGNDIHDIS LAVLDLLASKSEFKRFSSYTTASFSDDGSLRGNLVDLEIGERSEPGALEGQEYQVILI PVAGPWLAHYMDKIRDLLTEDGTVLALCPGSTSEAFTSSSLPSIAYHIHEGASSVVVA RKPVAQSNTFAPHNHRILIVDREEQSKLGSALEATLKSNGSTQVHHVLLYELGQKDIS AGDIVFNLAEIRKPLLSTITDEDMGRVKLMTDNAHSIVWVTKGNITEGGLPDMALVSG LARALVLEQPSLKIYTYDLDTCESDVDVTAQRLLSLLNQASRSPDFEFAQRRNVIHVS RFAPDEGLNAEFRSKQGLHTTQMALKNAGNVRLDIKNVGQFDTLYYKQQEDSRSLSPS HVLLQVTSAGLNAKDFYLFGGKVEVPNATSSNEYTGIVVDVGAEVTGFAHGDRVVVMA PSHFQTYQSVPHWACHKLEETDDLDVCATLPVVYSTAIYALEYRARLQRGETVLIHCG AGGVGMAAIQVAQQAGAEVFTTVSTKEKEDYLVQTFGIKPSNIFSSRGTSFLEGVLSA TNNRGVDVVLNSLTGDQLHAAWRCTAVGGRFVEIGKLDLATAGRLEMDQFLKNTTFTA FDLAHLYNSDSEALSSAWTELLRRTMEFYRSGKMAEFNTLKVFDIEDATQAFRFFSSR TRMGKVAINMQNPQSKLPVQRLKHETQFDSNKSYIMVGCLGGLGRTLTRWMLQRGARK FAFLGRSGLDKPAARKLVRDVEVAGGECVVIRGDVCKEEDVAALVNETMGDIGGVVQA AMGLNEALFTSMPNAYWHTGIDPKVQGTWHLHRCLRASGRDKNLDFFLLTSSVSGSVG TATESNYCAGNYFLDLFARYRRAQGLPAMSVGLGMISGAGYLHENPEIEALLLRKGIQ AIDEDELLQILDIALSNNTLDGIHHPHDKGAERHILTGLEPIGLKELRKKGFEGTNPT LDDPRASLLSSALDGQDDVLRQAHAGDLPAPITEALEAGQSLADALLNFIRRRFGNLT LLKYDSVDVRCPFANYGMDSMIAAEFRTWFYQSLSVDVPFLMLLDKTTTLEALRDLVL VQMEKSSQ CC84DRAFT_1190952 MEARSPSVLITGCGKGGIGHALALEFKAKGYTVITTLLPHESST HLIECGILTFSADVTKDEDIEGLCKEVTKTTQGQLDVLVNNAGICYTMTAIDTDVREV KKMFDVNVFGPMRMVHHFHRLLVAARGKIVNIGSVGGIVPYMYGASYNATKAALHHWG NTLRVEMKPLGVNVVNIISGEVNTNILKRDQGRTLPAGSLYQPLEAEFSLHVDRSPNT TSPSEYAAAVVREIGKRQPRAWFWTGATTSFVRWGDMFLPRTFWDWLFTRWFNLDKLR GRG CC84DRAFT_1103388 MSCATAGGILLGAAGAFIIVLAGYVLGRAVYNIFFHPLRHFSGP MWTVASDFSKLWILRTKQQHRLGMAAHARYGPVVRVAPNLLAVSDARLLPQIYHRRAE KTDIFTQAILGDLAPPLEVRDWREHSTRRKRVASTFFQTNVRKLESDIDRCVLEWTAA LDRRFADTGDRLDFANWSQWFAYDTICTLTLGKALGFVAEGCDVAGLLKNFHAMAPYA ALVYALPWLCAPVLKSAFLRKLVLPSRLGDKEATERLMAFRDSLVQEKRAGRARVEKL SKEQGVTGATGVNNILAAKREDGSAIELEETGPNSFVLMVLASDTTAAFICGFVQHVL ARPGVHARLLAEIQDFEHRGCFSSPVATFDDIQRMPYFVACYREVLRCQPPASILLSR LVGDQGLWLNGQYAPPGTEVGANPYVVNRDPTVFGDDAEEFRPERWLHGEDQAQVMER YMLTWGYGTRICLGKNIAMMETYKLLLHFFRRFRPSMADDKSEWTVQNLGLFVHRKMW LRIEPRHMETA CC84DRAFT_1102724 MADKAVRLSDGKAAKLRASCDACNESKVRCSQTKPSCTRCQKQR IICVYGLSR CC84DRAFT_1102722 MAPPGPVYPQGPITQFPAKDLRHARRFITSHNTKGEGTFLADDD GAHHRVMVNGNAVANIIYSTNANPIDMNNDKDLVYARDNEVRISNYIHIYLYPGIHVP NGSVARLIDFAPGVESPLHRAMSLDYGVVIEGTFKLTLDSGEKRIMYPGDISVNRGAM HKWRNCDEQRSGRMLFILLDVKPLIVGGKVLSEDLGDLAGEYAGLG CC84DRAFT_1130260 MVAKGKRSQANRTTRDNENGLAAPVGKRISRQRSNPHLNGHANG TKLPSPPPPAANVDDPMTQPAAAAAASGSAEDAAAFASTAAPAASASASTPEDRVRAG SNVSLDGLEACRPYDADPFDSAPSALARAANGTKTPPVYMPNMLTVATTILSSCPLRD VLAILIILLQLPPTVLTVVQFLFATLTFVPPQAGTPLSALSAFPSFTDIFQGSGGTPS LFTIVFADALILVLWLVLWVPVQNFFLDLAQAVIAIALGGAAAGKNGTTNSIFICFVI ILSNHLFRFRPLRQHILHFVYTGLARGGLEFLSSPPSMPTFQESFSTPHGWPRSLLGI HILAQGVVRIIRRSLSRRDAAQSLPTGKKTDPEAGVHLQRTSTATIDSNAEVPNSSST DGRPPGPSPATHTHKDKASSSRRKRKQATHVRSQQPFWAALASTKVTVLKEMESSRAA NDAGEANAKDANHIGNAVWRLEDDRVWISEVGHSDISFRVSLCGSGDFDDVQEQVGGS GSGIDKSKPFYIRVNGADWSSTRIRSTLPEGYPGEEKNGVWVGEIFGLTGLSNYHCEF VRTKDREVFYSTSLITSPSPVTEQAIASVASPPPHQTLRPSSPTTTLKNSIAAADQQL QEQRNRLKRNKKDHKAALTATKKEVDTLGTRLANAGGSDERQRQRVMQFTQNIRQAED AAADIAIKISSMGEIPAEETEEAAVKKREWKKERDNKEGVSTEFDNAKAEIERQVRSV ESDITSAVQKRERLQQRQAKLNDQHDRLITANKEGYTAKQRKEQERLALHQERLQVEA QYRSNINSFERRANDYYMATGQIEQTIQHFETILSQSQNMSVPATPEGHLPGTNNMSP YTHPFQSFTFPLYNDGRVNSTPGSLRGGRGRSSSMLSNISGFTDGFDDNMHSPSGNIL GNPWNAPGLLNGGHGSHGSGSLSSGTSSQSSSQRDPMSPVPTNKPMMARSPTGGSGAY MSPVGTGR CC84DRAFT_1169071 MRPLARSVLPARPLLIQRAPNTARLQSTSSSSKDAATAALSPRW LSDLKTRVGKCINFGIDKEQVKEAGDILHYVNFNWKDLVAGSEGFLTGKHRWGLHRQQ VAWGEMDSMGHVNNVTYNRWAESARVNWGMNFASMDTEHRDKWEALVTPKGIGMILRS IRTDYKFPIKYPDRVTVLHKLRSMPKADTDHFILDVVILSELHRRVAARCVEDIVIYD YKAGKKSSMEPFMVKMLVETFNLQEQAKTTYGNKALELIRRVQALEKSSWDRPDAKED FGSAIP CC84DRAFT_1222554 MTAINRTLRHQVITIYKELLYLGREYPLGYDYYRTRLHKAFASQ QHLTDEKKIKEGIERAEYVKKEIEALYYLKRYRALRQRYDKV CC84DRAFT_1169072 MDATRGSVSSASVAQSTKLEDSAIFANPFALRHGRRYLRDLPYP LPCDLPELQRQNLHTLLSTSVFGRALGSSPNPERPPHKVLEIACGSGYWSSLCHEYLY GLGYEDVQYTGLDIVPVAADLRKQNIDWRFVQHDLRKVPLPFDDGEFDIVVMKDMSMV VPLGSASQRVLDEAIRILKSGGTLEIWETDHVIRSILPHPPPPPGKNPQEYACAVATG TFMISPITPFTEVHNKFLASSNNWITEALDKRKLSPTPCVRISQMLLQETETLQGVEN RRVAIPLGELRWEREAAAERQAANRRGSEAARTKKSGDSATLTDEQAALRHTALLVVI QMIESLEPLLKEVSGKSQEEWQTWWGSMMTNLLQEKGASSGECLEIGVWWCQKV CC84DRAFT_1222556 MADYSAYQRGPGHAFYPQHAQNHLQSRNLPTRVRSPTTSARIPF NNPDTPSPSRSSGAQSPQQLGMFNQGHGHGNQGHNVMMNGGNRYAMQMNLGKPFQHNP SHQHHGQAHHQHQDHGTGAHTNQFNHQHNVSSGGISNVQPHFGQNHLQNGTPGSVHSG LNKPVSEHWAEQLKLAQMAREMTQSHSHARNHPSVNKNVIAGTNSGTQKDADKEERNR PAGQMIQDGRENHVWTILDFGGQNLKTLTPTLFQYTFLTKLYLNCNKLSILPAGVGKL RNLTHLDASLNELRTLPPEIGMLVNLKQLLVFDNNINYLPPELGSLFQLEVLGIDGNP IPSEHKEIIMEESGTSKLIEHFRETAPGPEAPMERDIIQLDEVAAEQETVSALSYNIL CDKYCTPSQYGYTASGALDWEHRRETILAELRERNADILCLQEIDQESYNDFFRPALA HNDYKGMFWTKTRARTMAEKDAKLVDGCAIFYKHSKYVLLEKQLIDFTNIAINRPDMK GEHDIFNRVMPRDDIAVIAFLENRVTGSRLIVGNVHVFWNPAFTDVKMVQVAILLESI TKLANKWAKSPPCTDKVLYRFANGDDEEGNPIPDNTQTPGPSLEYSDAAQIPLLLCGD FNSTPESGIYEFITSGNMSNQHEDLGSRNYGNLTRNGVSHPFSLKSSYSPIGELKFTN YTPNFTGVLDYIWYSTNTLQVVELLGDIDSEYLKRVPGFPNYHFPSDHVALFAKYIVK GKKEKRAVVEPDFGQGRDRDRERQR CC84DRAFT_1169075 MAATGAKELTLDPKYDDYDYPTTAPTPQNGHPGHTTPEQDAQVH QLRAMLEQAGYTKNLDTLTLLRFLRARKFNVELSKQMFIDCEKWRASYADVGVEELVR TFDYKERPEIFKYYPQYYHKTDKDGRPVYIEQLGNVDLTAMAKITSQERMIQNLVCEY EKMADPRLPACSRKSGYLLETSCSIMDLKGVGIAKATSVYGYLQAVSAISQNYYPERL GKMYVINAPWGFSGVWSVVKRFLDPVTVNKIHILGSGYQKELLAQVPAENLPKLFGGS CDCPGGCELSDAGPWQDDQWVKPPKWAKKAEDKNVIDNTGVPAPTADAPQQPLTGAGP EGVEPAAAPAQNPNTANSA CC84DRAFT_1231828 MRTPITPSTPFAYSASVASPLHLDIQIPLVLLGHKELSPRLARG PERHKYRLEVLHSGLIDLVLSSGVKIALWEDTPFEGKLFDDVTEEETHAFEEQKAEWR GAEQERWDAVSQSHNWVIRATPGHPKRTPDSSIPKLSSVEAIGMSSHWRSGDGLLTEW EAQLAFRESLWTLPFTLQRLNLLSSRREDWEGEVRVVMEALSSINTPDKYENSEHTRI RRPLAVLATERCHPHVGLRQASSFSNDSTPWDDYIVQNVLLLMTAFEREVTTLATPEF LLMNRPLTDFLVAREVGKMRRTRRALWKALSQKIDDVGNNADGLESGARIRKQSQFDR KVLEWRGDLAREDKNVLRREALLGEEGMPWWEHLYQAIIEGSADDLVSDITLRTAKMT GRRLALSLSSQGVELTTTKRDHVQPSSPDPKPKPNPDDRPGPKRTSHIHTRKLTVLSI PPSPSPPNPLPQIATLTFPIPLRSLAPEPFLAYTSLLSCLLHFATENDTEHVYDYVCA AKTDGMRAGETGAEGLIRMAEKLNVREKALQVLIEEAKKCTRDRGADSDGGGMLEKEG DPFWKIEGFVQGRYKQGRKIVDGHAVGEYGHGDGKQQVKMGLRYLERYEVLGGFLVPR RVKVLGLLEGQEGLRRAQVGN CC84DRAFT_364503 MKLGAAFALGGLIVGAVAHPLNIKRAVTTEVVFVTETVADAVVY VDENGSPYLTSTVERATTTASTSVEPTSVASFTAILTSAPAESPQPAPAPPLSPSPSA EPSSVVIQNVELTSTAAPIPPAPAPTSAAPAPAPTSEAPAPVPEPTVQAQEAPSPEPS FQAQEAPAPQPSAASADSFPLGITYDPYKGTNDKVDCKTADEITADFNTMKDYKIVRI YGNDCGQIPVAVRAAKQNGQKLMGGIYAPLQDVDSVVSALSDAVKKENGGSWDIISLV SVENERVNAKAMTASDAQSTIDQARTALRNAGFNGPVGAVETVPAVIDNPGICSASDM VLVNVHAWFDPNTKAADAGKFVKSEVARVKKACGNKRVVVTESGWPHQGDSHDQAVAS PDAQKAAIASIRAEFSSDLFLFNAFDTLWKSDDASTFNAEKYWGIL CC84DRAFT_1263931 MRTSLLAVLLAFSTTAFTAPTAPPTPRSLITDVGAALSDLEAGL GVTAAEDKLDALLGNSLTKLEDALGVTFAEYALGLLEQGAAPGTIQAIGEAIAGLEEG LGIDEVDAMLDEATGGAVSSLEEALGVADVEAALGLVKRGV CC84DRAFT_364537 MDSAPSSLSSLPPSPRTRSPSLSDDSRRLTAASPDDDDDNNNNN THAAPPRELPESGRMSRAGTLAPAGKSMPSSTAPAAQPTARERARGPLRLLDLPVDIL KDIIHQLPHTNDLTSLSLCHSALHRLTVPCIYSRFDIVWPDESTHSEPRSGVDALTYG LATLVMGDDCFPHQNRSRHQCSVPATASKRATRYPITRRRFGNYYAQFTKKFSLGNGP AAWVHEYMITKEGGKMLGTLVALAIARMVNLETFVWDMPTGVLRDVWLALSSLADRTD GDDCRLERLWIRWHDNSIDAPVPPPAPPMILNNVNVPPPPPTGHPSAPVAVQPSLVVP PHFHAHATPAIDRVEHPTFSVIPPLKSLSVLDIDELPYLDEMSILIARSQDKLRELRV GIAPQAQQRDWVAAWEGEDIQQVDYDASWTGASAIGEKRLGGVLGVLVGRVHNLRHSE EQTAHQLKSAAVGGRPLGLPVKFGVTGATPTPVAPLADREPDHTTDHFLFGHPLEPVV SEGVSIVDEMQSLNIQPPVDPWDDPYAINRKAGHRASAYSASSSEDSVPVEERLTGML KLEVLELERVPLSVPVLLKAFDWSLLTTLTLLNCSNHEQLWKSLRRTYTPRSPYPNGS PSSSKAYKASYTLRTEYSLNLKKIHTNTVSPSLISFLKETLAPNSLEVLFLQEGRSYQ STVTVDAIYRGPLRRHRASLKKLMIDSSEKGQDGHATGSSRWRRWMLNHNILTFITSG KMNNLRELAVSIDYKDWHHFLQRLPHIPHIRSLYIPYIADHLHGNNIDPRELAYQILD IVHLRPEIELCYMGLSSKCFEILENRPSNYDLRHEAGHATQHYAAEDPGLLSEDESEA TEDEEDEMDAGGAGFDEGEDDDESEEGESVASVSEDESLAGEGGKRPKLRVREILFYE ERVEAFRRRHGVLRP CC84DRAFT_1222562 MAQVLPAKRPLGLSHRSQASVDSFAPRRKRTRLSHEPSIEPSED DANLYASADEPSPEPTEADIHGDDEARFARASQIVRKSFRKESDNTPAESGIIEEIGC TNFMCHEQLTVRLGPLINFIIGHNGSGKSAVLTALTLCLGGKATATNRGQNLKSFIKA GKDWSTLSVRIKNQGPGAYKADQYGDSIIVERHFTQNGTSGFKLKDRNGKIVSVKKSE LEDIIDHFGLQIDNPLNVLTQDMARQFLNDSNAKDKYKFFLKGTQLETLDRDYSQIQQ ELEEQKAKHQTLDKDVKELEKIYSRLEKKAQAARGLKKLRAEETLLEHQGAWSFVAND ELEAAECEEKISEISNTIQQRKAEADTASENFARLDRAVNEATAATEECQAALEPAQD EEREAIASFTEARTKLLEFKNTERSVVGGMERTKKSMELTQSQIDEHKQRRELADGGL HAQKVRELEDAKAVYEQLKSVWGNHDEALPTLKNESKEAQINLQRLKAQLETNERDET QSKIKIRTLEQGQGNWTDAYPNGENLAKLLKAIAAEREFHEKPIGPIGHYVELKHPRW SSVLEKTLGGVLNAFVVTNKADEVILSDMMKKFNYHATAYIISRTERLNTTGHTPHAG LLTMEGALNISHDLVRNSLITNQSIEQIVLIQNRQEASELAHRSPAQRPNVKAIYCWS DQSHRLGHAFSMNNATGTTSMSAIPEFKGPIRMQADKGHHIQEEKENLARIQREARDL EASVQAAQVQYTAAQATLRNHNTAKKTLQKEMEQAQEDVDRLDGEVAASVPDTAQLEQ LEKELDDFQQQLQLDKDQYEDLIGEKDSASKNSKEHKRKADDATRAVKVLQDRLNKCR QEAHERTQAREKALRQKNRAIDHVQKAEETKADWQRRLDEVQVDLETHTEDARNICER VDVPRGATFDSLNRKLEEARKRRAHLEKELGGSQEALVAQALAAKKAWEEAEVFVKNG EALRTSLTHALNQRKEHWKQFRSNISMRARATFCYLLSERRFRGVLLVDHSKKALEMT VQPSGDVNDHVGRQTKTLSGGEKSFSTICLLLALWDAMGSPLRCLDEFDVFMDSVNRD VSMKMIIQAAARSVGRQYILITPQAMNNKDVRSMRDVTVIKMTDPERGQTALNFSR CC84DRAFT_1222563 MQPIIATKGKATHLRYELPQRAHASAVYPVKAPNGSTIILSGSE HGVGILWRGGRPLKLSAPAPKAPAKAPPKVNGTKDAIMIIDSDDDYEPPKAVSHPPLP KAEFDDDEEELDPDRPYPSIVQHLRLPLNTEVLHIAVPQVPAPSELQPGQTIPRVFKE KIVFAVACTDYTVRIITLPLSPPPNAAKERPSTGKSLYGEEVVKIPTYAGHQTVPAGV SMTWTSQSERTNGEDPEDEMEVDQDTATAPLRRSPRKKQAPSQPTVSKDTKGFELLVA SHSPELGGLLKIWRFGLTDTSVKATNPISPYQTVTLAKPAAKIAFNTAHYPKKRHSQL LVADSAGVARIYDPFAPRKRNGVSGAFIALFRTRFENVKSNVPYPPTLASRKPIIDAA WVSGGHGILALLADGEWGIWDVQQEGPKSSTDPSAFSVRNFVGTSESDKSSSGASSPK SRSNRSSLVPMTPNTRRRTQEVLFQGNPSSSIPTRGGVSVASLASTNGDAALESVVIW YGKEVYRLNDLVKYRARAAASNAGSSLPGPGLSQVQDIPLLGESIVSVSQFDTTKKEA QMAVPRSLLLSAGYRLIISTVTNQVVDRDASTASTKELGEEESTRRTDQALLARGELG LEGMSRLLDNMTGSGPNNILTLGNPRKVLFEGL CC84DRAFT_1263935 MAALQSIGVRKPAALPYLIAEAILPADPTERDYVWETYHESPDA PEDEVVTTQYHAVWARGGVVRKVFNFTIEKERVVQALLTWFPTEEAVSAAPADTPDAA PSWTHLTQGTQPATDPNSPADQAPRTVEGRSRALVVILKSQAHVFFLSGATHVVNLPF EVERAFPAARGLLLQRKTAPSQPVPASPQVPSVPPNSFLSNPQPFLSQNFSQSFGQPF RRQGSSLGPSRLSLGARQVQTPWYLEELARGSTASHTEGLPRLYSFTDPLAELGLVVH AVPGNERGSLLTFNGSGHRKLEAVDKAEEIIYVSPQNEVLFDRSGNDKPLLLVVTANY ETNVFTIWSAAYLEPKSISHSRKHHAPQSAAKLRRRSSYGPTAPGTGATTPAVRGHDR VRESFGGTRSKTQTSSFQKSTQTRERLSDQAVEDALASRLNPDFDPTRQQKESRRVSS LLSRTELSTSFDKTAFQDMATQRHSLGGSFSASFGASQRSRLSLGTDRTSFGGYSQHR HRASTPGSITSRISLSNVSMDDTFDETMDDTFDTIEDYDELDDLFAPLEANTDQGSID GLRKELVMTEVARIPVQQYLQAGRFALRDFASSSNPIAKAVLSAAPFNSATLHERKTY MYLIHSSLRHPLECELAITRKRLSPSAAASQSGGSNSAPPRYACVPRFVQLKELDGCQ DLIKVGDGHVERVVSLTLDNLGTRCLSVSAGWGTHLPSKFSIDTVRLFSPYAILQDEQ SRVTAGLKRTITLSKPLQRLVSAGPNGSFDIVDGEGRRHQTQIRLQPADALVTDLFRV LRTILPNHTGDLLLDVWWDIRKDLSKQQASLANADWLAFVATLFTLATPFIDERTRKH TQRGRSGLRRNTGRSQDHSEDAESSAWRLMCNRQSTAPHAKAWKSAPWEWVQHSKPAS VSTSMSPPSKRKSLDQLPPISKQIACKEDLLITSAELARKFNQSAMGKVASGHWQHLS PSGKQDLRISTLSEAIVILHLFREELKLSALSSDASTSKIGNLAPVLAQLGHWLRWDL WGRRQGGYYDLDGASGEDWTYEESSFLHSVRLHTQPWEAPPSILEWISTVAGAQVCVP FPTLAKLVQKSKASPHSSVNIDEVISSVTPRTVALQKFFRELDASAGPRKAVELIEQC GLSTQILSTLPESAQAPLMEAITRCQANPPATWSGSLLRLIGREDLDLTLAPLHDSTQ ESHLYSGSTDASLQPASSARDIHTICENAERSEGLISMAESDRHAITRLIFREDRRYM EAHTLVEPMKPSIAFYGYDHRADEQAILEGQKILVQWVMVRTLALPVGSSMLKFSSKK PLLTEKFLLAGFSTSCIMKPMGNVVTADRTTYSEEKFFWAFFNQGVSAGLSISRDAQG IDTSWIMYNKPAEVSNRHAGLLLGLGLNGHLKTIAKWLSFKYLTPKHTMTSVGLLLGL SASYMGTMDTLVTRLLSVHVTRMLPPGAAELNLSPYTQTTGLMGIGLLYYNTQHRRMS EVMLSEVEHVDEPDPSEPPDGLRDEAYRLAAGFALGFINIGKGRDLLGLHDMRIVERL LSVAVAPKPVNVVHILDQATAGAVIAITLIFMKTHDEAVARKIDVPDTLPQFDYVRPD IFLLRTLAKHLIMWDNIHVDNAWIIKNLPQEYHEHHSLRNINKLRSEQMPFFNILAGL LWSIALKHAGTGDVQVRDFLIRYLDQFMRISRLPALRYDSRLARNTVRNCQDLLALSV ATVMAGTGDLALFRRLRALHAQVGPDIAYGSHLAAHMAIGVLFLGGGTYTFSTSNKAL AALICAFYPIFPTDVQDSRAHLQAFRHFWVLAAEPRCLVVRDVDTGKAFTAPILVHTS DTPPDKPLAMTAPCLLPDLHTIERVETADPAYWPATLAFTLNPAHLSHFRATQTLNVR RRAPHDMEATTFAGTLVALNDAASKRAAASMWHWLFSLPSLSAFSKADVGLILPADPH SSAFLDGGATVVDHRLVLQRLGGNAKKRFMARHKFKDLISKYCMDDFRPFKLYCDDLQ LTNMFADPDILRITAVLDWEFTDTMPAQFAYGQP CC84DRAFT_1130292 MPETLNQGEVNSHTDPSVAKQYDNDTPKEQQIKDLYNMIDGKKI GMLSTYRNGVGPVGRSMAVGKRVGPDILFLSNGHSSKFSDLSENKECQVTFQDSKTQD WISLSGTATTVSNTDARIKDVWSSPIRAWFGDLGDGKHDGSADDPRMTLIEFRPKYVV YYLTQVGLLGYMKEVGVAAMTGKIADTGVIRELDEKDIEMARGMK CC84DRAFT_1156414 MDAIAKPSALASPKLIKNAKGAVVPSSQMAHIAYGCEHMRTMME NARKQTFGHYRGILQKIYEEPSIIAQTYNKKTDGLSVVSLRPLYLCLQCPNIMTEADR DEHIDSKRHIFSVESRGGNVYCGDCQDFIYDPLLEERRLQKGKKRKYEDTTTTEEHKL VLNNSTFLPCRAIGLRGLYNMGQTCFMSVILQTLIHNPFIRNFYLSEGHKTVDCERES CVSCALDEMFVEFHSVEKTEGFGAVNMLMGSWLAGEALAGYQQQDAHEYMQFMLNTLH LQNGGSTDTEDCDCIVHQTFYGRLSSTVTCDNCRNTTTALDPYMDLSLDIRNLPKKKK SERSDDDQIALQSCLDRFTGREKLGAAEYTCQTCDGGQNATKQLSIKQLPPVLPIHLK RFEHSKSTSTKLETRISFPLTLDLYPYTTAYRSTSPPPAKNAAPRNTSHNTNAPANTL IYELSSVVVHKGKIDSGHYISYSREGDDWFMFDDSKVVLASEKEVLGAEAYLLFYMVQ CLEV CC84DRAFT_1103478 MAPPRVEILSETRLHPATWHGEETTTPLSIIDASVARFTPAGAV WYFPSPAPFSIPDPLSPDLLKKSLAHTLSAYPHWAGQLRMTPYTPESPGRKRRNEERY GRMEGRSDDPGVLFVSARAGCEMRAALPPPQDGIKDCDGMVREDVLLPACALAGYLSP AEHWDVSVAVQVTRFACGGTAVGVKISHCLADAITLARFVKDWSATHAALLTDSPLPE LGPVFEPCVLDSRAGRSLDNEEPDEAVLEKAYRLPLHRYDYWCSGQGSKFGPGAHQVP EILMDEPRAKTLARKGETMPWSSWDVSAPVGHVVVHYTSEQLERIWSAAAGGNSGKGS GRLSRHDALVAHVWALVNRVRGAENGDVDSEVHCDISIGLRTRVEPPLSPSFLGSPLL NVKTTLPWSVASSVAALPRIASSIKATLSCFTPAAVAAQIYATAYELAPQRLWQAFLG RQHVIVTSWAHTGLYGCDFGTGLTPAYVHPIMPLVDGCVQVMEARPTAVEAPPNRWYD AGVDVAIYLEKEAMGRLVRDEHVLL CC84DRAFT_1190968 MSRLSSTSPTERPDAIDHCLAGISRLSSEVKDASSYIPAYDQRT YGDAIKALQEKLQNVRAELGAGPKKFKFTTKKNRSAISIGEAAEMAQNRRLLGPGGGA WDSSAGSSAVNSAFSPTPLEKLSPGEEKRELEALKLEKNGAEAVGVKVEDRDGEHVVL PTSEAQSGSSGVVSNVRGSVVDLSAPTMSGGSFATLTLKNIQRSLVVTGRVAGPIHMT DLKNSVIIMSCRQFRMHGSKKVDVYLHCSSRPIIEDCEDIRFAPLPQIYMTADLTSST NQWDQIDDFKWLKAEASPHWSILPEPERAQEKLPGQDNQGPDNILRAFRVSTS CC84DRAFT_1103777 MVKLIIGGATGFVATEVIRQSLSNPKITAIYALGRKPIAVPEGT APGADTSKLKSLVVKDFEKYPEDVKAQLADADGAIWALAVLPQKTRGMPFEEVKKINQ TYTLKALEQIEEARAAAGQKGPFRFLYISGPDTPRTPDGPTPPVFKEYIRLKGRIEVD CLEFAEKHKASGWEAGAAKPGLVLPNPPGLLMTVVGAVAGLIAGKIGVRELAAAMVDQ VSNGFEKEPLENVDLARIGKKALEAQQVAP CC84DRAFT_1263940 MAPHDDTYHPKDTLANTANTTLQLSIAGAVVAGVQNTLRKQNVG AMGIVTRSGGIIALFAGVGALYQFTKDATSNLREKDDTYGEFLAGFMGGTAVGVYRGN TPMILGAGAGFGVACAAFRYTNGLSGYAIKEDDEDEVARKEEMRKLRRRPLQETLEQL GEGRGIYGPGYEERRRERLLAKYGIDVKAAQE CC84DRAFT_364871 MLGPGKTAPLLLQNLLTYDPRYEPAAGRNLLTSPPPHYDPHAAP TSSVPRRNCRHDVQLKEEQSELPQKGVDPDENTVYRVAVYCRICRWHFDIWVDFRDNG LQDHPCRKTDLESPLHHFLFSGEEDPSRSGSLGGHTKPRSYTFYCSADKCPVTLRIRI SPPRLSDSDIALLTDPANLRRRWERAKEIDGARADPDMAQPIEGPNYLNTYLFDSFNS TKAKPRIPLLNRKFLKTFGRDCDDMLKKLGFTFAVEVDAEGNSTDGWYLPRPSEAQHP LDSLETTTRNIIEDARYELNAIILSFPESERATARRNPLALVPARNYIELALGCDDYE LREGGRRETRNSHREEDHPYYAGLGALSDFADRLLIFAYDRQVANDPVNTPYYFECLK DLAKGRNSETLDTQSAILESQGLFTRQDVTQAYRTLGIDPSHGPALSDNIITNQFKAR LSDIGPRQVEEARSALRIIGLARGSDMLQRAASDSIETYAEALAWLNLPDSVELSGDD FVITMYTSKVNDSPQEAETGRMAVKIIADHRKSQRLRQWLETGQMDAAEMDAAEAYAT LGITDRSSKLDPSVLQTLYEGMLSDSPENAQRLETALQKVRQDQENSYGSEQQQPSGH NFELHEWPVGCCNIGNTCYLNSVLQFLFTIKPLRDMVIDCDKHFQDLSPEALGPKRVG RTAVTRARAETGQQFVRELQGLFKHMITAREHNIRPERSLAALALTRGESTNIASESK PPTRPSSRLGKIGDMPVSGPMLPPGFQNTSMPPSPADSVMGDAEGDADSMKAMDLTAS SDKPDNTMSTRLEPPSRPPPIPPRPQATADIGLKKLEDVAQQQDAAEILNNVFDLLSC AFQGEDVLQDGEQLDLIKRTFFSNVTTVRRTKDKDIPKSDLQDNVLVSTKGRDRSICA ALDDEFGLTELEGGVTKYEIFDTAAPIQIINVRRLQFENGRARKDESHLALDKILYLD RYLKSTKSLSEEQLQELRNQQWQLQAELRALETRKKLLKETDFKDVDLPGVLDETACL VTKLEGSSPEAAGESLQASLEQRDPSPVPQEGIADQLNKRADELRPELTDIHDRMEKL EKQIDSVFEDCKDHPYRLHAIFMHAGSHSGGHYWIYIYDFQNDIWRKYNDETVTLESE ETILKKVVQVRPPTSTGIVYVRDDVATEYTEALHRDLQEVKGSQSGETQHGDVEMMDT PSTNVVNMDDYTNLEVVEGREM CC84DRAFT_1169085 MENERGELVDLYIPRKCSATNRLIRPDDHGSVQISIANVDANGR AIPGDNTSFALCGFVRAMGEADDSLNRLAQQSGLLEKVWSPVK CC84DRAFT_1130312 MASQRPVTPSGGYNGIPPPQDPAHLSRGYGANSHLNVDGNDFAN TPSAPVESSPLSQSLNRYDGDRLGRFEENFDARTRGSSVLGDGEHVPARSASRASTVG HGASGTASGTPSRSGTLKKRASVKRSGSLKRSSSRRSVRAGSIKGVEFADEDGSGVKH NSVFYTPVPTSGSPTDILANRFQAWRKLLKDLITYFREVGASYEHRSKALLKVSNVVN NTNAPALFLADGGLNDANKILRDYHKQALSESNKAKEIESEVVNQLSGLRADLSQKIK EIKSLSGDFKNSVDKEKENTRKAVGALEESLALIDSDPAAVAGKGDPFVVRLGVERQV ERQIDEENYLHRAYLNLEHSGRELESIVVGEIQKAYNALASIMKHEADENYSTIEKLR SGPVGMSRDLEWNEFVTHDPHFVNPNLPLRRLEDIEYPGKHHPAAAEVRAGMLERKSK YLKSYTPGWYVLSPTHLHEFKSADRIYTQPPVMSLLLSDQKLGSHAQPNSSSYKFVIK GRQTGSMHRGHTWIFRAETYDTMLAWYDDINSLIDKTGDERNAFVTRHKRSMSTGSTR SVSSDGGLEEDEADHVPFSAAQSVQNQGVAESERPSRPSPGGRFPSDLQVDRSLQARG PSSGSSSEVGLDLATAAGGPQMAHASYPNAYDDRTPYDAAYGPGNPYEYHPDNPQQQF QRETSYFANNAPETPGQGAANPPYPNQNASQSAIQPVPYQPYQPSTGAQTPVPQQQPQ KQYPTFGQGQPQIQTQRVVSNYGDWMAPAAAGAGGLAAGAVGAEALHRHREAPDQENE TNAALAGPTSTSAPQDYASHPASQLVSQHGNAALVGGAVPIPPRSGHGLDDEGHEAFS GVSNPVMVTTEGQTSAVAREAVVGVAAPVVRTAERIPEPESSARDFPQATPGLVAAEE GKHIGSANGEVVGGGSGEVNVVPGVRRQNTDFSVSDLHVPGEYPKQKAV CC84DRAFT_1048333 SAAVQPLFGQPCNNFGRCWRMLGATALFTLGSGICGGAVNSSML IAGRGIQCAGSGGMVMIS CC84DRAFT_1050998 RQRSYYLAIVMISYTVGMTAGRIVGGAVVDSGSWRWVFWLNVPI GDVALLVLFMYLHVGY CC84DRAFT_1222575 MFRNRANSQKPNEELIETFRATFPHLASTSRSHSLNNVQGPSLT DALDVAHTARTFGDHEDIKDADPTPRGNNEPWRFTPSLLDPNSFAFTTFANQPPGYYT PTPGGTNTLYHSQAGDLHTPGFSFGLGTPLSLPTSEGGLHAGQAAPTTQLHGFNPHAL SNHHHFSNQNPFAIQAQHAPAFAPHQFTHQPSVFEQQHAPLAQTHENSPMDNIVPDVE MQEQSPLIGYAPQTFEDHAPAPAAPLPGENFRYHVTLNAPTAMIKHADEIPVTYLNKG QAYTISLVDTQPIQNSSTPVKYRTFIRISFEDEQQRQRPASCWQLWKEGRGTNEAHQR GGRLQAVEFVDPSQVGGGDMQGRPKIELDTASFDGFAVTWSPVHNTAPECSLAVRFNF LSTDFSHSKGVKGIPVRLCAKTELVTNAPGSPGSGKQNELCYCKVKLFRDHGAERKLS NDVAHVKKTIDKLKQQIAQVESGMKDFGKRKRSGSISKGAPSQRPGKVQKHKRTWSMS SNSESGGRPAAEEDLHLKLASLQDMFSSTRPVSVLYLKGEESDDPDLHPVQLTGSPPT LQRIDTNVSTDTQMWERQSAHTGATSSVVSPTPSHQSIHSEKRRGSAFKHPTPFPPSR MSSNEWRNLPQTAIGDLKPQGVTAGSDVPTKVQRPYGDDHALSGWIEALGVDQTYQPP PEPIIKPVACFFVQPRIAGKQPDDNYFRAVYLMDRTLKELVAGIARKINIEPTQVTRT IRINQKGLQILLDDAGVQDIPEQQDMAAEFQEITPPTQPVKREWDAGPTDIQVDGDIH VIENVNSTGYELRLLY CC84DRAFT_365135 MSGGVGWRKRSEWPRGFSDFPHIYILITCSLVHSRPGAAKLNQE DRALPDTDTDSLRPPSERSNWRNTFHSRPGTPSRAPTPTIFHVDTDDVRRDITLDVPN PRKATKPKLARYLSGYLAVKDVSKEPEFSVPWLEIPPASREYVDPMASLQSVFSHMTT NPSCPIPLHYNNGIFRVFEDYRKVRDEKERLDDLLHETFEGYRAVERFFEKAEAEYQA EIRRLELLIARGTSGMDGVIKARRDSTVRRMIAHKKTASEDSALVSLENTPTAQLDDQ IRIRSQQGLLHRPVSPSSKMAMLSRRLENTQSTSDFIVGTPPIQERKVTLSRKLQSEL DLARLADPGINGSVPRSVDSGFSGNGDPLPDEVDPQLTMVGPAIDLEAFVALKELGSL VARKRGIDTNRFLTGLMQLFSMGSEHGQYELRHGGFDEEINTSSFDAVNNPVEAPTTP EHIVRHVRSQPLLWSAQRSRRHFSFEPGDDQLAALERELKAHGMDGSSNSNEVDAASG GQALPSQNSVSHNADTQKPPRIPSPMQWPGLKTVRRGSSNSSIRTTLQNPDTRERRSS RSSVLTAFRQESNGSSRPVAQSRSSSVNALRHVEAYSSGSAGGLGARCNFATVAAVRA VEQADNLVSKTIAAQSASIAPASGSSA CC84DRAFT_1181055 MAQLHADVIARIRKSMAETRDLQSATMDVDASGTEARLDQTVRE LQARVNEQHAALEQLRRSSQVTIQQAAYASDEPREKLKQLRAVKEAYANLTPTAPYLP SPDSVLPALIAARTLQQNVQGTKQAIASTEAQITSAEALLRNEEANLHDANLIRQALG GRTVRLEAQIEDRSQKAPAQLAKELMAAKRAQKKAYDDETQRLGDALNDFIDNYLSAM LAAEELGGPVVGDMLNVENETLAAGFTKKGKAKATKKPVSEKTRQRRIDQIWGNKTAI DEEEDPTTEAEAADAEMRELIGILFGTLIGPRGGRAYHQLERDSAASRFLVRAKIAQF HPRDAQKLRLIDFGRELDD CC84DRAFT_1181056 MSASPTIATSTKRPLEEPSSPSGPNDQPDAKRPALDKVVNEPAA EDINTAQPVKAEDIAPENIKADGDASAEVNAEGALPNENGAKEDAQTDTVLPDAPAVV PSLDTQPIQSTSGANGRPASNTQHQDETNWLHLRACIGTSEAATIIGKGGENVTQIRR LSGAKCTVSDYSRGAVERILTVSGQVDAVSKAFGLIVRTLNQEDLETASTGTSKSYPM RLLIPHILIGSIIGKGGVRIREIQEASNAKLNASDTMLPNSGERSLVVLGVADAVHIA VYYVAQTLVEQLTERFGGPAASQYATRSGTAANVVPGGMSVQPYVPQPAGGQYNYPDN YRRQQAHPAQRTPVHGQHPGQYGMHTQAPQQAYGQPAMPYGAGSPARAHYGAPTPQPG PYGGHPAAPVAHGHGAVPAQTPVQVPGQPLTQQIYIPNDMVGAIIGKGGAKINEIRQL SGSVIKINEPTDNSNERLVTITGTQECNQMALYMLYSRLGEVPGQPGYGR CC84DRAFT_1103866 MTRVPTTLPPPYTALPGTPAGSIRPTADHFTKTSEILVGTGSKS TRFLLHTSLLTQQSPYFRVALTGPFLEATDQSIRFDDVSVEIFELLVSWLYTGAIKPV PFKDGKPAYYTLLHLYILADRFCFEGLRNHIVDMMADLADSTNSVLTPSDTRILYNDI DEGAKIRQLVLDLFAFKKTDKLLATHADTWHAKFLRDLCVRLKKPCSQAMQRHRLRGF LPLSWHTTRSCENCRAVLPPRIGAVGCDECCCAWCIRCYEEGVGVASWEDGRGGVLDG GDEGKGVARRRKACYGRRWESCKPWRGSRCVVYHEHKETEACGDVFMGR CC84DRAFT_1222580 MQFSLSIPVFVCAFLDVILAADVRSSNPAIIMVPGAFHSPQVFD KVKHQLSQDKFLDPIALPSVGHVVGRQPDIEAVKSVLYKHLNAGRDVVLVGNSYGCTV IGEAVKGAPRYSASSSSALVAGSKARGRIVSLIYLAGYIPTIQEVDHPETKQDIHFVS PALFKYHDNTGEVTSDGDKDLPPQKAFYNLLPTKEADYWTSKLSFSSFDALNATATYI PYAGDFNVVYVVGSQDKSVPPAWAQTFIDQPGARFTVEHLDADHVSMLSKPKEVTDLI VKYSKHSVFRHYYDTIG CC84DRAFT_1156450 MPFITHPLSPDSLPVVPLWINGKAHPSSEDEILFPVISSVQDKP IHYAVSASPKVAVLAVEAAATALVEWRKTTPAHRRSLILKAADVLEKKSKEVMQAQVA ETSCPEMFAKFNMGSVAWMREIASATTEIRGVVTQNATGEGGADVGGLTVTVREPVGV VMIIPPWNGAVILPVRALSMALAAGCTVVVKASELSPRTHHLLLEAFEEAGIPSGVIN MIQARREDAAAVTEAIVAHKSLRKVDFIGSAAVGSKIGQLCAKYLKPVLMELGGKGPA VVLEDADLQQAAKMCAMGAVADHGQLCFSTERIIVHRAVYDEFVKYLTAIFSHIPTAG DAVTKESAKHAYDVLSDAQDKGATFLVGGLEYLSETSLKPTLVTNVSRDARIFDEETF GPTATVYMAEDDDDAVEKANDSAYGLNAAVHSKSWERGYEIAKRLEYGQVHINNVTCS DAPGAPIKGVKGSGWGSSNSIWGINEFSIEKSVFLHPSGGASMIG CC84DRAFT_1231880 MRWSTLLPVLLAASAHAWMPQDRNLAAFNRNYNAHGKRVAALPN KIRGVNLGGWLVSEPWLMKNEWTQVIGCPACSDCNSNGGKCSEFDCVSAIGQSAADSG FNKHYTGWITPADIQDIHDAGLNTIRIPIGYWSLRELVDSSEHFPNMGDLKYLDAVIQ KAADLGMFVVMDLHAAPGAQKTNDAFTGQCLPPAWLPGFFIQRNYDRASKWLSWMTQR IHNTPSYAQTVGIIEVVNEPQSSREGMPAEEVNTFTQVYYPQALKAVRDAEAALNVPA SDRLHVQFMDKLWSSGDPKAHLPSDSAIIFDDHNYVGGAVGAISHPGKPQDVKQADYM WYTCYTDDRLSDGDVPKVVQEFSLTVDGAVEGNNEFAWDASQNQAFYTQWWNAQQRLY EQTNGWIFWTWKVGNELNNPRWSYQQAVARKWIPGSAAGLEGTRANDVCKTYFGTSDG RN CC84DRAFT_1263951 MALTEAYNTFRKAICTAPPADAYFRWDAPGVESSKPNEEDTSRK IGETMNKMQQHNFDKHRHTYRATHVKMQGIVKRKLTVLPDLSKHLQHSLFKEPGKTYD VAARYANEPVFLQADQDPGLRGLSMRVFDV CC84DRAFT_1156452 MSKKQNEEAHNGGDTQNAEITRGKKRKRKGGDAGVDLRKGAGKV TKFDDGGKQPIEEVLSAVPTTSTKTPKPTEPKSFSRVEEGEAPEVEQRLKGPRPDQPR GKYEKRPENVRDDPEQQREQPKKALSRPRKTPNGEQTTHRSPYLIKKRDELLPKRKAL PIWSQADAIRQRLRENNVLVLTGETGSGKSTQVPQFLQSEDWCTKTIAITQPRRVAAI SLARRVAEEMGSLFGAQSPAAKVGYSVRFDNAAGPNTKIKFLTEGMLLQEMLRDPDMK QYSAVVVDEVHERSVNVDLILGFLRNLVSRIGRGKGVRKHPLKVVVMSATADVEGLVN FFAEGLDEDLKAPETSGGPTTVSQAARDRISTCFVEGRQFPVKTTYLPEPTLDWVESA LKIIFQIHYKEPLPGDVLVFLTGQDKIEALEKLVNDYAAAMDKDMPKLLALPLFAALP QQAQQRIFQPTPYRTRKVILATNIAETSVTVPGVRFVVDCGKSKIKQYRSNLGLESLL AKPISKSAAIQRQGRAGREAPGQCYRLYTENDFKTLEERTMPEILRCDLSQSLLTMKA RGVNDVLHFPFLDRPPRETLGKALMQLFHLGALNEDGTVNDLGIKMAKLPVTPTLARI IVEAAKPEHDCLHDVIDIISALSTEDSIFFNIATEEKRERAEEARRNLYRREGDHMTF LVTVQQYAEEQTDRKAWCERHFVSHRAMQSVMNIRKQLRQQCTQLKLLSSEAPTSSSS PNTDAILACILRGFVSNTARLCPDGSYKTFFHNQLVAVHPSSVMHGRKIKAEAIVFSE FLFTNKSYAKKVSAVQMNWVGDVMKEVVPM CC84DRAFT_1156457 MLASHSLASTWLLAALVAVVDCKIDRESIIRQFNLRLNQSHAYS PVQVGNGNFAFGADVSGLQTFLPHNTLSSWGWHNSSLPTTPNQTAISDFTGQDWWTHG RLVNYNQPNPAEKDISQWLIANPHRINLGRIGLWFGGKNVTEDELTERTQTLDLWEGT ISSSFSWHGKSVTVKTIASPEIDTIAVTITSELLGNGELGVFFDFPYASGKNKFDAPF VGLFNATSNHTTTLTSRKNSATITHTLDATTYDTEIRWESEGRIQRTNNETHSYVLTG KDSETFAFTATFAPKAANSQGSFRDVKKSAKDWWKKYWSTGAFISLPSATNSSAKELQ RRIVLTQYLLAVNGAGKDPAQESGLVNNGWYGKYHCEMIFWHLAHWTVWNKWDLYNRT IGVYERFLPSSYDRAKHQGYQGARIGKMQDDNPSGWSAPGEINSLLIWQQPHPMWFAE MEYRKSPTQKTLQKWDRVLAGVADFMASYAWYNQSTKVYDLGPPMYPVSENTNPNQTV NPTFELAYWRFGLDVAAKWKERQHQVVPASWRTVKDNLASFPIQDNAYVLYEGVEDMW TSPEIASDHPGFLGLYGWLPPDPRLNLTVFQNTVDKVYASWDLANLYGWDFPLMAMAA ARLGDGEQAVRWLLDVNNQVNELGMPEGGNRVATPYFPASAGMLLAVGMMAGGWDGLA GSVFPEDWDVEVEGFQRSM CC84DRAFT_1169101 MYRATQLLTAATLLGATTAYNQRRYIDNSSGTPEVIIPGASSYN GLNLVPQMGWNTWNAFGCDADEELLLSSARHLVDFGLRDLGYNIISLDDCWSIGRNSS GYLVESPIKFPSGMASVISRLHDMGFKFGIYSSAGTFTCARYPGSLGYETQDAELWAS WGVDYLKYDNCFNQGQSGTPKISYDRYNVMSQALNKTNREIIYAMCNWGNDDPYDWAY LIANSGRMSGDIYDSFNRPDDRCPCTEAPGCAWPGFHCSIMNILNKMPSITSRTMSGY FNDMDGLEVGNGGQDDNEYVTHFSMWAMNSSPLMIGTNIDTLSPQNLAILSNPAVIAL NQDPSAGAAIRKWRYFVDFDDYGQGEISLWTRVLDNGDTVIALLNAGNSSRTMNATMD DIFLDQRTAGAYKAPPELSETWDVYDLWANRMSNEEAQAILDGGGNATVSNATRTETY NATATSYADGLSNNVTALYGAHIGSIAPSGTFTAEIARHSVGVYRLRSQGSGSLRKRD EL CC84DRAFT_1222587 MRLTQAAVIAILAFTGAAAPVVNANTLAIRGGGSAPDSDASQSW SGSGSSKGGASGSGSDSWGFSGSSGSGREAGKGGNGEQEKGSKDAKGVAGGAKSSADV NKGGSGAEAAKGGKGEEAAKGGNGGETAKGDNGGAAKGGNGGEAAKGGNGGEAAKGGN GGEAAKGGNGGEAAKGGNGGEAVKGGNGEDAAKDGEAGKGNNGGGESAKGGEAAKGGA SGGASVSGPKSSSSDSKASTGGGWKLLKA CC84DRAFT_1103446 MESLIAPRIPPSVDLRDKVFHLKTQIESVEDAPGHSSDITGGAE LIVESDAGPHDAAQQKRAAEMQMWWDEAIVRNMDLPDGYAKVAVLLVKWEDELDELKT RAEAEELSAVFRDRFHFVTETVELNVSSKPQQQMRTYMSAFIQKHDGPHNLLIVYYTG HGMYQEDQKYLELTASLRPMDRRGFQKEAKCNWNKIQELLQEEDVESDVLTILDTCYA SNLTKGGKEETRTFELLSACAIDSTTAAPGDNSFTRALIDTLKRLHGQYGEKGFTTFH LNQGIALDKRRHDTPPQLWSLKQHLGRHIHLAPLKPQQTCELKARRLHHVPRGYLTLR FALRDESLTQEQIEFLTLQLSKAFNNKLLVGLSRIDWLGIKPARTTNFRRAALAMYVV RKWKRICERQRKRQVDGVGLPDGSTTDAPAATRRKRPRDSFTNSPTPERKRDYLAASQ PPSPPVSNSSKA CC84DRAFT_1222589 MASLQDEVQPAPVQRREVDPAWSEEELPERQHIVPMEKSGVYVD PMARHAPAKFEKNKTVHMSVIEHGARTKGTFTIKKARISPYGYWEYQLSIIDGHGKLY KDGEWVREKELKAESTQRR CC84DRAFT_1231899 MTGDTVLNDVEMGPQSPTDTERTIGGDAIIEIGEEDGASTIRSS SESSSRSSGYAVPAQPPQNEKQRPTFLRRVTGSFKSEPEPVGVTEIKTRQLDNTPNGF PRLAAFQASESNFSLYRSFTYLHSRVLLDLQDEITTLEKELDDIDWDDFDENPDRLRS REIDVAKAQDEGDTRNRRVILREIKAKLMEYDKVLIKARTLDSFQKPSDRNYRSVRRF HHNHKPLMDAETESIRSKEDTVSLRNSGREGGSFDGGLEKTIFKIDEWLKRIIKNFGV TDVHSPLGQYFQSPELRAKTTNKYITLYSSTRIDKLANILITLVIFALLVVPVVAMYL LTSTNVHTGTGSGLSSSSAVSPLDSNTRDTLNAVGLLIVFTLLFSAAMSLLTKAARHE LFAASAAYCAILVVFIGNFTGPGN CC84DRAFT_1209906 MVRPQRVRAFDEATGQVYEETQVPVTSMVSAWPDKCNGRKTKPQ SYRHRGLGASSLYDMAMRSCAWHINLFMPSDLQSAEWHFAHRIYEHLQKNQNLTANAW SLFQQAFPGEAELNRTYPIRIPALYGQSASELPSIQQWLRLLPFSHLLLLNIQCLCLR LDDLITLTTLPNLGVLLMRFAYDKLPQELDDKAMRDWSRAVKEKGAFTQLRVVGLHHH AASLPATLKGLSQFPALRICTVEPQPPLASSQAAISQIASAALPFELLVDDYTGTADS DTPEAVWSKGNLPGHVKMKMLYDLAGRMHPDQAPEDVPDSAVLSVYYGAERNLAYNRY PLWFKRLGSVETEYEAGRQPKRYQDDQGGEERTGGGKKPRRLREGKQMDIGSLLGGFG CC84DRAFT_1209907 MSTPTKRRKKNDGSSQPVRSLDYFFAKQKQDAAKPTREADVKVI EASDTPNGEQDTSTLTDEELARKLQAEWNEQDQQASAKAPTSSDLYDKPEKAVEPATN LAINLATNGDAIGNQGTRTPPNDDDKLAAEVSINAAPRKNTLSLQSAAAAEDTVSANI PFDESPLEFDPSTYISDLQTHWAAEGGHATYALLTRCFVLVNSTTSRIKIVDTLVNLL RTIIEGDPESLLPAVWLATNAISPPYIDLELGLGGSAISKALKKVCGLDNAGLKTLHN KYGDPGDVAFEAKKRQSFTLRKPKPLTIRSVFDSLVKIANSKGHGSVENKQRIVERLV QDARGAEESRYIVRTLVQHLRIGAVKTTMLIALSRAFMLSKPPNAEFETRDRKALQKL KKEDLAEIYARNEEIVKACFARRPNYTDLIPSLLEIGVCDELLVRCGLALHIPLRPML GSITRDLGEMLTKLQSRDFSCEYKYDGQRAQVHCDEHGKVTIFSRHLEVMTDKYPDLV ALVPKIRGEGVSSFVLEGEVVAIDRESGDLKTFQTLANRARKDVVIGSVTIDVCLFSF DLMYLNGEELLNRPFRERRNLLRSLFVEIPHHFTWVKSLDATSADVETVQAFFKSALD IKCEGIMVKVLDNLPNPDLQADLDLPPDAASEPPTPKKKGRPKSKASKATSTSDAAPE EPSKPSHGRRKALLSTYEPDKRLDSWLKVKKDYNTTSETLDLIPIAAFHGSGRKAAWW SPILLAIRNAETGQLEAVTKCMSGFTDAFYKANRAKYDKDDPECTNVLFSGKPSYVEY NGGAGTPDVWFEPQEVWEVAFADLTLSPTYTAAIGLVSEERGLSTRFPRFLKVREDKG IDEATEAGYLAELYRKQEARAPAENKGNEAEEGDEE CC84DRAFT_1169106 MTTDIQNLKSFDPFAEAEDSVGDVKTTSQNYIHIRIQQRNGRKT LTTVQGLPKKFDQKKILKVIKKKFACNGTVVTDSEMGEVIQLQGDQRKDVQEFLTDKK EGLGLDAKTIKVHGF CC84DRAFT_365241 MKRCGRLDEQLEAIAMISKSWKLHSMSGDRQHYFQLSDMLRRFA DYKCSDPRDCRHALHNMATDLERSKSIAGPNYMLPRIPFAVDYSLNMKDTYHAFAFAC VRAGRIKQLLQAVAEHASSDVSPDRTSWVRVQILITHNALTDRQVPDCQLMPMPRKSW SPERGC CC84DRAFT_1169108 MDTLGKEEQPRGWLRGNVPAIRTRRYLWVAAASVSVSSSRYLLV ELNVNFPLYLSLFQFAALAASVAISYSITSDVASLPLRNVTVAGWTKLALALVSTAAG ILLGLQSLLHFQNVPTLMMLTATCYCMEAIFLSVLRNDSRSRLELCRIAIATLSCAGI LVGEYRLLPQNIETAIPAVLLTGAARVFWSMTCRSSRDTILTTRQANALLSLVGSLLA IACIIHRGDETIARLGSALEYTYLPLLAINLLATAAALQIGKSFLVPIDLAENDDGHG NNTSNVLSLLAMTGIGGLLSVSKLRRSYTSWPQYAFFALVVVLLSSRDLAARYRPRWV TMYDAVPSDVNLQSVDSEGTLLSQPSENSDATYVAPAFEDRKTVLLRIVLIAVALPAA WLAYFTLNFSQHMRHETVELQPILDLTYTPHTNTEIVISMFKEPTDQVAHLVSALKAM DNVGRDARVHIYIKDMNANVEEVQRATGANEVTPLPNIGREGETYLKHILGNWDTLAR ETIFLQADVHNPREFYPRVRDYFVPGRTGMLSLGWSGQVCNCDTCGDRFNFWDTAHIF PEISNRINSAAKCDKVLLSYKGQFIASAKRIRGVSKAIYQDLHDAFVNQDNWAHQEEY LQGRPDSMNAPVFGFTMERMWNLLFQCNSMEIAWRCPTLLSGHRIGGNIEDCQCLDPK SNS CC84DRAFT_1222595 MKGLFGFAAALGAVCAQYSTGDSANASLPIVDLGYEIYRATGYN DTGRFYNFSNIRYAAPPVGDLRLALPEAPATNRSSINDGSVGRICPQASPAWSPLSAQ VLTNSFLGISSANTPVYTPSGANSTSNVTGPGPLETEDCLFLDVFVPEKILARANSSG YGAPVLVWIYGGGYVGGSKYNNPAGLLAESGKLGDAEVVYVSLNYRLGALGFTSGPSF NAEGGVSNLALYDQRFALEWVQKNIHLFGGDKDRVTVFGESAGGGSIMHQITAYGGSK GPAPFQQAVPQSPGWTPVQSSSYQEDTYQKFLSLTNSSSLADIRKLSSEAAIRANAQQ IAYDPPWGGYVYGPVVDGLFTPLLPGQLLAQGRFDKDVRVMVGHNTNEGPYFTPPYAN STERIATQLKHTIPSIPQSSVDYITDTLYPAIFDGSQGYTDNYTRAYSIVTEGIFTCN TNYLSTGFQNKTYSYLFAVPPAYHGFDIAYTFFDGGATSRLPTGVTNRTVAVALQEFI TSFAKTGVPAAEGIMKFQMYGPDAKVLELNVTGIEEVHDSNASKRCNWWQKGLVS CC84DRAFT_1190988 MFRTQIVRQARLFSTSPIARKSPVEAVKDAAKAVDRTISGAAVK GIEKGEQVAEKVKQATPETKGEAKGQANELAGQAKGKASELAGEAKGKAQELKGEAKA KTNQI CC84DRAFT_1130361 MADAAVENPHNTVPPHKKQLPSSIPNFDTLEGFSTDGQDDYSTF KKLQRQLEYIHLQEEYIKDEQRSLKRELVRAQEEIKRIQSVPLVIGQFMEAIDQNTGI VQSSTGSNYVVRILSTLDRELLKPSSSVALHRHSNALVDILPPEADSSIAMLGQDEKP DVTYADVGGLDMQKQEIREAVELPLTHFDLYKQIGIDPPRGVLLYGPPGTGKTMLVKA VANSTTASFIRVVGSEFVQKYLGEGPRMVRDVFRMARENSPSIIFIDEIDAIATKRFD AQTGADREVQRILLELLNQMDGFDQTSNVKVIMATNRADTLDPALLRPGRLDRKIEFP SLRDRRERRLIFGTVASKMSLSPEVDLDSLIVRNDPLSGAVIAAIMQEAGLRAVRKNR YNIIQSDLEEAYTSQVKGATEADKFDFYK CC84DRAFT_1199770 LACRESLQSFNCCAASSARCRCCPATVAAAALSRHVIRSDLARI SARLNVCCSLHPIAANRVREPAVT CC84DRAFT_1181073 MDFQSSQNAMYDPSNFIDPSAMSGNQMNGSRPYPMSSIPQKRDS TGATMSRSQTPSQFQQGGFQQGFAHTPSPTMQNQHFRPGQMPPQRMQTASPAQNPHAP QMSPMNFAQGSQMGQGFDPNAGGQFPVQSVQSIQLSQNLQHKQQEAQRAYAMRLQAQQ QQLGNLAASNMAAQQRHQAGQTPGAPARQPGMPPQMMPGQMQQNPQANPQTFLKNVHS LMAQNRRPFNPQPQVAGRPIDLFNLYSIVIKFRGSRNVTTNNAWPRITQHIGIPVQQF PNAPEELRQVYEANLGLYEQMYIRSKTQQQNPMGQMGQMGQMGQMGQMGQMGQQMSPT RNSIPSAPNNAAAAAQQEYMQQLQRKQMQQQAQRQSDAGLQQPPQQFEQPAQQPQSTP LQSNATPALANGRSTPQADSNLAAQHRKSLSRPLEGTPVPSSDPAQPSGTPIKTETDV AAAQSALEQKRHIEEEEDGIYYRPATNLTIKNTWGVLELSDELVQEVSKKLHWMPNVP ELREMGIVDIRALTMSLRSGLHAETRLALDTLSKLTHENNIQLDLEQCDDLVDVLAEY AEDQLEILGTDNPEVTDIIELMSYEDILHNCQAEVNALQDLPEFGTKAYDLDRTADRV LAITSIIRNLSFLEINHARLSSPPVLKFLSNAIRLVGTRMLFLRSYIHTLDFMKDLIT FFSNASTRIALPSREDAYAVLHFLCAFAPSPRPTLPIRFTSYNPKIHRYLPSAVDSMA KLLAIDDPNRTYYKQIFINEATSDPPYDLLTRAFALAIAVVPDRTEGKLHDLAETRPK EVQHYEARIAETRKPYLMQGMLAADILASLAPGPESGVCRSWLEAEDGWANSLLKFAM SLCSLDARMQQPPPQPNHRGQRPMEQDREGYHLIVNRALSMIKRLGDKSKGAEPLVKG VETNGTGAADDDDDDDWLDDMEEFDVGGTTWKVKADILPRKETVLGALLMHQLDARAL RQFCGLGYLAEN CC84DRAFT_1169117 MSLARGERVYFDFDSGSIEPAGAEPESNDAPPLALASAFVGDIL ERPAGGVTQPPVAPSPKTNVGGFPAHKKRTPRVSAFKRERAANGAARAKEQTQTAPQR TDDLQEQRARTKGAGGEGDDEKRQIDQENRKRLANMSAEEIEQEQRELLESLPPSLIQ RLLGKADIADGSNEKDWDAPEGPAPEKPRVEESERKAESPTKASFAEPPSAKKVSFAE PDTGDVPAAVQFTQPAPSTSQEPAIPGADLADSHSSLHFPRPSQPPDLDPNDPAFLQN LHEKYFPNLAYDPSTLSWMTPIDPSDTRSPYHPSHRAFNARDLRFDFKGALLAPSAAR EIPVTHGLHHHADAPEAAGYTIAELAVMARSSVAAQRCVAFQTLGRILYRLGRGEFGF ERVRRKADGPVQVVKNPDVQEEEADEEDIEVDMEDAGSAMAAGLWKEVEEGKVVETLT EEANRTRGHLTSRTFATEALWNWRRGGGRKRAAV CC84DRAFT_1222600 MQWLVFLLFVTLTTALSLRLRDNDGVKFCPPSCADKFNACKLVP AACADEACGVLNTSNQTIWRPSPCRSCNFCQGRVGDAADNDVSADDAAVNAAAKAARQ VEQEAVRCAEHCKTVPPRQCRKIAECRGCQWCPFPGWTGP CC84DRAFT_1169118 MPRTQPLLPLFRPLALPRRAPCEQLFRFATAARLRADQPPAKRT GAAPSLKPSPKTSPEAPASPKDAARAADLAAAEAATDADNPTAPAPKPTLPSKRPKSS PPKASRPADGAQAPVGDALDAAMNAEPGAPKTFRAPSKAARASKPRPSAAQKTSLELP PPKYHVARSSSKNLPIYTDFKRGGNLHLTTVRKITGDLSALRDELRVFLNKKNEDVKI NSLTSHVIVKGHHVPQITEFLKARGM CC84DRAFT_1169119 MASAATNGESVADKYSLLPQMMPHFDRHLLYPLLNFPPPAEDEE QPIEKQKVLFELLKPTYMTGYVGQLAQNINNLDSIPDEYLQKQEQEKKRLAELEESTV KIMELLTDETVLGGLRSDKQQNLAFLEKEHGVTVPMVEQLYEEAQFKYGCGLYGDAAD ILTRYRILTTDNDKEREATWGKLACEILSTEWESAIAEIEKIKELIDGRLMTNPLAQL QQRTWLIHWSLFPLFNDEQAREKITETLFQPAYINTIQTNCPWILRYLATSVITARNA GRNQGAYQKQLKDLIRIVRQEGYEYSDPVTDFIKALYIDFDFEEAQKKLSESEQILEN DFFLLQSAGPFVDAARHLISESYCKIHQRIDIGDLSTRLGLSQDEGEKWIVNLIRDTR VDAKIDYQAGTVVMNHPPQSVYQQVIERTKGGFFRTQVLSAAVAK CC84DRAFT_366074 MSYAATRRRMFTGAHWDEESGRTRAMADLTFTFGSSYGSGSGYR DIRDFRSHLNFDRAIHGSEAPQESAVISLSDHDPDAFALWRTWIYTGKLAILPDPPLP SDSNDERTAHYAVLAHAYILGDYLVDIPFMNAIADVYVLNARGIDGARALYPSNEEIG VLYDGTSPDSPIRRLLVDIWMYRGKPEWLEREVDEDVLPREFLVEVVRKLLELKKVRE GETMSRPWKMTHEQYHDRREVGVQEEMAEAHRCEDEDAPAVGFDDLFPE CC84DRAFT_366040 MAVDLVILSVVFVSITVVSIAIRLFTRTVILKNIGVDDYLICVG AVFAIVCSVTPIAALQYGLGRPTADQKPEQVAPYQKLLLTSSVTYSVSATFIKLSLLS FYLRLSNGPAFSALVYCVIFAVIGFGIGSITAVLLQCLPLSSLWDEDAAVGAKCIKLV DFYYANAAINLTADVVILFLPIKILWGLHMPLRQRIGLCGLFGLGGLATVAGIIRLSS LKSLLASSNPTINIVTPLNWSFIELNTAIFIAGAPALKAFLRQYMPALLGSSYSPTGI TKYGSSNKTGTKSRPTKHNSIPLGSVSDKNGSKWVKNTAVVSSGPGHENGNGERDSDN DSQENILQNYHGILQEVTVSVKSERKSEEGRSMSSGKADY CC84DRAFT_1222605 MDKLKVKARTARNRVAESASRGDGRGSLSEPHSALPPDDNASTA AVNEGYLGTAARDFAAPRGQPVHQPVVEPPTSLQSSVDAGIPVPTPRNNNGNANRTQA AHSAGKNGRSRSNSAPQRRPVVGMRQSSIGIRRMPSAGELQQAYAAQNASTNALSQVP SRLPALEEGVQLQPVNTASTASSESDGPRAEPNRLSKSISAVSAKLGFGKSKKGKDKA QDKEIELEGARGQTPDPYGDRQWTNYSSSMVDVLDTIDPEVQTLTSLTNIQNSLFVPN LGRFVNRRPTYVMSRAPSERQSLEDINRVLAPVQDAQQESGAPTLRRTQTGGTMATLA TIDSHLSDSRYAVLPHGTTLDGWTREDKKELNDHVRHMLHSRRSRFKRSMRGFGQYVK RPLGFLVTLYATLITLFGLAWVLFLIGWINVGGRQIYVVHIIDSVLVALFAVVGDGLA PFRAIDTYHMIFIAHYHRYTWQRRKKDMLPELRDHNDLPANNAPMAGRNAADPEDPEK QWEFTVLTPKQQAKLEHHQAKFCKSHSFYKPHETDTHYAFPLRLLVAIVVLLDCHSLL QISLGAVTWGWYYQIRPFAVTTVILCCSITCNAVAGLLITIGDRRTRKKDVLERMNRQ ELTEEAMQHIEKKKEKESESEREDNEESSKGRKSLNLRRHRQSEDKLRDKQPNRDDAG PNAYP CC84DRAFT_1103484 MPATLEDPSAPVILRTSGSAPYPPPGGPLPVGVAPRQVTLRDRV TTATVIPFSAPNQVPITLLAYLCDQLGREIEKGDTYPIVDPLPLESFGPYWFGVFGAI VLLGEVQDGRQIHEMARNGCDWEKECLGSFYIKPNYPGRSSHVCNGGFLVTDASRNRG VGRLMGEIYLDWAPKLGYTYSVFNLVYETNVASCRIWDALGFKRLGRVKGCGNLKSYP GELVDAIIYGRDLGQDDDFVSEERFDKIRFYLKNGKYPHGADRAEKSRLRSAATHYKL YPATSSGPERLMLKGKEVISDPQRQYEIARDVHHRAHGGINKTTATIAESFHWVRIKE TVSQVIRNCPECSEMNKGLAIERLRTGQPTRWATQLQQDSSLDSQTTSPLSQQQHQHF ASPPPARPLERPNSPNTQLQLEAAAQQHTDFYDFDAQHASFDLPVDPALMNGVPQPLG LENLPPSPFLNASQNLTQAMPNIPNMDLRAADVSHMHAQDIDDVTMDGTSERAPTARE ELRRRLTRAGYAKQASG CC84DRAFT_1169123 MDHATLVFASVFPVPSLSHTTPTPVATPNLGYTEPGASFGSPHA ADAAVTPRRAVRRNFAWSTATRFLSLPRDLDKAPRRPRRPPDVDEALDYLIVGEGTGQ DHEEGIADWYANEARLHFANHVRQGLTEVWDKDVEVGNAWRVLEDTQRVLAQVQNLYL QPFYDHILPFIEQAAANITIRASKSNDNLEAETVEAAKRKFEREIHAIFAHSLPVGRF SKTLSYMFYDAACKLFRLQVRLDGIAHVVPDHTDTKKVRRRMMLLLVGLNRIGLGQDE AQRALAYAMDKLLDTYIASHYLKVDWYTKQSITSQLRAWIENGFIPLAELILECLKCD PASIPPLQRKQWQEMALTRLGRARVEDLFDFVTNWDRSLGAVLDLKEYLRLDGAKEHL KGSFSRQVSRRLLHPGATTTYILNVYIYIIRAFNKLEPKGVILQSVARPIRRYLKERE DTARIIIASLLIDLNDEASVKAASTGELSFEIASEMSKPFTHSEDADDEASWNDMNWQ PSPYDASPEYKKSKVEDVIFFLLTLWDRDDFINELKNILGDHLLKCQDPEFQKEIRLL ELIKIRLGEDKLQACEVMLRDVLESRRINETIRKASDQPQDQTPQTPEALGPRTPRPR RIGPSTPLITTPPGPALNAQILSSFFWPILRDETFRVPAQIESLQQDYESRFERIKGM RKLRWMNALGDSRITLEFDDRTEDFDHLTPWQVSVVYAFQPQPGEESTSKAKSKGQAK SEGITRNAEQLEEMLSMDEALVHQALAFWVGKSVLREVAPHTYAVIERLDSSTKDSDA AAAAQEIAEVQAAQSTTVKSQADLLNERKDVYMSFITGMLTNQGNLPVMRVLMMMRMM VQGGFPFGAEEVRALLGEMEGAGKVVPLGGDVWGIRK CC84DRAFT_1169126 MGVTKTTITEGNGPSPNKGDQVTMLYTGWLKNADGSKGKEFDST QKPGRGPFKTAIGVGRVIRGWDEGVPQMKLGEKATLDITSDYAYGDQEFPGLIPKNSN LIFEVELQKIN CC84DRAFT_1169127 MTTALKPGSKDAPTNPRGIPVAPFVDRVEDYVTDRSEVESTVNS FKEMIAKYQFMEQNTQRRLVGLKDKIPDIQKTLETVRFLKARKADADPIETTFELNDT LYAKAEVPPTDEVYLWLGANVMLSYPIPEAEQLLKSKLDTAKRSLETCEEDMEFLREQ ITTLEVAFARVYNWDVAQRRKEREAGESIEDKKGSKSNG CC84DRAFT_1130394 MAITVSGPPSTTKRLFAEVDAFKSNTRVAIPVYAPYHAPHLYTY ADIERILSIPNAELYKAARPQALVHSAADGQCHRATNALDLLRSALSEMLMEPVRWDC LLEEVVSQITNVNNAEASVSAIGVTNVVNSLASALKASGQSSVTIRDHTAWFAKPDHY AGRTQNDKIAIVGMAGRFPSAASHEQLWELLEKGLDVHREVPTDRFDAQAHCDPSGKG KNKSHTPYGCFIDEPGNFDPRFFNMSPREAAQTDPMGRLALTTAYEALEMSGYVPNRT PSSKLERIGTFYGQTSDDWREINAAQNVDTYFITGGVRAFAPGRINYYFKFSGPSYSI DTACSSSLAAIQLACTSLWAGDCDTACAGGLNVLTNPDIFSGLSKGQFLSKTGSCKTY DNDADGYCRGDGCGTVILKRYEDAIADKDNILGCILGAATNHSAEAVSITHPHAGAQE YLYKKVLANAGIDAHEISYVEMHGTGTQAGDGIEMTSVTNAFAPRNRQRKPDETLHLG AIKANIGHGEAASGINSLVKVLMMMKKNAIPANVGIKGVMNKTFPKDLAQRNVHIETE QVPWPRKGAEPRKLFLNNFSAAGGNTAVVLSDGPLKETPIGVDTRTLQTVTVTARSIS SLKKNINNLIQFLDQNPETTLTSLAYTTTARRIQHNYRVAICVDDIKKVKDALKAQLK DSYSPIAMVPTKTAFTFTGQGSQYTALGKKLYDELDTFKADIEQLDNLARMHQLPSFL PLLDGTDVATLSPVVVQLGMACIQVALSRMWSGWGVKPLAVIGHSLGEYAALHVAGVL SASDMVLLVGRRAQLLEEECTANTHGMLAVKGSVEAIKEALGSDMTEIACMNGPEETV LCGTIEVIESTNGKLASEGFKATKLNVPFAFHSAQVEPILEKFKAAAASVVFNKPEVP ILSPLTGEVIRDSGVIGPDYLARHARETVNFWAALGTGKDEKAFDEKTAWVEIGAHPV CSGMVKSSLGAWMPTTVGSLRRNEDPWKTLVNSISTLYLGGVYFDWNEYHRQFNDAQE LLELPTYSFDEKKYWIDYVNNWTLTKGEAPKVAEAKAIEPSPMPVVSKLSTTSCHRIV REELHANSGTVVVQSDLSDPKLKATISGHQVNGNPFCPSSLYADQAMTVADYLYKQLR PNLPTPGLNVCAMEVTKGLVPEYPAPPAGQHLQIEATADLETNEVSIKYRTVPADGSK VLAEHAVGAVKYEDVNAWKEDWSRIQFMVQSQIDTLTQKLQTGAAHKVLRGMAYKLFK ALVNYADNYRGMEEVILDGKTTEATAAVKFQTTPADGEFFCSPYWIDSLAHLSGFIVN ASDHLDSDNSVYISHGWGSIRISKTLSPEKKYRSYVRMMPQPNNVSAGDVYILEGEEV IGMVTGLKFQNIPRRALNIMMPPPGAAKAGGGAAKATPAKSSIAAPAPVKTQSAPAKA AAKLAVKAKVVKAPKTPTNTITNRVMKIIAKETDVHMSELVDEAAFENLGIDSLMSLT ISAIFREELEMEISSTLFTDYATVGEMKKYFSQFDGGVPLTDDDAESDTTEETSDMST PFEAGVASTPASSAPSVSGDVKITESYEVVEGNASLARRIIAEEMGVDVSEITDKLDL SEMGMDSLMALTVLGALREQTGIDLPSEFLTTNVTIEDIENELGMRPKPKVETTAKVT FKNTTKPSKPQLAEVNKKLEKAIDVSTLPPANSVLLQGNAKVATKKFFLIPDGSGSAT SYVSIPSISPDMAVFGLNCPFMKCPDKWTCGVEGVSALYLAEIKRRQPEGPYIIGGWS AGGVMAYEVARMIVESGEKVESLVLIDAPCPVALDPLPARLHIFFDQIGLLGTGKPGG TPSWLLPHFASAIQNLKDYQPLPMNPKIAPPVLAIWCTDGVCPNPDDPRPPPGEGEDP APMKWLLNNRTEFDDNGWAQLLPKENFEYAVMGGNHFTMMKGEHGVTLGKLIQKGLKL CC84DRAFT_1209923 MEAQQRWSSIAGSSCPENNAQLVPGIPLHGAPGARPSMGAIHGA RMGASGRSADWGGGLAPACSRGLRCVGVVPALLLWGHELIDAARPPPPPMSCGGIAVR APSSTATLARMPRLVVSSATFAGVARQAFRLPRDVAAYSRHGLQWASSRVHMVELRTV RFRPSQVSALPRAPNRLVVASTLMFASAIR CC84DRAFT_1209924 MVFCTYCGQSFTRDEHLERHILTHTNVKPFKCFTCHMSFARRDL LQRHYTVHGRDANNQEGLPPNAGIIPKSAGRTPIACSNCAKTKTKCDKKFPCSRCAQR NLKCTLRPTRRASKNVNRVMATGEAATSTGSSESTSTNDSQDNSGGNSPVRDGQIPAQ SQPQAMVSQGVSSQQIPNAQTQPRQSPQPTSVVHSVVHSRNPSFSQPVQQMHNPPPED KPLHIPIATTTPPFFEQSPPHGLPSTMPSVLSPLPTPINGFISQTPMSGYEDFVGTVQ NHSDEPSPRFMMDPWNTMSMGQDFDPMRIDPSLMMSMNMDMSMGPPTDGILGMIPEMS PSQTYGPVQTPIQTPRMDESFSDLQIGSSASMFYPSNRQISIADAGVPDLGAIIAAHD GWSVFRCTPTIPSSSCPRTARLNLERLEQSLKNHEGWSNWRPSWEETDFHQSGRVEVA KIYESTRDKLLAITQSFLHKALDIHKDGMSSSSSDSPGSTTSNFVLLPPAPVLDLFLR SYANSFERFYPTTSRGMLDANELMQNYNDKASSLLILMMIAQGGINIPSMEARWLAGG LTEACRISLFDLVEKNIIMAGDPIVLRAALLFTVQAAWSGDKWQMDIAMGQRGMYFAM LRHSGILEPQRVSTPHMNVKRTETLWRDWIQQEGRSRLIYSWVMVDQDLSLFHDTAPL FSVTEFGAPMPDADGLWQAADASEWCDMFSKVHEFSNGYSSVGSGARPLSLRDLFRHF LDDEIVAQNIQLTALHLRLLLHPLQTLVCQYCQLLSCFSDSMASRQRSRAVTAASTRV RLEEVQSLLQRWFELAERYMAMNPMCPMMQANLVMFHLVSMNAVTNFPEIEKLARREG VDTYQQMLWIHKNCISDVHEAIFHSGQIMRIIREMPKGIRPPWWPGAIYRAGLVLWTD ALTHNESTSPQQGQYTPPGTSVPVDRLQANDPAIVRYVTRREGTPTLTKRDGTSVGID NGFRVLSHCVDVIDEGIATRFSDGIRGKLEKLARG CC84DRAFT_1103668 MANASYPSWSLEGKVAVVTGSGRGIGKEMALELARRGCSVAVNY ANAKEAAEEVVKQIEGMKTGAKAVAIKANVADVKQTQYLMDEVVKQFGKLDICCSNSG VVSFGHFKDVEEAEYDRVMQINTRGQFFVAKEAYKRMSVGGRIILMGSITGQAKGVPK HAVYSGSKGAIETFTRCMAIDAGEKKVTVNCVAPGGIKTDMYHAVCREYIPNGENLSD DEVDVYAATWSPVGRVGQPLDIARVVCFLASQDGDWVNGKVIGIDGAACM CC84DRAFT_1181088 MTSGTVSSLLVLLLSCSRALAQQQAQFNQVLNIGGDMSLNSFQF QDGSRVETRSQKQQQLIVNQQTPSISANQVTGSTGNPFVALQQTSMVISTNGATDLVG AQIEMAMPVAALQQAQIDPGNTFVAMLSPDRQTWMIQESIRSINTTDMTVRMVKLQTI DGEYMMVGRQTAGTETQLTPFGSNQAAQVSITGTGLQENEFQDGFRMSIKATQPMTMN VDTKKGIDSSMLTALQGQVSVNDYRYSVTTSLAGVQSKLNQQVTVIQMPLNAQRIQTM MKAAGIQPGGQVSLGVAQRKVLGNPGGATNIAPAQLAPQKRGRDAFRSALARRQTDST TGQTGQTGQTQVDPTTGQPIQQGTAGTTQQIDPTTGQPIQQGTTGQQIDPTTGQPIQQ GTGQQTGQTQQGTPTTGNNPVATQLLLEPTFTPVQANLVLDTVNMRVAFPVSQLDGEY ILTMQTGGAAAAAPAAAAPAPAAEAPKPGAANGTAPAEPKIKRQDAPADTNPAKGQVF ITMREVDRMVETAMYGGQAPISKMMSEYVKANNGTASA CC84DRAFT_366564 MGYSEKLCRLCGVSFNIGRRRLRSEPAWMGWECTGDGKVVGCQL GKGRDPDTCVDDGDSDDEQDGMIDNHVSGSGDVCEDMYGVDIGLSNAEVEEFLEGEDD EDYQYEESDREEILEFESDDGFLDAEELDQMDIDPGAEDDTFHIFKHAILSLPEPGTE KKGPTPKHNCFRGIGYNGKHITAEEMRGCNTAQCLLSKFHHSATTKAPDDQDFERESS FCLSGLCGQVCSRDCGGEEFVPDRHGVANAFVDSWSIDNRETAVPFHPTCFDIFSRLS RKRFGYINVEALGNWIFDPDNCTNGDDVDHVSSDPNVQLSSHQFWDHRDGCEYLSANP LIIPRLAPILQAAIEEAENFNPQNGAFAIAGYEGAGDVFSSLPLELRLQTLSYLGSSD IANLRLASRTFRQLPILLWRDLLMKEMPYLWEVWSDDLPFIWATVQFEDVVKHEKEEE EVRMWRDRAEAIIRDKLPEIVGAWRTDLDEFLSQVDNNFLEKGRTDALKKIVTGLPVR NTNWYKVYTGITRNWKDLKGLHNRRRIWEDIGAIVEKLV CC84DRAFT_366525 MAKDKKKKGADTKAAAKAAKAAKQEKKAGKKDKKIATKNRDVDS DAESVDLDEVLAQYAKEQEQYLAITEVPCDPPSARASSLIIGNPANDNELFLYGGEYY NGAVVNFYSDLYVYNIKKDLWSKVTSPNSPYPRSGHAWCRAANTKDIYLFGGEFSSPK QGTFYHYNDFWKLDPQSREWTRIEVKGKAQNPPARSGHRMVGFKQYIILFGGFQDTSA TTKYLNDIWIYDCVNFVWHAPKLQSARAVPDARSSFTLLPHEQGAVLYGGYSRVKAAA GGKQQQVKGKKQQSGGGGATRMVLKPKVHEDTWFIRIIPPPADQPSTTLPTVSWERRK RPANTPSPARAGATMAFHKGRGIMFGGVHDVEESEEGIDSEFFNQLFVWNIERNRYMP LNLRRPKANANKKATQQANVSRRDRARADEEELLANLKALELKAGGVAAPTSDDEDDR KEKEHDEEEEDTRPEKPRLYEFPHPRFNAALTVQGDTLYIYGGTFEKGDREFTFDELW SLNLNHLDGVVEIFKRELEDWQGSDDEADSEEDDDEYSDEEDEEDEEDKSAAASTAPT SVSDSPVAVPTKEPEEDIGTAEEISALTDTLPHPRPFESRRAFYERTSEAWQNVILDE MSYGAAGQTKTPKEIKKAAFDRAEEKWWDCREEIRALEDEQEAAGIGEVVSLADKEGG AGGGVGRRR CC84DRAFT_366517 MIQAAKIIEQRSHLVPGKLRVTEHFFQVPRDYSNPSLGTIQLFA RSARKLSKPADYSTPEDPIKSQLPWLLYLQGGPGFECAPPQDSKWSSFLLDKGYQILS MDQRGTGLSTAISQSSLQLRGDEKVQAEYMKHFRADNIVRDAEAIRKALTADYPKEKK KWSIIGQSFGGFCSVTYLSLYPEGLKEAFVFGGLPPLSGGPDQVYDRLYPRLEKRNQQ YYAKYPEDVERVRRIVKLLSRFGDETVRVQGGEGHLSAARFLQLGIGFGAHSGFDSVH ALVLRADNDLTLFGHLTRPTVLHIERAQFWDTNVIYALLHEACYSPASTSNWSAHRLL EKYPAFHHESTAADPTKPVFFTGEMIYPFMFDTYPELKKLKTVGELLAQEAWGPLYDV EQLKKNEVPVYAATYFDDMYVDFELSTETARTIKGCKTYVTNAMYHNAVSAKAEEVLK AIFELRDDVID CC84DRAFT_1169135 MSSKPFNVAIVGGGISGLTLAIGLLQHNVPITIYEAAAHFGEIG AGVALGPNAVLAMKKISPAITAAFEKCSTANMAPAKANSWFTIRVGDERRADASGFVR PGKRVGDALYDVELNTDRGSGGVYRAHFLDELVKVVPDGVAKFGKKLKDMRTVEDGSG DVVLVFEDGTEARHDAVIGCDGIKATTRRWLLGEDDPAAKAVFSGKYAYRGLIPSEEA TAMLGDEVAGNSSFFYGYHGHLLTFPIEQGKTMNVVAFSSCKEWKHDQWVIRTSKEDM VADFAEWGSHVQKIVHAMQKPDIWALFYHLPCHTYYKGRVCLLGDAAHASTPHQGAGA GACIEDSLFMSELLKEVETKKDIERAFKAFDEVRRPRTQNIVKTSEEAGRLYEFELEG DDLDKIEANQTQRQRWIWDIDLDAELAKARDIYHKTHTGA CC84DRAFT_1169136 MPRAWASTARQPPPVEGEKPVTVLVTGFGPFLDQFPRNSSWEIA STLPALIPSSATNPTPIHIHVHHEPIRVAYNKVVNLVPHLLPPGSHQHPAPDIVLHIG LAAGRTFYTLEQGSHGRGYGKIPDVDGEKFADEDAEARFPKEIFPPVLQTSFDTADAL TRWRENLEYEDPESESLRGRAPDVRISPDAGNFMCGFIYYNSLAHYYEKKEDERPVAF LHVPDLSDSEEKLAIGRKVAVSLIKALVESRRQVGVVDGTARLAKKGDEQEVAGMDVN FA CC84DRAFT_1263985 MAFTGFFLVFAFLAVLAFMIPIGRRSKSLPPGPPTLPLIGNLHQ IPKTGAHYKFTEWSRTYGGIFSLKLGPATAVVITDRRLVKEMLDKKGNIYSARPQSYV ANDLITGGDHLLVMQYGAKWRFFRRLVQNYFNETRCQQEHVALVEAEAVQMMHDLMTE PKDLMLHPKRFSNSIIMSLVFGIRTPSVHTEHMLQLYDVMEKWSAVMETGATPPVDIF GFLKLVPESLFGNWRKRSLDVNKMMVALYAGIVGRVRKRRAAGHRTASMLDNVLDTMA APEMGNDNGLKLNENELNFLGGVLMEGGSDTSASILLAFLQAMIRYPHVQQRAQAEID ALLGAEKASLERSPRWEDYAQLPYIAQIVKETMRWRPVTPLAFPHATNADDIVDAYTI PKGTTVLLNVWGLHHDQTYTSEPLSPFDFDPDRYAGRTAPAPTFAASKDYETRDHYGY GAGRRLCPGIHLAERNLFVGMAKLLWAFELSEKAGADAVDIDPQTGYSEGFLHCKKAH KRQKHTSQLDEYFDNLYDDGLTSSASPDMYKLMQDPHRWWVDVGQKRYPVVYKMAMDH HSVPATSCDCEQCFSSARRTITYDRNQLSPAVVEALQLQKIWLTRDAVSSHLTALSNQ FPDLNTNLFPN CC84DRAFT_1222620 MASDNSSSDEGTAAPSPFVGTAPDAGCSEDHDIAVIGYACRVAG DNNSGAQLWDFLMNKGDACGEMPAERWQSWRDRQPDMAKTLDQTTSKGYFINDIKGFD AQFFGISGREAVQMDPQQRISLEVACEALEHAGIPLQSLAGSDTAVIMGVNSDDYSRL ILEDLDNIDAYMGIGTAYCGIPNRISHALDLAGPTYAVDGACASSLVAIHQARRALLA DETSLAIAGGVNAHISPYLTRVLDVAGAVSPEGRCRSFDDSASGYGRGEGAGIVVLKR LSDAIRDEDRVLAVLKGSAVGSDGKTLGIMAPNQFAQEQVARDALKEARLPASAVEYI EAHATSTPVGDKTECAAMASVYGSARLPSSPDCFIGSIKPNIGHLEAGAGVMGFIKAV MTVEKGIIPPQANLENISTKVDWKAARIRPVREPTPWATTRRRRTAAVASYGYGGTVA HAIVQEAPRYSSFREIMGNKLPSGQPVMLPLSAPQDERLRKQARTLADWLQQQPDELD MNSVAWTLAVKRSQHRRRAAIVAESKAQAIELLSSVAQGVNHPQIILQEKGVIKAPDS FRKEGAVWVFSGHGAQWKGMGRVLLETDRAFVDIVKQLEPIIKDEMGFSVTDALLAGD CDETAKAQVLTYVMHIGIAAVLREKGARPQACLGHSLGELAAAVVAGALTPAEGAIIC CRRAVLYRRVAGKGAMALVNLSASEVEKELNRSESGDDNLVIAIYPSPESTVIAGDAR GVDAAIARWHAKGIMARKVKTDVAFHSPTLEQLVDPLRAALGEALRPKEPLMPIYSTS LEDPQALDARGADYWIGNMVKPVQLTKAVQAATRNGFRTFVEVSAHPIIAHSIQETLD AGGVADAAIIPTGIRNQDESKMMLLALARLHCAGDVIAFNEGLPGWWNHDVPGTAWQH QPFWPKIEKQKQRAGHDRSVDVKGHKLLGAKTSINGTKMTLWQAYLHAGAKPFPGLHP LHGSEIVPAAVLLNTFLSLAHTNSLQNVGLRTPVVVEPPREVQVLLDDGKMSVSSRLA AGEDEENSHSWLTNTVANIGVANTGSKIGQTIDLASVRERLSETLPPSFSIDYLANVG VSDMGFPWKVTEHLRNDDEFLAVVDADPQSKGDSPHWGGQSWASVLDAMTSVSSTIFW KQPLLRMPTSIDSVTLLPGASAPKVTYMYVRRRTEDEEEFAADMVVCDEQGTVLVDVD NLAFAGIEGNPDSIKTHEGLVHRIAWPPAQLAEERREFDEVVFLAPESAAKTVEAWSM DLSRQGVRSRFEGDPNAVTLSVCPKAVVVVVAETTQEIDNVAAVSLRNCERLATTVQQ LWNAKTATTLFCVTQAAYGGSNAAALSQSALIGLARIVHSEHPELFGGLIDTEHAAAA FPLQALKYVRGIDVTRIEDGVARCARLRPLLESSLKSTQMAPNGLSQPHGPGNASSGT LRLIPHHATYLITGGLGALGIETARWMVERGATHIVLASRRGANAAAVRSIVKLQKRG ASIHVVAIDIGAADAQEQLQHAIDRLNLPPVKGVVHAAGMLANETVADLTPGALSAVA KPKVSGALNLHRMYPPGTLDFAVWYSSCGQLLGFPGQASYAAANAFLDSLATHRRSMG DACCVSIQFSSWRGLGMSTSTRYIDAELEARGITDITRAEAVFALELLLCTDIDHAAV LRARPLEAGDPLPHPILRDCVSHVPAPVNLSGGTADTTAPKSLKERITECVAAVLSLS VDSIDEHTALVELGVDSIMTVGLRRHLQKLGLDVGPTYLWTCPTVAHLVAHFKAEDS CC84DRAFT_1130423 MGLFALEEWAQANADYNNDTPPYWHAKIVPDLFTAISGILWSVS YILMTLKGYKDRSYAMPIYCLCLNITWEFVFGFIYGPGLVNQIVFAQYMVVDVFLFHS ILKFGPNEWRAHPLVARNLSWIIGVGCAVCLGLHLVLAKTFVPVIGRQVIFFTAWPMQ HMISLGCVAQVLSRGHDAGQSMAIWWTRFLGTVTAGCCFYWRIYFWPERFGYAWTPYG ALLLVGSHVLDLAFPFALAYVRKHGEGRQEKVNGKAA CC84DRAFT_1169140 MAPAQPQTFTAGDDPLTKFDGAIEMANLPRAPHRQFAFHGFMRP WLGIYAKLIAGGKKPPTHFHVHQTEFFRVLKGNLSVDINGRRVDLSPEDGEYSVPPFT HHVIYGTPGTEENEVEFEVSAEEKRDGQYAMDQPFFENWYGYQEDVFQRGVTLDFIQV LAMFDAGDTYLSPPAWVPFRTFLSIWFTIIVGRYIGGLLGYAPFYPEWTTDWDAACDQ MERHWTQRRFAKRDAQEKARQRFADRGANRAQGINGTHGKTKAL CC84DRAFT_1222623 MPILQASVTPLPGGICLRGQTAIVTGASNGIGTEIVLQLLEREI STVILAVRNVARGEAARTALLARPSVKKANPKAVLKVMELEVEDYASVQRFTKAFLAE HSELHLLMLNAGIGVLKRDLVSNGHEKHIQVNYLSNVLITLELLPLLNATADKTGKPT RITWTGSRMHNVSALAKGKVPLKPGESVLGHFDSEDSYVAFARYADSKLLCLLFLFEL RKHLNGDKVIQNSYCPGMVNTGMSDVLPIYLRLPMNLVKAIRARSVEVAGWIALNAAV VVGPESHGQFLEDKNIVEGSPFIKTAEGQKVQKMLWNETIDELSKLTTIPGWMKKV CC84DRAFT_1191013 MSTYALPELDFQFCLLLSFITAVLVPCFRRGSVERSGMLALQVY FTVQAYLAPVKPTGNLVMSYQSGLLLGNLTLRYLDRLYLHVPEDEFRRTHENGVEERP ETLSMGQKLGWSAELLLITRGVGWNWRVKGTPKATSRRRTAFVLDRLLRWVAIYGGIF LAERVCEGILSEWAQLPEGLIKSGLLAVTQSSMFLYVWIVLVMGLTIYTHFAMLVLPL ALLCVGCGIGPVRWRQPDAWPATFGSLAEAYSLRRFWSHCWHQQVRRILAVPPMFVLS SLPNSWRTSSRLPARLFRRYSSLLLAFLLSGAFHNVGHWTVLRERRGLGDNGAQISVA GEMPFFAAQAAGIMLEDLVYHVVDLVYHVLGMDSRRKTLLGYMVTAGWYGWTRVQFKM MPIAATFGISDERGPLFEVVELLRLGLVAIPGNFVKMGIGRWM CC84DRAFT_1103814 MFAHPWLTAVGVYLCWHAARAVYLIYLSPLAIFPGSKWAALGEY WEAYWNIGTRPGRKGQTLFKLEEMHKRLGPALRMGPNEVHVYDPQFYHKLYFPNSKFY KDPSMHKVLGAPTSTLAESDPIRHKQRKAPLEPLFAKKNMHALEPMLMEHVNHCCLRF DEFYDAGKPVSMEWALKSLAMDMVSQFAFGKSLGALDDPEFKSLPVQVFKQYLPSLHI IKAFPFVRLLHSLPRWLTHRMSRSIAMGHELEQFASRRIDDFLEQKAAGKLPSFPTIM ERLLIPIPEKGYVVPDKQGLRDEILTTISAGDDTTGIANMVTLYNIISNPTIHARLLA ELKTVLPEPNSHAPYPELERLPYLTAVIKEGLRYSSPAASRTPRLVPSGGVTLPDGRF VPANTRIGMSIYHIHYNESIFENPRTFDPERWLQAPEEVARLSRFMVAFSRGSRSCLG INLAYMEMYMAIGYLIRRFDFQLAGTTPADMAWDDMVVPEFHGELLVVPKRRAG CC84DRAFT_1181100 MAHRKIVRNRPSLSCLACRRRKIKCEKQRPACANCVRLGDECVY EGPEQWEKAAAKKRKTHQHQHQDQLDDPFDALESHDRRGDNDSPVTDPELEAAAVGWT PAGSDLGFQWDAPTLDFDFAFDAHFDLGLLTPSLSLNSFQLESACPLDALPNRRDSSP LPGPPFEGYISTRDDGSKLFIERTFWALQDVYERILRVESAADRCKSTMNAGDHLTLP PKHVCGALLQCFFLCVHPLLPFVHAPTLAKRYGQLCTQLLGEQENIIPLALSTSDASF VALLWAAMYAGAVASAGTPLAASAKVPDTPAFRDNLYVAFTSAMKAASFPEAPTLDAL VAALIVQSGLGREDIYQLRSAQIVCACIRAAQQLGLHREASRKGLGTVKSELGRRIWW HLIDLDIQSAVAAGTELQAARVEGSSDARLPIEFLEDQNVLAGENTPKSSAFLLFALG RAEIASAMRQIIIRAYDRQRPTQRDLIELNDMLSSLHSRIRAISARLPAKGIPEKGLV SSFQATVAPATYGTLYKDDASTTTVFNFWARSVLHMLYLRALILLQKRFLHEFTGQQQ ATLWKTLVRLCCQYIQTYLRLTRWSAAAIYKWALLSRLQPLEETLILLAYLQTDSCPA EADLVEHFAEEVLDMCYNSEQAQNQQPPGTLSTTRQNLWAILNELKEHLPWRPHPDLS WFSAEFKSSFGDGQCQKDQASVVDLGGVDQGLASFLQLEGLTDT CC84DRAFT_1169145 MGSIADIPQGIDVHHHFIPECYAKALHEAGGDPSGWKLPNWSVA TSKALMQTHGIRTSILSLTAPGCSILQGAPAATLARAVNQEAHAIRNAHPTAFGFFAA LPPVEADAAAAVAEIEHALDVLGADGVTLYTRYGPGPRYLGHADLRPVWAALNERKAV VFVHPTHAADTRPVNGALPQPIIDYPHETTRAAVDMILSGVLRDFPDVKIILSHAGGS LPYLATRAAHLLADAGLTALSADEFLTCAKSFYFDTALSGNALSLPLLLDFAPPGHVL FGSDFPYAPTPTIATHTVAGAEVLARREGEKGMDLAANALRLLPRLA CC84DRAFT_1222629 MTATIHELNSTKELSQRSDDVQDESAGEPEIVQISPFSFISLMV GISLAVFLIALDRTIIANAIPLITDEFNSPDDAGWYGSAATCAFQPIFGRVFAHFDIR WSFLAALLIFELGSLICGVAPNSDVLIIGRALAGIGCAGVFAGCLVIIAMTTSLSVRP VYMGVIGSVSGIGNVCGPLIGGAFTSNATWRWCFYINLPVGGVTCILLLLFFHPSKSN RGQERFLTRVLRLDLIGNFILTVTVVMLLLALQWGGIEYGWGSSRIIGLLAASGVGFV IFGLWQHRKGTEALTPLYIMTQRGVAAGIGVMFFLSGAFLVHSYYLPYWFQAVRGRTP VQSGVDVTPYVATTFVFSMLAGIAVRKIGYFTPPSILGCAIGCVGCGLLTTLQVDTGT AVWAGYQVLTSAGMGMTMQQPIIAVQATIDPALVSIGSAIILFAQGLSGAIFVSVANN ILRNELARKLVALNVPNVNKILAAGATDVRELVPLEYKGPLVEAYNDALMKVFMMAIP LCGIALLFALRLPWVNLKKNQAGKT CC84DRAFT_1103512 MGTGTNTVTLRKQQTAIVCATPPKESGRNLRKGVWQLARLHTKE AWLCWYPAVWGLCLSAGTQDIRLDILQMAKILFGIWSSVTVTHCAFCTWDQCEASLTA VPSDICDVEFDKQVERCKVRPLPAGMLTVGEAYAALLAWLPIVFGVTYLTLGEAGVCT FAPVWILSTIYPFMKRVTSFPQIVLGAIIGAAVFPGWASVTGDLTTLSQGLPLFFATA SWVVYFDLFYAEQDRPDDIKAGVKSLAVLLGDHVHFFLAFLGILQIAFFTMTGLRAQM SPVFWILGLGVWTANVIWHVASLDLNNRKSGGKIFKANIMLGLYMTVISVLELALSRL SLSDLGHIGQSLTGMHVS CC84DRAFT_1231954 MHVPSPWTVPCTGNARLTCSVLQHLFPSNETFTGGSQYYQTLVQ VPYSAICEKDPACFVTPESSDDVSLIMRVLTATNTKFAIRSWGHLPLPGWNAVGSDGV VISLERLQKKVLSADRKYATLGPGQNWGTVYDWCVANGVQVVGGRDIGVGVGGFLLGG GISLFNDKKGLGLDQVVRYEIVLPSGKVVNATTKKNADLYKSLKGGLSNFGIVTEFEV ITSDIIDINYEVNLYHKNVTRAILSAYADFLREDGPGDAVVQLEVSQNATLVFFGHIG HVSSAPEFKAFRSIPVMRPFLPPSNGTVASFLSAALGSSGNGANGESPGSYYHTSVAQ KIPDSKLILEAYDEYLKAATMLEPGMAMYFAPQGFTSALVKAGKALNGGNVMGLDDVP QFWHDTYVTFPNVNQYGLAQKAVDSWEAAWTKKAKKEGSFLPIIYSNNGNIHSRPLSG YGQKNFDFIKKTAKKYDPNGVMQTLQNDGFLIRKEA CC84DRAFT_1075295 LAPTLISAHGKVAAVVGDAGGNGTALGIQGGIVPGPGKNKVTEV DTTVFGKTNIATNGLGKTTGQGKNTVAMVVATMDQSGATLPQVSDGGSISGTFHVVTT DGAGPVQAMIDTTGTGAFASGVQANVVTDVPGNKGNIKPSGKVPRGLSFVALRARNLV ARASNVNMDFPIDIAVPAGTTCTGSMAGLQNVCLMKIANSNNAGPFGGVIAFQMAGTA AGNTTA CC84DRAFT_1103819 MTDLRTQEIKNPVDVAEYLFKRLHEVGVRSVHGLPGDYNLVALD YIKPAGLHWVGNCNELNAGYASDGYARVKGISAIVTTFGVGELSAVNAIAGAYSEYVP IVHIVGYPTTAAQKNGLLLHHTLGNGDFNVFSKMSTQISCAVSMLNHQHEAATLIDNA IRECILQSRPVYIALPTDMVQKKIDGDRLKTPLDLSYPPNDPEKEDYVVDVVLKYLHA AKNPVILVDACAIRHRALKETHEFVEKSRIPVFVAPMGKGAVNETLPNYGGVYAGDGS NKGVRERVESADLVISIGPIKSDFNTAGFTYRVSQLNTIDLHSYAVKVRYSEYPGVRM NGVLKKVTEKLGKLNIEHGPKPENKIPNDEVKSPEPTITQAWFWPRLGQWLQPKDVVI TETGTANFGIWETRFPDDVIAISQVLWGSIGYATGACQGAALAAKEMGIKRTILFTGD GSFQLTAQEVSTMLRNKLNPIIFVICNNGYTIERFIHGMKDSYNDIQEWKYKDLPAAF GASKDQYQTYRVETKKEVEELFMDKEFSSGDNQKLRFVELVMPWDDAPAALKYTAEAA ARTNAAPSDS CC84DRAFT_1222634 MTIASRQRSTSNISNASDRSGRRGPPTPLVGARSNLGLPEHDIQ NLVSPPLLTPNGGTGSPYSLLPPGGSYTTSPRARAHTVADPNLTQRHPNYLSQATMHQ YNLPPPPPQPTSNPASHNMNLPPPPPRPPTNLSGMQMNAPQHQNYGWGAPRQQYNPGA YQGYHLPPPPGPPPLQQQQQTQEAPLTSATYIPGGESFGPGVGIPPLHTSRHYQEPAF YSEESYSANTDTSATSFPVQNYGANNSYASATNRNHLNIPTERSISYDSPGPPTATMQ NPIPQAHGSLYYRNENTGTTPISPNDAASLWPAERVQMWLASYGFSRDWQETFKTLGL EGTRFLEIGRGHGGKGNVGMMHQVIFPQLAKQCTASGTGWDQNRERDEGRKLRRLVRA IVETGSASNVRLPQRQDTGMTLVSAGTEGTLENSPYLGTGRDQFGSTPTTAGGGEESP GRQMPLTSPGSATIPRQRNFTVPGLGPPPDYNETSGLNAYSKEHREMLRDLDAKPKHR HSPNASGEFAPSSFGTSNHRDVMRQASPQQSPGLQSARLATNGQHSAGLNSSANRYYS NHVRGNSSESNLSTFATVGSVSGGVSGPPSGRSVEGRSESRTEKRSGFDGGSRPGTGM SRHDSAEIPTSAKDHKGFLPKFMHRKKKDEGHPSPDDTSLDSPTSPASHRNIAAGTFF GKPGLNSSETSLNDRPSSRRSAQTDSESRTGIRGLGSSVRESDRKYAFVTPDGWNYRL VDISNASSAAALREIVCEELNRGLSQMPGVQFHLTSPGQYEHNEPLTDSKLLSAKSQY GNKLGDLKIFVKIPRDVHTPSSTGLGVSIPPPVGKPIDEATYARLNELDPDVKSGEST LVPDKNGKLRNLAERQELTPEAVAERLTGGNRLPEPSWAVGDDLPEEERQKLLQAASE EHQRETKRKQAAYLATRQEAIGKKGSTPSSAKDVGIHSPGIIDFDEPRNSPYEGPKAF DDRRKSKQLVPLREPPPVPGDSSTLIKANSLSKTKKDRTSWPDGDEAQAKRSSTDADL SKRKAIPQGPGGLSSIAAAIIGAGNVGSSVGAANKAPPKVPPKDSTENLRPSQRVLQD SGFGSSSGRNSPGGSPRSLTMSKGNIPFLIPDYEEDVPDDVTLDRPLLKLKMPSAPNP TVSKLKDDLPSRSRSPNVSPHSAHPPASALSRDSSRVSMYGGTIDFDEARVSFHSKNT QDLDPVNSDDDSDDGLFAMPLAKTGPPTPAKNALSSAVDNRGQRPNLTLKTSRSKNSL DRVAERSGDVSAATDDTRSTHPNDVNPETPHSNTWTDSPDDRGGFNNVLRRESFASDL WANRPPAEALVEHLDEFFPNVNLDQPMLEEDAEDTDASTEVTGDFSKDSRSVTPASSF EDTSNAAPTLNRASVQSIAQRNIRKSGVGLSRTKSIREVVKSQYQPLEKTPLPGRISA AAAGPSRISTLRSGDIVRRKSTKMFHAKIEQVKPPRGSRLIQLETIPQDHLPLPQRQP TFKWMKGQLIGKGTFGRVYLGMNITTGELIAVKQVEVNPKAAGSDKDKIKELVKSLDQ EIDTMQHLDHANIVQYLGCEKKEYSISIFLEYISGGSVGSCIRKHGKFEESVVSSLTR QTLGGLAYLHREGILHRDLKADNILLDLDGTCKISDFGISKKTDNIYGNDVTNSMQGS VFWMAPEVIRSQGQGYSAKVDIWSLGCVVLEMFAGKRPWSKEEAIGAIYKLGSLNQAP PIPEDVSRVIGVEGLSFMYDCFTIDPAERPTAETLLRSPFCFSDPNYNFLDTELYAKI RGAFQ CC84DRAFT_1222635 MDTPAITPEILAQPGDSPSTGPSPPHESATPQSQSQSQQAQAHS HILRHQQTQQPKTQGTTTMDSTFFFGKSLRPLSPPESPFAFDAAFSAKPQQTSPPSST GGLQTSAPASPHFTLPALVRPTYLSLAKPPTPNLSTARTKRERGWAKAVVPQRTADNP YFPSIAEQERAEKWVRDQARFTLPEPSPPAMVEHSGVVGGADPFSHANSASSASASSG ATASSPGEKSGPRFKLDLGDVRRRRALRVCAEVPASPESLENGIAGRRASEDEEAYKK QMAKHLAERIRRRSVRPEPWGRRDGEEGRFEW CC84DRAFT_371275 MVAYSKPQSLMSMLLTVFVLLQVSVAFSHNAHSHPAHRSPAAME AHLEDTRSMLIARAGKVTVKGAPDGQYPRLDIRELKKNADQWNLFLLAMERFQNKPKN DPMSYYQIAGIHGRPFVAWNGGSQTGGGGGYCPHGQNTFGSWHRPYLAIYEQAVYQNA QEVVASFPKNQQQRWKKALTGLRIPYYDWAAEPPRGEGAIPTSMRDQTVSVTKPQGKV TIRNPLYSYTWGNSLPSEMGWGPSNGFATTLRRPVQNPTRSNNNGVNAIFGQARVGWR QRVFALFASKQPWGYASTAAFGVKTIRSNADSFESIHDDIHGTVGGTDGHMSYLDVAA FDPFFWLHHTNIDRLLTMYQLVVPNTYVVPGKVNRNMFQWKAGQTNDANTPLVPFQKD NRGASYSSNDVKETRVLGYYYPETQQRSAKQVIQAVNRLYGMGERQMTKRDGEDAAPI YAGRPFQAGDYDTVLSVVGDKYAMPGSYQVHCFLGAQPANGTAPSHLPTGLVNGTAPT TKPINGTTGNGTANYEYGFDSSYVGSHTFLGGSIAGTGNGTAPTLVEGCIPITAALQE KQANGELASLHPDHVEPYLKENLSYKIIGPNGQEFAPEDVPNFHVQVKSCEVEPSTSE DELPGYKEYIELPRASLPASQPWTYTPGPLDTTPDTPAESPEGYCVSQQTIRYVDPAG NFLYEEVTGM CC84DRAFT_1130457 MGAQQSTAGGDASAGVHVKTSYYELLGVERAASDDDIKKAYRRK ALELHPDRNYGDVERTTALFADISTAYEVLSDPQERAWYDAHEGDILRGGDGGGGGDH YEYNMKVTTAEDISRMMGNFRSNVDFTDSPTGFFGFLRETFDTLAREEERAGDYEGVQ VNDYPSFGHKDDTYESGGVVRNFYNVWAGFATVKSFASADLYRTSDAPDRRVRRLMEK ENQKLREKGIREFNVAVQTLVAFVRKRDPRYTPNMQTEEDKAKAQREARKQQQERARA ANAAKIQDEADAVPEWAKVRDPEELLDESEEEIEEEHFECVACRKTFKSERQWEAHEK SKKHQKAIYALKKKMRKENDFLNLDDDVSSSGAITPARDEEQDDDDDDQQIGGEDRDP EDADKEGKASSDAPAQTPDHVHLGDKLQSHPSGSDDSDNDDDEYASRSDIEARLASYR IDADTAATSTTEGPLENPPAERPDVPEPPAKKLGAAALKRAKRAAKQAEGSGGSDADG MPHRCMRCNSGFPSKTKLFDHIKESGHAAPLSETKGASGKKGKKR CC84DRAFT_374131 MKFGQLFKDTLRNEGFPPEWIQSAISYSQLKKCINRLSSELSEL GLDPETLGKLLKHVEDYNAAADQSDPAHRPFEYILDDSEDEDVQGAGLTKSRKTFQPK LVFHVDEVTGALHSAQLDEKTKRKLQMLAVETGMTELRVFEEPDTQDKPVRPGTVSAN SSRKRPGYRRIEIPLTSDTEFFTKLTAELSGLEALQKREEVRMHGEITNLGKQIAHLT DPDRRANKKLLSVWRQIFQMYLEEGIFFGTTESDHSAHDAAKAQERLNAFYEKLQASG LTEKLKKKENMQAFQTFMHINQEILQGLRFGEINETAMRKILKKFDKRTALGVKATVP KAITYPSFSSHLARAVCAEVSTEILSHVPQIEDYACPMCMDLKWRPVRLSCSHVFCIR CLIVMQNNKQDRCPLCRQRTVAGANSDNLDEQMAAFLKKWFPDEVREKQRYNELMAGV DQYGEVYKEKCAVM CC84DRAFT_374122 MRCSAPLEPSMSNCRTGMRNGWCVVPSLNTAPLHTPHTQSPSPT PPPPTHPAPPAAPSTPGKTAAAPPSNTQLHTALPARARPRRCLGRAPPDAAATSCGSG TWVEAAEGLRPCVRGKAAQGGLATPSAQKKMTMRRLMVSLNLNTRLMVRARACASQAS SLIGGTGLAAVPWALRLPRRCWRLRWSAGSRGWWMCCWATGAGGAAWSRRR CC84DRAFT_374199 MSVWAVAVGHSDHILPTKAIIAGPVLAVLGGECVLQSTVFTLTS AMANDYVDRAKFFSYISSTSYVVSFLGPTLASFAMSRNLWAPFCLNILLLGLAVPVIK LLPGNGLVPIKSIMSVDSNDTAGEVGPLLRQSEDGPDHYSSAFETHPSILQRIVSTIR QLIALVDGRPNFQIMLCSFFLTALASSDTKLLVQYISKRYSWTFAQAGYMLSAKAIVN FTLLAIVVPRVVKSSASWKAVHGSEVRMNYAGAQISILISVVGVLCVALAFKFWMLLA ALMIYALGSALPVFTMSLVKSPLIALTHSDIQDFSIVMLTKTLGSLVGAPFMTVLWVR AIEIGGVGLGLPYFVSGCIYLTAALVVARLKI CC84DRAFT_374202 MSNNSNESLDRLNITLSTPVQLRGSHGSRRIWPLVLLLILIHLS TVLYTLPLNRVIELRLCQTHYSIPNPEEKACKIDEVQRQLAWIQGVMETMLVACGTCT LLGWWNVTGSRLTLVVVAASG CC84DRAFT_1209952 MATPEVRGRPARASTTIHREPPSKIEISVIPVGTVQRLRNQVEA RITPEVPVPDDVLLIRAQDHASALRQSRRTYKQRLRVAAEIGLFRMSPSLTRFIHDHD NMTRAVARSTELARRARNSSGVDTLTYVNICIIAVRNRLSRTWTITTFSSCAREALKA TGTIGPAIETLRGMLEDVVVHILTLWDELSLRYLDENQLKNVGPDHNIDDFGHETTVG VAGEHRVNGPISDDKMGHAQSKGNTNSLPTPPPSPARIHISNGTLVDDNLDDNDDGDD DKEDDYSNSDDDSYHCSICTDPYTNRHRAFSLTRCGHIFGKACLSTWINSTARNANLC PHCRATISPG CC84DRAFT_1181115 MSGAATPHAPASARASVAPTPAQAPPPPVSALPPPSTFDILPDL HRVLSRLINTSVQPPGAAPTPGQPSTDGPLDIQQVSTAATEVKLKLQKARKAVMALPD IDRSIEDQQDEIQFLEARIAKLKAALQELGKPALEAEDVDQSMTG CC84DRAFT_374233 MAKVDQKTVLIVIDGWGVAGENSPKEGDAILAADTPCMDEFAKD GSKTAQGYTELEASSLAVGLPEGLMGNSEVGHLNIGAGRVVWQDVVRIDQTIKKGELN KIKNIHESFTRAKNGNGRLHLAGLVSDGGVHSHINHLVALLKVAKEIGVPHVFIHFFG DGRDTDPKSAAGYMTDLLAHTKELGIGEIATVVGRYYIMDRDKRWDRVEIGLKGLVTG EGEDAEDPVAAIKARYEQGENDEFLKPIIVGGKERRIQDGDTVFTFNYRSDRVRELTQ LLGGFDRSPKPDFPYPKDISLTTMTQYNVKFPYNIAFPPQKMDDVLAEWLAKQGVKQC HIAETEKYAHVTFFFNGGVEKQFDNEERDMISSPKVATYDLEPKMSAMAVADKTCERI KEGNFEFIMLNFAPPDMVGHTGVYKAAIEGVTETDKSIKKIYEQCKESGYVLFVTADH GNAEEMLTEEGTPKTSHTLNKVPFVMANAPDNFSLKKTDGVLGDVAPTLLEVMGLPQA EAMDGHSLLVKS CC84DRAFT_374226 MASNGGSGAHTPNAQAQAQVQPCRYKTGKTLGAGSYSVVKECVH IDTGRYYAAKVINKRLMAGREHMVRNEIAVLKRVSMGHRNILTLVDYFETMNNLYLVT DLALGGELFDRICRKGNYYESDAADLIRATLSAVAYLHDHGIVHRDLKPENLLFRTPE DNADLLIADFGLSRIMDEEQFHVLTTTCGTPGYMAPEIFRKTGHGKPVDIWAIGVITY FLLCGYTPFDRDSNLEEMQAILVADYSFTPLEYWRGVSLKAREFIRRCLTVDPAARMT AHEALSHPWIADLGDISAEGEEDLLPTVKKNFNARRTLHAAIDTIRAINQLRAGGAAG MMDGARSGEPRRGAPPLNVPQPVADEDPMDIDKIDSRGNGHGQTDEMIREQERRIHET QQGLWAGRR CC84DRAFT_1103593 DIFEFLGTSFTYDHRTLRTRLPVRSAIYKQCTGGSVVRWVTTGE SPLLYVFCRPNFADVGLWGMIYTAFLTAWRLPRHASVVALRGSAHSLFENIRIPSRRT LG CC84DRAFT_1231978 MQKGLGKRVHVTLVQNLSAAADHDVLNPNDINKVRRSNAAVEEP LQIQENNENNLNPSVRKKSVSFKLAFVGLALVLLTISGELKGTSLESLWANLGYSLCG LVMQPVWASVSDVFGRKYPFHASVGLFFVCSIVFATAQNMKTLIAGRLFQGFGGGGID VLVSVVLADITALEERSKYLGIMAIPSAVGNNMGPFVGALFSTYTSWRWIGWIKLPLL GVGTILLSFFLKLRAVPLDATLISNLSRLDWVGVVMLVVFAWYESKPAAPIIPRRLFQ TNAGNMALVGGFIHGMVFISLLQYLPLFYQAVQLKTAISSAIFLLPTVIISVLVTAVS MMMVPMFGGYKWLLVVSWVVTALGSGLLALFGVEFSTSVLYGLPILWGQGVSLLRILM LPVQASVKHVDDEGLATANFLTIRMFGALVGLAICSPIFNIVFSNSVAEARIELTGSL APLKNASNAVSLIGELRSLDVPAATLKLVSGLYLESFKAVFYTMAALSGLGLLSSIFL DEIELKRKDLGNQRFEDH CC84DRAFT_1156593 MDPDNRITITVCGDGGCGKSSITLRLVRSEWTHDYDPTIEDSYS VTRSVDGQSYFLMLTDTAGQEEYRSLWAASNLRSDAFLLVYDITNQHSLDGLEYFCEM IDMEEENRIDQGKVPPVKLVAGNKCDLSSGRVIKAAEGLEWARKRRCGFMETSAREMV NIEETFALLVRRVVEARRLAASGGVPATHTAGTAALPPLDEKAGSPSSPNEENKPKES FWRKLRCW CC84DRAFT_374742 MGIEAELEAPDLGLQRTLKDLFAGAVGGVAQVLIGQPFDIVKVR LQTTSQYSGALDAATKIYKNEGALAFYKGTLTPLIGIGACVSVQFGGFHYARRAFEAR NLEKTGSGDLSYSQYYAAGAFAGITNTVLSSPIEHIRIRLQTQPHGAARLYNGPIDCI KKLTAHEGIRGVYRGTAVTFLREANAYGAWFAAFEFMMNKDAERNNIKRDEISTAKVA LYGGLAGEALWLWSYPFDVIKSKMQTDGFGETQKYKSMRDCFAQTFKAEGLGGFWRGI GPTLLRAMPVSAGTFATVELTMRFIN CC84DRAFT_1222647 MSQTSTLSPPTGYAADGTGIRKTLRRWKDKWRTSSAASSRTCSP RAPLSRLPSNASSAAPSYHSKLALESLPPIPASPPPAPTALFTPTTETFIHSPADPRN RSRNSSNTSSTTPPSTLKKPRPVSRRNTAPSDFLTSALHEVNRTELPMNVVTTTIVAG NGSDKRKRTSRMRLSISRRGSILSSSPSSPSLNSQFERSSSRATSRMEERSTSRAANR VSVVPESFSPIERVDSDRETLFEETELIKRKPKELNELANERDIGTVELAYQYVGSRD GNKA CC84DRAFT_1046155 ALAGVASATVAPGFPLKANTDLNVTWADNNVNPPGELLSLADTA LAPSQISAPVWTPGGHAILMLVDLDVPRNNTRVQLLHWLTTNVTMPSTDTQTLKLEDA KKDLAPYLRPSPPLGDIPHKYTLLLFAQPENFTVPARFKSLLQTRVGWDTAAFVNATG LGAALGADWIRVQNTGNETMTNATASATPTASSSPTSTPTNYAGMAGVVEPRAWVGAV VVAGLMAVLL CC84DRAFT_1156600 MNRTFQFLSASFSLLWPSGNNQTSTSPARATLQNEGDEPSISYA DICVTRAMLKALGLPTELVLEILAHAQYEPVIEFTSRRRVVAEALMGAATSANVCLPA EVLSRNTIRRVSGPNVTLKVKEIKFDIKSKDQGWTSENTTGTFNTSSWLEVSIFRPGP QFSSLEDLPFWTREYDNPQELQEQLSSAGHKLVDERPENASVGAQGGEPPLAWYLQGN KVAERQHSDYQIVWAPDRSEGNQGAGKGDGFFGVLQEGDSVLVWARAKYPGWRCQVDN VKMTVRYSFDEPS CC84DRAFT_1169166 MSRMQASVLGLLALACAKFARAKIDESNFDAARIIERDVAIIGG GAAGTYAAVRLREDFNTSIIVIEPKNRLGGHVDTYEVPETNTTLEYGVQSYMQYGPTV DFFERFSVEFGSFTSRRLATINVDVGTGKTLDYTSPTLNDTTEGLKKWLQFVEKYDSL VEPGFWNFPSPDKIPAEFLMPFGEFAREHKVEAAVPRIVTISGVGVGDLQILSTLQVV QAFGLAVTKGVLEGTFIQPKVSNSLLYQRAYKLLKDDVRLGSTIQETERDSTGVRIVI QSEKDQAKTLIKAKKVLWTPYPSQDNLKPFDQDAKELQVFKPWTPEWDYVGVASIPCI PENTSVQYMPRAVEPSNHLAIRDYAYFLSLGTTGPSGLNLFRVMLGANFSLTYEEAKV IVTSSVQKLVQAGTLNHTGDCEVDIKALSAHNGVLWPRESEALEQGFVQKLYSLQGHR STWWTGRSWCEEYSSNVWTFTDTVLERLLKSLA CC84DRAFT_374366 MPKELPILRLADAAAWRNWLAREGSLSEGVMLATIKKGAQDADT NLRYDQALDEALCYGWIDSGGRKVDDSIYLFRFCPRKPGSLWSKRNVSYVERLEKENR MQPAGRATIEAAKANGRWASAYSGSGNTEMPPDFLAALEKAPAAKATWEQLNKGNRWR IYFRLNNLKTAAGREKRIQADVEDLARGKPPTPQKRTPAREKSTKKGDSSSSPALDSS SESTQQKSRRQTRTGRFIPSYTE CC84DRAFT_1231996 MSFSATYNPDADIPDLSGKVILVTGATTGLGKQTIITLAKHAPS RIFFTGRNVDGAKATMEEAKAISPKTACTYVTADHTSLESRISAAIDRLDIVICNAGI MGDPAGLSKNGYEVQFSINYLSHALLITHLQQKLQDSAENNGDACIVLLSSNGFRFTP RGGVVFQDLTTTQENLGMGSKWLRYGQSKLALVLYAKLLARKYPKIRTVAIHPGVVYT GLGNNLPLGDRL CC84DRAFT_374843 MLPTIILLSASLGLATAKSNPYMLYGVPKGPGIDIDEMQSMDSF EVVTAAVDNSTHPSNTTQPPTTTSTPTMPNTPGLAEPCAAVSSALQAIPSGSRKVIPA ELAVRCLQSVPLDQKGNMQLIDDLKLYLEWQSNIAFLKNPPAEYTEQPVDLMGEMDSM KKQLAANGFQSEYDFQLELNKLFTRAYDNHLAWQPDILAGVMQFQRPAGTELVSVSSD GSQLPEIYSYRDLELAKNNTSFKPSPVRTINGVGVEEYLQTVATQADFHDSDTRWNAL FPSQALIASGVNYLGSFRTGMFEGPNTTMAFANGTVKSSINLAVVIGDFTGVTDGTTF FRKFCSGPTFNTAATTTAVNGSNVTVPSQAPSPSHVGYPKAELIHPNLSLGGYWINDT GYDDVAVMSIPSYESPDVQLFQNIMRDFIRMSVKAGKTKMIFDLRGNGGGNAILGYDS FKQVFPQADQEPFGGTRFRANDALNVVGQMTRDFAANKTFVQSNSAAFQEAFGQLSMN DVFLFTSGFNFQHQLDANNEAFGSWEQMFGPETVNDDKFTKTLRYNFSDEVSYTYPDF SVIGFLENANETKTPQPFKAQDIVMLHDGMCSSTCTIVSELLKNQGGVRTIAVGGQPK AGPMQGIGGTKGAQSFSYDDVQIRTQIIYFLGSPEQQTQWNQTSLGKTAFAEQMFKRT AYSGDRPAGGINLKDNLRRDDPSNTPLEFIYEAADCRMFYTAPMINDVTMVWKGVVDR MFRNGTAKCVEGSTGDKSSVSGGGQMKAGSVPPPPPPARAGVGEFDGAGNRWAVGRLG WAIIAAAVGLAVYT CC84DRAFT_1222655 MKFSTTILATFALAAGVMAAPTADAEAAVAVCSCYGGFCVPAGC NTKREAKAEAEAEAEADATAWAALVEKRVCYCYGGFCTPGCH CC84DRAFT_1130489 MSSASPRKSTRAAATRRKAPIVDDDSDGEENQAPKIKEEEEEED DFTPAPPPQKRARGRPKKVAGAAAATPKPAPARRTTRRPRATESVEPTDLIEPTQVFN PPAEHPANPTPAKSPRKRTTTTASRKSRVLVAEEPAVEGSILPTPQPSEPSETPDQSL LHSEVTVTQLEPAVKLEPGLEPEPQSEPDEPTIVPRAPSAPLADITESALNEQIPVPS SQPEPDAKPDATMVFSTKHTLLEKPMDIVARKRLAGIPSTHQSDVPQQRTVIEWLVLT NFKSYAGEQKVGPFHRSFSAVVGPNGSGKSNVIDSLLFVFGFRASKMRQGKISALIHN SAQFPNLDYCEVAVHFEEVKDLPEGGCETIPNSKLIISRRAFKNNASKYYINNKESSF TVVTNLLKGRGVDLDHKRFLILQGEVESIAQMKPKAQGEHDDGLLEYLEDIIGTSQYK TPIEQAITETDELNETAQEKERRVKIVEKERDGLEDKKNAALAFLHDENEIASKQSAL YQIHISELDDYIHAASESVAQMQARLDEELQAHQGNEEGIKELEKQYKRGSKECERLE KQAQELQKEVAKIDKDTVKFEEKKKFMIGKQKKLEKTRETSKHGMSEASTNAKQYASD LEGYAEDMAALEEKMAAEEEELEAIRASLAGKTQGLSDEIAAKQKSLEPWTAKISEKQ SAIALAQSELDIIREKENAGAKGIAEAEAKIATLQESKQTKVDELEECKAEQKKALKE VQHVQAKLNQLAQQEPALRSKLSGARQNANEARASLSETQNQGNVQDKLMKLKDQGRI SGFHGRLGNLGTIDQKYDIAISTACPALDHFVVDSAEVGEQCIDYLRKNNLGRGTFRP LNRQQQRDLSPIETPENVPRLFDLIKPNDVKFRLVFYNVLTNTLVAKDLDQANRVAYG ASRWRVVTLNGELIETAGTMSGGGNRVSKGKMSSKLAANVTSTQVAKLEQDRDALEQS YAELQEQQLGLESQLRSLNQQIPQLETQAQKIAMELDSFDRNIADSKRRIKELSAEQA LVKSDKASISKLEKSIASAEKEVAKLHAETAEVEAEIKELQERIMEIGGSKLRTQNAK VADVKGQIDSLADMTSNAEVSKSKEEKQLAKHEKAHADAIRDLEKLERDAEKIEEDME AHKQDAAGIRQQAEEALEALEATKEELQTLKAELDEKTAALNETRAHEIEMRNELEEG QKSLSKRQSQQQLYREELAKLTFQNLGDFGESEQEGAGLTEYTKDELRDMDKDEIKAS IAGLKKKIENVTVDVTVLEEYRKRTNEHAERTRSLDEAVAARDSSKKKLDELKKLRLE GFMEGFAIITSKLKEMYMLITNGGNAELELVDSWDPFAEGINFSVMPPKKSWKSISNL SGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFRNVSIIASYIQQRTKNAQFIVI SLRNNMFELSARLVGVYKVNHMTKSVTIENKDYIKG CC84DRAFT_1199813 MAPLPKKILVFGATGVIGKYIISGLVDAKASVEKIGIFTLPTTV ENQDVEVLVGNVESEADVTRAYDGCDTVISALGRSTILVQTPLHRLAEASPTIHTFFP SEFGTDNEYSTSTSTHEKLHQLKLQVRKYVREYIRKVAVTYLVTGPYSDLYLSAQAGD FERAGSFDVKGGKAVLLGDGDSRVAFTSMRDVGVLLVAALKTSGEGSPRTLKVNSFTA TPWQILVEFERQTGKVWCVEYTGDGTRYTLRRIWTERGTLHAERVNGIIGEPNLETLE RQVRLSIERQLGKGQA CC84DRAFT_1103492 MQYFTLAALTTLIASAAAVGKAVVKNNSQDTIYVWSVGAEISEK QTVEPGKSWSEKLHTDPTTGGIAIKITTKDDGIFNGAPQQIFAYNLVDDAVWYDLSTV DGNPFEGQHITVTNRRHETIDWPQGTNPGGEFSQIKAGDREKNVVFLVGAGES CC84DRAFT_1209964 MHDLSAVIHTKHLDHILDINTSSGFAMVGPNVAMKKLVRTYIET WHDTGSGTVFPRYDKSLGAIGISKALRIMLMPALKWVELNCIPVRDAGAAMETLGQLA QDPKKGVLEALIYGPEAQPYVATAVGKLTTASNHSQAIFSTPDKEWFYEHSHHASEST ESTPVTDTTSSVHDLIVPQDPTSDMLEYRYLGSNLKIHPLPLSPVRQWPENRASTFGE THRQTEQSLHRLGGFKRLYSRNYYAEEEFWQKYPKEEYDQLRVKYHAELPLWKKSEAP ARKTGLPKQKSFWDRLFARTGVVAMNHAQGYV CC84DRAFT_1103730 MTSFPTSRIGLNLTPATASWTVPFAAYYLFLQNRIVYQRLTTQT YMGDTIDASNGNEDPLYVCTRAQQNFNENIPLTLIIAGLAELNGADRKYINYFLGAML AFRISHVELGLMRPKSMGVGRIIGYYGTQASLLTMSAYLFYTVKDYFM CC84DRAFT_1169172 MSASLAPECNQVKERYDTCFLKWYSEKFLRGAATTDECDPLFKQ YEQCLSKVLKDRGIDKMVKEARDDNRENDAEHMKPKR CC84DRAFT_1264025 MDFKTTQDQITKALIATTRAATRINGADVPFQRSLNPAAGEALD GQNARLLQLARRLLENAAAGSDAVGPVLPNVEALDEDDKWRGFVDVIDSLLEKADTSL DEYSGVVKRMSPGAEQNAAATAAAAKPRPNIAATPNKHLPKPQLLFEHVPKNDETGGF RPLLTSKPHAKVPMEHCLQTFKDSRGREQYPHPYQTEIETYEYPNSMYQRIGPKPYKP FETTTATFVDTPEALATMLAELKTAKEIAVDLEHHDNRSYIGMVSLMQISTREKDWIV DTLKPWRRQLECLNQVFADPNIIKVLHGAFMDIVWLQRDLGIYVVGLFDTYHAARSLG YPGGSLAYLLERFIKFQAQKQYQLADWRIRPLTKELFEYARADTHFLLYIFDNMRNEL VEKSDFSHPETNKVLDVLVKSKDTALQRYEHPIYDGDLGLGSTGWYRLVSRTPVQFTP QQFAVFRAVHKWRDDVAREEDDSPIFVMPNHALFSIARDMPQDKAALFTVVVHVSPTL RIRAEELVEIIQGAKAAGMTGPDLYVTLQRIENLREADRQERTQTQPTPAPLAVAPPA PAAVMKSAAPIQLDTSALRTNASQFWGLLTKKDTAPPKSASLPNISFALPLPPLHADI FADNSGATDSPAPVQEPPKFIAQEDRPAEDPRTDIFVVKQLGGRKRKATEALADAATP SKSDPMLDDEIMLSEDDETIRSREKAERKAARKAAKRAAKQAAQESLNDNDELAFDYA NAPSVLHANTPSTDKKKDRKGKKEKKKAANFNPYAKMADVPKGLPPKQKESAGRSKTF GS CC84DRAFT_1169174 MPPTTLSAFHPSPKRKRDQHQPPPIPLLNTALRSASTPPAGSPT LSGSDSPRNAVADQLRSMSLTSITAIPLNPLSPTDDTVHKKPKLEAGRADSGTSLAEY LKEPRKKTVQIAGAKHTQPAAVPDSSAREVPETPQAYTQPRILSDIATLAQPTAFVSS PTNALPHKTKSPSQTQSNHKVASQPRQRIKSPSPPLSTLTWQDSEITGHLADPSKDPD DDGTGLNGIGFRPTPTIAYVRAQKRKQQILDWKAREAREARAKRSERRRRGVGGRSSR EPTIERELPEKTNIDARRSVKFAV CC84DRAFT_1169175 MADDTRETPSTTPPPPRAPANIMPGGTAHTAGGQRVDDQPSYVD VVRNLPKDYYFNFHKRPCVRDSQLTAISSGFVGYMVGAVIRKPVLICSNYAVATWCVT GCVHYQVCQYYRRKEKDGMKQAAELMEKKRATIEAKKEARRRQREEQERLAEAQRLEE ERRKSWSYWVNKNVKFW CC84DRAFT_1156629 MPPRRPGQPFRRVGKGGNASYGPRKPKTVEASSLRRTEATSQNE KLEATRLANRIDESMGFPRFEAGKKRVGWLCNMHSTTLEDENVPGGRAGVDYYFIGDD GDTFKATLEYDPYFLVAVRKGREPEVEEWCRRSFEGLVKSMKKVEKEDLSMPNHLTGY RRTFLQLSFANVNDLLAVRKVMGPIVEKSKKNVNAMDTYAEVASSTAGFDIFDDDYDY DRRPTATIDASDFILDIREYDVPYHVRVAIDKDIRIGKWYTVEAKHGLISLTCIEDRL KMADPVVMAYDIETTKLPLKFPDAVIDQIMMISYMIDGQGFLITNREIVSEDIQDFDY TPKPEYQGPFMIFNEPDEKALIERFFEHIKEAKPTVMVTYNGDFFDWPFVEARASVLG IDMYQEIGFRKNSEDIYQSDHCAHMDAFSWVSRDSYLPQGSRGLKAVTVAKLGYDPDE LDPELMTPYASERPQTLAEYSVSDAVATYYLYMKYVHPFIFALCTILPLGPDDTLRKG TGTLCEMLLMVQAYQKGIVLPNKHQAPKEAFWDGHLLESETYVGGHVESIEAGVFRSD IPYDFAVDPKAIDELLGDLDGALKFSIEVEEKKKMEDVTNYEEVKAQITEKLLQMKNT PNRHERPLIYHLDVASMYPNIMTTNRLQPDSMIKEADCAACDFNRPGKTCDRRMPWAW RGEYLPAKRDEYNMVRNALENEKFPGRFPNSPSRTFQELSQDEQATLIKKRLQEYSKK IYHKIHDSTTIEREAIICQRENPFYINTVRDFRDRRYDYKGKQKVWKGKTEELKSAGA PASEVDDAKKMIVLFDSMQLAHKVILNSFYGYVMRKGSRWYSLEMAGVTCLTGARIIQ LARQLVERIGRPLELDTDGIWCILPATFPENFAFKLKNGKKLAISYPCVMLNHLVHAK FTNDQYETLVDGETFRYEKHSDNSIFFEVDGPYKAMILPTSKEEDKNLKKRYAVFNHD GSLAELKGFEVKRRGELKLIKNFQAQLFKFFLDGTTLTETYGAVANVANRWLDILDTR GATLADEELIDLIVENKNMTKTLEEYGAQKSTAITTAKRLAEFLGEQMVKDKGLNCKY LISSRPKNAPVTERAVPIAIFSAEESVKRYFLRKWLREDPTDMDPRSILDWDYYRERL ASVIQKIITIPAALQKVPNPCPRVPHPEWLDRRIRQKEDKLQQKKMTDMFDKKALTDA DTNILGNRVALDLEDFGGSAKISPNSQVKRGMVTKMVTKRKEPEPAVPVQTDPYAALP KLMPSITEDYSGWLMYQKQKWKIQRQARKRRRQLFGERSGDVVDGIGGLIRNQNEMVF MSTWQLVQLRPTATPGKVKAFVLIDKKIRSLDINVPRHLYLNLRGEDLPDVSISGCSV EKLVNHTLPNGHPSTHLFKLEMSEQTYVDESKKIAALFNHPSVEGVYETQVPLNVRAI LELGNTCTFDETQKGVLGKGLAEGFDLPILRKVPLSSPYLSEASLGYLYLYHVSSGER NIYALFSTSRNEAHIIIQNKSKDSQGMPNVDRIYQDALQRRLDENGGDQWQNAIEYQD DVHFRTISVTTRRKALLEIGDAIKKIRQADKDKPFIVVVQSPNQALLSHDIPQLQDMP ILPLRADESDKQLPQLSWQSVVAKRLVGHYLDLGSWASHLAELSRYGDVPLCNLESND PQFLIDVAYARRLQKERVILWWSAQPKPDHAGYEKDDILGPLDTVEMPSINNPGTYSS VCIDLNIRHLAINTILSSSLINDLEGADSFSFNPAAPSFDSTNDGTNVIYSENAFATA GITVLREMVKAWWSEAANGDYMADVMVQHLVRWVSSPGSFLYDRALHYYVQMMSKKAL QQLMTDFKRVGSHIVFCSPTRLLLQTTKAEVGNAYAYAQYILKTIQAKPLFSFLELEI KEYWDYLVWYDDFNYGGKGCEKIVEEENQTLDNIMHWQLATFLPPDLQQEFDATIVDF IELMHARKHPGPGPDGSTQRLTQIPLKPLTVDPNEMTHILPKTFTKPLFKQISTWLRR QHAEMRVSDLADSWAFPKLPGSHLTLQNPVLQLVKSIMQVLSLDRSIMLEVRLLRKEL LALFEIREFSADAAFQNPSASLMLRGVICEECTAERDIDLCRDASLLPPASTASSAAA LPKWKCEACTTPYDLLRIEEQLVSDVQRLLLEWSVQDLKCSKCKRLRSNEFMEHCACA GEWVATKDRVDVRRRLNVYRGVGEFFGLRMLEGVVGECLEGF CC84DRAFT_375090 MHFSGSDPLNIPHESGFLSRFSLGFSYRSHTARYDNPHHTQVRY RKLLAATASRRVSTNSSSLVIGFGIFVRLGVHQKYMVDGEQASARAYVQPLAVSSFCS RCVHTDVQMTVGSVGSLTVCRSLFVCSRVLCRIPVFRFCYANRTMVPL CC84DRAFT_1191042 MGKYRSKDGCLTCRQRRVKCDEVKPLCGNCAKKNRDCQWETPQT KFRGYQPGSPSSSATALSEMQEMDGVRGNDEDHVGLLAPVRRQSNHDPSVITADQTVQ TSVYPSGKATEGFQQPLTSPFLPQITSPARSLASGSVSVPSVFSAALPTLPHHYLGRW LDGTDASRRFTLEVPDQVGRCSILLHAVGCFAARHLRDDAAAEEAYQACLALVIERLN LNAALHDVDLLCAIVILRFFEQLIVPTSSGADQEQHLAGTSAILRSSQKTTVDPSAPT LREAAFWVYVRQTLYNATITQQPPNIDFTLRLDPVPSAMVDNHPLARLGIETAWANQA TWNCARVANFCFDRNHTAGPALRMQQWQELWDSVESWKANRPLSFDPIWSGSEDDSDS QFPDMYFTADWHAVAFGYYHFCCILLLNYKPGPKFAMRFARHTLSDVENKVLEHARAI CGSCKSSPECITNLITFCHTIFIWGPLLHDSAEQIEVLSILANLELNQRWPTEWIVNA LKLEWGDG CC84DRAFT_1103778 MAGSAEDSNPLGKHNENSLDLTVLGLCSGAALDGVSCALLRYRQ RRPNEPLRMSMLKHEETDVPLRLRTQILNLLRDHPKNPNAMVRVHNLLGYMYSTSVKC FLGNNGLSEDDIHLIAARADSIPPSVAPQLHPILSIDGVSPVLKSWTAVVAAETNVTT ASNLPITRRPVNEIDPTAGSPIDSLFVQHPTKFRVCVTIMDLLTITIIPPADGRPRVA PPSSVCGPGTIFIDYTMRYATSNRIQNDYDGAYGSKGIVNHAVVDRVLESNDYSLRVP PLHIATEMFGHHEAQSVIEECLFLGMTDHDTVATITRITAENLVRQYRRLVAAYCPPD QKVDEIFICGTGARNTDIVDYMEEVLPAEVITRPLDDIGIPGEAKEAVCCAHLGLEAL LKHAVKEDGPFEQGLQNPIIGAVAKGTHWETVKEQLMRFSDGEELPAVQRVVIEKK CC84DRAFT_1169180 MEAASARMAARDRYGGWGEAPTSQLQRFILNACDPQNFEPNLAL NLEISDLINTKKGSTPREAAVTIVHYVNHRNQNVALLALNLLDICVKNCGYPFHLQIS TKEFLNELVRRFPERPPVHPTRVQNRILELIEEWRQTICQTSRYKEDLGFIRDMHRLL SYKGYVFPEVRREDAAVLNPSDNLRSAEEMEEEEREAQSAKLQELIRRGGPQDLQEAN KLMKVMAGYDTRNKTDWRAKAAEEVSRIQQKAKILEEMLQGYKPGDEIKEGDVFEELA NALQSAHPKIQKMCEEESEDTEAVAKLFEINDSINRTIERYKLFKKGDIEAANRIPQG TLGTSGAGVSKGPNNELNLIDFDSEPAPAASNSASGGTEQPAQKGNALEDDLLGLSLG GSNYGQSGSISLGGSNGSVLGLSGMAVPQSPAHPQQQSTAQSIVDLFGSTSNSGPAQQ IPSPLPSARAQPLPQPVRTPDPFAALNSPTPRQGSPMNFQQSVKPPPAASGTVDLLGG AIPALTSSLAQSTSAAANDDDEWTFASAVPDTSKEITVNNTLINVVFKVSRESETVLL IQNHVSNNTPQPISNLTFQVAASKGAQLQLEHQSGVNLAPNQRNGITQNIRLTGVQRG SGNSIKMRWKVTYSVGGQSKNEMGEIASLGVS CC84DRAFT_1169181 MVAPDAPNGTHGKADAPEGSLSTTTTLYQGPQDSNRKWTWLDKE PADVAEAAENAQTAQHALITRLQKAQDSRKKYEIHSLIVQSPWLKDVLEKHVLDGYPG VCCSLKRLEFEAPFEPFVHRWAKLCTFRERTDLDDITRQHIELLYDVLREELKDVIKS FQDYVEKGVVTYEHAWTIFQPGDIIFSSSHKGAPMALKLRRGKYIETQCGNAYQLTAE AVDWNGSHFGRSIEQINIWEFLGTTKIVGLAALPLSFHPQKKEIREILVQRGKAFEEL AGCHYKEYDGFAVTWDKEGKEVLTNCSGRIIVDSDTFRHYSPRYVGHIEPLAAKEGLP EPECAPKSFTVDIPKAAKYTFGQPNEMETKRLRLTPELQLICLPRVRGYSLKKKQWLL FYLDLISSIKFDDNAFSSLVLPEDQKELILSFAESQAMNQGVFDDVISGKGRGHITLL SGPPGVGKTLTAESVAEHMQAPLYMMSAGDLGINPDRVERQLTNILEMVAKWRAVLLI DECDVFLEARSMHSLERNKLVSIFLRVLEYYEGILFLTTNRIANIDPAFQSRIHVSMS YPALTASSRRTIWANFVEGLEVPQAWGEGDLDELAEVELNGRQIKNVLKSAALLSARR KEPLGRRFVDMVLAIERRRPGVQEAF CC84DRAFT_1130522 MNTLNSSSAPAAGEYAGDEVAAIVLDPGYSSIRAGFAGEDVPKS VCPSFYGQIDSDSGSQYLYGENAIHTPAPHLQIKNYWGSDGIVEDWDTASKVWEYAIT SRLTGARAGDPAKNGLNDGPKENGNGDAMEVDMETIENQEKPLEESPLLMTEPGWNPT KAREKAIEIAMEEWGTPAFYLQKTGVLAAFASGKASGIIIDVGASHTSVTPVLDGMVL KKGVQKSPLAGNFVSNQIRLGFKQSQPEIPLTPHYLVKSKTPVDAGMPAQAIYKTFDI PPTDSFRHYQEERVLTEYKESVVEIWPGPGRLSNGTNEEIAKSMPGRPFEMPDGWNQV FGVDRFRAAEGLFDANAALTDDANPRPKDEHTIPRLVQAAVQQVDADQRPLLLQNIVV TGGSTLLYKLGDRLNYEINALYPSTRNKLIAPGPVVERKYAAWIGGSILASLGSFHQL WISRKEYEEHGANIVEKRCR CC84DRAFT_1169183 MALRYLRQLYSLDALDTRFVVPANAPPKEALELTELDPAQSLPV RNGQVKSRHSVEDVQPSKWHTLEFKTYLVLVSLCVFFMIKAVVDVSKESHPNYSKFEH LLSDGWIPGRKVDNTDLQYSSFRSNIIPLFFVVLLHPVLRTLYDGFWRAGTYTQVGSS SANGLTMGLSPSAAADARMNQRISFDVPFTVLFIAALHGFSAFKIVAILYLNYCIATK LPRPYVPAATWIFGVGILFANEFGKGYSYAAILGTFLPSSRTSEKEQPATNFGHTLDS FGGLIPRWEVLFNFTVLRLISFNMDYYWSNASPSTSSLEKQLDPANLSERDRVALAAK PADYSFRTYFAYTLYSPLYLAGPILTFNDYVSQLRYRPHSITPKRTALYAARFVICLL NMEIMIHFMYMVAIFHARPDFTAYTPTQLSMLGFFNLKHIWLKLLLPWRFFRLWSLLD GIDPPENMVRCMSDNYAVTHFWRGWHRSFNKWALRYLFIPMGGSAGPGVAGQVRSVVN KLVVFSFIAIWHDIQLRLLMWGWLVTLFVLPEVVAGYIFPARKWKDRPDAYRYLCGVG AVGEILLLMVANLVGFALGLDGLKDLVSGIFSTWSGLGFLGVACVALFMGVQIMFEWR ESEKRRGIKMKC CC84DRAFT_1191066 MAGFDFSNHNRNAALHAQGVPLPKATSTGTTIVGCLFDGGVVIA ADTRATSGPIVADKNCEKLHYIAPQIWCAGAGTAADTEFTTAIISSNLELHALSTGRK PRVVTCMTMLKQHLFRYQGHIGAYLVVAGVDPTGAHLFTVHAHGSTDKLPYVTMGSGS LAAMSVFETQWKRNLSRDDAVKLCSDAIQAGIWNDLGSGSNVDVAVITGEKTTLMRNY LTPNTRGTKERNYKFARGTTAVLNEKIIKREEISKYVTVHELQDDSITATAETMDVDS CC84DRAFT_1156652 MSAPQVTELEDPLDEVAPEILGFSPLSSGRAGGAKKIKLLPSPW STDNLPPPSATLLAVAPRRALLAAASPDKLVIASTEKVRKAFQEKGNEWDVVTNFSPD AALPVPQLRQVVFSADEDFLVTSPERDGGLSVYSVDALLKGNTQPDVQIATNNTSVRA LLPNPSAGHAHYMAVILDSGRLDITDVSTGNARTVHNAGVTCASWSVKGKAFVVGFED GTAAIHLISSMDQVKARVPRPSKVDDNYIVSAVNWLSNEELFLIYSPRDGVEQLTYCL VTTNKEYNSFTFRETPWELIFSSTDGPTRSSPPRFYMQRLRKWEPDLDDMIIVTASHS SEVGVVTRSQKPIGPGVATVNEYQMATLHDHRKAALPQIPKKDPTDSALIGEALDLSA KEKVSRPSARLEEIDESPTPLPAYFMLTHEGLLAGWWVVWDRALEAGTAYSGLVSGDS PATVNQTAPAAPASQPAQPSSSTFGQATPSRSSPFGNPQSTPAFGKPTFGAPSFGSPS APTGGLNFGKPSFPGANSQTPAKSNPFAPAGSASQTSKIANPFGAGASASQSDKPNPF AAAAAAAAGKFGTGSPGASPFSSFSSNKDGQSAFGGLRQKSESGFGAFGQKSESPFGG LGQKPLSSFGSTVTVDSKATGPGSTLPSLSNTPAQQSSSLFGSGAPSSFQSFTSTQSG STDIGNRGRDEATPTPQPQPQLKEVAGVFGGKFELKSTSKGDGSAKDDLPKPDTPSGG SFFGNGFGSALPSAASKPPATPAKDANKVPLFVSTTPATAPRPSTGLFGMRPDSATPK AAPQKESIPEPEEAPLPPDWTAKPSKTDEEIPPLAGSPPIKIEAPSSSGDMDELPSSE DGEDGDLSNPDEDEEGEEESELSEEEEQTGDEGSEQSAPSQEAKHTIFGNRANAAPSF FSAAPTPPPQRAQWPPTNQNPSSSSLFSGTRRDPLSSSIQPQPKPPTPQPVFSDLVDD EDERIRQELQSEIEPSRTLAPFIARQEYTEAALNKTGHAAQIEIVYRDINSMVDTLGL NSRTLQAFTDFHENPQRDSQLDRSALEEVLDDGQDGPWFENWSLCEIEDLMDIEDQFS HEVATGGIQKVVDKLTKLVRIIQDNAKLQSKVNEERRRIINAKDPEKLEALRKAGLPK ELADQQKALRKDYAQLLTQLGKAEDALILLRSKLASHSAQNGHTGAVPSVEAIKKTIG KLIDITKEKGHDITLLESQLRKVNFANENGRPASASSRNAATPLRKSRARIPERESPF ATPPTSRKSVTLRELNQVAMTPEHNETPSKGYGLFYTPQGSLSGAEGNLAGLADRVDD ELERLRETARRRRDIADTLKAALADRGVKRTTVA CC84DRAFT_1264037 MAPRKKTEDKEKPAPKATGDEAADMILNYLRRENRPYSAIDISA NLHNAVTKAAAAKILKDLHERNEIEGRAAGKQIVYHAIQNPEDSITLEALAALEAKTT DLRTRTAVLQSTAKTLRATLATLNSTLSTADLVAGVTAMETEKAEIQGRLESLRAGKA KKVTKRERDEVESAWEKWGKMARKRQKLSKEVWEYVDACLDDAGQDREQKAEVRESLG LDE CC84DRAFT_1103788 MSYQQSQPQLGATFVPGGYDDFYMPAPDPELISPAPQRVMPEVP ENMQENIAHLELEANGPRNPPMSPPAQGYNHSQSQSHFPPRGSSYHSNDQAAHGGQGQ WPSRQASISASFNQPPAVQPQAMQQQQPSHDYHSFAQPGEVPNFSIFPKLPNRPPNVP PSDDEKEAVLENARLPVLNSNDPEMQLAWAQDALQYVDMAQLNEQRIAELQGARPATP AVEHQLRVDAMNVTGFLADQFHPKADFMRGMWLEFGKFGLRVDKKEAFRSYTRAAQKG YARAEYRMGMQFEQSNDPIKALQHYKQGSEAGDSASNYRLGMMTLLGQHGQQQDYARG IQLIKQAAATADENAPQGAYVLGMLQARELPQISVPEMFLPYDEKAARQHIEKAAYLG FAKAQLKMGSAYELCSLGCEFNPTLSLHYNALASRQGEAEADMAISKWFLCGYEGEFP KNEELAFTYAQRAAATGLATAEFAMGYFHEIGMHVPVNIDKALEWYEKAARNGNNDAE ERINSISTMHRTLSKKDHEKVAINAIKSKHGSMKGQRPARLQKLAAPSLPTIESSPSD YGDSPGGQFPDRHSSTTPYPMSDQPPMVAPSQRSQSVAPYPSNDGPPRIDAHIPSMAG GFAAELRSASARPPSQAFNINPQVYAQGPGPNDPYGRGRPPQGANLGPLPIRPATSVD SMGRGGGRAPSGGLPPGPGAYRQPGGPSAQRPEAYDRPGSARPAADIGFNAPGGPNKL QKAHNVGQPGGPLKKQNTLPDIGYVAPLEPRQHTRPSTTVPGLESRNSGRPGTAGPGP RPGQGPSSRPGSAGRPSAHDAHPQGPKPGKPTTPQPRPGPNSVPAAAPAQAAKPAAPK PPSSASTGPGKGPKTFDEMGIGQAPKDSDCVRSSPSSYARNVLIAPRS CC84DRAFT_1222676 MSIVSLLGVEVKNNPATFDAPYEFLITFECHESLQKDLEWKLTY VGSATSNEHDQELDSVLVGPIPVGVNKFEFRADPPDLSRIPNNEIIGVTVILLSCSYD DREFVRVGYYVNNEYTDEALQLEPPAKPIIEKVRRNILAEKPRVTRFAIKWDSEEQAP PEFPPDQPEADLNADGDQYGIEEEEEEEEEEGEGAAPAEGEDAAMAGADDTAGPAADD DAASEAGSEDIEESEGEDEEEEELEAEEGDDMEMDDAAPANGDQSHPKQPAQPNPDVM VH CC84DRAFT_1169188 MTPRAPEFIPRPELARDASHLSKASAPSLSPSNEADDEQSISDD YADMSSDRSPPHYEGEDVRLTSDKELSGFYMYGWAAEVFVVCGIGSFIPVTLEQLARE NGFLLHDPTQPCKTARITTPTYSMFSPNQDKGQCIVRLLGLNINTASFAMYTFSVSVL IQALLIISMSGAADHGRFRKTFLLWFAFIGSTATMLFLPIVPKVYVLGAVLAIVANTC FGASFVLLNSFLPLLVRFHPTVQYADVSPGSSYLDDDDFDHPDVTQEAHYSNQLAHDE NVMDNVIDATTALLPRMHSEADLTVPNPISRATPSQELGLSTKISSYGIAIGYIAALL VQTIGILVVIAFSSSNFGLRLVLFIIGAWWFVFTIPTAMWLRPRPGPPLHIAPSKSAF GTGLAYFTYSWKSLGRTVKHARYLKDVLLFLAAWFLLSDSVATVSGTAVLFAKTTLGM SYAMLALINVIATIFGVIGAFTWSRISIYLRLRPSQTILACILLFELIPIYGLLGYIP AVQRLGVFGLQQQWEMYPLGAVYGFVLGGLSSYCRSLFGELIPPGYEAAFYALYAITD KGSSVFGPAIVGAITDRYGEIRPAFWFLAVLIGLPFPIMMLVDVERGRAEGQELARKL EDIAAAEAAAEEEEEDLVDHDYEQGYQHR CC84DRAFT_1264041 MPGILKMNTSANGGLGARDVESRKRAHDGNMVNGERTLVRKDSP ASAPAVRTPSYNGADTNGATSAVKQMAQLPPEIDHVPAEVYHSLSKLIQRISQETYNE LSETLMQMRELKAPQFNGNVPNGNAPPTPQDVEISKQKKLLLMRFALDNRAKFIKLLV LTEWGRKASVDLSKLIDLFTWAVKQRASQDQISDQIEFMKYVMNDARQLNPDITTALE ILGTGKAEWIPDMGFIPPPPMTAEKALKLLRYLNVSLSTRLIVHEKLPHQLSKWRIGD GRVTFIMDDEFEFDLMSFVEDSSDQFHFVDMRLLFSPAPVISADSRFFNRLRTGVDNL LREKGLEVCFGYLLNITLSHKIFILSSQVNDLLRTGWAGSLRSEFAHRVLWIQYWTNR PGKKSWIEIGVASNKSKDGKVSWRGPPIPSIIVRWFREGVHVQDVDLGFDWTHLSVER MMKRVIALHVGHLLRNMQQAFSTNVITKASLSDTEPSDCRLAVSIGSKEHTTNLSIEP ITGRYTLSPATPLSTPAENAINRSHEPAATSNTITQVLARSILESIQRYSQQLGWQSV ARQALRMEVVRAAVNLDVLRFTLFWPRGWSTTTALAAIVDATGESWWICEIGDKGSTI VHAQRIAVECIDGRPPPINRPTLSRIERVAVHQLAYSITARALRQAGKTYSLRHEIES SRRPASSDGVVRGWALYVRTPELLSSQTGDDSWLEPAMQITGHGFRSDYRNVWHIATG TMVPEVAADMQKLMAASPQTNFTFSEGGKFSILLSTPFGHEVVSELKARLRDLNRLRS FATTLEKRKMMLKSSSLEQVEFQYSQGLSVKVFFGKGTDIRVKFGDKNPHNRIHSFLT DMINDRSPGLPQPSPGDNNGLDRFCAALIWSRPLLTVLSELENRTPGNVDNPAVHVHD LRTYRITYTNPPCSFDIRLKPKDDRVLWDIEDNEGKPADLRPKTERSPNFKRLETLKS ALQKLFRERDERWWGVRTGIIADIDGVPDALRKLNEVVIGCYVEGGVKQEAADSNAPI TAAAPARQPNSSNPANRPNGNAAANVKKLPAGRGGGKNHEVITID CC84DRAFT_1222680 MSMDNTPATTTNKTTTDPSSGQSSEFLEPSKSSDGPQRRSSISK IVDAIKKPFHHEKKQEDVPPALKENIERMEERKQERIEEMQKEGLDTSMLEGSKKGQL KQTLR CC84DRAFT_1169191 MANNLTAELTQHLNSRHLYPAAVWLQTFVSGTRPNTPLPALKQT ALFRLLATDITTSLQPPLHTVLPQDVLNPRTQTRIIAGPIVCQVLDIEDIGLSRWAQV EQIEAKERGETTKGREIVRVVEDENDGTVAPTVHSRGPFKLLLQDAKGAKIYAIDLRG IQGVNTDMPMGAKLLLRNTDVRRAVAMLEPANVQILGGKMDALDRAWREGRKERLMNA AKISGEVGNG CC84DRAFT_1232082 MSTIASPRPSSSIRSPSSTRASFESSARPPSTTRRNRAALRDYY GLKAAKDNEAKISEESTRTELAHEDDETLTELDATDFDADAYVNTLLAKEGLKGVLRV EADLVSQIRNLDSDRKSLVYDNYSKLLSATSTIRRMRGNMDPLAPTTHTLGPAIAHIA ETAASLSSSMQALPAKPQGLGIDIQVEGEDKGRVGVATKQKQKDTVRWVLDTPRRLQE MIDQEQDEEAEKEWEDISQILDKWDGVPGVPELRQRCEAIMQVEESE CC84DRAFT_1232086 MYQQSTSHERREAPYFHGKVVQLLLQRTDIQKVIAAPIVKVICG KWLIDWKNLYASLEVLDREVQIADYEDKPRDVRASWELFRQLGLQREWEERQQRWKLL MMLEHCRYTDSTLSYD CC84DRAFT_1130547 MIPTSRAWALRAGLRRTTPKPRCHTLDLSHEVRCGTTKTVKRWY ASPKPVGQKAPESVTTIPGPNWLWLEPIYEPFRAYGRVQRRRPYMTQFISSLVIYLVG DFVAQSIGSPAPVENVEGEEEGERGWVQAWAEDRDWARTGRALLIGGAAAVPGYRWFL WLSNSFNYSSKTLSLATKVAVNQLTFTPLFNSYFFGMQSLLSGMTIPETIERIKNTVP VSWINSCKLWPAVTAFMFAFVPIEYRSIFGGVIAIGWQTYLSLLNQRAAAKAELEHTP EDTKTRAVRRCEEDGETVEEKCAA CC84DRAFT_1104036 MPTSKEPVKEDSTWRQRSEIESMAELSPQLEKELERLDKELWIS SEKLKEIVRRFQEELDEGLAKDDQNIPMNVTWVHSLPTGKEKGTFLTIDLGGTNLRVC KVTLHGETTKDNNKRYEIDQEQYKFPNSIKSTHADELWTHVAEKLEDFIENKGLAKEY NDKKKMPLGFCFSYPAMQDRIDHAVLQRWTKGFDIDGVEGHDVADQLRAKIEERKLPL DLICVINDTVGAMVASAYNDPATIIGGIFGTGCNAAYMSSISSIKKLSPDDERLKDKN GNTRPRMAINCEYGAFDNARKVLPLTKYDVQIDEESPRPGQQMFEKLSAGLYLGEIFR LILVELTDRGLIFVDQEKNGNGKLREGYSIDTGFLSQIENDETKDFADTRAMFKDVLG LDVADEELDVFQRVAKLIAVRGARLCACGVAAICLEEGITKGHVAADGSVANKHPHFK KRWAKALDQILGFDSVGEDQDPIVITSADDGSGVGCAIIAAMEMEDRLQWQAV CC84DRAFT_1052295 NSNNPILDVTSSSMSCNGGTKADDTLEVAAGSEIGLQWHHNDGA STAGDNDEPIAASHKGPVMVYIAPAESNGEGDVWVKIQQDGLTGTTWGVDNFITNKGL VNVKIPDLAAGDYLIRPEIIALHEASSQGKAQFYNGCGQIKVTGSGSASLPSGVAFPG AYSATDPGVLCNIYGGEVKCTASGSAGYDVPGPKV CC84DRAFT_1181148 MSMNSIRRTPVSSNGSRLSKKTETAQQRPHLYKWSGQQNTMPRR QTKRHAFMALLKARGIKLCAMISAASYPQSRALEVSRSPDWLLDERHARAALLSRSCQ ILSSQSPLRRYAAWLLISALIAWGGGLALQQREVLCGGDDFSVLGRDYWTMPACVCSH AIAKRS CC84DRAFT_1103442 MPSKFFKNRRVYLLTSVAYMGSLLFGYDTGVMGSVLSLKAFKKD FGLPTDSSGFASAQNAYVSSNVVSLLTAGCFFGAIAAAFLNERFGRRYSLMGFSVVFL VGAAIQTAAHHKIGMIYAGRVIAGLGIGGMSSITPVFVSENAPPEVRGRIAGLFQEFL VIGSTFAYWLDYGVSLHIPVSTKQWRVPVAIQLIPGGIMLIGLFFLKESPRWLMKKGR HSEAAVSLAHIRCESVDSPDVIKELAEIRAAIEEELNATEGVTWRECLLPGNRYRFIT GFVLMFWQQFSGTNSIGYYAPQIFQTVGVSKNNASLFATGVYGTVKVITTGIFLIIGI DFVGRKKSLMAGAAWMSVMMFIIGAVLATHPPNPTTASAGTVSKASIAMVAMIYLYVI GYSASWGPVPWVYLSEIFPTRLRAYGVGMGAATQWLFNFVITKITPEAINHIGWKTFL MFGIFCLCMGIFAFLFIKETKGRTLEDMDVLFGAVSAEQRAQDVERSMAVENKKMELE ERETVREESK CC84DRAFT_1209986 MFGSWHGIANLHHSVCRCLFDWLLRGRPGHGTGSMTPRPAPNFW LKEEKEEKEEKEEKEEKEEKEEKASDKKTKEYKTKEEKTQGNRSKEENTKEGKAKEAE ALADEDEDCYCPLIGRLE CC84DRAFT_1130560 MASAPKLPWHPIPGSPSGTTCKVHLIQAGGLMIPYDRVFLPGPK QSSHTLSPDYDPSKSEKYYVPDYCFLVEHLPTGDHYIFDLGMRNDLENLPPLIKKDTL PVFTPYPKSPADILKKHGSPDQQPEKVKAVLFSHIHFDHVGDGAKAGFTNAELWVGPT TCTYARPGYPESEGAPVLSENFPTDGSRKIVEAYVSDERLKKDNDSRVGKVVQGKKEG KYEAVELRDVGDGGWIGLGSFDRAFDVFGDGSAYVIDAPGHSPGHQMLLVRVTASARL DTSASSSDKDSFVLLAGDCYHHPDLLKDPERTARPPYSKGSMHADPEVAVETIHRTQA FAHRDNVWVMAAHDFSVGEALRKGEKVIEGLILINDWQGKNWKPDTHS CC84DRAFT_1222688 MDKTGYAGYSLSGRERSRWPPLTRMLMSGEMSDEKPRELYLKEK FDRWMVNEGYRRFFVFTFLILHMMVFGFGMAHYGFKDNSIGARNTFGFTFPVARSAAL TLHVDVAFILFPVCRNLISMARRTPLNGIIPFDKNITFHKLVGWSIVFFSWLHTIAHW RNLWLFAQVNKLGFIGFLIANLATGPGWSGYVMLIALSAMAWTAAEKPRRAQFERFWY THHLFIIFFVFWSIHGAFCMIKPDFAPFCDGIGVFWEYWMYGGFAYLAERTSREIRGR HKTYVSKVIQHPSNVCEIQIKKENTKTQAGQYIFLCCPEVSIWQYHPFTLTSAPEEDY ISVHVRMVGDFTKALGKALGCNLEKGGAKGEKDGATEVALRQILPRVYIDGPFGSASE DVFKFEVAVLVGAGIGVTPFASILKSIWYRMNYPQGRTRLRKVYFFWICRDFGSFEWF RSLLLAIEAQDLDDHIEIHTYLTAKIKADDATNIMINDANAEQDAITGLRAPTNFGRP NWDMIFKSIRKIHAPAEAGVFFCGPKVLGSTLHIKCNMYSEPGFNFVWGKENF CC84DRAFT_1156686 MIKEAHLSGKVAIVTGSSRGIGAGIALELAKKGASVTIVFNSSK SEAGANQVASQINRLENDSRAIVVQANLREVDAPERVVQATLEAFGPTIDILVNNAGV LFSKSLYETTADDFAAIFDVNVRAPLLMIKAVEPHLRAPGRIINLSSVGARQGFDSLA MYSASKSAIEGLTRALAAELGHVGHTVNAVAPGPVVSEMLDDVPQDIVEMQLKTTPVE HRHGTVDDIAQIVAWLSDDSARWVSGQTISASGGYTMY CC84DRAFT_1169199 MGGLPLRNDSPSDRERKAVRDSPERGTKRIRTQSLPPPDLPKLV AEQHQPIPSSDKDTQRLIVVLCSATLETYKASHGVGRGGAGREDKFNLLNSDDHIGVM RKMGRDISEARPDITHQCLLTLLDSPINKAGKLQIYIQTARGVLIEVNPTVRIPRTFK RFAGLMVQLLHRHQIRSTTSNEKLIQVIKNPITDHLPPNCRKVTLSFDSEVVRVRDYI QGLHTDESIAVFIGAMAKGSDDFADQIKDDSIAISQFNLSASVACSKFCHAAEDVWGI I CC84DRAFT_1264054 MPVSHIGLTVSHLPTSCSFFLSALQPLGYRYIGQQGNQIGLGIY DADFFLCQETPGVKAGAAHIAFTAHSRAAVRDFYAAALTAGGRPNGAPASRSDEDGHF NAAILDLDGNSIEVVFRNGPDFRDDGTVIEHSRVITWRRTVTESFRDDRSVVSSRSTQ SLSKQASAPAAPSVAPSAASKAPSVARSVSEPAIPQAPAAPESGNGGGAAKQIIGTLL GAAAGAAVAYAMVASERDSAKKEKDFEAFKTAKAAMASVAQFAQGSGQPKLPQQDPQP AYETKQLPPPVHRNISDSDSQYSSPRARSIRAIEAAPSDHGPLYTKAPTQISVSRQLE YAPAQSIAPSRVSEYVPAASVAPSKAASRSPEPRAIEYVQAASIAPSKAPSRAAELRA IEYAPAASVAPSRLSHRSKTSPELATAEKARSTVSRAQSAAPSSFISTFVPDEFERRN TDGGSVASHRSSKSKKSSHSKHRSSSRSRAHSPSPSKAPSDAPSKAHSKVGSVLGSIL GRGGDDKSIVSNPFRDEYEIDDYTDDDDDYTIAPSDSISQVSSSHSRRKHRSHRSSRD KDEHSVAGSSASKHSRSSKHSSKSHKSRSSHSSHYHTADEEFRNSVISEPSDASTIKP KKSRHPERKDSATDYDEMFDRVQYGSGNVPVRGITPSMVQGGSPEEGKSKSVMGYKMA QRLRAFEGR CC84DRAFT_1264055 MRNSRKSRRISLLLTHPQTEDQQLLLDDLNDDVLAIIVDYLFDM DELNGQRIHLYINTGPYVRTHRSTFNLGVANKRLRSACIRKLFRDIHRCSSTMGQLNR QLKDTEPNSQNLSSIRQSDGKVSSVHNKYYDDDNLPRNWGIIGNHFGRDGFPLVLSFP GDITSYDEKHDPPNYGRETPAEWEADKTRERPPKIEIFDRGSSIQDAEKEWYAKR CC84DRAFT_376262 MARFSLLWAHPEINPLNKKARSIPFLNPVTDRYGRVFFFSWFGF MIAFWSWYAFPPLLADVIAGDIGMKSYQVANSNIIALTATLIVRLIAGPCCDKFGPRL TFAGCLLAGAVPTFLAGCVTNASGLYALRFFIGILGGSFVPCQVWTTGFFDKNVVGTA NALTGGLGNLGGGITYFVMPAVYNSLVHKQHIAPHKAWRISFVVPGILIVFVATCLLL LCDDTPTGHWSTRAAAVEDTHSVTTVDIQGRLTDAPHLDKDTTPGTPTSEKKKMHDTE SQGAPLAKVDTARGEIVQTPSASNILRVMFSLQTLVPAACYFCTFGAELAINSILGNY YMKNFPALGLQQTGNWAAMFGLLNGVTRPLGGFVSDLAYRRAGSVWAKKVVLHAYGVL TGVFLIVIGVLDSKSQATMFGLVAAMAFFLEGGNGADFGLVPHVHPHANGVVSGFTGA AGNFGGIVFAIIFRYNGKAYGKVFWIIGVMTIGINLAVSWIRPVPKGQIGGR CC84DRAFT_1169203 MSLTIPLSSRDTSPPSSEPQSPRDFIEADSDTDGASGGASDGIQ SCDTSTKSVNQSIFDYVREHGRTYHSYHSGSYPFPNDKPENDRMDVQHEIWKVMFRFR NHFAPLDDPRKILDIGCGTGVWAIEMGMQYPNASIIGTDLSPIQPEWVPSNVKFVIDD ANEDDWMVEPQDYVHTRLLLGCFEDFREIVAKGFHALKPGGWMESQDIMPTVYCDDGT MPPDYPFALWAKTQDKAAMNVGKPMRIGNKLKRWYEQAGFVDVHEEVFKLPINGWPKD PQFKMLGKFNEQNYIEGIQAWSLFLFTRGLNWTKDEIEVYLVNVRKSISNHSVHGYHK IYVVWGRKPYDELDVPSSSSANPPPQSAS CC84DRAFT_1103828 MADSNPANFANLPKDELREIAAKGGHASHGSNSQAEDHPDRNPD GTFTKGSELAKELGAKGGHVAHEHAVEKEARNPDGTFKAGSKLAQELGEKGGHAAHEK CC84DRAFT_1169204 MSLKRKAASAATDAAKKPKVNGSITSFFGAPKPKEGATAAAKFD KDAWVAKLTDEQKELLKLEIDTLHESWLPHVKDVLLSPTFLDLKRFLKKELASGKAVY PPMKDVYSWSRHTPLNTVKAVITGQDPYHNPNQAHGLCFSVRPPTPAPPSLKNIYIAL KKDYPDFKEPPNRGGLLTPWADHGVLMLNTCLTVEKNKANSHSGKGWELLTQKVIDTV AKVRTNGVVFLAWGSPAQQRVKDIEKAYKERHLILRSVHPSPLAAHKGFFDCGHFKKA NDWLEVKYGKGEGIDWNLDVDPKEAGV CC84DRAFT_1169205 MVAFTTLAFAATSLLGLTTAAPNSIPPTGVIHRITAGSTVENNG LHFEPQNVVAAIGDLIEFHFLPKNHTVVQSSFDAPCEPLADGTGIFSGFNFATPSGEA PNVFTFLVKNDKPLWYYCSQTNGDHCQKGMSGVINQNFDGDKTLAAYKEKAKTAVTKQ PSEDKLAFQGGSIVKNVPL CC84DRAFT_1209996 MEKFDTVNIIPGEQHQEITGMVSAERAWTTREPYGPSGFKGLFS NYYVALCALFATIGGLLFGYDQGVISVTLVMDQFLNRFPRVSETASGAGFWKGLMTAM LELGALLGALFAGYLADRLSRKRSIVVAVIIFTVGSILQTAAQEYAMLTVGRLIGGVG IGMLATISPLYISEIAPPEIRGALLVLQEFSIVFGIVVAFWTTYGTRYMPSEWSWRLP FLIQMIPGITLGVGIMFLPYSPRWLSSKGRDQEALQVLSQLRRLPESDSRVFQEWCEI RAEVAFTREVNAEKHPLLQENTRANRIKLEIAAWGDCFRHGCWRRTVVGVGIMFFQQF VGINALIYYSPSLFKTLGQDYEMQLLLSGIINCTQLVGVVTSLWTMDRFGRRLLLLVG AALMFIAHLIIAVLVGRFGHAWTDYKTEGWVAVAFLFFYMFSFGATWGPVPWAMPSEI FPSSLRAKGVALSTCSNWLNNFIIGLITPPLVQNTGYGAYTFFAVFCALAFMFTFFCV PETMGRTLEQMDAVFGDVSSEEEEARKVRIERQIIAEQRNDAVAKP CC84DRAFT_1209997 MMTFVPESPPPPYSTTVSNGRLMQVGEEPAQSSRKRKPLSRLPS ETLAPAKKLGRPTRSPSPMKGIQFARDTTSKRRGQNTVLDSEEDDFESLDGFELDIVP MASPEKKASNHFDTASHSSQIELPIRSPSKLREAPSRSQTTKHGPLVTPETSQSSKTT LQSPTKVQPIPASTQSAPAPAASQLPSEEKANIRQAVEMFLDSEGRRLKRQVESAVGT WAKAKDAYLKHAEAGTSTPAHQEAIKRANTHKRALEQLLLMKSKHEDLNSKRQELREK IAEDLDNEEFNFEDTQALNSYAKDLDDLQVQMYYLFKDAGLVKYTKMASDTANQNMGK VVVKSTQATPTSNTNRMAPAQGPDHVPQTQYIKQTQISRHEVWTPSRRIRFAETHIIE STPPRLDWKAESHTRPVPSSQVAFASGLDSRRQGGSPHQGLNNTVEPFVISDDDFGDD FDDDDVNSIGATMGAAPEAFEINEEDFCDEDDDNLFHEISNIDENRPRSGYDWKGDRV DTRSVQPTRGVLKEASANKIQRKSPPSPQKPQLNAPGMNHEWSREVRDALLYRFRLRG FRPGQLDAVNTTLRGEHCFVLMPTGGGKSLCYQLPSVIKSGKTRGVTIVVSPLLSLME DQVDACRNRFGMQAFLINGESTAEHKEGIMDGLQERDPQDFINVLYVTPEMLSKNQRM INAFQQLHRRNRLARVVIDEAHCVSQWGHDFRPDYKALGDVVRQFSGVPIIALTATAT QLVRTDVMANLGIGGSRLIAQSFNRPNLSYSVLPKAKNIVLNIADLIKSQFPGKCGIV YCLSRKSCETVAKKLTDLGISAYHYHAGMDPPERSDVQRKWQANKYHVIVATIAFGMG IDKADVRFVVHHSLPKSLEGYYQETGRAGRDGKKSSCYLYYNYGDCKILKKMIDEGEG SREQKQRQHDMLRNVIQFCENKSDCRRVQVLNYFSESFKKEDCGESCDNCTSGATFEE KDLSQYAQKAIELVRKVHESKVTVLQCVDAFRGAKNAKIKKSDIGDIFGFGADLERGD VERVFNHLLESRALEETSVSNKMGFATNYIHLGPRSREYASGTRPFSMQVRVTPRKKM VAQKESKKKAPKNRPEYPSTNVSSPIRGPPKRSTKSYTYNQDDDEDHRSAALQTSRGK SRVRYDNDFVIPDNDDDEDYSDFAPVRDARPPPPRLKQKTHSAPITVDERLNGIDELQ QDILRDYMTGAKNAIKDLKFKKGLKFAPFSDTILREMGLDLPTTTEEMLAIPGIDPEM VRLYGKRFLVLIKNTREIFTASGCLPVPKNLLQRAQSEDEGSEDEDYEERPADPNHRI EIDLLGESDGDCEGQPQVQVENESVVSMGDFEDDDEDETVHTSHFFSHPVNPDVEAFN RRFSQAEPARPAPRPRAPNAARVGSAKQPFRKKNYRKRTSASGDAYAGVKKRGSSKAP SAKRPGGAGGSRRAPSSGAGNRRSGGGGGGGGGGWSGIMAMPTA CC84DRAFT_1169207 MHSDPDTFSQLASAISGLHLQPPPENVLPSENIVTQARGKLQTT LSSSGVGFEETIRHIREDLAPGFNGSSRSPNYYGFVTGGSTPAAALADNLVTIYDQNA AVHLPQESIATNVEDSATSLLAQLLKLEPSQWPHRLFTTGATASNVLGLACGREYAIS EASAHRSDPVCSVGELGIVEAMRSAGIDKLQILTTVPHSSLVKAAGILGLGRASVVDV GLPTVSHKFDLARLKNLLEQPRTASIIAVSASEVNTGLFATSGLEEMQEIRKLADTYG AWIHVDGAFGIFGRILTSPAHSDIISFCAGLDLADSITGDGHKLLNVPYDCGFFFSRH RDIAKCVFQNPNAPYLSTGAATDLLVSPSNVGLENSRRLRALPVYANLTAYGADGYRA MLERQIELSRGIAEYLIDSPDFELLPESDRSKEEVLNGVYIIVLFRAKDEGLNKEVTS RIKAGRKIYVSGTAWDGKPACRFAVSNWMTDAQRDLPIIKQVLSEVVEAWKKT CC84DRAFT_1169208 MSPTRFKLVFFVPPAALSACKSAIFAAGAGSFPGKGGYTECAFT SKGIGQFRPGDGANPHIGTKGELEEVEEVRVESICLDRDVAVKAVDALKKAHPYEEPA YEVYKMEDL CC84DRAFT_1169209 MEAEGRPAKIRKLEHAQETEDATMDDSTLTTGQAETQTDSAPVT KLSGDSENGEVSVPQEGDDAAGEAAPKLSKNQLKKQRRWERWEAGREDRKVKRKEKIK ARKERRRTEWEEQQPAGSAEQPPPFRAPRRGEPPGVQVPITILFDCDFEEYMHDHELK SLGLQITRSYSDNRKAPFRCHLGISSWGGKMKERFDGVLAKQYTSWKGFQFCEEDFVK TSEMSKEWMKGPRGGKIAGALAKYQVEQAQAEGEGVEAEKALADADADEEGEVVYLSA ESDNTLERLKPNSTYIIGGLVDKNRHKGLCYKRALDRGIKTAKLPIGEFLQMDSRKVL VTNHVLDIMLKWLEHDDWGKAFIEVLPKRKGGVLKTEEEDGDEGAPDGEEEAAEEVAG ENVQPIPNSPPPAYEDVVQAST CC84DRAFT_1169210 MPQDMPPSGGYGPVQYKRNLPARGFRPAMYLVGTAALMTYGFWR VGQGIREHNELAREKMWARIYLIPALQAEEDRDQVRRYLADKAREKELLGTETKVYNS DRFVRPTFAVTPEKQLK CC84DRAFT_1169211 MSLCALLEKGESVSYQIEGMFTMGDWQLVRNTVKAGEAQDDHTR AAIRRLFHECPLLFDHMINEHGAASQELLEIGLVYADTYDAKYFLNEDGLEQLDPVSI YGRPPRLAITDPSSIVESTAEIPQISGINGLGITQSGNGANEAVDVSGSELRDIEDND ENDGWGFASISSLGSSNSDTDEENSMDNEPTTAIVHSQPAEVLADQLADLAVQEVTAV ENTERHTVSQVMESFSDEGGVALQEVPVHSQSDDAVVCPSGEDIASDEDDVTLHQEDS PVNQEAGGNSVGSPEVTDVPQQTASSQGPSAKAVMMAAFTTIPGLQASMWALDMHQKF PVPLDADKEAALADCVNGSINSEQGDNGYVEADEEDDVIGSVDTQSEKETMSMPEEQQ QLSYDLRSPIDLPQAASEVQPDAEDAEEPQNAEESGEASDVENVLGVEDAPGADNVQE AEDGSGAENAQDAEDVSGAEDQKEKEDAERGPNIEYIAGFVPMHNLPRTAPGTPAEDE MGTTKNEEPSYEERRKNAGGRLLGKKRHNHAGGDVWDREAKRHEHKFTYQPRRVIKVP TKKYGNSALHAMRAKQAASIMAPKETAQREEPTRQPKGPPASGKEMADEKAKDPKAWP NNFAVGAPSRLRERPKEGIAFSSEKGIHSAVRDDGEGKD CC84DRAFT_1130603 MSKKVALITGGASGMGLEVATRLSKLSAWEIHILDLPGSPGSSV ASSLGATFHPSDVTDEPGLNQIFKTVYSRNERLDFVFANAGIAEHANFFEEQDIDGDV KLPVKGLHALVDINLKSVITTSYLALQYMRHSRGTDKSLVITASCGGLYPSYYSPIYT ATKHAVVGLTRSIAPYFHTRAGIRVNCICPGTVKTNLLTSAEWANFPEEYFTPVHKIG EVVLMLIDGKDNGKGEVGREVEEGSVEKLLEKGMYGRAVEVSGRRHYYREMVGYADEA MSAVMGATNIEVLEDAVERTP CC84DRAFT_1181168 MRRIEKHGQRAVDTVSLTPPAGPYAAPHPGLGSGLVVVVLRSFM LMPEHVSRERPGGTLEEVSRAQPTMKGWGLLSRRRSKVEGEVDESPSFIRFVKELRCE RGNQASGGVRSCLPPMATTRQMSLHSALYTCSHQQQRPPAACAAAQLQTPCEGISSKQ SAETSSAVRSAPAGGNIDR CC84DRAFT_376800 MRPPRQQRGHERRPAALCRCPCYVLVLALGLCYSTWHGQRAKQH TDTAVLRVRSSTLPPCCSGEPLFAPPAGPAVSDVVLPRSSAAQDAPTCPLYSAHAQLG ENLRRSFQKASPSRNLPSPRRCCPAHRGRPEVPPGCRCARRPRFDCTRPGVDPSRTRQ STGLEPELVNSRHGGTHPSRRDRLVTFKAHSSVHRICEHLCTPAEAGGSSHDAVSKEE ARARPW CC84DRAFT_1103883 MTNFFSHEAEMVLLAQNDGMGHLFPSSSCQSPPSIMYSPPLHQM THRPPTTQPANGHIYPSGHEYAQPVVSSPYPPQSYWTSQPLPIPQTHVTYSSYPPLTA MNTAQTLAPDSRYMPQSMAAVRPSRSLSASSSLGVPSTHGGERSPPSLSRSLSPSSPD LRAYGFPNKNGTWSCAYPGCTSRAVFTRGCDLRKHHKRHTKSFFCRHEGCPQATGGGF SSKKDLARHEAKHNPGVLCDWDGCDRVFSRVDNMRDHVKRIHLKASRHGSGPKSMVA CC84DRAFT_1169214 MHAQSPSLQSFLLLSLFVFDVAATPIVRSQDAKAGNANHIFNAI HSSMRQWGSSLNHNGMSFFMATVPADTQLYHGSSSEDVVKGMEWLAFEPEHALVFARS RGPGGSRPGHGPENGEEKEWEELQRQGEHGHEEDCDMGPDPWSRQGHAHMPPPPDMHH PPHLPPGRFHGPPDEDTLLPPHPPPPSHRDSHPPPPPPPHHNSPPDAPPHRNPPPGPS PSHDDDELQRLFSENPPQPLPSTGKGYLHTYIPTRALRLLYVDGLSAGKTSNGTLDSQ DILLLNKTVPQNSPMGGELLRADGLCNLASTLWEGKIDGILRMEAGFEIILCDFASTV VRKSIVTYDGQKDDRPDGPPGVGRGKRGTFGGWRYIQAVSERYHGIGGGRVTLDYDNF VSVFAYDGEDAAGLGLWDNDVVSDTPHPRLGNASPEQLGEIRNAVTAMVLGSGEIGEG RDWQAVADMVVQRYGEAIHYLHTDTSVRRSKEAFAKYLSALLRPFVSPSARNATLETE RCVAQVIPPFPLPPSSSPSLAHTTLHVVTTHICGTLLNALDASTLTLSRSLAATSSPP YHALDLVDGLVGYLQWTAWKECGPCADQEVCFVPIWPMGSLENHKKPQCVTEDGVGEG YWGHMGPPPEGHRPGGERPGHARVGPEGPKLEKHQGMDGRGRPSSAHGRWEHGREGKR PQHGGRRKSGHCAPPRHGAPPKHVHSPGHGGWDRGIWSQLRSGLMQVMAWFGPSPVAH EVGVKVNFVDTR CC84DRAFT_376907 MADSHCNVLPRETPALALSLPLSLCWAGRLLERSTRESGPIHFL VDASQRLTRKKNWTSGALSATVPVGEALQQTLNHLSKFRIRTNFVSVLLPVFAITNAL PTILASLAVSHECKLNYQMSTPHPPL CC84DRAFT_1222707 MDHHTPPSHDPAPSGPPPAWEAPAHHHHPIHHDPPPGHHDPPGY HDGPDVPPPSYDDATNPLLGGVAAPTYNYGTWQERDDSSAASTDIETSESGLHEWVGR VVIIILFVAIIYGFWSLFESPPDGFNHFPGYGPDHHLV CC84DRAFT_1156730 MAHDSSANLQVFSPTGSGRSSPSPRVTRNHAEDALQKKDKGFRR YAAGVERALALWDTAQQEWADYISFLGRLLKALQSHPSETTVIPHSDAVALRLAQCLN PTLPSGVHQKALEVYAYLFATIGKDALARDLNLYFPGLSSVLSFASLSVRPLFLSVYE NYILKLDASALRPALKAVILSLLPGLEDETSEDFERMTSALDKLRSAVKSHSDEISEA RTEAGSSHFWQCFFLATITNASRRQGALAYLVRRLPKFSLPQRRGSVAGESSALSEGL PAEAEAAITPEPGLLIRCFEAGLFDTQLLIQRGFLDLLVTHLPLDSPVLQDRIGREDR ERIVAAAAGVVSRRDMSLNRRLWAWFLGPEPAPDADGNDAVASPTQDRHGAALDPSSY HAAYFSHFGLEALTQSVLKMINRSTKHPAERAKPFRVCLSLMDRWEVGGLIVPEIFIP ALQSIQSYSETATKAQVDEVLRSASTFFDGVDSGLIWGKLIHLITTSLGPALPNQQDA LRQMRLAKFVLSRFNLKEDDMLFHHMPLMIFSALAALNSKAGNVPNLSTQDREVVDLA LEIVESLLQIVPDRAIRGAEESQNSDDASDVKCVALEKVQVFYEESQGSLDMVDPPFS AAEIGHLIIEESTRIFLAFVQLHPEVSAEIPSRILANAIVKVQHFDVLNDMEPFAVVQ HVLSEPVTKNRPPFPFSRLSAVTTVLASLQLARPSDPYIGESQIGEIIHPLVAAFWQY LSPLTPKYHVEAVRCILQLHNMSPSNRVVEAALSSILTQDMPGGSSSLHFADATRRFA VIWTHTVYELSLQSEKRGTLSRRASAMSISSLAVQEVSFHSVLSRPLLLLLDLLGDEG TEAVAVVNNWLQDLPTLNKIFEVLVTRLQSLRCLSSTTSLAPGTTPAQGNSHLKGDDS KDCLYYLKHVHNILKRPSRYTWATLAEEAGPRLHGTEPIISLQEWIVRTCLKTLSLDF NKQSPTKPAHLHELYHVSVDIINQIYGSPFAPALRDLELEIPLMARLRSAGPSLQSLL LGASLHALRLRLTRPNEPQIPDQRVQSSASHKPRLSLAMNRESVEVEPVPIPPPPQLV DIIKYGFSSPSSRLVLDDWVNFLVEVLPLFADTIFQNLLPLVECFCKEIRKTFEQLKR IFCIADAVEQISPESTLISLINGLEQILARAHDRLMMQETKSVANKSPEQPQGFFGNM VSGVFATEAQQSRTPTANSRLTVLLCFQDTVRICFAIWSWGGYATSGGQQDPTSASSF GYTSLRMRNRARRILEHLFAAEALECLETLAVLWSQSPKDDTQVEAIVGLLNVLNGSK PKHTIPAIFNAVYSRTNPNALDPNRMSTLTSDLTDTDLVAFLIDYTKSLEDDAMDEIW QDCTLFLRDVLANPLPHRQILPLLLEFTAVIGQKVDNTNFGEQRKMRKELADIFQRIL TAVFTTRPMGHFQEPNSGQSNAKLIEKSPFITNAALSQKRAADVVSVLNAIVPHLPII LVENERVTTVVTNIATSVIGPTFRTKTFPEHVSRGVLDLLQELARVAQGNKFWKKELY DAFNDSRFFSTPLPLLRESWLPILAQWAHSDKDRLPELLSRLSAPTTAGIMFGVGASS ARQEADRKTQLTLRRIALLVLASAEDAFTPNLPAIMTSITELLTATPASSPSSITRAD VLVLLRALILKTSSIHLASLWPTMNAELTSALSSLLPEAANREHYNNAGIIQACKLLD TLVILNPDDFQLIEWLYICDTIDAAYPTESTTSTYPAGLADEIAQVLQATGSSTSRPL THANDDGVEPQRRLFLDPLLEALEKEEGAEVVEMARGELIDRVVRPFLGSLGMLTFES TYGGGEVDVEGVWASVVADARGTE CC84DRAFT_1232134 MVNCSSFNYSKQSKVTAQHRPKQRSRLYKYAFCSLRQFNVPCFC SHATPKHTRALSILYQPPSLRTTTLKPATLTRVHHQYLTSMSIQGSVAYNFVSSNQVP VFSQEPVLDRHAMLPLAAGSSSRKRNLSQGGCSLGMHSMFQTPELNICTVSASRYLQL QARKTDEVFKMNEATLAKTSDLFKYALYRSPWSPASPGPGNKDTPPAADEPPSSAQSS NKLIPSTTISPTIPIVRLNTTPSALILNCLWAVARPDHLSMYLDSTIPRPSYDFTLYL CC84DRAFT_1210009 MAFSCLPPELTLSIAERLGPFSSFDFALTCKPLWDLCNTLIQKH KRLFAEHRVINAQDSSWPRTNHILWDKLKEIVNDPNIGEYVRELNLPSSRAIYLDGDA CHDFQLTEESAKVPQEDIDRFADAGNRIHDLLQSVDLGYGVPGPREWDEWLLHGSSEP IIVMLVQHMPHLRTFRFTDLEMNNVFFGCLCAVAVAYKNPVLAPRLPFQHLTTVSVAH WDTEMSCNVEWCQLFCAIPSVRNFIASAMGGDGLDGMVLPAQLPKSNVTELVFHYSRF ETSAIEAIVSNTPRLEKLSYELAGATVAEDISPMPKKDLEALVEHVGHSLQHLVFETP EYGDDFEDDLPKVSLRGFRKLKTLRIDWRLLWPTDEMIPFDNAEKSDGGFYEEEEETA ESDFDVRSVLPASLEKLYFTGSFTEEEKELVKKIREAPSDYTPLLNKIYIEDRSVSFK EEEVPGIYANPLFKYLEGHGN CC84DRAFT_1103976 MSTSPGTDSSSPYQTPTRHSGTNYIRLIKLLPGSPESPIACTLH ELELEKPGEFTALSYTWGKPDPTFEITLNGRQIQVRENLCSFLKQARELGRSELLWID VISINQDNIEERNHQVALMGQVYRRAAKVVVW CC84DRAFT_1169218 MTDAHDAPSSHSPASASTSPAPPSAPTTLPAKSKVLPKDPEEFS AIYLKKITSELADDLVKVRDAQDFKDSSLKMLIHALKQGSSIYSAEEKRRVVNATS CC84DRAFT_1199855 MSTKAALKAAKAAIDAKKWDEAIEQANAVLAKDPENYFAKLFIG RAQDGLGKLDSAAQAYNDATKIKPQDPQAWLGLRGLYQKQGKAKVDERIDVELKLAQI YAELDDAHKAQTAIDSLVDHARKHGTKLQYARALTLQTPDSPIYSYLEGRLPQPAAVY ARLAEIYEGEEMATIKRQIDERRSRLGATLEGTTTEVKNEVYGASPLEDIYQNIVDWT SDDTLRREYEEKLLQRAYDTLLVLPAGKKEAKREKVIKLAHDMVIIRHPYQLAWQIEL EWRSGLAVEAY CC84DRAFT_1169219 MASHANSAHDFLNLDIQTLRQYVELFPNEGLSKVVTGYLSSGLS RYPLKVESADAPDLSEGGVSLSQNEPLTQEDCLMLMTEGIEDAKNSALAHCLLGEFYL FLEEYESAVETTRKGLRLAATEAKRTDLSFQRMRDALNSTLGTALVYYQTPRNHPEAK GIFESILKRKPQFTAALIGIGLILEEEEEYANAVEFLEQALKQDPANGRIGAEAAWCQ ALSGNYQTGLSRLQDYLEYPQLDASKPRGRELRAQTLYRIGVCMWELDSSKAARRDRS GPYAKFLAAIKANPNFAPAYTKLGIFYEEYNKDKRRARQCFQKAFELSPSEIVAAERL ARLFANQGEWDIVEVISQRVVDSGKVKTAPGSKRKGVSWPFSALGVVQMNKQEYQKSI VSFLSALRISPDDYNSYIGLGESYHNSGRYNSAAKAFNYAENPSEGVTIKKADSQGWF AKYMLANVNRELSEFNEAIKGYEEVLKERSQEFGVSIALVQTLVEKGWRCIETGFFGE AADSATRAIDVALGITEYKPDAFNLWKAVGDALSIFTWVQEKLQQCPGSQVAKLLNSK SDIELNWDAHKDVDGIGGGDLAALSLEKASTLSTVLKASILAHKRAIASCAHDIHAQA VAWYNLGWTEYRAHVCLEQEVAQDSSLTTYLRAAMRCFKRAIELEAGNSEFWNSLGVI TTTLNPKVAQHAFVRSLHLNERSVRAWTNLGTLYLLQNDTELAHQAFSRAQSQDPDYA LAWVGEGIVALLFGDTNEALSHFTHAFELSDSSPLITKRQYAMSTFDFLISSPSASSN ITNLIQPLFALHQLGFQAPYDIPHKHLAALFLERIGNHDSAIEALTTICSVAEQDYET SESLSALVRFAKAKNDLARNLLATGSHSAAVEEAETALELLSDFDAQANAAVLSPAAL DKTTLSARLTAGLAHYFHGDLDAAIPYFRTCLSATNSDPDIICLLAEVLWAKGGENEK DVAREQLFAAVETHGRHVGIVTLLGAMAVADEDEDTMAAVKEDLDALRTAADVSPEDL ARVEKVLEAISVVLGSEENELDEARRSVMLAPWKHTGWKELAGAAEEGPFAAVMARET AGRSVPPVGGLDARGLADAVVGTGAVADAQRSVVLAPWRIEGWGALRECVSKA CC84DRAFT_1222715 MAPALRPTGRFYAAFYRWSCSAVRHPHCSRSIRRLPPCFTARRA DHAYSTTRRLLNNNATASAPKASREESGSTSADQEATSPDQVQMKTAMRSIMRQVPST VIVITAFAQDGDMRPLPLGSAISSLTTVTLDPPHVSFNVKTPSRTLDAIREAGGRFCV HFLDNSLLAAKVAHNFTEGNSLDTLRRRNVSFRFAYPTTNHERQPPKLVAHCVVASMT CQLEQEAAVADHVVAIASVKELDARSNPEPALFYHAGRFKRYDGGTLYHPASAARDQN QEEEDELVKRRRGKKDKMAGKTREVEEEEQQQQQQQEEEEEEEEEARVPGGLARAATL VYYLVGGEVCAY CC84DRAFT_1130622 MATPIPSPSALRALRALSTTPAPARTQIRHATLLRRPKRPYTFT QLVTLSDGSTFVQRTTSPLPVYKATKDTRNTPLWNPSSQKLLNVEEDEAGKLAAFRAK FGRGWDAEQGKGANKGDFLMDLISGAGKTEAAQAKPAAAAPSKAAPAKGGKK CC84DRAFT_1264076 MLGSLTRSRPQFVFRAPYWAALSVPHSRHVSRKAATKKGSGRKS REDYWRDHPRLAYSDKYPLSRELADLGPRPDPLRSTNTTARLRTQIVSPDLCDDVLKY IGPSLEKYKGCDIIDLNPGACLWSQKLHEFLQPRTHVLFEPAPAMWSTYQRPLLDKPG SKYRLYEGKDMKDRTAFDELFDTILSHQQPVQPQISGPLQPNNDLLVTGSIMWDPKAS GMGFDSLGKQLMHLFNECAWRDERFHRYGPVRSLLWTTEADFKGAVPRSHYMYPKYSM AFNYLAKTVQVVTPDHLPKGPGHSTIGRLPQYEIQSVIRAMQRGRENGLELPQHRRDC IHEMAEEIMQRNIEQGKAADTRLTQPEMIEYFEKRTREGKSSVGVDYQRDIDIISQDE YLEKHPELLWTTDPSGRRKRTPRGVKHHFRYCQLTSLRKFRIQAEEIADRFEGMFDRE IEVLKMEDGPEKEEAKKELEALDEELEMAVEQLHAPKRTAAVSSANDRISLKSPIPRL QWDHRPYEPLVMQPEEFWPRQRACLIDIEPYPRKDFKLDWFMDFAYALFNSPNNSVLK ALESMQSGASALVNEVPSLRDPARGGRLNLDHMKVNMLTNEMIEGLWHAYNAWPFKDE SANHSKYFTLKQKGRSSSRDGGNR CC84DRAFT_1169223 MIRVIFAPKEAGLINAEHVSKAQQEKGLSVPLNLLRSSSQFLKA ATKPEWDDLRDDGRTVTPRCDPVLFKTYVHWLHSGTIPRPDDNVPDYKDFVFLSKLYV LGEYLMDVIFKNAIIEAIIAISIKEKYCPIGEAVRIIYEGTSAGSPARRLMTDFCASN ANDDPSWTDEFQNCPHEFLVDTMKALVSARPRTSGEYPLDIKYEHYQEPFPTLAP CC84DRAFT_1222719 MGVKRPQTKVHISDQPVTLQNWYKHINWFSTTLVVLVPTYGLYA CRYVPLTAATAIWAVIYYFFTGFGITGGYHRLWSHRCYNARLPLRLFLAFVGAGAIQG SIRFWSSKHRAHHRWTDTQKDPYCALKGFFHAHVGWMVLKQDPKKIGRTDISDLDADP VVVWQHKHYGKSLLVAAWLFPMCVAGLGWGDWWGGLVYAGIMRACFVQQATFCVNSLA HWLGEQPFDDRRTPRDHFITALVTLGEGYHNFHHEFPSDYRNAIAWYQYDPTKWLIFL LSKTTLAYDLKRFRHNEIEKGRLQQQQKALDARRSTLDWGLPLSQLPVLSWEEFQARC ASGEAALVAIAGIIHDVTDFIAEHPGGKALIKGWVGKDATAVFNGGVYMHSNAAHNLL STIRVGVLRGGQEVEVWKEERETYVKDKMGQIVVRANNHITNVRPLVATADAASIT CC84DRAFT_1169224 MSYNKPEKGDFEGPKIHKIRITLTSRNVKNLEKVCTELIDRAKG KDLRVKGPVRLPTKNLKISTRKTPNGEGSKTWDMFEMRIHKRLIDLNAPTEVVKQIII NIEAGVEVEVTIAA CC84DRAFT_1103515 MSLPQFAPFPDVKVRQNILPAEWTAYVDTWASLAELHLRLSDIQ FRHAVTDDDSSLTTFLVSFFHELANDDSLVPQTLLLRKKCFFLLHRIYSADGVPAPLL GWSVLSDVCRIFSRSAQFRTLLDGLWKRKTASIEKSLQPAKNSLIQNLDSKRPEEAQS TLDKIAPLLRLSPQAGTYMITGSDFLDSLCNAYPKVNPPLHAKLATIAYMGLISLLEG PKPNFSVLSDHLYSLKANGEQQLKAGAVQTSLIADLVTNTPLLHKIRDQATTPEAARV RNFAASLISFQQSSAARPKKLVRRKVDKGKGKALDYEYGHGAFGEIHVHRMSMISQIQ DLFPDLGSGFVVKLLDEYNENIEEVTAHLLEDSLPPHLANADRSEPLSSPSATKPHFT PRSTPPAIERRNVFDNDEFDRLAVDTSRLHIGRKNADATADTILSDRGAAPSKSAILS ALAAFDSDDDERDDTYDVEDVGGTIDSATPGPDEADADLRDKNEEALFRAYNSTPGLF ARDAETRRGKARAALKSETGMTDETIEGWGLMIGRDPKRLRRLEAKFSAFSGQQTQIA STSWRSTPAGSDTEGGEAGGEVQGSRGGRGGGRGRGRGRGRGGGGRGGGNVAGPTDDK GTRISRQRKEAGKGSRANHNRRDQRARKMARGGLPG CC84DRAFT_1181183 MMNENQLMNVMALNGGHVPAIDSAVKNPSTHVCVLVWSLDGYTR ILRKETSTCPLETARALADGLMRDAGDLFAVADVGDQLHGQQGYRGGSGKFELDKFKA MRRFPGPADDTAMLRYENRQDRDDVPRGPAADRKRHHREAPVLDY CC84DRAFT_379049 MIHDAGGRGWQLSKGSRSKAQGTRHDLLVITHYKCVRYESRCSQ NELPTFHGRGWFDPSHVNVKRSRPPTTPAPLPTPSLPTHPHPILIPPRLLLRLLQQIL HRLIQIQLDRTLGQNLAVDIALARRLLVLLEPHQQHLVLRLPAHPARRHNVVGARAPA GGAAEVELRVC CC84DRAFT_1191084 MMRRTSSISSISSQASDDETMQIFVKNVSGTSTIPLTLPSSTSI STLSTLLALRHNLPATDLRLVHAGKHLSAPAETLSSLALPPNTVLHMALPLRGGMPPK KIRCSFKECKDAAQRIVGDCGFCSGHFCGKHRLLEDHKCEGLEDCKKESHERNATKLN SERTVAIKGV CC84DRAFT_1103994 MPHATLTREAVASHNTPDDLWCIIDHKVYDLSDFVDAHPGGSVV LEQVAGTDATAAFYNLHRQEVLSKYADLCIGTLDGEKPEVIDPQPGDLSPVPYAEPLW LRPQFKSPYFKESHHKLRRKVREFVDQYVTPEAQEKEKDGSYISQELIDRMAASDMLA MRLGPGKHLRGKNLLGVVKGEEFDYLHDLVQAQEFVRANARGFQDGNMAGMMISLTAV LQWMPETPFKQKIVEEVLAGKKKICLAITEAFAGSDVAGLRTTATKSKDGKSYLVRGT KKWITNGMWCDYFVVGCKTEKGFSVLLIERSDAVETKLIKTSYSTAAGTAFVEFNDAV VPAENLLGEEDKGFVVIMSNFNHERFMMACAVIRQSRSVVEECLKWCNQRIVFGKKLI EQPAIRQKLAKMISHVESNQSWLESIAYQMSHMSYAQQSKHLGGPIGLLKSHATRSAH EIADEAVNIFGGRGLTQSGMGRVVEMFHRTYKFDAILGGTEEILADLGVRQAMKGFPK AML CC84DRAFT_1156759 MADVLTNVYAHRVDDGYDPTKLFQRKVNKRALPDYYEVIKEPMA LSEIKKKVAQQEYKSFPEFVRDFALIPHNAQVYNRQDSQAYVDALEVKKVLEQELQKL AEAKIVSADVIKLPYLGEIPEQDPLLPEEEEEEEEEDDEEDVEEELEEVDVDDDDEDD GKRRKRRGPRSTAAIMKREGKADAKEDPESRKKRGRPPRVDTPMEARIKAIMKAIRKP RNSQNKLMVSAFERVPDKAVMPEYHAEIKSPMAMDVLKRKLKRKKYNSVDHFMQDVEL MFENAKQYNEEDSQIYKDAVHLQKESRKVAEQEKAKDDSEFVMEEGRIPMPNGILHNG ELWKVGDWVHIQNANDLTKPIVAQIYRTWQDAEGSKWVNACWYYRPEQTVHRFDRHFL ENEVVKTGQYRDHPIDEVVDRCFVMFVTRYNKGRPRDFPTDKEIYVCEARYNEENHKL NKIKTWASCLPDEVRDKDYVMDLFDAPRKLKKIPSPIAYLLKDEQKETDDLPKPEWGA ENAPPKIGAVHRRPRDPRDSPPPEPTPSPPPQPPPAPVMPTPSIPTPQMNGYGDTRQH YPLVAPSPSPMPAQTPQLPHMASAYGNAAPTYHNHSQSPAPHSYQAAPQSTGYQAITP SLPLSTPQPPAAIPQYATPRAPPSYQQPVMQQPPGGYRAPQPVEVYVLPDHANLSIPA DVREQFQRDEQGRVLFFTAPPANDSGFVKEEGQALGHSASYLAMRAKRDAQRAAKRKA DEAGATEREEAAKKMREEAEEKLKRDVAALRTKAIDALGDQLALATKSELQALLGNEN AGALAKSLDRLVAVQGDAVSKRLAREAKLEQQKAAAQKSITGMTVRLEEKI CC84DRAFT_379500 MPIRIRLRAPDGQHTISLDDNAAVSDLLSSIATTTNLPLFDLKW GFPPQPLDPALYGLNTPLSETDLKLNGAQIMVLGQATGDPSEAQAKQEEQNKSAPLSL KSKQSEALKETPEIPLPSRGGTMVLRVMPDDNSCMFRALGTAVLSSSLDSMTELRSLI AQAIQADPETYNEAVLDRKPDEYCQWISYSDSWGGGIELSILSKEFDVEIASINVQDL RVDSFNEGRPKRCILVYSGIHYDTIAFVPNGASTMDTENDVKLFDSADNEYLEAAKLL CAELRKKHYYTDTKKFSIKCNSCGWKGAGERGATEHATETGHYDFGEAD CC84DRAFT_1169230 MQRKQVSRLESLPSELFLSIADYAGFDGRLSLSATNSSCRSLLL QIIFRTLKVTSDEKEASEILKLAKRIGVNVRAISFRGTAGPNPRKDESEDEQDGNDQD LDAVDASPNTPEAKTQEQILPQAAAALLSGEHLPNATHLIVNFAFDFENGHGSEGIWD SRDDLSDGVSMYVFTVPETSRAMIEESEAEFPWRRLMAQTWSAASQNANITSLVVPAL VAKAVTPWFGPQWARFLAQIENADVRVAGADNGAGWEVGTLEGYMYFVSQLDSYFLVP MTNVKTLRLSCYEHGPLGSHWTDVRDTFALRPDCLPRLEELRLEYAVICSELVDFLVA RGDTLRRVALHECFAERPHRMGEGDVRLTWAAFFSALRESGLQYQTFSVTNDAPPPLT FNEWQRSKHGDDDEYLGADGKPKDDEVDEGETEAVRSVRAELAREPGKRLFLYATLTD KYGDRWPDQEAIMEHFHAGRDMVGFEKLMRTVGNEASHG CC84DRAFT_1181189 MPGSLDHVSLVCAGRNTTELPACLSDALGDSYSTRGVDEVWIRF LAVLVATMASCGHLMSLQGSVNSIESLLVLLCLFEFPEMALAQILIRTNIAAIRVLWR RERVQARFFIAACLGMHASSETSPQRAVPLVSLHPEQVKRVPHRIGILWIGRLGLLLA FLAQYIGTVSIWIRAVFHVQDRGIWFWLIDLRTFRIALGGLAATLNSTLILLIGSQWK MAVPHDPDLSLRPLERSDTSSTLCSNEQDDLLKLPIKMLTLERNEPRPSSNDNVSRYA RCMALNRLFTQALDSHFPALRQSDLELGIIIYRIWIYGITVYILRYRFASGCPPILHL LTEATSTFDWTRVCPDHTDIWDATTFSLSTRDRDHTRYGRALQVSNILSNIGVGRILV QWTFTTAAQLYEKMWKPVPKTVRSFEQWFLSGRSVICFPLLALLSASSPVAIMILVEN RGWIKHAQTVLQSPGIGETDRLAMGVLMWKDPWHDSLYLI CC84DRAFT_1169235 MAADSIQDEPEAAARDEQKPSNGKPAAHESESEDDDDDDDDADE EPKLKYTRLTSSLAPVYRNGDATSTFLVAGDKMIVGSHNGNIHVLTVPTFQPLRNYNA HPSASVTALSVSPFPPPAAALQSNSPNRTIDTPETPQRPPPGQAPRTPRQQPLVPAIP SNQIYIASASIDGHVCVSSLVDPKDVTLRNFARPVQAVALSPEYKSDRQYLSGGLAGE LILTTGGQAGVRSNANTSHASHAAQGWLGAIGLGGNSGKDNILHSGEGTISTIKWSLS GKFVAWVNEHGIRIMRTNLHLEGNDSDAAWKRIGFIEKPNRKIWEEMAGVWKARLEWV DDRQLESDDDAIMKMNNSNATEKSDALLPHHRTSGKKSQSSKKIGKLEKLVIGWGDAA WVVHVNPGGAGVGRDVGERSVGSAEIVHFLRFDDCIVSGISLYTPSLLLVLAYRTRDD DDNPISQDTTPKRGMSRRHNGLSPELKLIDAATSDEVEVDSLTVSRYESLSAADYHLG TLYVPHPKALTPAQKSAIEAIGGGIWDATLGAARIFSSGASMVSMPGSADVRAPSVSS SGNISASVAGKRLPQAHPNAAGPGLKVFIQSPYDCVLAIKRDLSDHFNWEVEHENYKD AWELLEDHPEIAAAATHTTTESSPASTPSKKPGSLQEFFADEDASQTTISATRANHNS AVEKEKRRIGDLWVQQLVKSGNWEQAGKIAGRVLGTSQRWEHWVWKFAEKDHFNEIAP HMPKKQMQPPLPSTIYEVVLGHYIIHDRVRFKHLLEDWDTGLFDTGIVIEAIKSKLAG GDVTEDSVEGNEQGRDWRILQDALAKLYLAEGHQRDALRCYIRLQNADAAMDLIRDFH LVDAIRDDIPGFILLRVSKEQMESATLEELEESSFEAVGVLVDEATRGNVPAPEVVEQ LQRKGDSFQPFLFFYLRSMWRPESQERKGRTAKERVHAGRLAVDGKHIAEEFADLMVE LFAEYDRELLLRYLRESQAYDLSKATGICESRQYIPELVYILSKTGQTKRALYLIIDK LSDVSFAISFAKEQDDPDLWNDLLEFSMDKPHFIRGLLAEVGTAINPVQLVRRIPEGL EIEGLRDGIRRMVREYEIQYSISEGVAKVLRGEVATGMGILRAGQKRGVKFEVVPCDD DDDEDSFDPSLEKQKAGLSGKLKSGKKVDIETKGVDPHTAAKVTHDDTTTTALSSHTN ALAGIQSDPSPPTGDAPPGHCTGCHKPFMLPTAEEEMLTEGPVPPSRDTLVGFACGHV FHLSCLLPKTSASASVAATLQQQLASDAEDGGRWTRSVGAKVAHAHVIKRAVGAGCVV CKERDAVGEER CC84DRAFT_1210024 MKRQRTDNPSDTQYDLAKKAPRRESEFPPQGQGRRRDVFLFLEL SGELRNRIYEFCLSEEVVPLVPKRKFLHVGVPSQLHLGVLALAHMNRQVRKEFLPIYK RNMNVGVPFRDIQEFADTWLSGEAQLAGNIHILDYQWISRTSAEVHSLLRACSINPGL TVAPRSVRVVVDLYGHPSYRYELRGPWNLYNLVQGTRHADYTDNTWLNYFERAVTAIT VSSGLEDAVIQVKKEYVEPWMTEHMMPFQYAQQWQAWQQRVQLPGSWDCLPMVDWSYC RSRVTTQADDGSAVEIRCTSQHGRRIRQLSYNLD CC84DRAFT_1169237 MQYKKVDLYGGAITVDLPTAYGDASQIREVPDHQEVYLDENGYS GVIVEILEYVEKPTDAEALQYHFADLVDGTGDETNMLEQSHAAMAKVANKPVYTLSFI QTPPPPDRPRKTPDFVAIHLLLLRVKEHGTDIMVTVNVPHYPGEYEKAAPGEKTSLMK DGDEIAKRVLESFDIKDWSLLQG CC84DRAFT_1103566 MPAIRGENSKKKTRRHTRDLDQIHADLRDEKHLAQYKDVKAAED LPGLGQWYCTECAKWFESEANFEAHYKGKVHKRRVKQLKEEPYSQKEAEAAAGLSTDN GKRTTSLMEVDDTAVAA CC84DRAFT_1169238 MQKFARLGRLGQSLVQRRQLATVSDAPLSKKVEMTNWEKGHYIN YQKMSENLGIVRKRLNRPLALAEKILYSHLDDPHNQEIERGTSYLKLRPDRVACQDAT AQMAILQFMSAGLPQVATPTTVHCDHLIEAQVGGAKDLARANDINKEVYDFLSTSCAK YNIGFWKPGSGIIHQIVLENYAFPGALLIGTDSHTPNAGGLGMAAIGVGGADAVDVMA GLPWELKAPKVLGVKLTGKLSGWTSPKDIILKVAGILTVKGGTGYIVEYHGPGTESLS CTGMATICNMGAEIGATTSVFPFNDRMYDYLKATKRQHIGDFSREYAAELREDEGTKY DELIEINLDELEPHINGPFTPDLATPISKFKEAVKANKWPEELKVGLIGSCTNSSYED MSRAASIAEDAMSHGIKSKSLFTVTPGSEQIRATIERDGQLKTFEEFGGMVLANACGP CIGQWDRKDVAKGEPNSIISSYNRNFTGRNDANPATHSFVTSPDLVVAMSIAGTLSFN PLTDTLKDKDGKEFKLKEPTGNGLPTRGYDPGQDTYQAPPEDRSSVSVAVSPTSDRLQ LLQPFEAWNGKDIEEVPVLIKTVGKTTTDHISMAGPWLKYRGHLDNISNNMLIGAISA DSGEANKIKNNLTGEYDAVPAVARDYKKNGIPWVVVGDWNYGEGSSREHAALEPRHLG GIAIITRSFARIHETNLKKQGMLPLTFSDPADYEKIGPNDKVTIKATELATGKPITLV VHPVDGSKSFDIKLSHTFNDSQIEWFKNGSALNTMAKKSAN CC84DRAFT_1169240 MASYDLFLFQHLISPRPFLMIAGSNAQTMHYSRTAAGDAKAPKE LSVVRGKNHFQLYDDLNDTALKLIELFGKALQ CC84DRAFT_380430 MAHLLRGKQAGVHNDLSVGLGADLFVLDHIKKFGINSQISQIAY DPVQKLLAVGTNESKFGLGQIYVFGQKRVEVVFPLPHRGSVRILQFCAEKLLCVDSRN DLSVFSLASKKLLNAHSPPAKITALHSDPALDYALLGTQNGDVLAYDLDREHLTQFRI PNLWREQFPQSRLTSIVTLSLHPRDIGTLLIGYNAGAVIYSFKQNKAIKYFNYVLPKG APGGDSDPASIFKERSPPLTQAVWHPTGTFVLTGHEDSSLVFWDPKDGRVIQARTLTD TGVDKPGPGTFSPGAGPGTFALKSPIFKIAWCANEDPDDTGILVAGGQPSNIEAKSLT FFELGRTPIYNTSSWQILSDHFENPKRQRILPCPPGTEVVDLCLIPRDSPHFAGCQDP IAAVSLLASGELITLSFPSGIPITPTNQLHLSLTFVHPFVTHANLAPVERTRWLGMTE KRQHGPKFITGGHDAVYPLKRFEHRNIVQTSHADGTIRLWDAGHADELENDALLQLDV ARALGRLDRVEVTKISYAGAASELSVGLRSGEVVVFRWGINKHFGQELPPGENLPGAL TDVQDRTEALLKEGMMPLTLLNEGNGPVSTLKHSDVGFVAAGFEGGSLAIIDLRGPAL IYHANVSDFVKSDKRGSFRRSSSQSATKPEWPTSIEFSVMTLEHDDYSSILCHVGTNL GHVATFKLLPEAGGRYAVSFAGVCTLDDKVISICPMHVDTGRPAYASQAAVSGLRNGA KVNGVLLAVTSNGARIFQPATHKGASKTWNEFLCDSASIVRYEDLGHCLLGLYGDGFA RGYSIPAMKEIGAVKVADVLDPRRFPEAVITSTGDVLGWTGPSELALINVFGTNLKLD QTRDTLINPELLIPPRPTISNLQWISGTQYVTMEDMDLLIGGPDRPPSKRMLAQAQAD EAQRRRDARRPGQPSASSSAAPPPADESWGAWASRTLNERTEKLSIVGDSMDNLSNNS KGWADDVNKYVAKQKRGMVMGAVKSKFGF CC84DRAFT_1222737 MTVDITAAPLKVAIVGGGPGGLATAIALQKIPSVEVTIYEQASV LREVGAGISIGANSWKVLELLGVADQVNTGHETYTVLNLNGRSGEEVHRREKPHSATG RPPIRTQRTKLQSALLSAVRPGTIKLSKKLVKMEDQGAKGISLTFKDGTSSVVDLVVG ADGIRSVVRDTAFPAYPDTLKFTGTTIWRTLLPWSAVSDLDPRFGTTAWWHTPTTHVY FSPVGEGLWEIAARAWHDPAVHSASKVSWGVPVTNEHVESHFTEYLPQIREALARVSA GGWREFAAFAGPEIPTLTAWSNRVVLVGDSSHALSGAFGSGAGFAMEDGWILARALAY YANDTGRALSLFNEIRLPYYARMYEYLASEAARRAKKLADIGDPTFDERVRGKVISDG GGGLDWIYQNDIEAVWKTAVGYGPTDTSNFGPIHASI CC84DRAFT_1181197 MAPQNYSGPWQNFPSIDNWIGFEDMFNRNKPSMFATGDTGEDVG RIWNAIKECAKIGVDERVILAIIMQESHGDVGVQTTYSPGDNIPTGGLMQCGGCAGCP GQHGVSQEAITDMVRKGTEHFKGNLTAHGDKWSGESIYPALREYNSGNVNLGDLSDGR GATASYVSDIANRLTGWVN CC84DRAFT_1222739 MPPYDSDSSDEGEEYTETNTLLGYASQGASGDAISHLGGSPAWI DDKTAPSGALAKCKVCNGLLTLLLELNGDLPEHFPGHERRLYIWGCRRKTCRRKEGSV RGLRGIRVAKGSGKLAEKKAQKPEVKQQEEPQPQPQPQLGESLFGVKSGGSAGAPANP FANPFSSASNGTPPANPFAPGGASANPFGSAQPPAKPAPEVSKDEASTTLPETFASKV RVSSPPPATQPARPHEPWPAESDFPPAYPHYYLDAEYETLDAPSTPQIPANARMDVDT EGGGSGGGKEDKEIFESTLDKAFQKFADRVGENPEQVLRYEFQGKPLLYSDADAVGKL LAAHSEHGSSSNVKVTTMGSGGGSGVPRCQNCGADRVFEVQLTPHTITELEADEMSID GMEWGTIIFATCSKDCKPSDVPEGEVGYVEEWVGVQWEEVAKNN CC84DRAFT_1103449 LAMSDSLLESLPKNAPLKPQTELQYADVAVTATAKDFAGVYRNK QYHAPDFPAVLDRSLAANVKKVVLTGMSPSDAQFNADIARSRPDQCSITVGVHPYHAA EPYADGSDGSAYFACMASTIAELREGSATLVSSFGELGLDYDHLEWASKDVQIQCFKD QLDLFVRGNYDLPLFLHCRAAFDDFVAVIEPYLARLPRRGLVHSFVGSSAQMAKLTDM GFDVSVNGFSFKDRESLEMVAALPLERLQLETDAPWGAIQPSSEVAKRYLVHAPPLPS SKKRDKFQMGMMVKERNESCAISQVAFVVAGVKGLSVDEVAEAAWHNSTTMFRMGG CC84DRAFT_1264099 MKFSSSLVSLALAASSAFAAPLEATRTVEKRDTTISCDSWGSLQ TGGYTIYHNNWGASGATSGSQCTYFKSLSGNTVAWSTKWSWAGGPYQVKSYSNVALEN VNKQLSAVSSIPSVWKWSQTSSGTPVADVAYDLWLAPTSGGSNAYEIMVWLAAYGGAG PISSTGSAIATVTIGGASYKLYYGLNGSVKVYSFVASATNSNFNGDLNLFFKYLTSSQ GVPTSSWITSLQAALGHVRLAINDLSPDGAQPFHDEENSVHAVVNGELYDYDALRASL LAKTNHHFRGHSDSELVIALYKYYGISFLSHLRGEFALCIYDSRTQTFVAARDRYGIK PLFYTFVDNKMLIAAEAKAFLPLGWEPEWDVASLKEAGWNHAARTIFKGVRKVRPGHY IICQHYDSIESRSYWDIEYPHKTQPDPRSDKEMIEGLRSQMLEAIRIRLRADVPVGVY LSGGIDSSVIAGMVTHLVKEQDERMGNDNETERVSCFSIAFDEDSGFDESAIANRTAD HLGVKYYKKHMNEAELAARFEDATWYCEHHNPDLNYVGKYALSEVPQELGFKVVLTGE GADEQFAGYPMYLPDYLREPDLAYTSNPLPEETRKAQCIKTDEESSAYYKSVGANGAN RGPSLPRHMLNDITTVSSMAAFSPDTLFADWTKAYGTCDPQLTIANSVDGRARDKIMS EWHPLHSALYVWQKGHLCNIFLSCLGDRTEMAHSIEARTPFLDHHLTEYVNGLPPSVK IRWDGEEQRFIEKWILREASRPFITDELYKRKKHASHSPYSAPTTYPEDGPLHKLLSG LLVRERVEGLGFVAWDKAEGLVERAFKNKDASAMRFAFTVAQWVVIGERFKVKRAEAP SIMSIGYRQCIDN CC84DRAFT_1156792 MARLRKPSPTEQTIFMPPPTPTTRTSPRKGVRETPSTRKLRYTS PEDSDEGSILVPKAPINQSPVRKQRVLRPMPSNATLAKRPSTESLRSLAATPDKDRRP RRPLREGGSAANHLYSKTLAKTVARKKGPLSLARSEVEETVVDENDVGQSILCGDADG PSEEDKENVRQSVEESDADEEPVVAARRRPEQPRGRRRVVSDSEEEEDEEWDDAVMSQ HTQAPVPEPAWKPSTDMPPPPLVSNRPPFRKGHSTISNWAQDVIDLTSSPAPSPSFAL PPPARARTASFAASSRASSRASNRGDDVLVYSPTPTKQRSPRKAPPISRPSTPPQLPS PSKLASPSKKNIRIPNVPNLRPSIDAFWDPEIVNDHNDKFSPSKPLLSPRKQNLFKQL EKQINSITISDDESDSFPSPTTSPRKKKVQSPTKKVSADGSSPTVAVLRAQRKDFAAR KHAIAETFIAEVDMAITNGRIAELSSNTGGIQLVWSKTLKTTAGRANWRREQIRLRTG PLPTDTRVEIRHYCSIELAEKVIDDEERLYNVLAHEYCHLTTFMISEVRNNPHGAEFK SWGAKVTAAFRSRGVEVTTKHSYKIDYKYIWECVACGCEFKRHSKSIDPVRHSCGRCK AKLVQTKPTPRSGAVGKDGKKPNGEYQVFVKDNFAKVKKEMEGKGQDAAMGKVMEVVA RAYREMKAGKAKEVESKVDDLEAAIESLRI CC84DRAFT_1264101 MSTTTNGALTPPSLPPAPASPSLAKRKRTETDAAIANGASSTAP AKLANGAAPSLQAALEDILSILKSYDTQPSILAHPLATSAARAASGEADTKRAKLSPQ SGTSSIISLVQAGAYSSLQAFAKDVEDATADILASAGVGELATAPPTVEETRLQSGVL ALCKLSRSLVAREELRRAHVETKKEPQDGTADETEGQNEKASPVKEEPPESRTVLTLF GSAQGPKQLFSSLQQPRSVTPRDGASTLDLSVKITLPLRESTLPNIISTTEVFPLPDD VDEKRKANATIGKVFKAPAHLPQLSPPKLAKPLTTKGNVVTFAPPEVPKPSRKGSHSY ATQNLATSNWLGYGGADMPKDPTSPNEKKKSRQRALSMGEAQQPPSESTLVAVKQAKE DALFRSAYSSFAPSRDDTTAVVPEETKNMVWWQKVGEKRFNEVFPIDPELLGLSESPG TAIDDIDENEEEAFKKAVDTFEPVEDGLFADTEANTVLDKDTEEVLHEISELLETLAS HQRIRNSSLATNPRTPVIQNSSLASLAGSPSTPSSDEIDVYQMLKSQLTLMVSQLPPY AVAKLNGDQLDELNISRTLMVENRAARGVLEDDQSSRLAKAPVPAPAATPTLNRMVSS GSGTHSHYPPGSSQYGRSTSSMHTGARPVQSGPNYFPQQPAVARSPSMQYQRSTSGSQ VYQAPASSYATPRPSFSGTQAYGQQTSRTSYTQNPTGQYYSQRSSQPSNYAGGQSQYF GSTPQTQPQSRYSQPTQNGYFQRSQNVAPMYGATPNNQTRTASPLKAAPSTGQTAYGA RPGYGPGGQIRSTYYPPSQYGTAQPHTPSAPSTTGFSANPQQMMLDRQQAQQTQARLA AQNSFNRQGSGTPQPPQNGQHGGPPNGTPMAT CC84DRAFT_1264102 MPLTLSLAAPGSHCRFLRCTTRLPHVSQLQLYVPNSRASTQARL QCLDTLRHYPHASFTTHQRPQSGLTGSQLYTPPQDTEGRSRSHAPANGSPEFNIGDWA SILSTQMSEGFAQAAEKEGENVTGDSAGSTHGPSRRTRKRQRSSQPAMVLDHIYDGSR NLYCSSLESVDSLKHDHSYVNPAIRQHGGILRVVHPPENIRSPMDGPVSLYTILARQL SGLTMPPRTKTPFTRQEIMLLQSKGYSEADVHSWCQCLIDPSSDHASAVFSHHHCRTP LFLLLLFLRRKRIRTSALGRVMRHLGVRLRAEPIVWSSLTVLIIRLLRHARLVWPEAM PWITSLFCTEATRLYREGKEADSSSSTFQAVLTRFCNSLLVLISLPTSEHPMIAGSYQ EKAQFVVLQFMASCEPALIVTRHGFRGAIRTQLTHPKTTKEKEWGMLKGPSWPPWKEN RNAMDEDKGYMFGASRASRLLHRLFEAGYAGREWEKIAEVYAGWDTDLSPTVQTRTHM PPDNNAHGSENKARLWGARIRTTRTRREAWACFLAYEESGTGASSLVYQAMFEKLHYP EITAQQSGHGDPSSSEFIASNAKNPLPGDMKEVLSDPTSPLHLVYLSEPVPSYIQLYE RMWRNRLRPKKRLLAFLLETLPDFETCLHLLEATQGRFGGGVSRLLHGIPLDKRDRAL IPNFFMTSFIRCLCRFGRFGDSPPDEPLRIARAYHEERLKHDRTYLIEYAYHLLMTLQ LTYRPPWTAYMQKLLYGYGKQKSAASQYTAMCKIFDRMTQKDVGPDDDQFKLLCTVVR YTARTIYNGRLPAELANRILPSARSLLRTTFHNLVGANVDSSVVRPSGFEMETLPPHA PGPDVLQAYVRALGFLRDYEGLYSFSIWLTTYHKEIMVRANAQHGGPQLLYNTLVALR AALEGELDSSQIHDGAPEEIAELVKAQVNGVKEWKWPSEKHVKSYVVGRLTEEDRKSV LS CC84DRAFT_1169246 MAPALTAHTPTAPHELGAKKAFLNHEAPRHIYPDGIKTSGQHPP LYNQLHPYEDFPTEIAGPTVWNVEEYKGHPEQWTHVFSDAEIKEISDTADAFIASGTP LTGISQETFRLNNFAQFLSVLRKELIDGKGFILFKGLPVQQWGNHKSAVAYMGLGTHL GYFVSQNAHGHVLGHVKDLGEDSSAIDRVRIYRTNARQFFHADDADLVGLLCIAKALE GGESDLVSSHAVWNALQKENPDVARTLTEPIWYFDRKGEKSVGEEDWIRTSVFYLEKG ANPRVYSKWDPYYIRSLTRFSDKGLIPPLSDAQQRATTVLEETCQRLALHMILEVGDI QFLSNNHILHARTAYKDFAPPAPRRHLMRLWLSTPEEEGGWKLPFHDSKEKKRGGIQV NDNPPVAPLDAE CC84DRAFT_1130684 MKLVRFLMKCQNETVTVELKNGTIVNGTIASVSPLMNVALRTVK YTPRGRDTVTLDTMTVRGSTVRYIILPDSLPLDTLLIDDAPKPKNKARKETDRGGRGG GGGGRGGPRGRGGRGGGRGRGRGRGM CC84DRAFT_1103750 MLPTPSTSHVCFDRVYEPAEDSFLLLDTLSSNSEAAFLQNRFSN AQTTPPLVLEVGVGSGVVLAFTAANAERIFGREDILTIGTDINSFACQAAAQTIRTAI TEYGHGKTVSLDVVNGDLASCLRPRSVDVFIFNPPYVPADLPDFARHEKYNILPDGQK KTTFEQDSYLLELSYAGGEDGMVVTNRMLDQIPEILSRGRGVAYVLLCAQNRPEAVKQ RIRQWGPGWFTETVGSSGKQAGWEKLQIVRIWKEQA CC84DRAFT_380764 MRVYSRLLATASNAGASNSFRPTPMALLPPIPLYRRLLRAHRKL PKEERTLGDLYVKKEFRAHKDIDNPVHIVGFLSEWQMYAQQLSGDAWKDAKMDSAKVD KMSDQQIGQLYELMTAIRKQELDDNEAEKESGNEK CC84DRAFT_380954 MCLCTQYDSSTCGHSWTSMTESCGYSQDLLSCPYRQTVNYIVAP PYTCPTCNGGFADGETLQMIQGPWGCNQLVRNHYGGDFAVGNAWAGSLQVPQLCSSSM RGGGFYGGDTRFAGPYSCGALTYRPAITSCTSSEIMKNQRMIRNEPYVGACCDDRCYD SHDGRRSRYYDGYGYGDRERQRRYDYQYKYRRSSRDGQGCFVM CC84DRAFT_1169250 MASAATFFENLWLSIFEPGPTPTLLIATNASFAALQLLFFALLI ATNSIHFYILSALCGGLWYAINWFAAEIRAAQAKEEEAKRIREAKRDKGKGKADTQDG DAMDSGDDTEVETEVAEKQKPKIRTNPPRAPRPESESTVFVERPVESVATLRGSTPVA SSGASSGLKPVADDTVKQRRSLGESTGDLSTDSEWEKLSQDSGDR CC84DRAFT_1156813 MASLGAPLSVQDLTDQASKYEYNAYIPLRNWLRTASMMHKQAQV YAAEGNDPQTYLLLYRHANLVLEHLTNHPERNLPENRKALSAATAAVGSDIQTLEDIR PRIKKRHEEFQARRKAQQKSLQSLEDKSERLVPQELDGTSRVAHRRSYEKQTLDAIGN QTLAAKLAQREVRRRDAARRSVRQAGVSEEEESERRTGGVWGDWEKELKRQSTEADGD LSAQLQEVARMQRNGHQTSNYSRPYSQTSSSYHYPSVPHHGQQERRTDVHSQPPVPAR PPKEHLPPPYDPFAIPPALPPKPPGQLTSRLLSEQQPQPPPVPGKYTDSAPSAPPLPG KIPPTARADTPTTDLDEFTFKPAAYLENGAPLRTIFLPTPLRHHFLNAASTNTRLNLE TCGMLCGILKNNALFITRLVIPAQTSTSDTCEMTNESDLFDYCDKEELMVLGWIHTHP SQTCFMSSRDLHTHVGYQVMMPESIAIVCAPSKSPSWGCFRLTDPPGKHAILSCSKPG IFHPHDVDNIYTEALKPGHVVELDNGPLEVVDMRPKR CC84DRAFT_1169252 MATQAHCAYCFETLSANLENREALTLQQVEELWKKYNADPSAPD PNALDDETADDEDAPTAPDGSHCRPAAISRLLAPSPATTSSSSVQSTSSTPSGASEAS SATSKSSSRSSLFSRLQRKEESPTATPLFVTWNTVAKSNGTQLSEKRLRGCIGTFEAQ KLDRGLRQYALISALEDTRFSPITAAELPTLECGVTLLTNFEPISDPLDWAIGTHGLR ISFTHHGRRYGSTYLPDVAKEQGWTKEETLISLMRKAGWSGRRDEWKKVELGIVRYQG RQVRLGQKEWEEWRRWVEGEMDE CC84DRAFT_1222752 MAKSKNSSQHNQSKKNHRNGIKKPKTHRYPSLKGTDPKFRRNHR HALHGTMRALKEVKEGKRDAA CC84DRAFT_1169253 MTANPPTLINLPPPPSDPATPNDVPGTPNSTTTSMSELSTVAIK DGHRGHFPHHHQPGDAERADRISRLAGLERVAVSGRTPQGLAPGAANNPPPGYFDSNN QPQMFRERSTVGSASATGSVGGRTTTWASGSDNFDHDRMSEDQDVETSSIGGFSDEAA SLVGFGEGARTPARQHSQLGSPAIGKATAVPSYLREQTPGSAPSSSGTAQTSSSVEQQ KYDAKQLDGMTYDESVTDTTNRTPPMIGPGAGGATTAEQVIRERMRQRQAAQGGEAME VEPQREY CC84DRAFT_1222754 MATNEKMVALADEKIEAINDTAGNHIDPEAEKKLLRKLDIRVLP PLFVLFLLAFLDRTNIGNAKIQGLTKDLSMVGPDYNIALFVFFIPYIIFEVPSNIILK KVAPSTWLSSIMVLWGICTLGQGLVNNFKGLVALRVLVGLFEAGLFPGCVYLISMYYK RHELQWRFTLFFSASIVAGGFGGLFAFALAKMDGVGDYAGWRWIFLIEGILTVVLGVV SKFWITDWPETAKFLTDDERALLIARLSADTGSATMNHLDKRAARRIFSDVKIYLGTI MYFGIVNTGYAGSFFIPTIIKELGYTSSAAQVRSIPIFVVATVTALSASWLSDRLRHR FWFCIFGLVVASVGYILLLCGSTLSAGVKYFALFLIVPGGYICQPIVLVWVSNLMSGH YKRSVSSAMQVGLGNIGGIVASNVFFESEAKNARYPTGYGVSLGMLWICGAASVALFF YVKRENGKRERGERDHRLEEDDRENLGDDHPLFKFTT CC84DRAFT_1264113 MLTTQLIDYAHNAPFAPSKDIPRVLGLSIFYPTATNTTVATSYW PPENAAEESEGDITAGVNITYQGLLSLTVPLAPPNTSIAAPPVNAWFSSWPVLFFSPA FGETRFLYTSLLRQISSIGYVVITFETAYDTSVFVLANGTVVPGNSTLDNVSAEQATL SATARTEDASFILDHAHDNITWLIPGCKDTCVNTTNVGMFGHSIGGAASATAMFTDSR IIGGLNYDGALLGPVVQKGLSHRPFLIFGTQNQSRHNTGPLTTLTNWTTVWPRIKEAP KWWLLLNHSRHYTFSDLPLIAQKLGVKPNQTSLVAAQLTDADAERNEKVLVTYTAAFF KSVFTGKVEELMKGKSNKWPEVIFDSFSLNEKSRKGVNETDVQPVDNGAREQKARARG MALGMGLLALVISTYI CC84DRAFT_1222756 MAATIIKTLCTLAIFASAVQGNTIAKREGIASLAKRQGACDSGE TLCGTVGLTSYCAPQGAKCCSILYSAPDDATCCDAGTYARPGYYCCSGGGSCPVGETC LACTPEEGNAPTSAVAPSRSSSVPLTTPPPDVTSTITRTSYTYFTFTITYYYYQFYWI YVPALTRSTSTSSEVTTTYITSVYASNSADAQSSIDDITSTASFPTPESATELPPLET SSADDETSTSSRRPSTTAPLESASSAASASASSSIAEFTGGAGGQGRVGATLYSSLGA WLVTFGLATGALCIVL CC84DRAFT_1181214 MKFSAALAIGAAAQAVNAHYFFDTNIVGGAVQPAFKYVRQSTRA TKYNPIKFSSNPAADIRDGSTADGPDIVCNQGAFSSAGKTEVLTVNAGDEVKLQLAVG AKFQHPGPGLVYMSKAPTGSVKAYDGSGDWFKIFEEGVCDGGDFTSTAWCDYNRNYIA AKIPKDTPNGEYLLRAEHIGVHRSHVNQPEHYVSCMQIKVQGGGNGTPGPMVKFPGAY KATDPYANFSIYNGRKAFPMPGPAVWTGGAGSGASAPQATSPVEQAASSAPAAAKPTT MSTVRVPAAQPTQAAPATGGAGCAALYGQCGGNGFTGAKCCSAGSCKVANPWYSQCLN CC84DRAFT_1232246 MPISPLRFCTFVATVAYAQRIGTYEPEIHPKFPITSCAPGGASC TTLNTSLVMDAEWRWIHKDNTYTNCYSDGSWNTTICPDGATCAENCALDGALYQGVYG VTVKNRNLTLQWKTYFGFAQNVGSRLFVLDEGVEDRYKMWKLLNREIAFDVDVSELPC GMSGNLYLVGMDEDGGMERYKRNRAGARYGTGYCDANCSQNLRFISGEANVEDWQPNP IDNLYAGKGKYGSCCPEVDIWEANSVSNALTAHPCFAPNQSRCWGPACDAMCDVDGCD FNSYRLGNTSFYGPGKIIDTTKKFTVITQFLTHNDSDTGDLVAIRRKYIQNGVNFSET IGQIPSVDPFSGITDSFCRQQKAAFGEQDTFTKRGGIAAISTAMERGMVLVFAITEDQ DRHMLWLDSNWPVDAKSTAPGVARGSCATDSGDPQGLLHTQGRASFGNIVVAGIGRAE AAL CC84DRAFT_1191111 MHLPPLDVAVYPVVAMNHGRHISRSARAGSAVSSISSSSSASSP TCAPSADFSGIQRAIQSVFRSSKITVRKAERLPGRLHQVYRAQLADGSIFVLKCPPHV SSRALRHEKHGLETELKTLRYLREYRQGQLPVPEVYQYQEHGGVLGSPFLLMSFVQGR KLAEMLTYLSAHERTTIDRTLGAYMRIITMLNAQQFGMTHTVFANKGSGSWREAFRAL LESALRDCEDMLITLPYDSIRYYVEQHLHTLDEVQTPCMVAMDMCDPQSVLVDEQTKK VTGLVGFSNVIWGDPLMNEAIATGSDAFFEGYGERPPRSPGLHARQLIYAIYRATVQI ASLNHRPQPRVNDTDARRSMTFAVNRLAALK CC84DRAFT_1210046 MATDPRKRKAGPDQRDGGNRKKSKGRQKWTVPGGENRGIQPGDT GIWVTCAMNKEAPTVSDLRQLFDKYASQLYDSTLEAEAAEGEDSEASDVDIESEIKKE VEGIRKPKVEPLFKNIKLPGQCLIFFKTRSPVEPVSFLHKICQDTADGNEPQRCRFVK RLTPLSAIGKVAGNGLKDVATEVLAPHFHGPEQTGKKFAIRVNIRNNKQISRDEIIQQ VAALVGKGHKVNLSDYDRLILVEVYQSVIGMSVVGPDYDKLKKYNLAELRDNTISGYQ KEVADDNTTPEGQKEVKDDNTSKDPDELAVGNASKDQERATHENAVSQDQKELTEI CC84DRAFT_1199886 MPSHRMSKVQKHITKKKGKNVALHENSRDTRRLQSAAQRDDKIN RLSAVREKQNRPYLLRIKSFQSYTMEHASPLSIPEVQAMIDDFLGRDDEELATLKAER RPGRPPSTRETLLKQNQAMEQGEYASGFWVPDLEDAENLKQLKKWNGEWSGLPTLKFA RISKDGVKRESSFPPKGLS CC84DRAFT_1130717 MGISGLLPLLKSVQKPCSLKAFAGQTIGVDAYGWLHRGTAACAI ELAQDRPTTKFVDFAMNRVRMLVHFGITPYLVFDGDNLPSKSGTEKDRRERRKESRRL GLELLKVGKTSQAHLELQKSVDVTPEMARMLIEELKHHNIQYLVAPYEADSQLAYLER KGIIDGILSEDSDLLVFGAKCLITKLDKYGECVEVNRSHFTACREVSLVGWSDADFRR MAILSGCDYLPGIGGMGLKTAYRMLRKHKTVERLVKAVQFDGKFKVPNGFMEDFYQAE NTFLYPWVYCPMSKQLVNLTSPGPDVDISQMTYIGEQVPSHLAYGVARGELHPRSKQP LILRTQNKPTGKPLRPAQTSISTSQTPISKQGRPIDSFFKAKRTPLAELDVNLFTPSP SQQSLLERQRTNTGWDAVPAPQSSHRPQPPRAQTAPQPARRILSNSISASQTRPSRSK RQRLCSDTEASTPATNESDVMRSRFFASSVAEPSPSLRHDKTQRRRSSKDFELYSDDS VEEAMASLVDPGGSGPSPRKKMKVFKDSFISSRASDSQSSVLSRSTLGTSQETQDASE ITPATSFGSPEPETVFSAPLSRQLNHLRSRFSYGATTSSPLTPLASSRPPSARSSIAL KSTSINRHVRQEHDPALDTTDTAVVGDFEDEILVEATTWAVAGTEVEVPASDTEDTPL KPATPRQQLQVKGSEELLVPESSDAESICSPRKPLLNLGRFAFGA CC84DRAFT_381101 MVRRSGRNAAKPEGFYRESEMTKRAQEAVVPAPAEAPTQSSAKK TGQKKKTKATKQTSATKTGRVQEKKPDPNKSTATKAKADKKKPGRPAVPSNDVLLAAA AEVERKTRTGTQRIANDKPSLEFHMKRPVPLFKPQPEDFEEFEEDHDEDEEDDEKE CC84DRAFT_1130719 MSSLWSWLKGKPSQLARVPTDTVIPLHYLDSSKMFTDIVMDLSL HFSYVLDSEKLVAALEQLLEKPGWRKLGARIRRNSGIYEYHVPTAYTKERPAIDVSQI KYDISLAEHPIGSTLPRPDGTVSISGDTKEYRPLFLRKNSPTKLEDWLHADRAQIVLH IVAFSDATLVTVTWLHSFMDAMSLHTLFDAWTAMLEGREQDIPEFYGEIDDPLATLGV DSDPKLTSDRFEEEEDFILKDRVVTGWKFIRFVFTLLWDLVIYRKEAIHFIIIPPTFF SALRTEAMASLTDPFTDPQSAPPLTPDKANPQTPFISDGDILCAWWTRLTTSSLPRAP APTRTFQILNVFNMQDLLRTTSPALLPNARSYAYVHNCVTYVQSFLTLHELLSLPLGH VAARLRSDLVLQTTRPQIEARWRLQREEVARSGNPPLYGEGDMQLVPFTNWHKARMFE VNFGAAAIGKANGEEAVGRPRCVMPDATARGMPLRLSGNVSGRDAQGNWWVGGILTAE TWDNVKKAVETLNAQAAAKNV CC84DRAFT_381111 MRLTSILSLTAVLAVVAADPLPYHVPKNLRRARHGTFTSSLPLS SSSITPCEPDYTSTASTSSSLSTGVSSSLSTGVSSSISSSIPLSTAATSTTTNSTTSA PELTSSASSTSFNITSTTSSTASPLTTCFRDVFSRFYCTGITTSVTTAFISASNSSSV TPKTSSSYSTGTGASSTSTSSSFTGTGPVTSSTTGTTFSWTNSTTAPSTSCTDEPKTR GNITSTTTSTTSSSFANVTSSTATANTTVSSTSSGTASSGTSISTTSCTGYWNTTSKS INPTTFLSTNSSVVPTSTASNFTSYFTSTTNSTTLIPSSTTSTLTIFNSTTVGPSTTS STLTASNSTSLTRSWASFSNTTTASSTSSSYTTCNTTSTVAPKTASKCSSATIVETLT SATISCLVPPRGGQSTTWSCATETRVFLTTRVVELLASSFGAFGTSYSSLPPALPSYG YGTPTTGTATITPTESSSSTTKSTFLSSSASSPTTKSFSSNSSTSASSSSTFSSSSTE SSNSTSSLSFTSESSSTPTTSMPALTSPSSSSTKVTTITTITITSKTSSSAALSSSTS EPSSKSSSSSSTTSLTSNSSSSATSTESTTSSLTASSSTSVKSSSSASSTTESSSSST SIISTSASSSSSSSSESSSSFVTSSSSSSTSGSSRSSSLSSPSISSSSLTSSTTEPSF STSSTPSSALESSTSTSSMKTGASSSRSTSTTEIASISSSDTSSSTTASSATQSLTSS SLSTSTTEISTSVSSTSSSSLTASSSSSSLSSSSSEASTTATSSTSSTTGSSATSTTS SNTDVTTEATFFSSDSSEGVKSVSTWSSSGGYYTTPSLTTEATTEATSFSSLPSTFSI STRSGYYQPPSPPYYTSEAEQARRGMLGKLFG CC84DRAFT_1103704 MPADRRTTFAAAKPVKTERTHEENQERDRSLEARIESARRASEI HKKRTGRALRVTEQDVVNEEMYEEEDDDLPTQYQRLNAHLQTSSWLFNRKLHDYIATQ HGVRNMFLNQQFPGHQLQPYGSQFQPNTGQMPMMGGTMLPPQAFSPATPDFNQSQQPF NSQGFQHQQQGYRHTPYNIPQRTLHQRSASIATPHVMTDFAPVNQSGPQATTPRGELN RRLSLPQHALENPAQQTSDGQVRPTLSRISTAQSIQRQSASPQRVPASTSSNASSGHA TPHLKSEHTSPTSFNFGSLPFGSSQVLNMNPLSMSLPPESQQLVGSALDPSDPRTSLF MSGSENLPQPFAGTYTYNPNLSPKSSRPAMSQTLAPEQSLKLDTLGDSTSTTPPSAMS DHLYTPQPLFTPSAFEYSNFFDQYPAQDGSRSANDSLLGDSYEDNSFVNWDQ CC84DRAFT_1169261 MPQYRYYGYTVHGLEQRHPQRSADHSISMVFSLVVHIGMTLQTT MADVVKRKLYLKMYGHES CC84DRAFT_1210054 MDGTVTRGSGAQKRAGALSRLLAGGKGVVLDGALATYLETLGAD ISSALWSASLLYTNPSLIQQTHATYFTHGARIATTASYQASLPGLTKHLSATPSDSDA AALVATSVVLAQKARDEYLSSAGNSLEDGDLLIAGSVGPYGAFLADGSEYTGAYSSSV TSDEYHSFHRGRIAALLSAGADVLAIETIPSYPEAAALLSLLASEFPVAEAWFAFTLH AADPTRLPDGTPLADVVALLDGAPNVVAVGVNCVAPEHALAGLREMRRGTRKPLVVYA NSGEKWDAAKRDWEGERAGGGELAGWVREAWEAGARIVGGCCRTGPEDMAVVAGTLRE LEAEA CC84DRAFT_1181227 MLRKESEPAATNGASLGGQSSDQGGTKATKAFELLRKYMDDILD VYKPELRKLLWPVFVYSYLDLVREYFTKDAEAFFAKYRNTFERDHPQDVNTLSAVRLP PHLTTDSLAKLYLDNKYRVTMTLMPFYNLVQFLESKMFEGGQALIDVIRDHLNIVTVD RTATTERSIAAILASGQDEDELPAEDEGIPGHNPGHPLTSRHDPEADASRIRLALGPY PLDQDLQDDVRATLQDEDAKNAPRPGQPSLVDEYEARIKREPTDDVPSRDLIPLPPSL ARDVSMEVQKVVEHRDRYRLEGTRTGGVGPGVSVTMYTFHNTYDSINCIDFSGDNKLV AAGMAESYIRVWSMDGSALTSPSENAQPASSRRLVGHSGPIYAVAFSPATVNPDPTGP STSSQYLLSASEDKSVRLWSLDVWQCLVAYRGHDNPIWDLQWGPYGHYFLTGSNDRTA RLWATDHIEPLRIYVGHENDVDCVAFHPNNLYVFTGSCDRTVRMWHTSGGNCLRLFTG HTGNVTSIACSPDGRTLASADDMGNIILWTLETGRRKKRMRGHGKGGIWSLSWSVEST ILVSCGADQTVRVWDAIQETNESTSKAADGTAAAKADGALTTKGASGAPVTGAKKNAK ESGVTADQISVFQTKESPVYKVQFTRMNLVLAGGAYLPRPTK CC84DRAFT_381309 MWRQSRAGWSVSYAHRASSPRPWQGPGHGTGFLALSRCAAQSHS PLGGFGRVEHGACVDVEATRVWVNGSGLSESGSVLAVVCYGRAVRSLLRESRWKSRRP PDRACLWPCAPSSLRGAVAVPSLR CC84DRAFT_381486 MGADNEAYRSTATAHIRALSALSTRLPPVLNHAATTLSQLTNAP IPSSSSTTDSPQARRDAISSSAKEYFAAVFDIASELHKQVDDLEEAGVIPSQEIKYIA RTVREEDTGFGSKGGVLAMAGMPQTQPKDSEATVTNGGLGEFDIGVLNARAGIREGGD GEVLEKVKRLVEDLERRSKEGEEDDGKMDET CC84DRAFT_1169263 MGPQRYERVAANDDDDDTVDTPQSPQAPFAIPASPPPSFHSRAS SPAAAASRRLLSDDPLANDADGTLADTFDADSDDDEDESDDRQRLMRGNPDEQREADD NTLRPVRPGRQRSVTQLPVFNTVAPTGGRIYGGGQNDGVWANLSAKPTRGEEAEEKPP TYEQAAADATPPYWETTILAPGTFGDEVFVEGLPVGSVFSFIWNAMISMSFQLVGFLL TYLLHTTHAAKNGSRAGLGITLVQYGFTMKSASNLSPGNDSPGGGFDGGVPSDPNSHD FDPNALGGGGVTGNETPTSSDISSSDWLAYGLMIVGWFILIKSISDFVRARRHEQLVL QSPDRGLGVAVIAEGESPERSV CC84DRAFT_1169264 MSQPAIVKHYTRILALWPKDLLRPNLPFTRTIERRATPYGVKPV SPPIDEAEKKTAAAAAKPAPIAKSTPQAELPQINALFSLLENRYSKKYPVSPGVFKPT SNPEHYERLMEEIERAPKKSWFQAKMDEWKMKIRWQ CC84DRAFT_1181230 MVGANAHGRDNAATNTKHKHPDHWNGLLSERSMLFYDGGYEWDG TNGFWDDTGIHHDFNDPNDPEAYPPTPRPVGLWLEDDEDVRISEDVSGDLSAAGNQDR SLRRNRAQVQTNSVHTGFLAKFTQEGSKTILIDGNVRKQNLLRDGLFVGHPSRERLLG QVEGMVIGQHMFIDGHNLADSYELCGMEISSALLANGDAVNPGSSAAKPPTMSGDRVK ASGTVGNDVHHLGALTEDGEMKSEGAQADDQEADFGRELLFISSPLSDCPSDLSEWEV EDQIKRSHRAEAPRLGTKSESNRTFHESHEDGSKRSIKTKIRRDSVDPGVVFGNPSQE AVHPDLPAAMIF CC84DRAFT_1169267 MDAAEVASSPGSLSSPPHSPEQGTPNNSAIHATSGGYGVAANAS TPTPAASTTPTPRLTAAGTVRKKPGPKPKPKDPNAEEKKPRKPRKAAEPKDPNAAPAP RKRRTKASLEAQNASPAPADEKPAVNVLQQQSAPQTRPAELAQVLQPEQSAPVTQPPP PPRALGASAEPLHGNPNLSHITPTPSTPRPASSGQRYDPIRGGIYESKPLVAASVPPP PISPPLNRASASPSITSLIDPPTVANSTYTQPARLQHPTSVTSAPLSPAAISNRPGPL LPSQDNQPPPRPAAPLGPAPMDIDSDPRTSVPMKKTDSASAGTLSNAPTPPVKARQKE APPPLPTGSGLLSGTPFGPAVGVNGNGATEPSGVNIWLTFPLKGQTNVTINFAQEVEK KYGFAALHPRIAARRERQRQITSMGAALEKAAGLAGSADDMSVDLSDNESNGGNTGMD EEGSAAGKAKKPRKRKQEDYDKEDDFIDDTELAWEQQALMAKDGFFVYSGPLITEEKP AVERADGTVKRGRGRGRAAASRGETSGRGRGRGGGPGSRGGQTVRKPRVTKADRAMME QEKAKRIEFSQQLGSNSNLANMPVTAHAGTVHPTM CC84DRAFT_382435 MQFSEAVHTLPPRYIQPPFAGSQREHSSLPRCAAGVPADLHSLP SLAGHQLETIPAEKGRRATKAHHCFNDPAGFGHASQCITSLRRSSDCRMRCTTPKQEN MEQEDGIDE CC84DRAFT_1169268 MSVDYLLHESALGYAIFKVVKQPDTIGNRLKEVQEAVQDLAKFG KTVELVGLTPFQGTQDALQEINDVSEGILSPFLQSTLESNLPKSSKKKKVVLGIWEKN LAGSIKAQFEGVQCETGETNAVVADLLRGLRQHGDKLVKQLQPGDLERSVLGLGHAYS RAKVKFSVAKHDNHIIQAIATLDQIDKDLNQFTMRLRENYGWHFPELSKILTNDHYAG AVLFIGDKSGLSDEKLHELAAVVGDDESVAQAIIKAARTSMGREISDADLEMVMSFAR RTDALAVYRKQLAGYLSSRLQSVAPNTAALIGDTVAARLISKAGSLTNLSKYPASTVQ ILGAEKALFRALKTKGNTPKYGLIYHSSFIGKTGAKSKGRISRFLANKVSIASRIDNF SETPTSKFGEALKRQVDERIEFYASGATPAKNATVMQAAMDSVMADIDTEDPTAHGAE DVVMADGVTAKATEQAMREKKKEKKSKKSKDVEEEVSDKKSKKEKKRKHADEEDGEGK KKKKKNKA CC84DRAFT_381477 MSAAQLLNPKAESRRRGEALQVNISAGEGLQKVLASNLGPRGTL KMLVDGAGGIKLTKDGSVLLKEMQIQNPTAVMIARAATAQDEITGDGTTSVVLLVGEL LKQADRYIAEGLHPRVITDGYEIAKTESLKFLDEFKLNKEVDRELLLSVARTSLATKL DSTLAEQLTPDIVDAVLAIYQAPAKPDLHMVEIMTMQHRTAADTQLIRGLALDHGARH PDMPKRVENAYILTLNVSLEYEKSEINSGFYYSSAEQREKLVESERRFVDEKLRKIVE LKKEVCGNDPKKGFVIINQKGIDPLSLDVLVKNGIFALRRAKRRNMERLQLVCGGTSQ NSVDDLTPDVLGWAGSVYEHQLGEEKYTFIEDVKEPKSVTLLIKGPNSHTITQIKDAV RDGLRSVYNMIVDKSVVPGGGAFHVACASRLLSESFRKTVKGKAKWGVAAFADALLVI PKTLAANSGHDIQDSLAALQDEHAEGNVVGLNLATGEAMDPMQEGVYDSFRVLRNAIA SSTGIASNLLLCDEMLKARQMGRQQGPVEEN CC84DRAFT_381166 MFRLRRYRVFVAFTVIAVLALYKFGSSNPSWRDQAAGFAPGHRD NADPQVKWEPKPQVANGPKQFGVEVSAAKNPQTKETPPPIASVARPVEKTSTTAPGKK SIPVGVGVGHGAHVEATPTPRADEAVAQAVLGDLLSSSVEPIHWIKTKEQYPVPTESI IALPTAKPKPIPKIQAVFKKETDAEKVDREAKLGTIKDVFKRSWSGYKEFAWLHDEVM PVTGSKKDPFAGWGATLVDALDTLWIMGLKDEFEAAVKAVEKIDFTTTTRVDIPLFET TIRYLGGFLAAYDMAGKTHEILLTKAKELAEILMAAFDTPNRMPQTYYYWQPGLSSGS PLASNRVVLAEIGSLAMEFTYLAQLTGEDRFYDAIARITDNLEGYQNHTRLPGMWPTY FDASGCLPHNYNTAPNKPLQKPITAGDPGWEEALKNGAYLKADPSQPLVMPAEDDSAT TGDEELSPSGKKYIPLEKPPPLEIVPNGPNPSWKPPPEEAFIWPGDTKAAPKKRQLDA AGFPSDPEATPFKPTCDNPGFGPSSSGGREEFTLGGMSDSTYEYLPKQYLLLGGQVEK YRTMYEVSAEVMKKHLIFRPMLPNEDDILFSGKRYVSGAEPGETPATELEPEYAHLTC FAGGMFGMSAKIFDRPKDLEIAAKLTEGCVWSYNMTATGIMPEAFEGVACGSQKDCPW NQTLYYETLDPAHENRASQYEQAMINYEIRVKSASLWYESAMQAYTESPTPTPVPVKA GIALSAAEPTAAAGSVLDKRQLTDLEYAAPPSQNGSLNVGRESAEDADSADSPTKVQL QVEEAEEPIPTRVMPTFPAIYSPSVPLSHEDYVNTRLEEERLPLGVTRIKAREYILRP EAIESVWYMYRITGDPSWRAAGWRMFEAIDKHTKTAHGNSAIDDVTKTSPNLNDSMES FWLAETLKYFYLLFSEPGVVSLDEWVLNTEAHPFRRPA CC84DRAFT_1210063 MRLFIRALILAPAALGALLVDFNAARGDDPKALGLRNLEAARGD KRSENSADLYIKADKDADGAAAAHFHRAKANIRAEYHALNEETQAGKTYWIGYDVSIK KLQKGLMIWQFKEYIANKDKGANIPLCLEVIDSTHLEFQYQASNGAKRVGQWQKTISP NQRYKIGIAINTGSPGWVELYIDGKQQRLGDDSVKRLTATTFPGRADPKFGAYGGEAI DIDTFVYDVQIGESKADVARAAGF CC84DRAFT_1156871 MGESAMNEFMPIILEMMKNPPDANESIPVVNHFSTAIAVTMPFL VLSVVAVGLRLYTRLHLVREPGWDDVFVAIAVILNLVGQTTFLGGVKAGIGQHLIYIL GRLPNAMKWFYVANAAYTSTTVCIKLSLILQYLRLFREGYRRTITLIVLAVVVLWGGT FSFMAWFPCFPVSGFWNKTKAPPATCYAFGYRTTHEARNTLFAFAGSNMSLDILVFLI PLTEYFQPNLKRKQVLAMTGLFGVGLIVILMAVLRLWSGLKYNNRGIIMYDYTFWLPE VLVFSCLEIDFAIICASMPIFWPSVVAAWNQIYVTKEVIVTVEHRDDDNKGDLEMART SSRRSNESTEGLVTENSTEGRSFFIEDLPTLRIVQIQPLEETVRMSWGGTALKK CC84DRAFT_1104050 MGITRGSSKAKGQSADPVLTRLVAEDTVSWVRKPHLRNLYLLLV PAAIGIEITSGFDSQLINALQIVPSWIHFFGNPQGSLKGIIGAAYSLGAILSLPFIPM VDNWVGRRGSIMVGSIIMIIGALIQGFSQNVTMYIFARLILGFGIPACIVAGSSLIGE LGYPKERPVLTSLFNVSYFVGQIIAAGIVFGTNSIPNNWGWRVPSLLQLVPSLLQCIF VLFLPESPRWLVSHDRVEEAEAILVKYHAEGNAESELVKGEIAQIRATIELESEAAKR GWMDLLATSGMRRRTLITANLGLFTQWSGNTLISYYFGDLMKMIGIKDSLTKQKLNVG YAAWSLVTGGAVALVVTRFKRRTLYMICTLSLLVVYTGWTIAFKYAVTADKAKGHNGP ASAASAFFIFAYQPCYNIGFNALTYTYMVEVWPYMERSRGIAIFQLFGRLAGFFTTFV NPIGLENIAWKWLIVYVAWLTYEVVFVWFLFPETANRTLEELAFCKWSPWL CC84DRAFT_382849 MVTPNGLMRIFWPSDATKGRTPGVLVGFRNSELDVIVVAVLQDV GLHEVENALQVGTLLRSQPHDVQELLKLCHHSSLRALGHMNPQAPPEHFDPLHLAARF EPGTRLPRLHCPANAHMTLQVIVYDRPHPKYMQYLSLSPITLALGDKVEGGKWDPAFE GIEEEEESERRRNADLVKKLELHSVITHSATQKELQLPVLIDQINCSFELNAVLQKNI GVLGRRKKRAQSVNERVVESANAVWDLVYMALGHLWWVWTYPFIAQIVILVLMAQRVT SELVLRALNWRPRSMNSPALKDVSATAQQVDIRLQQLCYWPIQFMTLRKRKENWGSIT NSHPEYIRFYNSLWLVANDVIIGVALGSFIFDNAHFVAAQFDMVFHEWSIQGLRRMIV WLSEWPGGLKLNTELADFLGDLFIWVIDYWAGCIGVLRPHLPSFIRLVGLSAFAGATM PISLFSDLTSLLTLHIYSFYVASARIFHWQLTVLLSLFHLFRGKKRNVLRNRIDSCDY DLDQLLLGTILFTLLFFLLPTVLVFYLLFASARVGVIGLKAILEIGLGCLNHFPLFAI TLRIKDSRRLPGGICFELQDPKSMQLQTTVTSPASPVSYIFLKSVPLSFGQIFQSYFE LGDRIRKHYFSASVLLCLVYGDSVPPIHRRNLYSLQYSMLPAKRMEISQLWLRLAGHE SPGLDRPNYNLKLRKGLPNGVGKRGSN CC84DRAFT_1222782 MHPFSILTLGIFVAGYSTARWDLVNRLYELAIFAWVHGVVWRTA QGFAVLSVLFFLVVLPVERLASHEVHLHPRAVGGGISAREQLKRRGSF CC84DRAFT_1130759 MPVCHFYQKGNCKFGDRCKNEHPGSQRDGGNAFGGGNRSGFNAF GGGDRYRPSGGGGTFGGIRTGTPRFNLSKDEIKTDLTDQRPVYPFSCYGAGRDAPRQL IEGPMEISPEELRARYYSQRESGSEATGQQEEAQLQAKAEEQVKSILGDLDGAIKYIE VGADVHPNRLDIAQGKVDPSSSAVAPASSNTNPFSGAAAANPFGGNQAPSAAPANPFG GGAQSQTSTFGKPANPFGQPSALGQGNSAFGQASALGAGSSFGKPSLPGAFGQTSALG GASAFGKPSAFGQQSAFGQPSAPGTTSAFGQPSVPASTPAFGRTATPAAPSAFGQVSQ PVQTSAFGQPSQPGQTSAFGQPAQPAAPSAFGQASRPGQTSAFGQPSASGQASAFGQP SAPGQTSAFGKPAFGQSGFGQTSQPGAAASPFSQAAQTGQAQQAKNPFGGTQTQTDPA PANPFGGSSAPQTSAFGQPGGLAKPSPFATAAAAQSTTTTAPKPNPFAAAAQNTTPAA PAFGTPSQPANPFAQAASQQAPAQASLGGQPAVAPNADPYKEGKPEDYEGEQGQRLLQ IYQRVAQTGVFDPNEDIPLVPPKSEWVVAV CC84DRAFT_1156883 MSYTHSTEHFDLVVVGAGLYGITAAATYHEVHPHAKILVVESSP SLGGPWSPQRTFPGLKTNNLFGTYQVPDFPMDAARFRVKQGEHIPARKVFEYLQALVE DRGLKQHIQYNTKVRVVEEVKGGWKLHVSISNSNVTEEAVVINASKLIVAVGLTNQPS MPHYPTSPEFKPIVIHSSRFASQFSEIVKEGTHTLVVGGGKSAWDITYACATQPNSTA TMLIRPSGNGPSWMAPSHVTPLGLWLEKLVFTRFFGYMSPCPWAEMTGLEGWLRGFFH GTWLGKKIMAAFWQILGDDVIQLNKLHDHPETEKLRPWRDAFETGNGLSIMNYPTNWF DLVRQGKIKIVIDEIEQLGNENKVQLKSGETIKVDAVVCATGWKIGGGVEFKPEGLRY QLGLPTTEAPDVEDEALLSQVTNEIYERYPFLKNRDTSRVHHPDPTLRHAASSQTVQQ PYRLHRFLVPPAFLQQRSIGFAGAVSCLGNAPCAYLQGLWLTAYLDGTLKLPEVSSEN IRREMYRDTQYCALRHAMGYGDVYPDIVFDSLPYFDALMQDLGFEGKRKGYVLGCRNW VAESFQSYAPEDYKGLVDEWKRRMALETEGKKTE CC84DRAFT_1169275 MIYLTRSIVSASRTVLPRTSYQLPSKPAWPVTRNFQSTWTARKK IELAQKQVSEAEMRAKEDVNAEPNKVNKKGTKKHAGKTSSLRRVAVEAQRSRQGFVMG RGSKRFVDPHVDTKTVTAYCAAETYNISTAARLVQAHGYELDPLQTGLYPQVIHVRTR DRPDEVKDTQEGDIFIFPSGTMVTWNVREREALHLVNRVLPAAAEGSHLDLLETEDLE YLEDSTRESSEVVGDTIILGTKAEHGSNDAIEEIGTDETEQRHEIDTVLAKIAFSSGL ARSTKLAILETLLSDYQHSTREIPIMLAKGDTKTLGRNNVPFTRSFILRKTGELLNIR AQLNLYSELTDSMPDIFWDSRHELGLGEYYDQVGRALDVGVRIKVLNEKIGFAQEIAS VLRESLSEKHGLRLEWAIIALIAVEVVLEFYRHWTDAEERKDPRSTESLLRQYLVKVV DGQK CC84DRAFT_1169276 MPSLPQFNPLRLRSYILRLPLATRLLVAAIVGLWIAAIPFPWLR ELGSLSPGKFDLTQMHRLNLFPLTHLGFFHMFFDVFAITPLLERFEAEFGTIVTLSLF TGPFGLLPGGLYLLLEKFILRGDTAVMGSSVWVFLLLASEAMKTHKANPNFSIGPYKI PTWTTPLILVLMTSFIIPNVSLLGHLCGAAVGYVWATGYIKFLVPHEKILRWVETKLN LLGRLPHYVSVDQKTYGRYGVLPTAVPLGSVAREPRSPDVGQRLGP CC84DRAFT_1156891 MALLATLLALRKDARILKIPPYLSAFLILVGVAWLLVLPLKEYS RGTYVSENALLPGQVHTYFTGSEHNVFRAYRHEVAGLVDLPVQQRTERLSELLRASNL KVATQKYTYTPSGRNISDENVYAILQGPRADATEAIVLMASLKTMAGEHNYSGVALVL ALARYFKRWSLWSKDIIFLVSGDSTSGPQAWVDAYHDAHDPATIESLSIKSGALQGAV GVEYPAGPWGHRYDKLHIVYDGTNGQLPNLDLFNTAVNIASGQMGIGCTLQRMWHHRN SYQERLQTMLRGMLSQGLGHATGPHSAFIPYHVDAITLVTVGDGWHDEMGLGRTVESL FRSLNNLLEHLHQSFFFYLLLEAKRFVSIGTYLPSAMLIAANFSIMAIALWVQSGRPQ ETIPNPAAAKPAPKSVTQDAAKDPDHKEKEQEQEVEEEPLEPPPVIVTKDGATAIIPA ALLQVSERPLFLPAAFVVTAHFLGLIPLAAFNHIPVANLYPSFLLAANLTALLPLATA YLLSRFTRPTPQESTLIHCFSLLFLGMYLSALATLNFSQSLMVGLLTAPLSFVRRSSG SRAAAKLQFALLAAINPVMVVYGATYVGKIELLDVLVKAAEGWHVWGMWTQVVVWLVW WPAWFSGSVVVALGLF CC84DRAFT_1264143 MAMDTDTIPNLLGDLRDQAPDELQEYFIHFEDYWERKLWHELTD KLVEYFDQAESAQQRIPLFETFIKSFAKKINQLKLVQLGLKAASQFKDDTERLNFVSD IAKSVNKPASQDAYVYATVATASIQLRTGDDDGARKKLDESEQILESFDSVETAVHAS FYKTSAEYYKAKHEFAAYYKNALLYLACVDLADLDLRERQSRAYDLSIAALVSDSIYN FGELLLHPILDSLLNTPHAWLRDLLFAFNRGDLTAYDVLAGNISKVPLLKEHQTFLYQ KISLSALTETVFRRPPHDRAMTFQTISEETKVSPNEIEHLIMKALSLGLLKGTIDQVA EIARINWVQPKVLDMTQIQNMRGRLKEWDASVNHLGNWIEGVGKDVWAA CC84DRAFT_1156896 MALPLRCARSGAALTAQTPSTMRAANLPTVCRRYLNQDVRITRT GKPVLTVSGGRSSLGGYTATVFGATGFLGRYIVNRLARSGCTVIVPFREEMAKRHLKV AGDLGRVVFLEMDLRNTQSIEESVRHSDIVYNLIGRDYPTKNFDLEDVHVHGTERIAE AVAKYDIDRFVHVSSHSASLDSPSEFYRTKARGEQVAREIYPETTIVRPAPMFGFEDR LLNRLAGATNVLTSNWMQEYLYPTHAIDVGMALEKMLHDDTTAAETFELYGPTGYTMA EINELVGAEIIKNRRHINVPKRLLKPIGAALNKYIWWPTISADEIEREFIDQTIDPKA KTYKDLDIEPVDLKSVLYEYLKGYRSPSYYDLPPMTEREKREEKKYLHVIDDQ CC84DRAFT_383019 MVKIIRYYSSTLEWTKLPQSKNEPVMSGRIHDVDMEGIEAAMED KLVLRQGLRRKRSRADSSGFVDIEETAAKRVKVPELKRVQPEQFPAFTGTITIHVKNK GRKRKPLSLHIERDRVSSGFIARSVSNSFSDWIATVPKPIDLTHEDPLLVKHYVKWAN TRTIGTRKKQRLVSLQEKSRPDYKESLTLDTEQLALCYGLGERLEDAAYRNALLFTMR YYLVKEEAFPSDRTVAIIYEQTKRRSPARKLMVDFWAYAGNISWLEGRDVEFSVCLDF FEDLFPALLRARAKPEKATWPWADNADAYLVAGGEAQTEEGIMFKEKSMEM CC84DRAFT_1169282 MGGGDGYRTVAYFVNWGIYGRKYFPQTIPADKLTHVLYSFGDNR EDGEVILTDQWSDIQIHYDGDSWNDQGNNLYGNLKQLYLLKKQNRNLKVLLSIGGWTY AHEQKHFDTPASTPQGRKRFADSCVKLIKDLGFDGIDIDWEYPRDQEQGQQLLLLLQE IRSAMDTYATKLESETGTKPRFVLTIAAPAGADNYNHLPLADLAKVLDFINLMAYDYS GSWDKAAGHQANLFPSSSCPTCSPFNSNSVIDAYVSRGVPANKIVLGMPLYGRAFTDT DGIGKPYNGSGPGSWENGVWDFKALPQPGAQEYYDEESGASYSYDANTRTLVSYDTLD MAKKKAQWIKQKGLGGAMWWELSGDRQDAGSIVDGVVAELGGPSNGRLEHHPNWLVYP ESQYDNLRKGFA CC84DRAFT_1169284 MPSRKPALLLSSYSSLNYHFSSPATGCAASCRPPLAHDQRAHPH PRPRPRTYAQHAHGDEADLSWPDAVPPHRSPTPYQILHCKRGQAYTKHRFYSLVKLYH PDRSDAASPAAHLPHAVRLERYRLLVAAHTILSDDAKRKAYDAWGLGWSGHHQNPSHA HPWGHEQRQWAHDPSQNATWEDWERWHHRESGAEAPRDLNISNFAFVSLVFAIVTLGG VLQGTRANAFGSSVMEQRDKIHREASIELARSKRATMSGDRNERIRTFLEHRDATLAG EDSYQRLLPPSETCLPDTVRKH CC84DRAFT_383153 MARSLDTSMGDPERELADALEAHRGSGGEPLAGLFNLTRRMENE QEEQFDVDLTIMDFLAYKATDLVFEWRAGSNPHQSDLPSALVTMTAEWRTFLKHKHSG KRLNSQAAFRSRLLQFSLLFTHRLNHEQTWTTEESLNELRAQNRKRGQYWNDAGRTPA LQRPFDPSSEFPLADGALAENRHNLACAIDQPQERRRWVTDLEGTPTLHCLLPLFVEL TAARVQLGDWVPSEGWMDLMGQFMLHAVLEEYLLNGAYSQETFNTIFAFGCPGTEHRS DEGGDVVAMRSIFCSDAHPHQEIPGWSEVRQRYVQELLPPPNSSTSFVQAMERAQELH PYEAFENSTIAFLEYLHDELIKPDLVQVEEGRVNIHGNELPESDSREMIRRMGL CC84DRAFT_1222794 MATAVVVSTVAHLAQVLDRLTLKATVPPHLYIDIEGVNLSRNGT ISIFTLFDRTSKLVYLIDVYSLGFAAFTTHSATCKTFTDSVFQVIGADTAPRYVTLKT ILECSKIPKVFFDVRNDSDALFAHFGIRLDGVQDLQVMELATRSGRNRERVNGLARCL HFGLVGILTPLQRSQIDTIKNRGSALFDPEKGGSFEVFNQRPLDPVIEKYCMQDVIFL PHLWLRYYKKLWVPFWKFVVASETKKRLEESRSADYVPQGKHKALGWTWDDLRQKELK WNSGSC CC84DRAFT_383164 MVLQVHHMEISQSERILFLCEELGIPYNLIRHKRAPLLAPDSLR LPANPTGKAPFLEDPDEGVALAESGAICEYILAKSGDRKLSKKYGDKGMFLMHSHLPA DDATRAWSQARLEGALKHVDGWLAKNKWLAGEDFTAADIMTVYTVTTQRYFGPSVSLA AYPNMLRWLKDVSERPGYRRAMEKGDPEMELLLGSEAPGTTLIKEGGIESGIWKKK CC84DRAFT_1169288 MASSAYYEAHPLSVDQQQQQHLLSPPLGSPEHIHSGRSSEQSSP LKPLHVATSMDSIAKRQSLVDFEGLRKAHEEDTALKARIRRLRVVSRVLGLLISVAVF IPIALTLHKFLSTQNVYRDVTRDGVTKHRTAWAKDSKVWPTWMYFLIAAISVVLNIVI IFAYKFGIDKANKAATVATTFNWFVMLGNLAVWCVAASLYRTEKDKDGKSNDLWGWTC SPLAREIQKEFAHEVDFDKFCNVQSISWYIGLVQVGAAVLTVVTYVFVMARRSKKKSL KKRHSQMAGQYPTNY CC84DRAFT_1130794 MAFRPLLRQRLAPVWAVAAAAAPISLASPPAGDALPDALNRKPI YDDAPLDTTEPTPAAPAAPAAPAAPSTPASDAPYRPTPTDRLAVQIGKARLALHQQAV RAEDAVNDALTETLRLEHSFTSTIRSLAPPKESGERVFPGVLYVLVASMAGSIVTRNR NILLRATVPAAIGLGAAYAVLPLTMQNVGDLAWKYEKRYPVLADAHLRTKERVTSIWE TGKAHSAMSVGMIQDKVAETRSNMEDWVKKGR CC84DRAFT_1169290 MLKRLARPSAAPWVCQRCRQQSHRQRKSNSSFAAAAAAAARDAP LPASKLYGLPTAQARTDDATLRQMFDSPRFWGDFQRASKTHPPTGIVGNRYLSSPEGF VDFVSITLQRCNAVVEKVARANSIAEYKGMVKELDRLSDLLCRVIDLADFVRGTHPDR SIQQAAHKAYAIMFQYMNQLNTTTVLNDQIKKAAETPEVWDSWSEQERVVANILIEDF ARSAINLPEGTRQRFVDLSGEIADVGTDFTERMAPAASHLRFDSKRMKGLEPNMARAL TKWGETKIGTMNHEAQMALRYVEDAEVRREIYMAVRTANKPSINRLETMLKSRAELAK LSGYETFAHMTLENKMAKSPEAVNQFLNALIADNKHKVNDELEELLELKRADAHLDND PERINAWDRYYYTSKLLSNMDTKIRTPDFLSAYFSVGTVMQGLSRLFDRLYGIRLVPR ETQPGEVWDDGVRRLDVVSDTDGHIAVLYCDLFSREGKTPNPAHFTLRCSREILPSEI EEMGHLNHPFASPVEAATDGMAVAYNKDTQSYFQLPTIALICDFSRQQNRPTLLNFND VRTLFHEMGHALHSFLGRTSLQNVSGTRCATDFAELPSVLMEHFASCPSVLGLFARHW ETDAPLPMTALEKRLAIDIKTQPAETEAQILLAMLDQAYHTQGPLSPDFNSTKVYHDV YNTHASIPEPAGTAWQGFFGHLFGYGATYYSYLFDRAIAGKIWSHVFQKQGKEGSVDR LNGERYKNEVLKWGGGRDGWKCIAGVLEDAKLADGGEEAMKEVGKWGVRDPGA CC84DRAFT_1222799 MPFEMPTPYSSTERDDSEDGLLEDEKRGRIIIPRRRTLFNRWWS WFLQALAFTCSLTLYILSRYQEPSNAACTRKLNTWSPAFEAVEYHETNFRGEFEEPSP WRGTPTPELDWQWEELIEGSAFEIPPENLHLFNISAATLPKLYHTASGGLAASAWGFH QIHCVNVLRQISYKEEYYKQGRLPQILTQEPGYRRKHVDHCIELLRQDLVCRTDVTPY FILNPLPGTPPEALKVDFSVHKKCRDINQVKKWVRGNIVIESFKDPFVKELEFTPATI NI CC84DRAFT_1169292 MKFTTVFLAVLGLAAAAPAPAVVTKKNALGDRIKADIEARTPIG QFIWQWYGEDDATEEDAKPSPEY CC84DRAFT_1222801 MGFFNFRRKDPEVIYEKTSISSEDGYASDEAQSFLAKRRRRVHF AEPRKSGLCIVSLVSSAIINVVLMGFVAYGYAHRGANSPLFPQTVYSPANEAISYETK IFTSGFGDQRTKYMGDSYEADDEWAKLYPRTVVRIPKSQADKLANKTIPIAGDEDHFP VLITVFHLMHCLDSIRHLYFGHDKNMDPDPIINDAVLKRPHIDHCFDSLRQSIMCASD VSPAPYNWVPSKGKALGSLGVQHTCRNYEKLVEWSVAPERDVRGWDESIKPSTIA CC84DRAFT_1232347 MEGFYLNNISKYHSLLDALDPVPKPRIPHGRPGPNMIVSARIRP LSGSEEFPSAVFPRRDQGNVIDIHDLYNHPKGQPILKSSDYQVDRLFNMNSTTKEIYE ELVVDLVPYAWNGGVGTLFAYGQTGSGKTFTVSKVEEFVGETLMNGNLDGKREVYITI IDLAGNAAFDLLNEREPISLREDASGATQMVGAQENQVFSVDDMRTMVERATSFRRTA PTLKNPASSRSHAICRIRIKNTVNGSDGMLYLVDLAGSEAARDVAVHGADRMRETKQI NISLSALKDCIRAKAQSDNAATLGKEKLGQKVPHIPFRQSALTRVLKHVFDPASTREC RTIVIACVNPSLADVGPTKNTLRFAELLRVSIPVKEEDTERKTAMHWTNAQLKEWIKE NSGNPPILGNVVAPRESGAQHFKLSGQEIEYRCQKCPGVNRGQAQAFRAKLWHMYISP CC84DRAFT_1232353 MGNSASLARVVHQSMSNDPIRIILFPRCDTRPQFLQHLFNMPNT TMWPAPLKSFKDRIISPLSPIYTEVPDGDSEKDSENGSGITEKQELAPGKRSCQRRFL LGLVLIAIGAGIGFLAASIARKPGTGTQALASDIAVACQPSYESTPQPKLIYDCGSDI ASAKAADCAFDMLSHTWVPKPCYDHETDTEFREWIFNPNRTGGAFPYFLSSKLTVDTH IDGIDALSAVPADTWIWSHGEEHVGHCIFVVRRIHRALEGKFRWSDDVANFDHTSHCA HEMLESILEKAPLRRFEGGTVTLKVKYDKCTYGTH CC84DRAFT_1222804 MLDVPGLSMKSHEYSPLESEDGLEFQPLRLRKSWLAFILKFAIS ILLFTVACVSGFFAGRWSAFATDESDDILHLTTFSRVFEYDATYGEPSNTSNAIWRSL IPHHGGFFNHPTIAPERSVFSVFHQLHCLDGMRKGFWELNNALNGDTIIDEENILKHA VHFKHCSDYLRQVLMCKPDMTLEIQNPILKAVEGFGVEHICRDWAELTRFVKEWETWG SN CC84DRAFT_1222805 MVINETQLDKRRRQNRDSQRRFTVGERNKSRRSNSWGNPTGNHG QQLAALDELSASLGSNSSSLSSEHPNTFISNDYQSLLSPQGCSEGYNNWSSNKGQSNT RGEVLYRHGPPTNDSNPLPSGQMSLPPLNEQFPLHGIPMNVEHANTGRQQEQQPHNIG DTRASRKDGMLSPVSLLDVDKRIGSEVSKPFRPQELFFQHPGQRVRRRSESRAEQMIA DVEKLYEFGISLSLFPEDLLLRNSLRRMKERFQSLVVLGDVSSSEGDLYDGDPADRDS DDEQEYGE CC84DRAFT_383288 MPSVHVCSALIRVTWSTESGSVEKVNLIRAWFFMSFKPPKPLRS CTCNNTFTMMKPSHTHSIHKARQDAQGLLIYKQGVLS CC84DRAFT_1169293 MSSRGGPHGQWPAPNRTGPRSGQFVPVAGTFAEPTPQSIGYPRQ PHIPSPAHHLLPQHGYSGPPRVPISNSEFEHAQATFSAAPYPEPLNTPLFTEPVEYEG FIPAPGHENDHVAAPDIFENGRYDYDYETSSLEDDSEEERITAEAALTHDREEDKDFS LSEDELEENPEDFMIVDADNSEEETVPKRGRPKSKGTRGGRRGRPPGDGRGGSRSTAR RGRKTGTKGRPPGQRGPRPVADPGPEFKELQRLANEAYIKEDYETAMRHAKEAIKLNP EIFSAYSLLSEIYAAMGNEEDSIGSLIGGAPTKRDKYLWYLLLERIENIDPIEYPRMD RRMKDKLSVLCLTSIISLDSDDWDARYKKLELEVRRQRYSSCVILCRKMLKIRPHDTR VLSTMAQMGTGNERQTSLHLPGIIECFEDTITHFLALNDPSTSGLDYGFLYVYLDLLD KAGDYNYALVRLKTLSRWIQKRATETYWDQLDDDREFDFEDVPRRIAVAEFVQQKKKR RGSYGKGLPLEIIIKMGLFRLRTIPPNFDEAMRHLNLLYPEDDSSEETLLWEDTNLFD TVARALYETGHYQEALRFYEPLHKHSLLPRILDNYLGMYTCYRETGDMEKAATILPIL KEWEETNLRDWATLAKFFEDQGLMVEAMRRAEIVFKNRASYLLRANGFQHYDELRRHF FKTRKQARGNHGVKKARVKKYMKKLKAATRDEADTDQEENTIERPSLGPLKDRPKKGL FRSKERPLEKKPQTFMPLDESKTLEGTDVPLTAIDHNVFRKKLKNLADNYPDELAAAR LKHREIVASFARLQHLCDNADSGDQSASTEYLSIARELIEEFSTFDIFMSDRRQSFRG YFRRLGSGDLWRDSALMILAVGANRVDDGEADVELKERPEPPPEDFFGVHFDKWAEVF CRYALLLAREGAEERCFNVLDVALQSNVFYKSQRYTSDIELCRLSCALAVDDSQQVST SIRYLLKTYPFGTEIFRLYSAANRLCSVNDGYATGAATRVVMRWIKTIDYALLEPENR KWYNFKGRDRTQWMQQTLNTGLVSQVKGHDPALFALFGHLLMCTGSYAAALNYFFRAF AITPEDPILNLSIGVAYFQHALKRLSENRQYQIQQGIAFITRYYDLRTKDQIAVHQQE AEFNIGRVYHGLGLASQAIPYYKRCIALSGMLESGTGEDFAAEAAYALQTIYVLSGDF EGALEVTVSNLVI CC84DRAFT_383347 MPRRRRPPRPGALADLSPTRIASQIVLLQLAYYACAAVLIVFTA LVFGKEISTDLLFSWRSLRGDTTVGWTLGLVWVLNSLTCAVFILLLIARSKLVLDFAL TIHFIHLVVTSLYSHAIPTNLFWWALQACSATLMTSLGVWACQWRELRPINFGSKTVA SQGADAVEEDAGESRGRGRGRGRDGAGEYEMVGMGGGDDNV CC84DRAFT_1169295 MGWFSSSSSDGSGPKKTADGAFVAPTKSTRQKCYESRDAFFECL DRNNILDSVNSKKGRDAAAKACGPADQAFEKNCAHSWVEYFKKQRVVNYQKEQTIKKI EAEGGEVMPPQLPVGSNR CC84DRAFT_383300 MSSPAWVQNTRGRVAHRLASHCGGCGVTAIEYITEANRGAAEVN RTSVYTRYLLAIKRACREPSLFHLDSVIFQQPIMPRNTTNSHCRQQIPPVGQNLVQTP NMWNETGPLPCESDHVAFFQSHVHLRPDASGKAKANMAPSGWPGKAWR CC84DRAFT_1130810 MPPSKPKPSEIAADAKRNWLPYIWKHYVTNAKTSYAYPDSSVIR VSANKRSRNRTRVAVLEGDPVTHAMGWYQSAIRDPAYKNCKRIPVVNMANERRAGGDW ESGLMAPEECFARRSNLVHALTMPWNAQMGKDENHYPIPQRGGIYCPEVYVFRGGPDM DYAIFQNIEKLPVISVAPVRGPRLNESGSSYSFEQERELMKEKMRTVLRIAAYCDHRN LVIGAFGLGPIFRNPAREVAKMWRHLLYEEEEFRGVFTDVVFAIDCSMVGPPAKTGVS DVDIFREEFDPSAIFPTQYGWG CC84DRAFT_1156933 MFACQSFARGRACRLPRSLPLATRAATRCSSTSSSTAPSIAALL KQSSASSPPRPSSSPDHSLTLNGFVRSVRKQKRVAFAAIGDGSSLKTVQAVLTPEQAD GLSTGVAVAVTGRWTPSPGAEQSFELQADSVRVLGTNDATTSPLQKKYQTPEYLRTLP HLRTRLPINALLLRLRSLVTAQVTSYFVNHGFIQTHPPIITSSDCEGAGEVFTIAPET SSNPAKGATKQPTGHQELFFGSPKYLTVSTQLHLEALAQSVGKVWTLSPTFRAEKSDT ARHLSEFYMLEAEVAFVEDLTDVMDVVEGLLRNVATELQASTVGDELLEARARDDRED GNVVSSTVLAQRWQGLIQGPWPRITYQDALEQLRSAVANGEAHFEFAPGHEDGLQTEH ERFLAERLGGGGPVFVTDYPREIKPFYMAPSANTTAGHDQAPTVACFDLLVPDICELV GGSMREHRLPELVKSMEDHGLRSASTPEPDTSDGSLQCYESLPPNFSLSANMIAGAFA GIAEHSVMYPIDLLKTRMQVVNPSPTAVYSGISNAMVTISRVEGFRTLWRGLSSVIVG AGPAHAVYFASYEATKHALGGNEGGSEEHHPFAAAASGAAATIASDALMNPFDVIKQR MQLHGSVYKSVPHCAREVFRREGLSAFYVSYPTTLCMTVPFTALQFMSYESLSKVMNP TGRYDPYTHCFAGALAGGLAAGFTTPLDVIKTLLQTRGNATDAELRNVSGLVNAAKII HQRDGWKGYFRGLKPRIITTMPSTAICWSAYEMAKAFFIARSERGPLIKRSE CC84DRAFT_1169299 MRASSTQKAYDEAFLACSTAVYFESQSNEAEALRSWRTALDQIT YHNAHRGPSLVRPASSTEQALVESLRQLELQCKERVDLLETLKRSRQESADTLTSPPT DAKAPTPPPHGAGTLPVQAEPNGASSWLGGGTIPPVDYNDLSRPPPLPKRPSLAPRKS SGTASMRTLTQAASKSALAAPATTRPRDSRTPSPEKKGRMLRTLRPGRETKSSSSSKL PMSMRSQPPDAAKAATQAWGFNTNSGKLAKTSGTSPYVSSSRPSLETPSSSLETTTPS LSTYGNDAAQPQQSPYSHSTDTLVSPAYNPAPVNNRNDPEQALNLPRLPRKSVPSSSV PRHSFDTGRSHSFQASAPVPMMPSYRNEYPEPTLSNRALAASAAAKLSWPDNSTKSVP PNPRPSQQLGRDNNIDSRARRPRKDPPEPIAVETDDNETKTRPPPRRKGKAKANTARA DLPPTPSSEVGDSDEPEHDSDEWKEKCRTIMKSLPRGVDEAAAKQILNEIVIQGDEVH WSDVAGLDIAKSALKETVVYPFLRPDLFMGLREPARGMLLFGPPGTGKTMLARAVATE SHSTFFAISASSLTSKFLGESEKLVRALFALAKMLAPSIIFIDEIDSLLSSRSGSGEH EATRRIKTEFLIQWSDLAKAAAGKEQTDKDKERGDATRVLVLAATNLPWAIDEAARRR FVRRQYIPLPEGHVRKSQVQTLMSHQKHELSDADLDRLVQLTDGFSGSDITALAKDAA MGPLRSLGEKLLHMTMDEIRPIGFEDFQASLQTIRPSVSGQGLKEYEDWAREFGERGG CC84DRAFT_1191148 MACVAVSAETQPFSLRDEARYMSSHRSSAFDSTKKLRHMPIAFV SAGCLEGTVKEKPPPVDSTHGPASPSLPQSPVATVALAQMTIRSPSPALSAASSGASS EELIVFRGRGHTPLTQNESPSLPKKADTAPTVVSLTIQSTHGAMMSHLQPDSLPHGQS DIDSDTNSVVDSHFERRRGGRARWEGISAEWVSRSKPGIGWLPSNERPDMDAFVKGEV DPRDAALDDYLQNAEAFGLTGEMLGFAAGRELDLDAGSHNDWESEEEPEIPPQLEQDE NDGWTSDLARDLDVLSTSDDVEGIVAHVTGRRTRPHGVQYQVVYEGCTRDDARWLPVA FLTTPSDKFLIEAYEAQRLAREQQQMSSSSDSDPEFNIDGDSSEEQDDMDDEQLARAL QKQEELGIDDSDLILYGADEFFRGPVSTTSRASRTTFDRPNKRRQQRAGGGRRTEHLF PSASAMADALGMDPYNGFDIMDTERPSLKIKKKGRRGQLPPELDDPDLNEQLQASWDA DRRKKRLKKAEREELRKQGLLGRKDKTPNLSVKYKDGFVMEDVMEEIRDFWATDMATL SLPAMEAHRRAVIHQFVGEFGINSKSQGDGAKRHTVLSKTVRTLQFDDDLFDSTLAQK KYTRRLHAVSSYPPQKKKEKGTKTRPTVSYKDGEVVGAKAPELGAENKGRAMLEKMGW SKGMALGAMDNKGILQPITHTVKITKAGLR CC84DRAFT_1169302 MNEFERVGRTILRSVYDPLPTNNSTDHIWCLGRRYDSNAPAPKP ASVSGEGTLPAASPAPRNERPERPGLSEEDSWIRTSADESGGKEAPNGGEDPDQYGGW PHAFLDDFESRAWMTYRSGFSPIQKSQDRKATAAMSFRVRMQNLAQSAFTSDSGFGCM IRSGQCILANALIELRLGRDWRLQESQTKQDERPILSLFADDPQAPFSIHRFVEHGAA ECGKYPGEWFGPSATARCIQDLVKKYPEAGLKVYNSGDGADIYEDKLKEVAVDGDGKW QPTLILVGTRLGIEKITPVYWEALKTSLQMKQSVGIAGGRPSASHYFVGNQGNYFFYL DPHVTRPRLPYHDDPAAYTAEDIANCHTRRLRRIEIREMDPSMLIAFLIRDEDEYEQW KEGVVSVQGKAIVHISEAEPPPRGQERAGAVDEVESFDEDGLQ CC84DRAFT_1156946 MPPKAASKTPTTGGKAPAGKAPAEKKEAGKKTAAPSGDKKKRTK SRKETYSSYIYKVLKQVHPDTGISNRAMSILNSFVNDIFERVATEASKLAAYNKKSTI SSREIQTSVRLILPGELAKHAVSEGTKAVTKYSSSTK CC84DRAFT_1169303 MTGGKSGGKASGSKSSAQSRSSKAGLAFPVGRVHRLLRKGNYAQ RVGAGAPVYLAAVLEYLAAEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGH VTIAQGGVLPNIHQNLLPKKTAKPGKGPSQEL CC84DRAFT_1222817 MSSRTTVLRLYRRSLKLALDWAVHRYLWRGQAMYIRSLFEANKT VTEPRRQRDLIKETENLLEKWKHPDPYRPPTAPGGSKYERNLPAPILDPPPKMTL CC84DRAFT_1169304 MSGQQCLRRLAASSPLRPQPLLRASSKTIRLSASPATRSYSAVS RATSSGLLNKVSRRPARSSSQRVALFPQSRSYADTTVVKVPQMAESITEGTLKQWSKQ VGDYVEQDEEIATIETDKIDVSVNAPEAGIIKEFLVNEEDTVEVGQDLVKLELGGAPS GGAKQEAKEEPKEPASKEQETSSQPQGQQEQPKEEKKPEPKKEESKPEPKQESKPAPP PQKPTPSKSSESEPKQAAPGAREERRVKMNRMRLRIAERLKQSQNTAASLTTFNEVDM SSIMEFRKLYKDEILKKQGVKLGFMSAFSRACILAMKEVPAVNASIEGPNGGDTIVYR DYVDISVAVATEKGLVTPVVRNAESLDMVGIEQAIADLGKKARDNKLTIEDMAGGTFT ISNGGVFGSLMGTPIINLPQTAVLGLHAIKDKPVAINGKVEIRPMMYLALTYDHRLLD GREAVTFLVKIKEFIEDPRKMLLG CC84DRAFT_1222822 MAMATKIVHDGRNGIRRSLRDPQRGSSSPAASGDPLDVTGTTFS QIAGLVLGWVGNHDRRSSYETTIFIPKKNCSPIIIDGSRANTIPKSPTLPPLPSMHGV DVPIYEKAEYTIAICSIQTFGDTRPHGSVCDKTQSIVRPPSMSLEVFL CC84DRAFT_1222823 MWVDPMLLTNTKSHKRQKQSSQMEDYFDSLLEDLQLADERMSKL LDDPHPVIEACQRQKNWLRRNTVLSYFKDLTEYIDGRDLSFLAVDEAPDDDDLDAQPT PPS CC84DRAFT_1181269 MATPKRVGQMLPNETCSDWMSNFLRKLPVSQRSVFFSNTKRSQV VDLAEIVMGCLLSDIRQALSALTPSAHVPVSIYNGDLSVDEPEREIQASRRYQRVPRG KSPPAPSHCPYRGFLELRSASKGDKSHKRRLAYHEKQVRQKILQWHSLSIAGLHSAAG WF CC84DRAFT_1169305 MGGDVRFSRDGRPILSHDYLTVKIWDVNKERSLIKTTPVREYCT SEHDCAMRTRTTASYDYLISWIGISYADIPHPWKACNRSGAGHDRGRTMLGVHIPIMT ANSILKSPLHTP CC84DRAFT_384458 MTSNSPGFRASALLKSVSSRYSRGHGRPCAPPLMPLFNANITRD TRVRSSPRISKSGGHKPERRSRCARVHTAIYKCITDMCTVYATTSLAAPCRLQVPLGD RVCGCGRAASWQRGLAPTGTMDVAPACGMPVDCSLQTCTSVPLTAPIHNLANCA CC84DRAFT_1181272 MQVAWQVGLPVGHWVRVPQGAWASYLGAVPWLVRAVTRGPGHRG RLGTPHVGKFMLIQGGLCGALRSVLGKKSATALGCSLPLMEAAVHAISDGASSVTVPG LRIPLPKQVAPAGLTMPPVFAEFVQNALEKPISGDRSPNAKEDVTYSRVPISSEVGAF LVMSLCQPGPFLASEPEAKVSSKWKPSRCTEPGHTILSDAWPDAVLGCSRTPGTLPDR SVPASSGRVNHRRKLQGARGDARIVNYQYGLYDQAYARAANVLETCHLSLTANSKHVE VFVHWVDIVDAQRGHHMEQVTACANRWKNPFPSSKFIYLFRRKVTLAAVTSESDIRIH EDSVHLPTDYDIVAEADNRPTIHITTCLDLRIAMWSTLCHTAARAIAQSSTFETFNSR SVVMSGPTLALLCLASENPSEVFPKQYTAHYCVTAIRNELCSENVVPSSHLLRRGRSF QLCKPSLSCSCCKSSMSLSEFRISRIPNGDENLLGVLPMESVPNTKGSVITHDGKGVL GALVGIGAIISTAQSTVLRRLGFGIHDTACGLCKGGDAWAWLRRVTNRHTKQRVPPAA PSPPPPPAMSPTTLDAAEALMRALRAIHDRDPASASGTLPSARFLAQCGIHISDATQN PHPHRARLNVLVSTYAPDQHHRLEAPAVDVVRRASTLEWADLLAESAHSIMYAVAERE ACAMPPTAVTAFAPDRYDMWLEALYEPLWEWSLAWRVQHGLKVGDGCSGECDRAQCCD IGTCFGKYCHENVVGCLLPVRAQPKADADGPLHLGELVYALMLLHRQLKRNSAPLGCT VTIVAVTTEGQIRVHEASVQPPTDAHVKSTPWIRIAKCLELRTTDFRSRDPAVQDDWL NIMSYLCFTHEANLNPYPDEQLVPVEAAMRENDLVIGASAHDITTDITASEHKYSHSK DTRDITASAPDATKDVGSSAKENVLPPTPAVGGKRVLKPAPKTKSPREPAPAQE CC84DRAFT_384481 MLKRMRLAITDVGEKRFIKRPFHQPNHVSIVRQHQWMVYAILIT NHFALLVSAAKPVSFVHGYHQRSHLLHGNLPSSGSRQISPIRFDLGIVNDTPFARTHT NTTASVPGCPMHVFVLLLAKKSRKGLTKPEDSHLLAHCLKEVQLCVSAPAPSSISAVL LRS CC84DRAFT_1210091 MLNHGGYDRDLVDEVSLGAYLITDGANRRYGNVTGNGHDHATTP RKPTRIGLTTATVITPGGAKTSGTPAEVNIVTEADTKSATEPAPEAVAAIRDAKAHLA SIAISGRRIVAMIEAMESSEVSPESGDFSAHDVTWNMEPPITTAPLDSIPSGRSQFST ALPHGDESDILACTLTDFVQITIANFTSTQIRVHEAEISVPSDPQQNSSTVINFARLQ CINNSEFCGEGPKVEENWLNILAYMCVLPYPPPKEKGLA CC84DRAFT_1222827 MSTLFRKGGIPALVSSPFDHASPCRGTLVILVNERARLATVELQ FELAAQGCNAEQRMRFVYDADNFEPGTTTVSDAPLAGRGDRAVQLAQIARPRGGKLKA LGLVLKQPCVVLSPAAGPLSANDAGPRFEQLAALARATRVDIVFDYAWLHPDKRAQFE CLVGSSGLTGFPRRSGAVEADWTVFGERDPPRYEESLKRPRQSPSTPPQAPRPKRLLL DDANADIDPGSPTEKATTDPSSPPAPPDPLQAAETAMAKLLPSALRDVLPEILADVLP GILTNMFAAPTPTPSLAHPSTPPPGPPNPLSPLHRVIRARLHSTAATVAEQISAESYA HALEARDHADLALDEQLEDQRLEFAMLKEDQLMEMRRLCDERLEDMEGRCADMVASVE GECGEAYTTAKERISELVGELRGVLVRERLGVRAGVGLGTRTRAASVPLDVRW CC84DRAFT_1232410 MSLRIPISMRVIYIPGSDFDLFELPMASSVCGTTTFDLAGHEAT PGKTRSPSAGIQRIGGPQTPSVAENVDEEYHSRRYVNCCVPLLRDLATTKELQEMSIQ LFGSGQGLRHRRPLRKERDTQPHGKMSISSHLLYIYNSLHNVSTTIQMGVPGSANTIV SSLTLWYTPAQNCSNASGLESYGTRMPFHPRTEFEAPVNPEECGDRGDSLMSFSWTSA HCLLTCRYTLLAARRPVLHGGTCWAGEGSVATGSSHNDLTLDEHNRLSTREALEDLYA MGSPAIIQSTRYGTLSPASRTAHHAPIRTTSPMCKVILGGGLQQHHGNLPSTHKTALG SVGFTSCRAAPYASVLATATHADFQPSLATTCTSHCRSKQRARRR CC84DRAFT_1210093 MGISNNLLYAFRMAKSHTLEIRWKKTKDLLSRLATQLLRVRLTT RTKVTISAKNKENPAGNDAAGDEADGYNNGPGVSSAHRKKQGPTSRDEVPHIDEVPGT TVSWRDYDDKEGWEEWYIV CC84DRAFT_1169307 MSLHPSVRRSRVRCFPGRYVHRVARLSQVTPRSSPDREDGRHPQ VPAGTSTPREDENQNALSKLENASPPNMAPNVGAYAIPEPPAPTLERGEDTHASSTPQ RAPAAPAQSAPLGRTKTVSRSYICHGAVEVSEDDDGLMYIPTIPTEFLRMGLGLDLPI IHRGPPFCPGPEEGDFPGDTRPSTPDHMVQDTTHIAQPEEATDQDDGFVIIGTQGNGT EDVHDP CC84DRAFT_1210095 MHVEGSRLCPRPIPRTRCASLRATSSACATVVARRTRTRNGCWE TRCSGLGIRWNGLRYSSRTPMHQRAPIQTLNNSSYATIPQENRYAERWLLDSQTQHAG VCPAYKNGPPKVCCRGRSDPHPREDALPNPARFARTRMLPGASPCLVEPEFCGVTSRD LLGPLLLVLRPRHTGRLLPRTSPIASHPPLTGPIVTPGDRCSQPNSGFPMPPVRRKPA STRVGDLLSDVGGG CC84DRAFT_1232414 MDPINEAIEEINSLGPGETFTYTAIAKKYGVVRSTLTRQHKGET ASSTTQHANTQKLTPQQELELVSYIEQLTARRLPPTREMVQNFASAIAKEPVSESWVT RFLTRHGI CC84DRAFT_1232420 RSLHHISIQNELLHTEVEGLSKALLSKKKHEKKSKPLDLQQRQE YRRGAVFWSPSKVREAQFRQRIKDQEAEKQQLKKAHKKAEKALKKVHQLQEKEERARR EEKERITAKKQATRQRKEKEKQNTKKPIQTYQKGKRKALEPATKPIQKK CC84DRAFT_1222831 MNLITCFAAVFAEFAAYADPPVAPGSDLSGGPTFGKAPRDYVTN KTRSAAADLATHSHTTDVKDSLVEGAHQDIYTAGVVARTTDDGDAVLALEKPKRPWDS LR CC84DRAFT_1264171 MPFLLHLRKCQAKLGPTFSRQAIQPVRSPWKRKAVTGTGAIWEW KEGNLGIGGLVRASLALPPTMGTERQKAHMCTTATPEPYADDQAPVPAISPPFPRDGP APVPAMPPPFAPPDELDSVADGVSARADSPITITFIDAQGNVTPTSVTPSADGEDTLL SLAQDHNVAVLGTCCGECSCSTCHMAAVQNAVSMGAIATQEEMDMLEAAGNMTNTSRL GCQVRLDNRQMDGLVVQVMHPAPGPSQGVGTTLLGEEVHAPDQDPAR CC84DRAFT_1232423 MSVISLSASASLASSVDGRRSSPATSPTVPAQEGLHDIDPVPGL NSAASDPALALVTDEHPSACTNTAGDNPPVPTPSFQNTGAALQELASPSSLSCTAHSP SGSVPKLDRDLGSPLDTMSDQRTPETTPPGLPAEKKDSKKRKRGEDKNAEGSEVGHSR PLRSLRPRLHPLLAPLVAAPTHPVDARTGSAAKEPDSNPQPSNSTIEWEVEELTGKWY EDRKRIDPETGLLGFLFFEVKWITGEVTWQAYTDLNCGELLAELYQRKADLPQRHFIQ NLIEKSRE CC84DRAFT_1051193 HILNLSAQTIIWARDKDSFENQDEDLIAEERFLEEWRKYGPIGV LFDVIASVSTPQAHQLF CC84DRAFT_1181286 MLHELVEGLGGITRRLVRHKCILERFIILGHEPVAVSTSAVRAL GTREDIVVDAPRTERFDHDHTRDSVAFRLAPPINVASSPKVTASLMWTNSPNSAWKTL PVDDDASHHPRSNQRSEASLNTRRASQQNRNDDPIITHETNRKRLAYAVHGNKGQLGH DDHACAESSRHRAVAGLAESSFFLGGVVLSVGLLGMALVVVISPSTLRDFEAGGMSVA LAVESLSGLHTIPVIAHASSVASLVTKVTRNVPGAWVEALDDVDSVCGRGCGRGCGRL NNRGLIDPDATLSDADNLSLRELARLVVFRLRTDGHNAGKHITASLLAGALSHEEGKR AAPDVTRGRLVPECIVEAGMPPILPTWPWEHNHRQYFVIRECVRRVPGTSVYHLAGGD RGGTADALRPGVGITALFESRWRQQKTPFRGDVGSPAATVREVGCCVGRRRRRCGVRL RVRRWVGLWTPREPVSRPYWVQRSVQGRCDRVRAATRRGRRWWTS CC84DRAFT_1222836 MCVLPTFAKGLAAVAPSINNTACTVSNEYLFVQQEPAVPHRRPW TGENTFTWRDISADIAVSMSPCDESVKQVMQMYRTRTIGSVSRYTIQSQALEYGTSAL EEEVAIEMIPEDRSDSFKEEEKWERVDSGDTSNDEERHERTKYAGTDCTRSTYMGKAG YSHTVLPKDESSNDSHVGGIQVIITEHFYQQN CC84DRAFT_1222837 MVQTRAAWNAEQQSKSGDDAMVDGDLYVVVSQEIDTQLERLNNL RDEVSSRGDWDDESLDTMSLTGDAIADELKRLHDQVDRIGKVPVWRSRQYITLDDARD ESSSIEEFLKQERVGRHAAHLRSLLPPGWRNDSLSTYSAAGNTGGGPDDDPLKDLNKA MHDLGLKSGTAPGIWKTKAQVSEAHLKSLVDTFDDLQKYIDHKTSGLPQAIALDAEFS KGKAQYAHIPWQVAAVSIPPSDPGTIVSAYWKYSTVQAKTVKAEANAVDDEKMKTWLL QQAKTSERPDYQHRMVTGLTANGFKAALHAKGVDERALLVTWGTTSADVVAFAKIWAG CDDLLVQSADLQWRRIKKLDLSQLVKRTTNLGSLSLQQVYRALFPDRDGLDWHDALAD ATATAQIAYHIYENRDSWL CC84DRAFT_1181289 MTPESNGPEVDHYSTWHRPLGRPDRVPQYPKPPHFNLAFYQRVT EALRLEQMRQERRFDLYGAVAAESRTSHTPEEVRVTANAGSRAWLCRTTEVYSPSTES TLDPDEELEVHGAGMKRKRGPQSSSPHTLAAPLVSGAGCEPRQPVQTPNQESEDRNPR GVDNAIHEASTGVAPCQNPQTTDGAGPATPRG CC84DRAFT_1222839 MENYRILAIANVDLLATPKWGRPPISAILEEWDTAIQDHGTQDA FREIRTSAAQKVVFGSLAKSSPLYSLLQRLRRCDWKLETEDLGDQFGYTSLVDPKFVR YLARISAYAADISWATVVAAVQQARNGRLSSVNGRSGPHGQDWLPQDVMNAEKTLGLR RARKAAKCRHRTIHRQTDDRFPLPSVSTSRAAGEPSQHLPMRLASGGGPAPVVPGSSR ADPRLGEGQTSSSLPSKDSSRAAALRPYSTRRMLCSGTPTPASPRIPRAPRKRTVLGE LRI CC84DRAFT_1181291 MVRRQVARGWFWASAMDHRASYPASAVPSWNNFWTKHSIDDRGF RILAEATGKREQASVMVERQPVSISSVPTHEISLAAPAIARSSAPASVLFISSPWFDT YVGWQGVVWAGLGDPAVAMIYFVQQPALGSIIFFRPSASVSDAARSPSEGRLTGGEMA RNA CC84DRAFT_1181292 MPTQLEQHRAAPFASRPQRFNDRRSGPFAIDGNCYRWMLAQLPV PLHPHADTGTAKTDPGEAADTPTDMGGTPIQSFPTEGSTFLTEIIPPKRRITPLRDIP PSKFPSKCKGADSPRHASFQLGGAAGSRLSKTPDLFHSHTQALPQACQPHHSSGTAAT EAGSLHNCYALERPEISVGAIGGYYQDFEFARPRTDDLNINCVSDLCINKTLIAPDTS MGDYQIVSLTYANGDYYPFLKSVAEQRDVDCHVTTCLPKPNWPSEIANGRLVRIR CC84DRAFT_1222840 MDTWMSEDQGRLLLRITEYVGREVGSQLQARAPEMAGQMRAQVQ AQSRIAGPTRAQIRHIHETSEMDIHSPWMEGVPFEVAVLNEAHHPRPLDDGGTETALD GDFHAQGTTRREHEAHSMSELASPAAAIAIPKKRGRPLGSKNKKRGRPAGYKSRAPRD KEIATVESEAPADWTELGQPDAGGVVAGPSENLHVEKHVSGDTVASITTERSRQRGRP RGCKNEKRLDEAMAADAGWVSGVDDTGADSGLNADVDEQHEVGPSTTAEHPPACTPSS SRRPHPSASPSLSPPLSYGLLDHDPSDPAHEDHPCARLEVEADSRDDTTEEWWAKFRA ELERNASDDANEILPRKEQRRGRAATPHPRKGVVATSREARPEAAQTESSIDESWRRG HAVWIGSVRHVFEDEEMADFVRRQQEQMEDQEQMDIERERRRARKREEEERLLERIRK IKNQRRMW CC84DRAFT_1181294 MDVVIRERPWAAIIPSIAPNDRRGALLDKAHHMWLLLMEVTKVR EQRLWLGWIVYIERLGATRLLTNRNPRRGLIPDSEFVQGSCNFVVEVRRVNVRRFKFF KTGGLLTSTMRFGNQRSIAPRLDANKGGAQYQSRACHQIWIVPAVSYANEAVVNLYDP ELVHYLSVTSMIQNGYFAPHKPLPSPKKKVGIGDDTLTQIMCGDMLNASRPGKVHHVN PCLTLMPPRHLPCLKCLSNALAKTLPTFEGLVGAPLLFTLLSLCVFMNTSILVLTHDS PFNAMKEEKSANKMADDGSFMNPSSEPPIRLKDTPDKSQSEGLSVDGEHGDTPKPKGG IIKFSTHIPAKEQTTGSNRPGAPAEPVPRPLEPTIVTSSSTWTYTAPMRRPRHTSSTQ VTTRPSKHGMLIPMAHEGKKDHGTVSHAASKDSKDEFDGFNIQMLARRVQLMRRDM CC84DRAFT_1181295 MDNSTLSIAATIYSYYRGTMVYELFQRAAVLPYLNVQELLLNMN NATTKVIELLRSVAAPSRVAIINVTTEVTELFCSVAGPLRVHINNVTADVIELLRSVV VPWFAERFEAMETMLSTTSLSPEAASVIGLLRVAVVLWFVYETYRLAEWLLNEISALV GIGCGYLALHIDAAGAIVRYACADTVLVFVALLSPPNTTFGNATLAVYLLLVATYYHH HQAAILFRPLRWANHADEWVNARQGPTYIKKLYTNALRFMRYRMLCLTGYIVFFCVLP ACTAMLLEAMPDTDVVAALLRLPNDPNCPVVVDAVNRLALANKTVSYLRSVLAR CC84DRAFT_1222843 MAGWPHESSLNNGETSGEHASKQRQNELKKSPNIPNGLDEGIPA ILGTKEDVPVTGAGEIYLKSSFLSAAGSQGSQEIHLQEPSLTYARSPFSSLDRSQTAA MAVTEVIVLSPRSTTKNTPYVRWMHRIARRWRVSTTLAATFQGRQHFRKIPGGEQYGL QSPPRTSSDGSSDPAPKSSAR CC84DRAFT_1181297 MQHLPSNRHGRHRVVDVAKWRSPMAARCSDSLPAHIITASSTAI NSAPATTVPTGQSRPLSTKDEIRASLAGTHAVTILACFANTERAPAYLNACLFLGTIH FPLYSYQCIAGSSGRKRTPSHMLVSRDSIFIKMGADLCKAITARRRRHLLPARLQYRT NSGTSPPDRGTTLAVKVLSSHVCDLDDFVSGLVARSEQIKHQPNSTDYFTASRPSCPP PMQGRISRFDCGEGVDARF CC84DRAFT_1264173 MADIDDINAVQSPLDLCKFSHVFGSSDDDSNDAGSGGLAYDLID GTDHYYVSAATVALISRDASYILTSLSDPTHSTPAIYANYITYMATPQPTNIATTVVS LVMHLFFHIADGPNPCLWTAVRKEGGPGHESELYDAIHPHLLEPAELHCRERFVEKVK AWRRIGAYYAFFARQLSLGALICVRDLLHPTDIRSCARGSDDHYDASEEADMRQPGRD ASLMKYLEDEVKILEEAKTSGADEVMHALLWDVFSNFFLGFDGDGLGGTFGCGTEFSR GEKARGLFPGFERDVEGE CC84DRAFT_1222847 MACLTSPAELRNKVYDLVATAPVASINHRTGRTDGSYAALTRVC RQIRCEFLPIQRREAKVCLPLEQLGEWIRTATSHPDKAPKLMRLVPDFGKFYYSEIHH VYDVLPLLRLNHRLNSSTVFEIAGAAQGDEWKTPQKLSQTDPTHWESLGIEMRWSIYR RERSRRWFATAVTAMEKLLHHSDPAWVALVKSRYVTRADFNMDIGLLTVTMSDEILHE DDHPDWRSTLDPTAGGMEDMLSCIHVYFLRANGERSLEAIWRTSSVGGSPWSVVWS CC84DRAFT_1232440 MARYGRSTEASTTPPRTSPPHWSTLDVNVDSPYARSTYAAGSSP VPLEYSHHYSTPLHPSPSGYTQTEITSDVELADETTTNVGLEGLVHSMPHVRTVGQYL SFREESPQMPSRSGDSQANPITIDVETDAPSLARHAVNRTLQAGMSLNEGIRAVRSPR APPSISTPSPPPPPARRLGHWRHPVERTVRGLPNRTRPSTGHAKARRIDHHKCNICNK WCTSSKRLRSRRKTKKHRKDDAETDTAASGPKRLRGTKDTVVRVPGQRGVAVVKSSRD RQDDGSGVYEQASQPEASSAGSAARDVAAICAAKVVTEEPYPARATIGVTGGTNTVLR RTSNDSNAVTRAVCSSQEVIDVEADTPVTFVPNARCPITGTFPEHPRQLACGHVVDGE AMHDYCQVHRDQYKKCMCTPQRRRRRRRRKGLLSRVSKTPLTEPGDESEGTTMDMSWR KLAYPWPRCPEIGCSTVSDSVPWNGRRMPLTCPAQWVLDYQTFPMSKHEVQVLRERYE LQEQARANRRERGRERETIALE CC84DRAFT_1181302 MHRFGVLVWVKRGVLFARAAPSPWSLCVPDLRFPPCASVAAHPV RTSAPGVAQVDVHREHLLLEHLAGIDVGLFDGVGVRARAFVGASRVDFCGGAAAFVQA VAPSRGATIPRIALSREKMGLGGDLLTWVIERKRGARQFADKARAGAELCRAICKMSC SISNGVQPSCHSLHAEEGMDATR CC84DRAFT_1181303 MGGGGFLELNRQLWTSVDNVARELAIENSPLAKGIIKDVTGCAY KQGTAERQKLRQSLKRGDAVGFGQVMENAWALKEAYIEVIYVDFDVSLAVESPEMSPV SHERSSSQGQFRLTATQRQLQELPEVLAGHALAEGP CC84DRAFT_1103565 TGKQPVSCRISRHRANQHSLITWVVSYKEPVHSFQLFGTSQFSK GIHKHPTIQRYNAGYQGYYNATHYT CC84DRAFT_1232461 MPHRNTKPASATERLGESRAGSGATDGGKTSAASHAAKAPDAMP KDHTSQRTAASEAAERFRAQLNRSLDDFALVYNDLMDKHGEQAADEFVQQLGQPTCVY LNTLLGERLSRQDQSRLRWFQRSDATNRCPWDRSALALYAHFGPQFCRKSFLDALRKF ARLVPRWEDAKAKLDEAVRAAAVQKADQGSRTGRVTLDGAVHRFQLNLAISMLSSARE AATADMASHLVEEREEDALQHGEESVAAGPLLEMASSTEADRRPPSPGDGVQSAKELV EQSEGQARGFAVEDIAASPVSEIVPSPEKVRRHDEEAGVQVDDTQVDDTPEPRFRSQT RTPTSTKQQCSLSSPGTPCPTHRKRLSPLSGTPIVTPAKRCLSLPPDSAERPKHRRRT TAIFSENEEGLTELLDSLSNRERADSAPKLMSATLTCDSVAVGVNFHMMGSNAKHPLS EAVLSRNGHQDSQHLAVAPGAPNDFEEGSQRVQGDAAADGDKAVEKPVASLPPCTQPR ASTTSTGLDDATTGWNSASEPSVAPMSSRPPWEPTCSSGTAGGAGTSTTSCTAPPPVH GRMILDNQPLSSDIVMPILHSFNPRPDTLYVASSFHFTDAQHSSRLPRFLPDQHRNLV AGVYLSAIRHWCAVFINLDDQSIETFDPLGNPACVFQVQSIARAVMSLNTPEVQSWRL INSRGPATFRQSDSISCGLFSVVYLLHRMFGLDLPDRLHPAVWRILLSRLFDGDGSHV TELAAKAFPPDLMQSNDIGRKPCDVQSMFERFTNELEEINFMSNQTQSARLLTRAAIS SADAIAKKAAKYADYVSKQEAWCITVTGDKNPFPADIINAEHENLAKFRRIKKVLCVK VAELSTDNCTALTQVSQGAINLQEWIDTRRLQVQRRIEDYSRRIKEEQTRLEEYHQEQ EAKLAQAAEDLQQARAHSEQIQALLRVGGQPTYRQNITGRGS CC84DRAFT_1181306 MDMHSVKGLPTVHRCRRVEYLETGIGIPTILGVRTAPASTAIAT LGQVMNFVTVLTTDKLFRWMPVEVLGAGMLEIAEDINEKDHERRKATIVHSLPSILFI LAVVGEILGLIGSVFGVVGDSVLDLQRLVEDKLNLLGNFGLVLTPLALTDVVRMSKGL GSCVGGIRRPQAERGRLFCTTARRESLGRIAPDDATDQRHAFSQTSQQACTHSVSTRT SAGSLASRQVTTAAPAAFSRHTISLTDFSWLVPRARPIKAAKSVRRSFLAKGIGLVCF FDGGHPLSARHPDMQLHNAFLPNSPTATSDTRLEQAQPDLASGYLLSRDKGRAPVPST RPKDQIRIGVVRSPRTDRLRSLDVTARLFLPYSTTQRKTHDLVWKVIAQGARHGTVMN EHLRALH CC84DRAFT_1103946 NCSTTITNTSSLPKQFGWIGHCDSSNFDGGCLCLAAGVPNRDGS YTHICCDCRFDRCAWRSEFGFLSRQSQQFLAIPERNIISIGGWAFATELGMSNNLREA LQEANHGTIATNLARCFRDKKFDGVDFYWEYPGVFPLSALVQR CC84DRAFT_1222854 MPKSMDDNKKLLAYDIHVLQKCPMPRELQDYINNTIRKPRQGST SPYGKKTVKVAASIRSESEDTHIHKLTPVLMEGLQGELLGGVPCVDYCLKPNLEKKFL PQTLRPSVVELHKPSTQPQHDVCYGYIVRESARKAGVQMAFDEEAEDKLDFEPLTGHL HLPFLTMQWKCPTGAENSYDARLQGGCDGATIVNHLFELYERAGASPTLLQTCHLSIV TDGEQLQFHIHWRESERTKSGEEVLVHHMETVGRGAYSLSDEVDMEEARKPTVPVLDS LPSNTISSRGASRVPNAGARQRQRDMVLIGFLSSTTASS CC84DRAFT_1232466 MTPSTIASSNIVQILEGLPRMSSETPGLEALGPPKPGTRAPPSS PVLRYTAGAKPVARLAQMVEELEMLIANDEQMRLDKDRLQAELEVLGDMEGIPHAELQ AEKSNSEREEGARQGQDNCPKLHISGKRDAT CC84DRAFT_1222856 MAAQVPPKCSDATNDKCDPVTAVGGDAGRKASTHDSSGVSGGGT TTGSAVNSSRSASYSYLFTSGGQRYNQPVAKKRTRYSPEYIPRKNVKKAEGAEKDKAV EKDKAAEKDKAAEKDKAAEKDTR CC84DRAFT_1222858 MKLLKILVAFLISILAVAVALSFPHRISPLPILLILYTTITTPL SRTGPTMFLDVTIAIYCRFCTCYPLWFFLVYTLWTRWLSEQRMAGGEQRRAPRQRAGS RPARSNRSHVTAQGGQSDAQSPSAAPRETTSAVTRGQDRDVDMPDVDVEDVDMPDADM HAPATAEEDHEAESSARLAAVSRGGAGVAGREQDLQFRLPGSSFSARAQLPSLFAGPT LLKDARTLSETASSSAPDADAQSTSFSAPSTAQTAAADLALIKIPRNVGPRLLASRKR ARDATSVPPGSPSRTSSSSSQLTATRSSTAAASTISTAESIETALPPAADTALVLYQF RLNMPGSDFNPEHEPAAKRLCTDRNSTAGSRAPFVRKSTNVHGC CC84DRAFT_1232472 MNGKHGSMAQSDEESPLFSGGYHESSDCVNGALETWATIEARSF LAPSGPQSRRIIRELALAAIKSSKLSGIADRAFARASASLSGAAESGSSSKASQQSQS GGTQCTLGSCHMSIRRHCSATVASRLVILAFGVLLGTMHRNGMVRREAASRSHSLRLH LGLQSLTTNVSVLDMLLYQFCAVGFPAAVHCGGAGETPNRKDPGNRPMVPVGSRSSTV RVHKAPSIPAEVMHLFLRTHLRFGEDGACKCQNNKSMYLGAMEVLKGVLRF CC84DRAFT_1169314 MPTITATYVDTFAAQYGVPNGTFGSIRMQVCCKPSRRVVKDVGK FPLVLFSPGLGGSRLLYNVLAESLASAGYAVATMDHTFETLVVEYPDGSFTPGLNASY WDPSIPGRLESLLGVRVEDGRFVLSQLGRKDVVQKLAPGSACGFNTSRVAFYGHSFGG ATAISALMNDTRFIGAINMDGTLYGNQTDVKKPALLFGRAEPSPHNRTNDASWEGVWK HLKGWRRELGLKNSEHLTFGDVPLLLKLSRLPIPVKPIIGSLDGERSFKIITTYIEAF MDYVLKGRKSELFSGPSEKFPEIVVG CC84DRAFT_1191159 MPYLVGMERPKLLVSVEEPKAEEEPSSVIHHIDIFMRLEVIRTK KHQTQYQPLQPYMDEKLIGEHARPWQQMLMFFWQTQQEHAWKSPKRVEDGEEEDKEQP GANLDNKMTEDADSVGGEREEAPVVGVSNIGKPETLSSIKKSITRKEYDSPLVYILAV LGVRKDR CC84DRAFT_1210103 MPGLVFKSKSHETVIDDAAYPAVSFPDYAEAPLSEQLEPIAVVG MGCRLPGDVSSPKQFWDMMINQKTGRNAKVPSSRFNIDAHLHPNNDRPGSFNVPGGYF INSSLKEFDPTAFGITPIEAMWMDPQQRKLLEVVWETFESAGLSLEKLDGSRTACYVG SFTSDYQQMTFKEPDFRHSYAATGVDPGLISNRISHAFNLNGPSIVVNTACSSSVYAL HHACNALRNNECEGAVVGGTNLVLTVDQHMNTAKLTVLSPDSTCLTFNAEANGYGRAD AVGAVYMKRLSDAIRDGDAIRGVIRSSAVNSNGKVAGLGITHPGHDGQEDVIRAAYVR GGNLDPRLTGFFECHGTGTSIGDPLEVHAVSRAMNDRRSATEAPLLIGAVKTNIGHSE AASGLSALIKAVLVVENGVIPPTRGVVNKNPKIKWDEWKVDVTTKPTPFPAHLPVKRV SVNSFGYGGTNAHMIVESAESFVSAPKYLTHVTGKKLPPRDPVEQKRPHLVVLSAHEK ATLKQNIAALSKEASNYRLLDLSYTLANRRSHLPSRGFAVVSHANLEATLTEDASAFS FADKKKSPTVGFVFTGQGAQWPRMGAELIHYYPSFLRTIKHLDSTLASLPNGPSWTIE EVLQLPADQSPVNDAEYAQPLCTAIQIALVQLLGEWGITPKVTIGHSSGEIGAAYAAG LLTASEAMTAAYFRGKAVSSVKTDGAMMAVGLGAEAVQEYIQDFTGRVSIACHNSPSS VTLSGDAAALEEVKTKLGSIFARIVKTNGKAYHSHHMAPVAAIYEEYLYKAEAHIPKD VKVQRPGRMVSSVTNSLLPEDAVVDGAYWSQNLANPVLFNQAFQTIATSDEFADVDMF IEVGPHSAMAGPIKQIKGEFGYKHDYAPTLLRNTDSAAQLLKLAGELYLRDYKPLNLE RVAAIEEESGLLKGQFLVDLPTYQWTYKKELWAEPRAAAEHRQPTHARHDILGSRIPG SGKPIWRNVLRIRDVPWLKGHTLGGEAIFPAAGYFSMAIEALTQLNEISDAPKDIKGF TLRDVSIKQALVTPDDDDGIEVLFTMAPSVKNETDSTTEWFDFTASSIAQNGERKDHM GGSISGITHGERPSAKAVPFLANRASGKAWNQALRDVGFDYGPGFQDMDNIRSDGSNY HASADTAIRQECGDMIGESRYVIHPGILDSCLQLIIVSIYAGRINDMACGAVPIQVDE VAVYRPTQQQLDDTAATAFSWTHKRGLRNFESGTELVAKDGTLLMRITDMRCTAYESA VPQKVTADVDSQPYQQLVWKQDIGQLQTGEGVEKLNAGALAQLALFKTPDAKILEVGS RYATSVLEQAKHSYYSILEKDSSVDVSPFTNAQVLTSDISELAESSYDVIIAPATLLQ DEAASASIQTALIPGGKVFWDAESGADISTKAIEETTGEAKHVKIYYKEAATKALEDI SDNFKTKGFSVTSVALQDALQNAPTKENVVVLVDLEGPILPTVTEDQFSAIQAVIDNA ASITWVSSGGVINGKSPQNAMIAGLARSVRSEQAMLRFATLDFDAENSSIDRVAQVVS DIVSEQTTKPQGRLESEYSISDNEVYISRLVDITTLNDLYFGNNDAVPTPLTDDLRFK AKVSSGKVIFENDLRSEGPSAREVEVRVLVSGLTQDGTRVINGTDYPTTFSQEIGGVV TKVGSEVNNLTVGDHVAGFHLDNFASHQTVSASLVQKVSKDDLNNAVSLLTPFATAVH GLTLANVQPGESVLILQGSGTAGAAAIQITQSLGGVPYVAVESDAEAKLVVNVLNVPT EHVILTPNGSKLAQFEHLTGLSGPDVVFSSGSTNSALAREAWRFIAPFGRYVDSGRKE VLKREMTDNVPFRRGANFLSFDIFDLYKHKPAALAKTLQSLVTLYQQGSITAVGPLST FPIADIQKAVSSLKDTFTSGRTVIEYKAGETEFALLPVRPTVSFSSEASYLLVGCLGG LGRSLTTWMTKHGARRFVFLSRSGADAKAASLLVQSLEEAGNDVLIVRGDASSREDVE RAVASVDPQYPIRGVIHAAMVLRDGLFAQMPFSDWQQSTRPKVQGAQNLHDTLKDQPL DFFLMTSSVSGILGTPAQSNYAAANAYLDSLAAHRRSLGLNATSIVLPMILGIGVVAE NFGIEDSLKRKGMYGIEEEELLGLFEAALNTDADHVAGGLDPLRLSKARNEETESFWA ADTRFDVLANTMTAGSSGSGGSAGDSIIDSIKQAESPTAAQDLIKEHFNTKISRFLLV DLDDFEDNRSVASYGLDSMIGAELRTWIFKNYGYDMSIQQLLAPSLTVPAFAQTICGV HGIGGGAQAAAAP CC84DRAFT_1169315 MVSWRNLFVSYAVMGVVALWGSMLVNGTLDAIDTAKASSELPSG RILLTSITGVPLIDGALATLVAFFDGITNGSVLQSHLLMVDLLAVIQCATIWLMVDGF SLQEFDPFVRSPASWGVGWNAIGMAVVLPVYCAFRVGTPYSRDSGLSIGDSYALFFSS AVSFVPGVLMGLPPLKSWSTHQQQVIILLYQFTPVIFSVTQMALARFINQFQYKLETN ASCRTNAIRVYIVAAVGASIGHLYVMVRAISLGLLGDVFVPRPASLGRFPADRLTAGA HLFTQYDFIISALAILTWIWSQMSTYGESFGSKPTLMIASVLSTVVLGPGATLMFALW ARDAYVTPLAIAEKKRSLKR CC84DRAFT_1156966 MEHFDVVIVGAGWYGLIAAQTYLRLAPNTKLVILDNAASIGGVW SKEKIYPDLFAQVGHGLFEFSSYPMKKEGLSPDRYISGRTINNYLLSFAKDHDLERRT RLNTQVSKVERIYNDKWLLSLSDKNPVIADKIIYASGVSSDPYVPDLPKASFNKPIIH SSQLGSSLEDLRGPKTNRALVVGAAKSSYDAVFLLLKAGKQVDWVIREDGKGSGPLAI MPPRLMWVLNTVDVMATRALASFSPAILNTEGIWYKTLHRNPLGRAFTKVFWRITAAF AEGHAGYLKNSNALKLRPHPPGYGIFWCNSGLGLASVPHFWKTFHEGQCTVHRTDPVS FHSGDVVELRNGTKIHTDHVLLCTGWTANLSAFDEKLRGQLGLPSPADMTEKWKNLDR EGAETVNELLPMLTNPPKTAESPSHKRPWRLYRRLISPEQAAANDRSIFFPGQIHSVY TPLVAEVQALWGIAWMLGMIDTPPREDMDREIAVWNAWTAKRYGSMGKRHAYSIYDYL AYIDTLCRELGIKTNRKSNPVAEMFAPYKPSDYNGLIDEFLAAQAKQGKTKRVTGTLG SKKSGAAQTGSSTLLSAWTLFIGVLLATLYSAISAFIR CC84DRAFT_1210106 MFSRLYFSQLAFSFLDIMGAATGTSQAVIGAESAKNQLTGFPTL KPAFNLKIDVQANAPGAVGPTSAGSELIHWICPSGKLTTVEGFEPKIEADVIFGADWL YFDNDKKFARPNVKAVAKTPEGQTLTIEYDGIATLAQEFVDIFAGKPDAKTIPFGLSV TEHRFQAGAPELKFLENYKWIGNGRIVVGEDAEGGRTVTVESRISQVVPSTVMD CC84DRAFT_1222864 MSLALRYRDLPGDLPESPADWSTDSSMNNATVFSCDAPQTDECG SGTITPLDLSEASWQNWMCGSDFMSPLTPTDGVDLNMDDLFGYMGPTPTPTLPDPEVS DFAITQFHNSYFDTVHQMLPMINQSRFVIVNNTSKPELNALKYAVAMAGATAHEDGIE AAELCYNLARSNLEQAERGDNDEPFMTIAAVQSLVLIVYYELKKKTFTRTWMSVGRCM RLAKALGLDHMDRDTELDDTPKSILATCDLASTSDLTELEERRNTFWCAYIIDSYTTT FLPIGSSEDMQDSRLRVRDVTIELTCFEDLTPFRGLAISAALASLESIHRQAAQNPNS PRNNAINSSYPFWNEHFRLSQIISSVSNELVTPDPEMKSTPDVRMLFLVINVHAITIR LHELAIERSEWDGERIVPMALFSESHSCVYRAAMAVVSTLRAAKLLDSKSTVIFRQMS AFAMLALSGVAKVLTRTLTLSRDPAIAQETINGLHVLESVILELYGDCAPFGTLLKEV GAQLAMYT CC84DRAFT_1199931 MSSGVSSSAVLAPTCVFAPTTADQVSFLVKTAVANSCKFAAKGG GHSAVPGAADVDNGILISFDNMKNISLQTASDGTKYAAVQPGLHWGDVYTYLDPLNVI PAVGRFYPVGTGLVLGAGFSFLGNEKGFATDSVYSFETVLANGSIVTVSQTNAPDLFK AMKGGGNNFAIVTRYNLRVFPGGKIAGGMVIAAEDQTEKWLDLTYDYATVQAVQEVKS HALPAMAWVQATDVAISETPVVYVVPGATQLPAIMSGWNNMTAISNTVRSVYPHELAQ EYAAGFSNGQFQEQRVFTIKANRAEFAYIWYQFLAWARAMKDVPGFNILHCNMPVTPR MATAGLAYGGNSLGLDSNKDVLSVIYLGITMDTRDDSVVSSFRSLFTTLQSHSKSANL LHPWLFWNYAGIGQDVIASYGTASVANMKKVRTKYDPSGVFKTLVPGGFKLA CC84DRAFT_1130853 MAEVSTSPAKPFKVIVVGGGIAGLAMSHALQLAKIDHVVLEAYG EVCSHVGASIGLWPNGIRVLDQMGAWKQISEHCSPMNHSYNRLPDGRAVSVSRMADMI IERNGYDFVVLEREHVVKGLWDNIPDQSLIKVNQRVNKIDEDANGVTVHTTDGEKYEG DIVVACDGVNSFVRDHMWDMANRFSPGLIPAAEKTSLATTYCCLIGFAPEMAGIGLGD CQTVHNQGFSFLIITQPGRTFFFVFIKLPEVVRWPNRLKFTAEDAEREAAKLAALPVT ESLLFGEIWKKKIRGQLIPLEEVVFDHWHHGRTVLLGDSVHKLTINLAFGGNSALEST AAFVNVLNRELKALPAGEKMSQETLSEAFEEYQGLRKPRMKKVLNLAYQLTRLQAWDG WFMKFVQSWVIPWVGDGQVVDHFSELVRAGHRLDFVPVPPHKEGYVPFNDELKDKDGP LYETHKAPSDFGSVIRRLVLPWIAVSSALVAGAAYSLSG CC84DRAFT_1169319 MAVFLITGTSSGFGSQIAKQALQAGHKVIATSRDAGKLSELKDL GAATLSLDINASEADIQNTIKEAAAIYGTIDILVNNAGYIWEGAVEEASAAEIQATFH TNVFAHVAVTQAVLPYLRAQKSGTIAFMGSIAGWEGGVACGLYCGVKFAVAGIAESLR EEVASFGIKVTVVEPGYFRTNFLASGSKFLAKNPIADYEPVLAPIKGAFQAFNGNQPG DPVKGAQLIVEALTGTGRAEGRELPRRLLIGPDAVGFVTGVIEKETKSVEAWKDLSVT TNLDQSA CC84DRAFT_1232493 MQVNSLPGKFRLLPKLSLKEVGPIGRGLMGSTWRKDPIPTEDAI KVLKAALDSGVNLWNGADFYGPPEANSLILLEKYFTKHPEDANKVVLSIKGASGAGTS PNGTPEGVRKALNAAIAQLKGHNKIDLLKPGRIDPNTPLDVTFKVYEEYVQSGKIGGV RLLEVKATTIKAISKITQITYVKVELSLYVHHFL CC84DRAFT_1156979 MSAASTEALPLDDRSSDLKISVVTLLVFSSIFVGLRLYVSWRNR NFFQATDHFLWTGHLLAVSAATLTYKTADVGAGKHVWDPSESPENLEKYLKWLWFSQL FNLYGMALIKLSICAYILMLDFTKAYRIIIWMSVVVHVGMNFVFPSVILFGECRPIYK HWDVAGSQPGSCWGDKPRVISGYSGAATNILTDMIYTVAPLVYISRVQLPKATLWGVR AVFLLGLLTTSISALKLYEMKSLNASTDPTYTSVNLSIFAVSEVFVGAFTASLPPLRK TFETLLRRVLPESVLGSAARSRKSVSRKSVGKGIGNSYQMKEVGNDYVLNGNAMGKHI RPKHDLDNDSEHSMLDAQRSAGGKDGVGSITCTTEISVSGDDKRSVVDQGHEWV CC84DRAFT_1264184 MRSLFNGFCFFNCWLPIISSTPKQPIPGSEPDIAGKTFDYIVVG GGLTGLTVANRLSEGSRQSVLVLENGYLVDDVSTQVPSYANSLNKALMYNITSAPNVN IGGQAKPVWVGNVVGGGSVVNGMAFDRASSADYDAWEQLGNRGWGWNGLLPYFKKSTT FTPPSTENVKAWGTTYDASYYGTNGPIQASFPPFDYPDITTIWAAYKSEGVPLPKEHA SGNAVGAYWIPTALQPKTETRSDARNGYYDPVKSRSNLILATGKTVNEVLFDRGLLTG YTAKGVQYVSRKDNSVAQVYASKEVILAAGAVFTPQLLQLSGFGPKDVLSAAGVQVKQ DRPAIGANMQDHPNANMQFDLKNLATPNPFSGQDPAFNASAWAQYYANRTGPITQAHG SSLAFLSLQTVSEKYESIVANIKSQNARTYLPAVYADSKLLAGFIKQRDIIADLHSRT DAAVAEFPMVAFGLAIGALQRPLSRGTVTLNSSNKYGMPVVQWNTFQNPIDRQILVEM VRWLRQHWARPELNKFQPVETVPGTGETDDEIIEALIQTKALEASFAHMSGSCSMMPE AYGGVVGSDLLMYGVKRLSIVDGSIIPLVPATHLQATMYAVAEKAADIIKGRNSIPTI PSIFNAFNFFA CC84DRAFT_1104319 SSKPIDAALNPVWHNAGVHLVVKASWDQSIPTTKIQQIRDRMTG QIGYTIHRLSPDSECYVNECDQYETNWQWALREPAYSCLRLFKAKYDLAEVLWCRKCV GSDEWRSLSSRLDHEMAQLRSF CC84DRAFT_1191167 MPTLADLAREILRNAEFIDGAASRPEDAAGLDVETARTALLDAT HRLILQSQSPESYMFQQMWSITDTFILRMIYTLNLPRHVPLVGSTTYTAVAAQVDVPL DHLIRLVRYAITIGYFTEPIPDHVAHSPASRLLAVNPDAFDAMGMILNELGPSTHAFP AALTRFAASEEPNETAYNIANDTDLAIYDFLAQHPERARRFGGAMRFFSGGGGNYIQA LLDAFPWTDAANDRDDFVVVDVGGGHGSVAVALAGHTAHMRFIVQDKEETVAEGRNVL PAALEGRVEFQTHDFFTPQPLAADIYFFRWIFHNWSYKYCVRILQNLVPALKPGARIM VYEHVVEPGVDVLLSKKKERYLDLFMLCAYNSRERTEEEWRALFAAVDSRFVFQSVTA VAGASLSLVEAVWRG CC84DRAFT_1264185 MSLLQNEDFVLFQLRSAYLSAIKDGVGERLINVNTAVLNNPAFR AAGWVPNAADIKRTYSPPIPTAITSEYFQAPRSAGLKPPEGFEDDEEGGMVTGGGGSN DTVGPTLNAKRRRRREQLDEDDSSDLSDESDEEDDARPANQIKFQKMPVRTRAGSSPI RGSALRSGLNEAAEGPSLMVTSPSRPPEAGLRRGSSDGVQSVTGRPRRDTITSSEMSS ENEMDSLSFQKRRLNPRKAAKANYLLSQRMGEEDKEPLEALDEGNESDSSLSSEFSGT ADSGSFIGEGDDPLDSSPPTELPNMPNLTPFASNSPKKPRTQLQPTLQALPPPRPISF IPPVSALTQALQAKEQKPSNPLQRFAAWDAASEANSNPIYMKLYCPFSSKPSKALELL IRKTADNGQVVTVAEAIGYALWRYQEEKLEPPLAPDKVNVNCWVLRMYDDGEIDDEFP PLTRNRPLMDFASNNSRGMRPRAREKPWDEFGLVQATDREMVENEKITPDFSREAAAA VAASQTSEEAPRLKPPPPKPIPSRAKSFRNPITGPSFAPTATRKDTSNLADAPTISNV TSASRTGAPKTLTVHYTDEHFNNRRIPIPCTTDTYIAEVFDQVCRDLRLDKGLFVLKV SASTTVAPPDRTVEALGSRVDLDLVRRRFVGDGVFGIAGSPGSSSPNAPLTIATGGAP KKTKKGERTAAAVSGHGLIHPLAKHNDSNAGLSLPSTSYYKRWPVMRKQPMSFASSTS RTLALDNEYIHIMPGETGNTKQIFDQPAKTTTVHFSSVVGSKVSKKHPKMFRVVVFKE KETKRYDFEAQNQQDAVDIVKEIKKGVDRFHEGIV CC84DRAFT_1169322 MNLTGYSDSEDSGDDTPAVSQPAAKPAPKPAFQKVVDRSNPGKI KLNLPSASQSHAATDDIESEAPPAKKARIGGGAFGGFNAMLPAPKKPRVEAATADAPS GKRGLGKGLGAGVNLKTGAEPAFQRQRALDLDDYDENGNPIKQEARKQEDFRALLNLP APKTDTKAAEPPASTPSDSPAPPASTAEQAPARPRFMPKGMGWGKKKKPAAARASTAS AQIDARTASQASSIAPPAEQKPPPKPKVSLFGSVSQEVEAPAKQPAGEYQPLIYGADQ DDEEMAIPDSAFSSHPTPAPISHAPPPSNDLTNIASELNLTEAERRQLFGRKGQGPDF SGAKIVDFNTDREYAHNEMLRQQGETVQHNALKSISGTGKNSLRSLINVASTQKDALE EHFASGRRNKKEAGNKYGW CC84DRAFT_1181328 MEENSAVEMAKRKNSGREREDPTKKHQLDAASDATSATMRTKTR KDSNIRADQTPSLPLVLPMLPPRYLLRPAQRSGLDCTRRASTPPHLALTPSHEHPPLP LPLTEPSNLVAGKKQMHFRFLDLPKEVRFMVYDCLGCDTCHSVAHAQMGLWYKDTPSP FGLFRTCRFIYDEARALLRCCRRVGGLTLLASVKSAEDWSLSTHVAAMIQNGVMYDEV EKASRKEGVLGAHLPLSHWWRKRVSTREAKRETRALVAGRRSGAMVWPQEQGSKEPKK SLEQVQGFARQFGHVGLLKLMGGQTVHIRLLVAENSGSIEEEGAIEDDAGEKSDAADS DVDEHNGSSKDKYTDKTTRAAMSKTSTTATMMKSKT CC84DRAFT_1130873 MPSYAITGASRGIGWGFVKHLSEDPSNTVIGLVRNAPPTIERVK NELADRKNIHILQADLNDIPALRKAAADTATITSGTLDYLVGNAAYLDTFDQFAGPGD LLANSSTEEFTKQFRSFFDTNVLAQIFLYEAFLPLLLKGPTKKVLSISSGMGDLDFNR EWELDQAILYSSTKAALNMVNIKFGAQYKKDGVLFISMCPGIVDTGYANNINPQEAAK FQEFVGKMQVYSPAFKGAYEPVESVKMMLSALDKLSIENGDQGKYLSHLGTKRWLP CC84DRAFT_1169324 MGRAATGKRSCGTCKDRKILCDRTTPTCSQCTRTKRECKGYGLR LSWPREKDARRAIVLQSSRHNIKHSDKRVKNASMVHATNWDIEMYMFLSALAARAPVL HEPVRWNPHQMGEVEWDLLNYFQLEASRSLTTFGSDPKHLAKFLMQISQNGKSPASKA VLHSILGLSSVYRYGWNAQAMEFKISSLRALAQASETFEESVEGTHHVAAGMLLCSLE VHMASCSASEWTWYVNGIKEILYSDIPHRKCTLDVEKTMLMNWVYYHDIMKVFSIRHW KPEREDEVIASISQPQILNESSTRKLVSREELTYCDEPLSSAPWIPAILKLMSELCDT VPTKPCLQSMSAQELDVYANRIKILDWRIRDIPMPSPTEKSSASVYLYQLAMLIYLNR VTENILKQTSKLKGYIDNAFTLISELETCKPHFPMYIIGWEARTDEQRATFLEMLERT KKDPSSRSVFHVEALVQAGWTQDDLAEEDLNYWDKVTTLVSVCFTMPSFV CC84DRAFT_1050485 DLSTCGLCTVNTETAPPYKTLFYVWGPENSLKEFLLNGQALDVR KHLWDFEIQSNHGEEKEMSLGSLSDDTEYIWIDALCIDQRNLKERLHQVAIMGDIYSS ATHVLVWLG CC84DRAFT_1130879 MPSTLHRMSSFEEASAAFRAHLPDLATPRFTTAAEQDVYEYAKF FQDNHAPPWLFELTQAWAKLYEEPFKGVTSDGNVKEGLYEARDEGIDIESIVKKAEDL LSKLDEQQSKKLKYPVNAKEWRAWSNPEILLRPFGLRLEEVPEATNDAIHKVIEASLS PEGYQKALASMRINHFLGECVKLPKIMNKDSYNFLLFGTPSASPSSPWGWLLYGHHLD IACFFRGPQITISPTFTGAEPNIIDAGPWKGTEILHKKGNIGLKLMQSLTSEQQQTAQ IYKNLRDDGMKQTGDLATDRWNKDDQRHLCGAFRDNRIVPYEGVTVSSLTPDQQSLVL EIAEEMLLYLPTKSRQLRLDQIKSHFEETYFSWIGGYGDDDAFYYRIQSPVVILEFDH HSGVFLSNTEPKKFHTHTIVRTPNAGDYGQAIRATEHRL CC84DRAFT_1157001 MPDTRKGPFTLVTVNTAPDRAKRLIARMIEGLSDRYDITHVDNC SSIDQVAAKVRQHQPNVLFSASMWSPEEAMKIRAIAERERSGIKTHAIPQGLQVERGP DAIVEYLLQNVPPLLDSVEL CC84DRAFT_1199941 MGEDYVGYGALLGAASALECSPAAIQSALPHNANVNFAYPIQAN GTFQVPAGDTGYPTSPLGLPALCAVSVQVQSVGNTTFGFGLFLPSEWNGRFLAVGNGG FAGGINWMDMAPGSRYGFASMSTDTGHNSSSSDGTWAYNQPDKVENWGHLAMHGSVVV AKTITAAFYEKEIKYNYYSGCSTGGRQGLKEAQEYPEDFDGILAGAPAWWTSHLQPWT VKVALYNLPTTADYHIPSSLFPAVAAEVMKQCDPQDGLKDNIISDPQGCNFRPEELLC ASNVTNATAAGCLTSPQIDTLYKIYTDSIGDNQTFIFPHLNLGSENQWVLISGNAPNP LGPDYVKYFLGLGPDWDFYNYSEDIQRLADKVQPGNATVGFDLSAFHAKGGKILSYHG QADGLIPTGSTPYFYNQVTRELQPRGIDVDEFFRFFFVPGMGHCAGTFANVNAPWYFA GANQALNLGNTVYGVPGFRDEKHDALLAMVAWVENGTAPEQIIGTKYVNDTTQAEVMR QRPLCMYPKQAKYTGSGEVDKASSWECKLPY CC84DRAFT_1157009 MAENLRIAIIGAGMGGLATALSLAKAGHKNITIYETAPGLGFVG AGIQLAPNMARILDRLDCWKQIAAEAVQCADTSIREGSSDKELGHVTLGYVEKTYGYP HMVGHRASLAGAMYDGCLKEPGIKFELGSLITDAEFGAKPSFKLTPLKTPDEARRVEC DILLACDGVKSQTRVSMLQVLGETADVKDSGQAAYRIMLTREQMKDDPELIKLLDADT VTRWIGEKKHIIAYPIHNKQIYNISTAQPDVNFAGAPNSTYTTKGSKSAMMEVYGDFC PMIHRMLDLVPDGEVVEWKLRVHEPLSTWVLESAALVGDACHPTLPHLAQGAAQAIED GAVLGVVLDPKRIADCNPSTITRALKIYEKVRKERAEALVELAAENGRSMHLGSGKAK EERDKIFAALKTGKGPVPDKWADADVQRMIYGVDIIAEAEALCKEEGFVAKL CC84DRAFT_1104494 MSELEKSTTFHDSRNGAVPELENANTHGTYLAGDEIARLSEEHR QYLLERHGTLELDPVPSMTDADPYNWPRWKKIINLLLVAFHALMSTFMASGIIPAYEN IAEDLGVTLQQTSYLTSIQIAVIGGAPLFWRPLSTRYGRRPIFIISLIGSICFNIGCA KTNTYAAMATCRAFVSFFISPAAAIGSAVVVETFFKHERAKFMGVWTIMLTIGVPLAP FIFGFVTYNVGYRWIYWIFAIINGVQLFLYIFLGPETRFIRHHKSIQDLPSFKDSYFR FRRIDPTPITAYEFVSPLRLVMYPCVMIPAASYAMVFLFASVLSTVEVPQLFGEKFHL NAQQIGLQFLSLIIGSVIGEQIGGRASDMWMRRGGRKRADGRPAPEFRLWLTYLGYAL VICGIVVFLVRIEQAAPLKWNVTPLVGVAIAAAGNQIVTTVLITYAVDCYHEEAGSIG VFISFVRQIWGFIGPFWFPQMFEHVGIANSAGVAVAMLVVVSVIPTLVLQWRGKFFRQ SEMKARI CC84DRAFT_1264194 MSPVYALPRSKACQNCAAAKVKCEPAIGYTKCKRCNNQNVACVL SAAEPRKRKRAESSIVSGSIAIPMGVVNGSASPGSCRLFEIERGMYYYRTSLFEFFPF VIMPDVRQPAADFASTKPCMALMVAMLGCTKDRARQRELTAHARAYIATHMVQKGQKS LDLLQGLLLLIHWSQLQLELRGQRSIFMHMAMSLLVDLHLNRSPFARRHFVTATEGTD GFELRTEYHAENLAEKHTLEERRAFLGCIYLSSVMSNTSVNLNPVKFNDYAEQCCDVL EKSGIDTDQTLISLCRLQHSVEQFRVVNCWFNMTQRQRGSGYEFIYNIFRQSSSSEDI LHHVRNWDAKISAQWNATPEIVKTRLLTIQYGYARVCLYECCLEESLFPSAEARHEVL RNCISAIRFLNDALVPISLDPLVLLDVPAHIFAQSNHNTFLALLLCSVKCGDNCTETV DRELKLRDYFARTSARLGALLDEPLDEIPAFYRKLIPMARGVQKWFAAKLRTGEEVAD EMDVDSRVGASGSDLDVDFWGQFLNMDGDEWLQDILSMDHSG CC84DRAFT_384912 MTTRPRTAFITGGSSGLGYALAARLLSQHYHIFIADISLSGAKE LAATHNTPSAIKVHYAGTDVSSWDSQLSAFKQALVVLGGRIDYVFPIAGIGERKWLPF AEESARGEFVKPNLQTLEVDLTGVLYTVALGVQQFRRQEPDPAGWRGKLGLAASICGI SPVPALPVYSAAKYGVVGLTRTYGKMLPAEGISVNAVCPGIARTGINSESFYKSVEKD GILASIDGVVDAFVDMIEGSGSAELYVCRPEGYVKKEEEAYDAWLQKSIDVLDEGHRW IQYSESGL CC84DRAFT_1264196 MRTQCKLQPRLGLLLTLVVLTLHVAATAAGEQQVLNDSPHAGLR LRNATINDAAAIANVTIAAFFDLPNWDYLYQFRREYPREHQRCMTQFTNRILAHPAGR GEVVEIEAGADGERVVIASALWLQLQLQCALAEAGGEEPIPVGALSEEMANCTHRDLN LTRAVAWDHQWNALARKNLAPFGGNQLYLELLGTHPAYQGRGAGSILVKSGLERARKE MEEGELDVNVTLIAQPTAETFYMGMGFKEMRNMTMEGVDGESFVFNVMAYDMQSED CC84DRAFT_1210121 MEIILSINAGSSSVKISVYKAEPSSSRPHQLAEATIEGLTAPPV KLKYSRGDETIKGNELQDIASQEDAFKYILTHLENDPGLPELSKTDDIHFTCHRVVHG GDYPATQIIDKETYHHIEELSDLAPLHNAPALSIVRAVSKMLPNAKNVAYFDSSFHAT IPRHICTYPIDQKVAEKNKLRKYGFHGISYQFITDAVASHLKKDPKTLSIIALHLGSG ASACCIKNGRSHDTSMGLTPLAGLPGATRSGSIDPSLIFHFTHSAGSLSHAAAGHMHI TQAEEILNKKSGWKALTGTTDFGEISSSDEEMKTLAFDIFVDRITNYVAPYFAKLDGE VDALVFAGGIGERGSKLRKEVVRGVECLGFKLDAEKNEKPEDEVVVDISAADARFRTL ICQTDEQLQMARGVLGDRQRLMQKKT CC84DRAFT_1191177 MAQRRINNPALFICDLQEKFRPAIHEFSKVVATTQKLLRASQIL QIPVFATTQNRARLGETVPELMLDAPGGIKTVVHADKTLFSMLTPEVRTGIASLGSRL SCVIVGIESHICVTQTALDLLREGHSVYVIADGVSSVNKEEVPIALARLRHAGAQVVT SESWMYEAVGDAKIPEFKELIKVVKDSAESTKESLQALCKI CC84DRAFT_385012 MKTLVSAQPSRDSVSTVVFAQQPESGQGCRDGVRSRAREPVLAG RRRRHCSALAQHTISRAGALPVRPEVLSVVSHAARLQLRPGGLEALGRRPAVWCLIEG SGLVAGSLRSTPGDGGDHGHNSNQAGARPPRRVWESAGRGVQTQARRRRHRNGSAAAP SRSARAACSVAHVPTTKGAVRSTAQVAFPYQTRARARVCPRFRS CC84DRAFT_1130906 MNDPRHASVQCTYLYRPHLTHIHSAHARTGADGIAPGQDPAGLS VKSRYHGTLSAVPPALLPPHTQLLSQPQHPHPRPLHPARPNPTVKVSGPPSAAVQAHD SQRDPARAQNREPAQACENLHGRKAGPQSVRSSALPMTSNGAHSMGGKRDDAQPFARA QRPSIVSHHSNSVPSTPLQNARQYESRSRSPSPSGGLGSHSPRSVSSEANPATLPARI GRTLRCKYETSTQFGRRRMPYDSSDVLDKAKEEPKKTLNPHEDDKLSGDMRELYDRLQ PTPENTKRRHEFVKKLQTILETEFPGHEHKVHVFGSSGNQLYTAESDVDVCIQTPLTR LEDMHPLAEALAKNGMEKVVCIPQAKVRIVKIWDPVLKLACDMNVNNTPALENTRMIN TYIQIDERVRPLAMIIKHWTKERILNDAALGGTISSYTWMCMILNFLQTRNPPILPAL HTLPYRAKDKTGKPSQSEFADDLDALIGFGSKNTESLGQLLFHFFRHYGHEVDYEKHV VSVREGRLLTREEKNWHRAGLQKEARNRLCVEEPFNTDRNLGNSADEFAWRGIHLELR RAFDFLGNGQQLGAACEQFEFPPAPAPEERPRPPKSTAAKVTLAPSVPNNRNGRNQGN HRGGRGGMNNKGGSYGRRASSGASFNRPPFLHSPPIGAMAGQQEYGFPQGLNDRLADH LYQQYQMLEMQSNSLRQQLVAQQRAQQAHQQAAHMHAQAVAQAQAQAQAQHNRGPSSS NASPQKSPYVNGRSSPRLAEAGIPTNTMPQGFLYHYPAFFDPSQQGSAVADGPRTNPS SPSLPHSMPGPRRQAHRSSNASETGSLRSQSQPARGMPQNAVMAGYPPMPYFDPSLVA GYPIARSTPEAPASQVTSDTPFSPVVGYNDAVPSETSIPREYVGYYVDEQATPRSLQE YTVPQIPSFNELVQRRRRVSSEITQPLLNTALRRVSRSPSPLGGHVRSYSTNVAQPHG QSVDSRNGRTDSVRPPGDSGPVIVNGSFPQQPLETRSRSDTIDSFQSIDTTSSANLGV FNDQDQYRNATHEQRQALVMEEMQRQRGDNGHGAAYANGSMNGVSPVDVNGLTRVPSG NQQYYSMLPEAWMNYELSNNRRVNQTEDISPTKTQPSAASQWRNPPYNNALATLDTLN APRAPPQEIKSATLPLLSPVFETRTPSPTVNRQAEGSKLVNGAKTQSKENHHQPRRAS HSVAQTQSQKENTKQQQAKNGPQPNDKNKSNASNNNNNSNNWHQQGSGRNKKRKNKGG NKSNDTKTSGEPLPANAADRKGG CC84DRAFT_1169333 MTKTGNIATIVISAVCLALWIATTAYYASWDTTETHWDLMSWSC RHHVAQASYNHVNYGEICIEMRFAFWAAVGLAALELANLLLFVVWCVKTRRARQYARL SG CC84DRAFT_385240 MKGFALTSRKIGKVPGFSAHRVSHLPEQAVRVRTALIYDAIRER VLCEERLFLRLYYPIRYTHLCVLDPAIQSCIRWETRHYMRRSRHWSLEYLARTLGLFS AVLFSSPLPVAITMGASLLYRTSASSMILASTALTLENWHHRISGSAPFADSLH CC84DRAFT_1157026 MQLLVLAVTAAAVLAAPATQDAAGITYQGFERNGVEIFLGIPYA QDTGGQNRFKPPQPLQVPPGAVVDATKPGHACPQQLGQWNAPLTLLNVTQESISEDCL NLNIVRPAKASYKTTSYPVMVWIHGGSFWVGSNMEPTHEPDALVLNSVDEGTPIIHVA INYRLGFFGFAKSGALRNERSENAGLRDQRAAIEWVRDNIKYFGGNGNKITIHGQSSG GLAVGMQLLAFGGEKALPYQRGICQSQALEPGITGNFTRDAMNKVIEYTKCNPGNGST DSPDVIACLRSKDTQTLYDASATTYTGDIAHNIGDVWLPSVDGDFLPDAPSKLISEGR FGDASYMFGWTQGDVNFFTDVTIRTEHDTKKFLETYLPKIDPFALTTPGADPHITEYL SLYPVTDFAPPNGTNLTAEFYRTARVFRDILMVCEPILLARALHKKEKRVYMYNFNQT LLDPIIASVYNISHMGVVHTSEFAYIYANLSHYNVSAYPFHPAQSDYDLAQRASRSWA RFAYAGDPSPAAGPFDEAEARGPGYTPRRPGHTYVYTIGGPEEGLWPLDGEGSVEAVR VQGLEEKCAFFNDAEFIRRAGF CC84DRAFT_386000 MAGARRSTRQSAATAPKYADSDSSASEEVPKKRNAKKSTRRKRA REDDAEDAVNGDRSTASTPPTTQPSSLEPTSPSNRTPTGAQEIYWLLKAEPLPRFENG VNVAFSIDDLAACTTPEPWSGVRNPQACKNMRAMRKGDLGFFYHSNGKPSGVAGILRV VEEAKVDESAFDSKDAYYDKKSEREKPKWYCVGVEFVSKFGEVVDLATIKGHAGKGGK LENMQLVKQGRLSVNRVRKEEWDFILELAGENGDRLEEKKGEALPAPAGEKNSKEDCD SDGKKPEDGCS CC84DRAFT_385147 MRPFRPLLPAKRGFEEPQPTPPEAPLKKRSTLACDSCRGKRIKC NGARPTCDACSQRSLACHYHERRSRNSQEDEAARKRESIVEMFLLLIKSGTEEHALGV VRSLRAGSSIEAIVSQPGPGEESVFPQLGYGTPGLPIATSVQSESSLTEAETETSHAA FQGADSLLQREYDALAKRVETLQEMLDLLRSMPENDAQRLLRELRSASEVDSVLRATN THGHDTRPTEQRHARSLPPSLHGNRELELSMLYPRVFPTMERFHDVGIVPGLTGGMTD VNVQDPWTTDPVNVWELPRS CC84DRAFT_1104565 MIFKFRLFFVVASAALLGITWCIHIRIQAAAHATPRGSTYRGFN STAHLPSTNYAIVTFLTGQASDESYFTATRVLTHQLLHAESTKVNQNNTSFLVLCSET VPAEQKTTLRGDGATVVEIRDVPVNWWIRSAETRWKEQFSKLRVFEMEEYSRILYLDA DTVLTGPVDGIFAEPEVVSLTSTLDRKDQVRWGEAKLPSEWLFAARSDAALTGERTHP TPPLQSKSFNAGFFLIKPDRQVFAHILSVMALVGRFDTHTMEQGLLNYVFRREGRMPW RELHWRWSATWPTERDLEEGVVTLHDRLWKTGPQPLRDLWNAKKGEMMNYHEKRKMAA A CC84DRAFT_1169336 MGLQGGSLRMAQLLLVVLPAFVLFGYNQSGVGGLLSLRDWNDNF PEIDTIDATGAEKSHTSTVQGAVVSTFTIGALFGALSCSWVGDVLGRRKTTLVAAILT LIGEILQCTSFSLAQFIVGRFFLGWGVGMLSTTVPVWQSECASTANRGKHVVLDGCFI SLGYTLEAWINLGFFEQDNLPLQWRLPLAIPCVISMVLISAVLFIPESPRWLVNKTRV QEAKESLARFKGLSTEDPEVVAEIGGIELALEETGRSAAKLSDIFTNGKERLLYRFSL CIFLQFLQQMCGSNLISTYSTVSHIRRLSQLLYSPPLQIIFQQGLGMNAEMSRILSGG ALTWKFLSCFVAFFTIDRFGRRKLFMFSGAGMASCMLALAVASSFPKSNHAAQIASAF FVFLFNFFIPIGFLGANFLYCAEVAPTRLRVAMSGISTANHWLWNFVVNMVTPVAIET IGWKYYLVFLCISAIIIPVVFLFYPETMGRSLEELEMMFSEGKSITGIVRESRKPLNG GLLPERYQEKLEKTEDEYA CC84DRAFT_1104292 MTIARPHACLLSLPIELRLQILECVIDGSPNAGLKYPKVCATVR PESNRNFQGLILDLGYSAAATLNVLLVCRQLRNEFTKAAFQRTVFVIRDPYYVNAKYF RNLQRVQLDSLRRVMIVFQAYRLSQLSSWRRPFNLEGLHLDNLTIALQSATQHTVGGV LSEDYLANSTRDVVGLLRQLGHVNSFKIVQNAAFTTQRFQAWWYQIIGLILKEDHYQR YDAPDAPQIETTWWDWHFDSAEKSFEFIARPAKPVVPEPDYMEMVAPLVASLMSAMEA GSS CC84DRAFT_1232535 MSAVKSRFMRMSALADNGTEKDFAMDVWGSDDDSDLYEPPADFE SGSGKLSNLPADPETDNGELSDPPANLGGDSGKSNLPADLERDDGKPKTAKRKGRAIN FQFKQVDIDADSGEQFEVRQSDVDEGSGDEWNPSGGGASSKTRKPTHNYTKKNALKTK KALRTAPVTCTWPGCGAHLARIDHLRRHIAAHISPDGKPFRCPTAFCGFAAKRRDSLA AHLKLRHKLSAAAARKSAASAAEAANDEIRSLARAVEAAIKVAASDSDTELDQVVTAG SKRKNKDRGHATIHGKKRARVSNGSGATENGEGQTHAVVQEDEEHIAAMNIGKKNARI LRSTVAKANATDEKGLQSEETEHGTVVHAADPIDGSDPGDDGPGMLLLEDACDADDDD A CC84DRAFT_1222896 MTPVRKVVRYVGNAGSVHHGERTHVCEVCGRAFTRGDNLKQHMR QVHAETVSQEMAVGTAYQTLQVEEAVRAQQMRSLFGQERADEMAYRAGPAEEDARAQQ VQMYENHQNLEDMGKL CC84DRAFT_386104 MKGGQTDPGWGGGMSAVGRGAQAESSGGHKEYELALQSTNTDIQ VRYVDRQDEPSSYPYWVITDNTTPKYDPYRGFWSRDRKEVESDSIGKATTTTELTHDV VADDSIAGTADDVDYAASDVEDEEDSEQFLRGAAATRNKKSVRFAEMRLFRTSFPSSM SNPSKSDDFTAQISAPQLGTERANRPVRAAARKAKLNNPASDVSANISSEARRISQVA ERDYAFDVDNTVARVRVTRDRGLRVRQTKPDNALYASLAFEEESGKEEERQGVNKDDI HDTQEHGVLAQILAYGGNAQGGSNQANEADEADWTPRSKTGRPATRGRQGKGVQEDVV KTAAAKLHKPRGTARWKPDPIIERPFVCNICSAAFVRQQHLKRHERSVQRCQRTVQFE AYHRNVQRFFGVGPYVRTCMATRTKLRTYSFWIGKVR CC84DRAFT_1169344 MSFSTKVKAQCKLYPPDVAKLLLISVQSLLNPPSPARRHPTPRV TPSPPTPPPNPEEVTPTPTPTPAPAATTPSKSTQATTGAGDDGDDSDSEEKGFICPHC GKVYAQEHNMKRHMRDNHGTGEKPHTCPACSHRSQRKDNLKKHMKAAHGSEWGQRLYD AVNDVLAEEEGQGSGTGDDEGEDGDDE CC84DRAFT_1222900 MARDSSYLMRDDLLQLDDQLLDEGFGFLMSLLPRELFHLYNERI VINSNEYDLQDRPDDLVIAYVTRPGDYVRALKIGVDANAIEKHEFPPSLKRKKGDQHV ELVHLGEADIEKLNSIPPLSWDYKLDAGYERSPECRDQTIALIKYYLLVWAAKNSLEA PLPQPNYQHLVAAFKRLTTTHYYKHHELIDEDDERKDVQMCLRKGEDDLRPLDRSDGQ KEPVMVNIEKDDAEGGHSARTSRETSGSSYKPSAIEPGRELAHAAKLPTPRQTPKQQT LDVDMVDVPEESTRATSVNSSELATSSLDADVALSFETEALIRQSLPTPDTLVEEAIV ETDPTLRDIRKKMGPSTLSLLPLLTTCTFKKIHTKDKGFLGLRLLLGTFREDTSRPEL EGCEAWASFKMHDKNDRAAPRMHVHAFCAGTDKIVEDDLKIKDVLPDLQLGPAFTSIQ GGDRAEELLLKALVKYFFIIAARDKVLGFDKHKMPFNGSFVDQLKIACKRVQMHDSAR DDQRAHSRPLKRVKRSHNPTPRPSASLSDADEDVPAVRTRDARRSGRRTGQPSSAAVS ESEDNIPAFVPVVPQQIPRRLALGVRIIPLMEGHTVNEFLDPDAEVADSDGDSGDEIP PPISNDMRLFLENRHSFTKQMKNLGESVKYYKTRKESTIMGIRKVKGMLNKNTMAPPE RAESERGLAHRRFVETTIGTHLAMKEEGLEGWKTRVKQVNKEAAHIDPRVIDTWDLFY RV CC84DRAFT_387771 MSHFPRRPPSGIKVIIVGAGFAGIAAAIECDRKGHTVTLLEKAE AIEEITRFGDIISFDPNGARLFERWPGVIEAMYKVARKTSWLDLYHWKGEFVTRQSFA DEKSWGPRINGHRGELYNIIYQHARDRGLDIRLGQRVTDYFEDDSKAGVVVNGERMEA DVVIAAEGVRSRGRKIVLGFDDKPKSSGYAVYRCWFRGDAIKDNSLIRHLVANGDTHS GYIGPDLHFLVSSLKDGKEFNWVFTHVDDGNIEESWQFPGKIEDCLKYVEGWAPVVQE IVRATPKDARLIDHKLVFRDPLPTFISPKARIVLIGDAAHPFLPTSIQGASQSIEDGV VLASCLELAGKKNIPLAVKSHEKIRYERVHQAQAMGVKTRERWHKANWDEIRKTPEAI HLAREAWLLNFDAEKDCYERYAAVAKDLEGDRARL CC84DRAFT_1232545 MAITSSNLGVLLCLALFSHLAFSAPIDRKGILSLLLDLLTKKND NACCTALDRFLPGKVSFPTNLSYTSSQITFWSAQEQSLHPSCIVIPTSTQDVSTAVTI LNPLPATAGHTDHNFSHTPHAGAANIDGGVTIDTQSLNQVTVSSDQKTVSVGPGNRWG NVYSTLDALNVAMVGGRLSQVGVAGLVTGGGVSFFSGRYGFACDNIKAYEVVLGNGTT VTATSTSNPRLFRALKGGSNNFGIVTRFDAVLFQQDAFLGGQIDQPITNKEAYFDFLA DFTQSETYDPFSALITVFAWLQSVPIVTIMHTVTYTNGSASWPPPAFKPLDDMPKLSS SVRKAKLSSFANEIGGDAAISQGKNNFFVTLSFVNNPAVTPEFMKQVYKLVDDAAQDL FLALGLALTMAFQPLPHVLYSKGGDENVLGLGRFEDDLINLLFTVIWPDPLNNQMVYD RMRTLENALIGLAKDNGIYNEWVYLNYASQWQNPITAYGASEVAFLKSVSKQYDPQGI FQKAVPGGFKLGL CC84DRAFT_1222903 MSFELKQHPNRELTGGDLLAQTLKRIGTEVAFGVHGGHLDAFLV GCEHSGVRLVDTRHETVAVQAAEGYAKVSGKLGVCFVTANSGFGNGLPGLATAFADRS PIFCVTSSPPLRDLENNSLQAFLDQVVVAKPITKFAHRVTHGEEIPRLVNHAVRIALG GAPGPVLIDFPIDVLFTPIQAKLISWGAITSQPVFKPGPSTAAVQEAVKLLKTSQRPV IITGTGVGSSEVSEKLLVLASEANIPIFQSPKFSPLPASHPNVAGLAVLLPLASPKPD LVVLLGCRTGMFLGLRSGAIIPDSAKIIHVDIDGTEPGRTLPTALSITSSSCEFLSAL QPALHAESYRAPDAWLRTATSLQKIPSPHEDEPDYPTPSRMHPHKALSTLFRSLPPDS IIISDGGEAGAWSFGLQHLAKPSLHMIPTGYLGFLGNGFGYSLGAAIAKPGCLVINVQ GDGSAGFHFMELDTYARSGVRVCTVVVNNSVWGMSAHGQELVYGEKDAARPASALKDV KWAMVAEGLGVRSIRVEKLDDVEAMTREVLGGEGPSCVDLRVSSRPIHPGTTAMVGMT DDPNMVVVPYYDNVPRATYK CC84DRAFT_1222904 MSNFDGNQWYHVYVGGSKDNSFVGTYLVFGDPHLEDTTKHNTTV GAVFKSTNQIESKAEPTQFWQIIPVGDEYYVLRTQAGGVNGYLSTFYAEGRTNLTSRT LARMTRDNVSDASVYWKINSWRDGTFQLTNKKNGTDWLLEIGGEHDDEDGTEARMGSN FTVESRRQFSFDAIGEVNGTDIGKIDNQDWASSLISLPATTTQSSTASATVTVSASTT TSTSNTSNTSSSPTTSASSGGLSTGAKAGIGAGIGAAALIALVVLGLFLFRRRKRRAA APAELPNPYTTGYSDEGGQSATTKYGHAGVNEKAELDTNGQQRAELPMFQPPPAELSG GDVPRQELAGGDAHTGGQLDGVRV CC84DRAFT_1210137 MLSATSSAALLSLVPLALSAATTGTFDAITFNVAGLPAILNPNG EGDKTNNTATIGKLFAKYGTDFIHVQEDFNYHATLYANDNHPYRTATSGGVPFGSGLN TLSNFDWVDFERTKWATCSDASENDCLTPKGFTFMRAHVSEGVWVDLYNLHADAGTED ADNTARAANLRQVSDHITTYSDGNAVLVFGDTNSRYTRASDIPGIFTTANGMTDAWVQ LAKGGVAPAAGSNALLCDNPSPNTTCEIVDKMWYRGSPAFTLAATKFQYAGTQYLNAD GTTLSDHDPVLVDFKWTVSNKLRVSDPQGGPHGDFYNDLNALNAIASPKASSITIRGA NRVDAVSITLTSGQTFTHGGTGGTANTLKLNAGESLTSAVICQGAYNGNTRIFYMQVT TSAGRTVAAGTNNGDCVTRTAESGWGIVGFTGRSGDELDRVALIYGSL CC84DRAFT_1222907 MTKDWDSVKGEIENLSWVQKKRLDEVRKIMETKHGFKASTRAYR MKLSEWGLTTRTTSKNSAKDPKRKKRKRTREDEEDDGSDSDSTVAELGLDHDQTTDPD PPPEDSTNLETVLLSRATVRAASVDVDGDANTATATLEGAEDLLPPVANEDSAAASDA TETSMTSDGVRVNGVVMSMLAAVLDNDSQTLESLLMKHPNHMNLPIGEPFDIPGSRFY NHPTISSCVILQHPEQRLLDIACAIPTNPVMWVLLAHGASGSKHPLGTDLSLHNAIKN GRIYTVQAMLAPGRSNIHGEPGTMWKPILQAVYWNQPQIVRVLIDRGANVNETSPWVD GTMKNTLLHCTERRERDFLKPAVKENCNKILKVLLNAGADIHAQPGGPGSPTVFETFL RPWQGDPHWISKLDPEEMECLEAFIRKGADLKTPFMGFTCGAQSDGQFQHQILWHTTP AAARLIVDHAAPTPEGNGSTLLHEIVGSCPDAKRHPSDALRDIEVLLGRGADPNILDE SLFSPLRRCIEKCPAVDIIPRLRLLLDGGADPELKHSNRLPPYVLAARTFEEPLRSQI MDFLVAKIKGRQPRVVYDDTFTWTTGYFPIPDEPSWEQVQKYSGQNGDFNANLERMIP EDVRAVFQKACFSVASINFLNIATLRAKMSHPLPPTAMQKEEIYQAVAQRQACGLPVY TFDQDFVMLLLKPNMAPILPTFTTSSSPAIESFLLPHQTPITSTAQDEPSILASSATF RFNPSPSVQQSKSMQRPRSPQDNSDIDFSMPETTMIRWPYLGDRTRPGDIRKAKENVL RHRCDECGNGNLLTKGELDKHVVEHEHSKWCVDQGCRRRFCAQRP CC84DRAFT_1181355 MGFELRQLWSAPEINPINRKAKSIPIFNPVDKYGRVFFFSYLGF FIAFWSWYAFPPLLSVTIKKDMHLSQNEVANSNIISLAATLVMRAVAGPLCDRFGPRY TFVGCLLLGAIPSALAGTAHNAAGLYAIRFFVGILGSTFVPCQVWTTGFFDRNVVGTA NALVGGWGNSGGGITYFVMPAIYDSLVHKRGLTPHVAWRVSFIVPFILITTVAIAMLL LCEDTPTGKWSDREKAIPAEQHHTAIVSTTGNLTDKATEGSISSGDDKEKKTSAGADV EANTGVGQSVDAIDAAHAEIVVKPSGKEALKVLCSLQTVTLCAAYVCSFGGELAINSI LGSYYLKNFAYLGQTTSGRWAAMFGLLNIITRPAGGFIGDLVFKWSGHNLWAKKFWIH FVGVMSGIFLIVIGKLDPKELDTMIGLIALMAIFLEAGNGANFALVPHVHPHANGIVS GLVGASGNLGGIIFAIIFRYNGTHYARVFWIMGCIIIALNVVFAWVPPISKAQRMRGV GL CC84DRAFT_385041 MFLEAQQGMRASPCVLIPGFLRRHVEESSARSKECFCNTFRYQS DRPNGLISATPLSAVACALEAWNQNTRSWNVFLGAPAGKTFGNPRKPKSWRISAILKS SHGYVLEDWREDTKRYVSSNHGCIGYRISSETSAAAKSDHLWARKSLNVVL CC84DRAFT_1048590 KADSGNVFDDRGYSGPTVRGGVNPVTLIEKAMRERIIESMYWKE QLFAVNEAMICDRAVSLTHVGGSVNNRPTPFICILLKLLSLVPSEEIILEMLNYEEEG DEGEEPGSADPEQELQNGDTNDIEKEKAGKKGSFKYLRALAAFYVRLTMEPVQVYKTL EPLLLDRRKLKYRKQAGFTLTYIDEFVDNLLTQPRLCGTSLPALPPRSVLEDLDLLDP RESPLADEVEDMDLDGE CC84DRAFT_1104110 MGKLLLSQGQVSILFSAVIVFLFTLALFLSGYVLQQRYVHSLQL AIKPRLPKPLPAQAPLQPPTLDVKWARGMGERLEVDESVQQAMDAPSIDWSGLGYVQV VKDHSELCSAIMLLADLQEKRSPAKRILMFPKAWLKETSEDDWDPQMTTTKRLLRTAA RRHGARLVPIEPAEAGADEALASSYSIAAMYSLTTYERLIYLHAPGVVLDASALDSLL AFSKSKPMSAFPATPERKELSTSLLLISPSHETYQQLRERLVSQPSTDLELLRHAFDT PESLIAESTLAMGNVVYESQSLRDASDDFNATSFNEMTTFVRLREPDMPGPEYDVPYA ERARLRPQNAQAREAWEKVYEMFRQRRMEVCGLDLEYWMPPAAEQPRAAAPVEL CC84DRAFT_1191189 MPSLGFLKKKKTRDSTDSPTSPVKDSTTSPIFPTTSKTQRASVV SKASTKTNPDTLAQQPAQGSQASAGASHKQVDSMNSQPQQAYATPQASPEPYQSASHN VPSIQNLIHPTNANAAPASSQQPQQPAKPANGALQTAQPPAQPRVTKGKYTLQDFAIQ RTLGTGSFGRVHLVQSKHNQRYYAVKVLKKAQVVKMKQVEHTNDERRMLQQVKHPFLI TLWGTFQDSKNLYMVMDFVEGGELFSLLRKSQRFPNPVAKFYAAEVTLALDYLHAQNI IYRDLKPENLLLDRHGHLKITDFGFAKEVPDITWTLCGTPDYLAPEVVASKGYNKSVD WWSLGILIFEMLCGFTPFWDGGSPMKIYENILKGRVKYPPYIHPDAQDLLQKLITPDL TKRLGNLHGGSKDVMNHPWFAEVTWERLQKKDIDAPYVPPVRAGVGDASQFDKYPEET EAYGQTGEDPHGPLFLDF CC84DRAFT_1104677 MVAISHLLTRVIAGIPVPNTPLINSSIALTRAALPDQGYNHVMR SWLNGQAIINNLPATNRSKIDQEAFGIATILHDLGWSNDTTYISPDKRFEIDGAIAAR TFLQAHGGPTWRSAQRLQLVFDIIALHSTASIARYKQPEVAIASGGILTELLGPSVAR TSFGELITVSQAQWEDIARAYPREDVKEYFNGVMVGLCTMKPDTTWDNFVSDYGEVLI EGFNRTGHRTVDLTQMYLVD CC84DRAFT_1264224 MLLDDVGQPLDDVVVLGPKDIDIPPQSEAIIAGIRSWLNPTQYA EDGSEYKKHLSSHLKGTGQWAIDSAIFQEWRDSPDQGILWIRGIPGAGKSVHAANLIH HLSKDGCPVLYFFFRHTIEANHRPEAALRDWLAQALPFSPPLQLELKDRIKEAVEKLT ISDLCQLVRVALAGISQAYCVVDALDEMDQTMLEPFLQMLDDLGHWRPDSIKLIITSR PVAIVERIVRNIRLLDVRLDKELVEPDIVVYLWHRLRQSYLLPESHSAVVAYILDKAD GLFLYAKLAMDAISHLEDDVEVQQALDSLPADLTDMYSNLLQEHLKRTGIAPQLQILI LQCVTHAIRPLRLLEISDCIAVTHPQHGKDAGTLKALVRSACGPLLEVLPDETVRVVH HSLTEYLLGIIRPVKDTMAPFVVFESGPTHARMATLCLLYLQLGGLAQLKVKQRFWDL EVIEQEIYAPFTNYALTNWHAHVKKAAALSHDLHSINDHLHQLIVGGDKDNARKLSLA AKLGDKSDRQPSGRLPKAHADALRLAIALDLPSFVDSLLERYGTELTNYDPDIDYCPL IFAVNSGNCDIVQSLIRHGADVNEYDTHGATPLHTAVGWGYRSGKGNAKMAGILLDAG ADPEKDTGVDHHVYDNTIGGRPEYPACMVAFQSGNKELASVFMPFIKGATQASRALDW AIDRDRAGRADVVDVILRHPDLDVNGTPNNNRRASYMTPLYKACTKRNSELIRKLLAS GADPNIPHNGASGFGAPEANHVGCNVLHALANCGDSYSNPTPSEVSEEEIQECFRLVI AAGANVHQTDLRGSTPLHEAGDKVAARCLIEAGADVNAKNIDGETPVHLLTDIDLLEA LSDVFDINTKSSRSEQTLLMRAIDQSRWFSLGTRSESKEQQFAKALKLIELGADVSLV DKEGRSALHYAAQMDADLPNLKILWKKLIDVGANPNAQDKDGEIPLHKLGKRSWGNET TKESIEAFLDIAQPDTEIKDNKGRTSLFKMIDTSGDTSTSEILAVLELFAKAGARFDA RDLRGRTLLHAAAQHRREDTTHLKFLVDQGLDPNSQDAEGNTIWHEGVPMFCNCRVSP NLYKGFTALGVDLAKTNNQGRSPLHVLSKYNQWVEEMGSWEKQDDPTLLEYILNDGRI NVNAEDNQGVTPLHNASTFSPFLVQQFLRAGADATKHTAEGLNAFHLAARSRQSNVIG ILVSWLRSQDDQDSLLNAVNYKDEQGRTPLYYACASGRYESVQLLVQAGASVESDSYL GSAWHGCADFEEEQRSGTWRRWDDPNDYGRVFPEAPAGGVLIADTLRPKRPLPGRGQQ VQHFRFAVERIDEIVDLLLAWGPDTAYKYLDEAIRCSLEQQFDYTATCLLRAREALGI TTTLDCLDSVSECVKRREADMEPGKANGKHKDVYHLIRMKEFDAASELLLEDPDRHLL KTASIQGTPWINNLTELVKSGFASLVDTALTAEVMAKLRTAPKQEQKTARNIFQSQPR DEENKEEPVKEEETNTQSDEKVAQLLEAACLTERPNMEVLRVLVEKKGIDVNSATTEN SYCQRTRRNTVLHALAKSSRLEWWQLHQALPFLLDKDKGADTEICNGQGMTPLQLCLE RIGEPSFSKEMAKLLLAAGANPNAVDDRDRSCLAIAMNNREVFEMLLNHGAKVSHSAL AAAIAAKDPDLLQLMLSCEGIDPNMRKVGKEVPGSPSANGMHFTPARHDPNGSNELYP IDYLLCSVCRNDESNASARMFDLLLAHGADLGARYERTTIVHRLLGNQGDSSSTSYGG ENAFLLPVLKHPSLDIEIRDSEGSTLLLHACQRGNLTAINALLDRSADIRARDSKDHN ALHLFVGNIYYASLFSQQVKPDKATLGRNIIERMTSLAPQLLTEVDAEGWTPLHSSLR RPFNLSDVEALLDAGADVNASNTKTHDTPLHLLLGGAFHIDVDKQGSAVITGKRKDLF QRFISLGANINARNTAGETPAFNFFRQGKVEVSMILSDADEAAIKTVPLGDQRWQRDE LERKRKRAVAVAHEHRLWEMFEMSGMDWSATDTSQKTLLHVVAATVEQEYEKHVGRGP ARFRFLMDKGIDVLAEDDKHQTALDVAAALGAEDILEMFKKKD CC84DRAFT_388391 MSVFDVPMLASQPASRSLPLIRWLFSRGSHIFPTAAFISSSGFA YLSYAALPPFTRRMCTLLSSLTAGGQPTWYAAAAVLAISIAPWTALVMVPEVNFELIR QNEEKGGKRSKDTPDEATGRSAEESVNSEGDRSQWTDLSGPQERTREDSTAEEDAEVK GLLEGFGRLNGVRVGLIGVGGVMGLVGALSG CC84DRAFT_1130963 MAGEKPAQSIATPALQPPFAPRPIPPKPAATVTTSHASLLNGAA DYGQQPVLDSATNIPLTDLESLPTLTGDPYEPFLANEDIKKFLAIDLDLKRLNRIHGH LWMCGRPMRARALHRYKMLGMEALPTTQMDLHLLRFSNKLMIKPLGEYMLSYSFWLDH LCDKEELHKAACGFLLSYIWLLTTPLDLKLAHELFLIPSFVTWNWWKSFVADFTKVID VRALDQVNKRFHFGDLRLGRINSVYRIRYAHTHFVRGYLYGYNRYVVFFQRKFSWILV VFVFFSLVLAAMQVGASVDPLQQNHEFLKSCYGFVVFSMVSVAAVLGVIGGLFFFIFF FNMVTAIGQAKRWEIKRMKMAEERRLKQA CC84DRAFT_1264227 MQRMQRKFGRLPFMARTPNEADIEAMLRDFNDSDQMLKNIEEAS AQWRDAWTNILNHQLSCAEGLHSIYKPVAGEFTRHEHADTPAETMERAVKLEEAFAEL KTDMMEEIKDIDKKLILPAKIARDSLKPMKKAITKREDRKVDYERYKGRAEALQKKKT RSDRENTALAKHEADLERAIHEYNYADEGLRTQLPKLNAATFSLLPHLLANQIILQNN LIGNLYTVLHQYSHEQGYPDPPPEPEEVIPEWDASFTSMRKEMEENFELLRTGKARTQ PMRLPDKGDTITGIGIRNKVLPGRRTSSSETIPIRDRSPRPQRHNLPPTNEDDGPPAP KLNLASKPSMNQLNASKPRIGGASPGLIPTPTFDQFGRRTSSYSDASSTHTNGHDDYF GNSNGNGRPRIPSTSSSYGAGYPSPQALAAKKKPPPPPPPKKVGSFHGEYVTAMYDFE GQSEGDLSFREGDKIRVIKKTNSSQDWWEGELRGYKGSFPANYVK CC84DRAFT_1264228 MTAPLRGTPRLSGIPASQNTAPVAEFRCLFTHDTRKKQKKWQDG YLKFHSFNSRVIVYDASRFTVGDTYYKDSNELHEGDELMLDKGVMVEVAEPVGVTQTD LTPLFERKTKDSPQRNNPAGPPRPLPRPTLPASNPLRAVSQPRHKSLNALLGTSRGPI GKAAPIKSPFEERMEKENHPVEERAPKRQKTAHERQEQQAPSRPAPRETSSKTVSSLS ARLMHSNTIPRPQAVQIIPRGATVISLSSQPETDNIPSDVTLPHTPARPQSGVCPPTN SFPPVQRESPPQPIAPRTPNIPKRRVPISSVKAQQKPQPRAPPSSPPVSASNRIENVD FTIEPVSDPPREPSPIPSPPKPWPVEKRKTKALKLSTGAKRGMLLCQSAPIRRRPSPD ELDPQTSTAVRNGENALPQRVMFGEGSRRESIAIQDAMGKASHSRMDVWTTVGPSREA TKSVEGTVQSPLETLDDLELAHGFLDQQLLVTPSSPHLHFRSTASKSPATAKPKITKR ASTKLPKSTKRACETPTEGPIPKLSKAKATQPKDPAARPAKRKKADKAEPPAISRSSS PAAVCIRKEPPLSNPRAVLSEPHERSRTTSISLSPGKLAALSTGGFKKKPKRTKALLT ASDNLDPAPPLRPVTVALPPHPLRSSKNGPLMSTTELSALLQNGPKSMRPEDDPIDDS TQGDSNVLPSKRSSGFHRSRSENDAPIPSTSDEWEQKSLPKPSDTTKFASASGSRNAK PKAGGLAALVKKTDPRRKFQRTQSLNVDTPLANAGLETPEVVSPPPVDTDVGPWSSEA FDLFDWKPPGKEWKAEGGKMRLVDVADEEDSGVGMLIDAR CC84DRAFT_1264229 MRFTLLPLLAAAALASSDSSAPASEESPKPADPTPAGEIWAAKW TDADLTSYTKHCASSSTLKAQIYTLGEMYPTLKEWAPQLKVFYNKQLYPGSWEGKDAH GEGRELLKMAYEELPFAVREWLTKNPKQRHFSVQDDIVFFAPGAIYPILPLFVEEPES DKISDCEGLYEDLENYSAEPKEGVVLGKVAHKTLGKNEVEITLNAFQIKGAAGSKDEL CC84DRAFT_1104459 MKRNPSTMDRTKSTSSKKPAPERPGLQRRRTTAQTRYITMLLEL DAVPKLHNILASFFTWILLAGYIVFPATFNKLSDKDLDAKADNALKEHALATVRNVPL LYVAAFACGVGVLGCVWLWWKHRGNYVWVINRIFLPSLMNSVAGLISTLVNVYSAQSG QYSVTARATIVVTAALSVVASALFLLYNSVMLTLIKRKHEKETRALERSMQDEEVPVP GGGVTRTV CC84DRAFT_1232577 MHPTMAALRIDSSEILPEEVTELAATSNHRVDVLEQSKRNIDSM PAEAISEAASPLEVFVKGNKSSEQFEKGLADTALNGSIDGNDRINELKNEIHPLLGRD RWSDSGFSKITADKWEQLEPSVTLNQGSNITTAKIRKDSSTESSYLDMTSSVSATDDV VDRILDKITEMIKSKFKHLEHGHPAKFSI CC84DRAFT_1222921 MQLPYFISLALLPVLSLAGTITFASNDNCEDGTEQSPASDVCTK APEGSRDSFKVTDMGGCDNIGLYSAEGCGHNDRQAYYSEFDILQYCMAPKYDYTHYAF HVC CC84DRAFT_1191198 MWKSLKKAFAPSAFEPELPPELLQTIHVHAARKGSFTSYGSDAS VLQTSFDPLVASAFELAEMLNAGAITSVEIVEAYLHQIEQHNRRGRQLRALISVAPRH ELVRIARRLDDERGRGKRRGPLHGIPIVLKDNIMTDKNLGMDTTVGSYAFVGCTPKRN ATIVDRLIRRGLIILGKSNLTEFCGLKNPSMPPGWSAVGGQCQSPYVARHIAKKKLHW ELSAPGGSSTGSAVSVASGFSALAIGTDTLGSLITPANRAGLYALKPTIGEVPMDGIF TLSKSFDSAGGMAKSAKDLVALMDVLLSPTGKETKFGVQKPNYKIRSDFAGLRIGFTE PTIWTSWRKSGRINADAERFMLQKYDMVVQSLIEMGVDIVYPVELPSQSSLNHDGANA FEPIVYSEFKECLSEFIRQFKTTKVHSLAEIINFNTEHPELTLPPTCPHQNDLLSALQ AHPPKEHLNQLRTHLLTAGGRDGLDFVFNTHQLDILIAPGDSALSTLSAAASYPTAAC PLSALKLNGQPFGLTLTSPPHTEHTLLHFLTAYEATFPPRALPLPLASVPLQSAAEPG IDAGIVEVILRAWDERRWGCSADALASWLNRRWKKSGYEVSAEMVCEVLRENGRMAFR GMEGEGEFSVSIMNVAFWVGLGWAREVLDLVAYDIRLLHFFGYLGAFWKGT CC84DRAFT_1104271 MDYSAHDPDHPAGGDPWASSPQHNRTSFGQPPTNDIPSSPLPPQ ASPYGQDSENYGYMGDQNSHGRPNTASENGDPARPQSQDPRQDGQAAQQQHQVAAQGQ QGHHQPQRYHGTRPQKPQTQYKLQAKVTGLERTGKKDPILRFDVYTNLPKFRTTQFRD VRRLHSEFVKLGEHLISACPEAMVPAVPPATTAAGAGTDEDEARVKASLQRWLNVVCS NEVLIRDEEMVFFVESDFGYSPVVRRKQPATGVRRKVIKQFAPPPDDTPELAAARPIV KAFYLGTMDTEQKLEKAVKSRRNLGAAESELGQKLAAMHVQETHVGLGLAYRKLGKVV QATGDFHAAQGTAEATTLGDPLQYHSSDAFIVKETLTNRHILLRELLQAQASTKSKMS AADRLKASSSVKRDKVDEAITALEEARSHEQYLLQKSQRVTANLLQEQRKWFDRTAAD VRYAIREYVVRQIEAERRTLATLESVRPDIRAIDSSGGLSRLGREAHPAQRRVSMASS QGPKGDAWSGVPRRPGDGLNRSLSGSFPAIPGVQEEDEAGDEEGTTGRKRATSKAGAD DDDDRIDAKNAASRLATTTF CC84DRAFT_1130983 MWLAWACCARRVIRQNVKAPRRLLSTQASPQLDPLSKTTRNIGI IAHIDAGKTTTTERMLYYSGYTRRIGDVDEGSTVTDFLPAERARGITIQSAAITFDWP PKNPQASPTLPPASFDTVPYSSFSHNINLIDTPGHADFTFEVLRSLRVLDGAVCILDG VAGVEAQTEKVWTQAGQYHIPRIIFVNKLDRVGAAFSRTVKEIGVRLHGWPAVCQIPW WKGGNGDFVGVGDVVHLRGLLWQGSTDGSQIQPYTLEQLETIDKTFADEIKKARIALV ELLSEHDDVMVEHFLEHDEDHLAITGLQIMQSLRRTVLQAPQSVIPVFAGASFRNIGV QPLLDSVVDLLPSPLERPDPETSVGNQIGTLANLLNAAPKAPAQPQKKSKDDNAIGPA EARSLSACALAFKVVNDPKRGMLVYVRVYSGKLDRGANLYNTNLHVAERAPRILKMYA NDAVEVDSLSSGQIGVIPGLKYARTGDTLIWCKGLALKAQPPGGLKSLQLKPITVPPP VFFTSIEPHSLSEQKHVQECLAILLREDPSLHLTVDEESGQTHLAGMGDLHLEIARDR LLNDYKAKARIGKIEIGYRETITSSTTPNTYILDKPIASKPAKAGITVALTPTDDMMV PVSISGETLPEDGPFETTYSLPDNCTVHITHANLSRHDSSGHKNHIPPHLSLPGIVAS IHSGASAALARGPYNGYPVSNTRIEINLDAAAHLFGETTPTAISMATRTAVQNSLKEA NDASPSVLMEPVMLATIFVNEGSLGAVVQDISSSRGGQVLSLDTSDAPVPTTSLTSEL PTVDPNQIYTPPDPFASGTGDVGSGLGDSQRQIVARVPLKEMVGYLNQLRALTGGRGT FVMSVDGFERMAAQRQKEVVDSMREFV CC84DRAFT_1264234 MNRNPHIGAPPCPPCGSQDVRGGSSFPTQAPAPIGGISLKKPAV RVVRPARLSPGTPSRASAPSPAPPVKAEPKDGDGHALPAPAASTPSAPTLENTISSVS KEADALEELFARLNRPSTPKIATSTLKGSSKSFAPAAEAKKFASSTTRGVNKEADSAT AIMPFISGVKAEASFKGGLVTIKKEPDDKDALLAPATSNIDKIILEEKREARASENAT AVSKQLSPQSFERQFLQKAAECITSLPSDVGPTAEIIKSVTDKIRRPYAPSAVLEPEV VEVLKARYVDAVVTFLGEINRDKKRTTKEQIGEILATSDGNFLYLCAVLADEEYISIE NLDQIVGLSRAIGGVLPEADDAKSASPVLVGASKHPLDGMASWPAREKRVNAPGCRTC LLTGLPENLSINKLQALVWGGRIESLQLPNPGSKTAVVKFFTPEACEKYFNATENGIT IPGTKIVVSVEKAEGPNSVNDVLAACTEGDATRCIRAYDVDEDWGDVLLMKLASRAKG GQPKREVDTIKRGSTARGRSYIEFRFANVYGALQFKRELMDDPDWEHCTIGYAPDPCE TAQGVHIKDEDEKAKSVGFF CC84DRAFT_1169369 MQNAPHTKLPVRDVSAIIARMKDQVDYTLYLVTDSTEAILGSRD LVEVVEQALLGGVTVVQYRDKTSDTGALIATAKALHEKCKKHNIPLLINDRVDVALAV GCEGVHLGQDDMNLTEARKLLGRDKIIGATVSSIEEARVAVERGADYLGIGTLYATST KKNTKDIIGVNGIRRILRYLHTSDDEAARKMKTVCIGGVNALNVQRVTYQLHAPSDHS SPPKSIDGVAVVSAIVGAKDVEQAAANLKTLLCTPPTFIHFSPSTNNNEDWTSLSHLH TTSLALTKAVDSASPLSHNMTNLVVQNFAASVALAIGASPIMSNNGAEAADLARLNGA LVINMGTATPDALKNHALAIAAYNAAGRPVVLDPVGAGATSARKEALSFLLASGYFSV IKGNEREILAVAQASGLAVASSEHAAQQQQRGVDSGPASYTLPQRAHMVQRLARRERT VVLMTGATDLLSDGTRTYALSNGHAYLGMVTGSGCTLGTTISAYLAAAPGDPFMAAAA AVLHFEIAAEDAGRSEGVRGPGTFVPGFVDALYARRKAIVEGHLEEGAERLKVEVLRE VKDVGILREA CC84DRAFT_1169371 MATINVRRDVTDPFYRYKMERIQSKIEGKGNGIKTVIVNLTSVA NSLDRPPAYLIKYFGFELGAQTNTNPADDRWIINGAHDASKLQDYLDGFISKFVLCKK CKNPETVMEVKNGGITEDCKACGQISQVDLRLKLSGFILKNEPKKGKKDKATKKAERR ARKAAGKGGDDDENASQNGSPGDSASDHGDENGDYGIAAGSDDELTRQIEDGALDIDD VPEEKEVQWATDFSADAVKSRAEVLPEDLKRAAINPAEFAEDAEDGDGGPSVYDEFGK WILDTAAEKGSVDKVDSVEVYVKAKELGIESKHRTLTVLAQTIFDKNIVKQIDSRAGM LKKMITSEKHEKAFLGGTERFVGIDHPELIPQVSAILIKYYQNDLVEEEQLKAWGSKA SKKYVDIKTSKQVRRSAEKFIEWLDQAESDDEDEDEDEDSE CC84DRAFT_1157110 MADYHQYDGPPRPRPKRAVTDYGSTTVQWMRNRRPRYKNMAAPE VERPSASYIVDMAPPAARIANAAESIPAKALHSSLNKVKHPINVVKWTPEGRRLLTGS TSGEFTLWNGMGFNFETIMQAHESAIRGVAYTHTDDWLLSADQTGIIKYWQTNFNNVK EIQAHTEAIRGLAIAPTDSKFVTAADDTTLKIWDFASSAEELTLNGHGWEVRTVDWHP TKGLLCSGSKDHTVKLWDPRTGRCLSTLHGHKNPIAKTLFEPVLGNMMATCARDHTAR IFDLRMMRDVLLLRGHEKDVTTMAWHPMHKNLLSTGGVDGSIFHWLLDEQNAPPGIAP TTSPYDTADAQNAPAQTIYPAHKVQYAHDFTVWTLDWHPLGHILASGSNDRVTRFWAR PRPGDASWTADRYHIGTAAAEAQGTYDRRDGRRQMRDEEEQEAEDEAEGLVDQKLPPK NPGAPLLPPGLTLPGLNTSPDGTSSILPGIGGLPPPPGGLQIPPPPPGQGFPPGFPPA MDPAPWERRRAPLPSQQDSLKAEMRRGNYRKAR CC84DRAFT_1191204 MPKTKYRHVFATHSQSRQSCLTPGAPQSPSFVGFRNLMILVLVF SNLRLMIENYRKYGVLICLSCHDYSRQDVIYGLALYLSIPCQLFLAYLIELVAAQQAK SALARMKKAGEEQNTPENQAAARRSFKKIWKIVAFCHGAHATFNLTISSYIVYYHIHH PGIGTLCELHAVVVWLKACSYAFTNRDLRHALLHPDQSEPLPEIYASCPYPRNISFSN LCYFWWAPTLVYQPAYPRTDRIRWGYVAMRALEVFVLSIAIWIASAQYAAPLLQNSLE KMASLDFVSIVERVMKLSTVSLFCWLCGFFALFQSSLNGLAEIMRFADREFYEPWWNV SSVREYWTTWNKPVTNFMRRHVYSPLVGRGMPPALAQIVVFFISAVLHELLVGAPTHN MLGVAFMGMMFQIPLIVFTDMLKKVKGIRGEVAGNMIFWISFCLVGQPLGALLYFFAW HAKYGSVSKQFPQSPALHLVGR CC84DRAFT_1191205 MTPTDTIAHQPKGVDTTGPENGTGVPTLVASHEANPVLERDAAT KGSWMAYVKTKQFWIAMVLGQTLAICITSTNTLSQLLSNEGTSIPAFQSLFNYILLNI VYTGYTLYKLGLKGWAKMVVKDGWRFFILAFIDVLGNYFIVLAYRYTTILSAQLINFW AIVVVVILSFLFLKVRYRWTQIAGILLCVGGVGVLFGSDHITGFNQYDALDAVKGDLF ALLGASLYGVSNTFEEFLVSEEPLYVVIGQLGFWGMFINGTVAGIFDRSSFRAAVWNA DVGGYLTGYTLILSLFYSLAPILFRLTSAAFFNISLLTGNFWGVAIGVKVFHYHIHWM YPIAFVLILVGQIIYFLRQGSLAEQKKPWLGEAQDKGVSGIGTAKRRVENPDAIV CC84DRAFT_1191206 MGLDNDARGWIMAAVSGIASIICVDLVIRLLPGKKNFKIEESNI FLSTGLSLSFGVMIFSALYSMLPSARNYLTKGGLSPKVATLVLIASFMVGALGIAVVS QVLHRFIPHSVVDCDHDHGDEEEGKADDDHAGHDHSPSSSRPMEEQWNSVLENSHSSY GGTDHHGFPARRPSLHTQISTKVTQLVTGSKEFCDENGKCFGYSDPCGNECFRNVQHD RVPRFHSASTKIPTRPSGSRTQTLPAERQPLLQSITNLQALDENTPLAPTVSGPATTE TTSHTNPSPIHANGNGTPRPLTKQSSHSSLVSHASATHHHHVPTNAFLSIGLQTSIAI ALHKIPEGFITYATNHANPRLGVSIFLALFIHNITEGFAMALPLYLAINSRVKAMFWS TILGGVSQPLGAGVAALWFKIAKRRAGEGEGEPGELVYGVMFGVTAGIMAMVSLQLLG ESLELTHSRKLCFASAFAGMGILGVSSALTA CC84DRAFT_388749 MSSRLRPSAHHYELLPQASADLEDPPARERRDSNASNGSSKSWL GRLGDSIPAVHKLTDPSICAHYITPRRRKRSVLRLIYYAVFSFPYICLFLVLVAAIFF PSYTHLPAHYKELRERALASDAPGRANVHDQKVFIAASIYEQAGELTSGAWGEAVLQL VHLLGPQNVHLSIYENDADDITKESLRALEKKTPSNSTIVFEDFDLGSLPRITLPNGE SRIKRMTFLAEVRNRALAPLETTQVAFDKILYLNDVHFDPIDAAQLLFSTNVDANGLA NYGAACAVDFINAFKFYDRFATRDLEGYGMGIPFFPWFTSEGDAASRNDVIAGKDAVR VRSCWGGMTAFEAKWFQDQSRFTSAEPKTEPEPETSANPETSVLRFRYEEDPFWESSE CCLIHADLQYRRSGSVFAPDSGIYMNPYIRVAYDTKTLSWLSFTRRPEKLYSIIHDIL NHAMGFPTFNPRQYEEPGQQVTDTVWEYDHPVGAFLPNATASDFSGRYVQEERTAQPG GYCGGRFLLVINETPDQGEGKWGKIEAPAPSRP CC84DRAFT_388738 MGIWSNLANMHRRVPSDAATLNPPPKLTPFLSKTLYILRLYKLT EVPTVDSNEDKQEERHMVEDADGGDEGSGSEPGDDPSSADSDDDSSESESEADKGKHN KKRQAKQALRSSPPAKRRKTKSASPKRASPKALAKSAMSKRVVRQHQQMACPEVYTTL YAANSAACELQVEIMNEHTLLVEAARASNAGGLRRKLMGLVEKKGEERYWHNEFPFGL VGAKMEISVEPVTVCGPRNV CC84DRAFT_1157125 MADSTSFEVIPIPEQTLRKLSKDIVGIFPKFTDQETVSGVKNLH AVIDPLLDTAASPELGSGHRAAVCNVLCAILERCQALELPYVQDAILDDSIWSRAFKI YLEKSDGAKGKSVRQVLVTLTSILLRNQTTRAYELQEWAIANLVDIICLRQDRSKVKP ALQGLAHFIQKDIATIPRLMAVHANIVGSTSGLSVAGPDIQPLFSVLLAWIVHHDTSL SAGHVVKNFLAQLRRTSHQGPTTVHDSVATVWMKPVVDCLHQWPDRIQEFKTHVFPHC FLPNVEEYVHFLSYLHFPRHVDSRGPIPEELSAYSMERNVLEDFEEFRILLASIQTGK ELGVLKDTDYRIQKTIEIEQDALILPDDIFGGWLSHMESEVRLAGLFLCVYSTNVTRT ITGGIFKSLQKNLLHLHTDPDVNFRRELISYIQRLFNRLRGSTATLAKFRNETLRKGE GRHPFPEGSPVHQNSSTAKDPLVESLRFITWYLSFIEGEIRLDAAYQRRITGLRALMV VLKSGVDPRVPHHYLSKGAQSQLHWAHGLQLANVGLIRKLLDMMLDPFDDVRDTSVSI LQILFETMSEEGKLNMLSMLPPFIKRAEATMLRTGRADHADGLARAYALYHSCVPTSL ESREPSSVTVLIKIDIIRRLNKQLQETLEIARDDLAEAVNGRPVHGIFAAIRYIVDQD EFYSELDKLDADGFNELKKIHNQLLDDCTTMWIIVENILSADAPEGHVPEDMDEEVSL DTKEILSYSWRGLKEASTLLRVIATRAPIGRQDRDVIDPARFEELGRSCFTQLIELRH RGAFSAVAQTFAAFCRRTYFVGDDALKALPEKWYQETLSSIQAQAHAITRRSGGIPAL MAGIVAAEPQSSGKLFPQAMRDLTNEATVEAKSSNIEESRLPQVHALNCIKEFFMTSK LGASSEAFIGDGLELAAKMINSTIWPIRNCGLMLFKALIERLLGSDEAQDWKEREMTK TSRFSYHSYPSLVRIIENLLNPEGPIKESLDIPGSNSPMDLHGAEGVFPALQILRQAM PPEEHRMTILQSITKLLSSPHWHMRDMAARTITALYRPSELLTGVKWLLDNFEESSNA KHGKLLCIKYCVTRGLQSSDQVQEFLKESMLHLSICAPAWHTQTSCPYVKGAFLDLVS VCGMFIVEHGTNESAMNVWTALTSAVGVGPDQTFNMSVVRADALLQKSTAEVYFIDRS IIRPDQISVYLSKDFQGIGNALQLLATKNPDTCIAGIDALHEIIKLKTLRGPILQLPL ILHDIYRLTLSAIDPEVLSRAQDVLADGLSQLDMPQEVLDLIREPDLLSTLDKLEEQC LSNAPSNSQSALHLLGFFLDWTYKNCPSQRESLWPRIARYICILRMTMVDTNPFDARF AAANSICALDSIWRISSSTTPTRPLLLGLSLVLYDMLNDDDDEIRDAAARATTALLNA HSPPNTRIAPSVPILTSHRLATFLTTHFSTSQDLCREALRRLTAAPLRALLFNVPFAE TLAQERKEDTTLFAQEKQNLFFDPTLDALFWSRVLSRCSAGAALATRLGAWVVQALML LIETSEKEGDGALGWTSKTEVSTLGMRVLCAAEVALRWDVPNAIEIRELLNRFVVVGE AKEVNGLWVGRAEKATATGVVELLRSAGARVRAVEKGLEKLQVEKEEKTSTSEAKTAD KAAADEDRVLSFLKGLGARVRATEGGLMKKAGM CC84DRAFT_1131011 MWDDEDNNPYGSFARHDSNSNEVPGLASPGALPYRPATPPSEAS SPAQESPEYIPGRDLTDINYDDRENDDEEEAGGERIAPKRGGYDSRAEQWLYENPDSP ILITYAGKNVEGGGNWITYTIATGGMEVRRRYSEFASLRATLVNLHPTLIIPPIPEKH TMADYAAKPTRAKEDAGIIELRKRMLAVFLNRCRRMKEVLEDGVFWRFLDPHTSWNEV LHAPPVSNIPKQILKAPPLDTANPSQAHNYLPVPSSSAKLKAAGSTSSSGTPNAPPDH AVQPSVAAHSTSGPQVFGKFPPESKSMTEEQLDPYFVNFETSSKELENLLQGSMEKVN RRTLSHLSALGEDLAELGARFNAFSLSEQSQSLAGAIEKTGQAVDSTYIATAELSSSL SASFAEPMRESAQFAGVVRSVLRYRVLKRIQEEMTKDELQKKRALLESLEHSEAEAKR LEQHLSGAGYPQPARSRATSNSSQRSSGHDRRPEEDTASIDSDFPPTHADVPSSSQGS PEASTMGSSSPHKKSPSGNFVTNKLFGPITHAFRGMADVDPERTRRDQIGKTRENLHQ LEQAVVVAEKDTRDASAGVLKDLRRFQAEKENDLRRYMIEFAQCHIDWAKRNQAAWEE AKAEVSTIQPHTAQDE CC84DRAFT_1169379 MAGNISALQALPIDASGTSIRLLKVEPKSRDRFQSQSKELLSLS TEVFGLDDRARYHAYRALSYTWGPPEPTKAIALNGIVIRIRKNLFDFLDMYAHEQPDE CKYLWIDQLCIDQNNTQERNHQVGIMHRIYAEAYEVVAWLGLDEDGSERVMKCLSGFS DVAFLGDSRLRGVMKDDKRKMLLENFEAVKALLGRDYWGRLWIIQEVLLAVRLKVYCG RLHCDGADFESLLGLMMIANDRIPRGTQTNIFGEEMWQSNPITYRNSLLANMDISEAL LRFSEASCVDPRDKIFGLGAIISSSHDLTIDYGMSCEEVYMDTCCKLYETDRREVAYE ALRCLSVQAKLHVSLELASDIEQLVRLKKWPENSSRHLEIFRKYLQRYSAVDAAPSVS AS CC84DRAFT_1169380 MSRHDMFVDTQEASPPVANEEVAPVSVLTPEDNERVGPERQGEQ VPAVDPDKGPDEGVAGQEAVPNLATKEPEKHEASPSTFVSSLHVSSVEQRTGGPSKGD VFPVSEGDREGSDGSGIIYSLA CC84DRAFT_1222937 MSTVTLAITISVAVIFGVTLLWVAIYYLHQYVHRECCKIRDFFK SLVWTIPKQEDYAHERGESTLRHGTPDEWVREEERRRTSEIEWERVERDVEERKRERD VRKRVKIRKKVDEWEDSDKMGTDGTADFISKTNMPPSSRRREIEEGPERRGGQRVLLQ PCVPAYVAAFVPVLRSYGTPYMPAVLSPPMFPLVTELEERDDGQFVEHGLPPPLPYAN EIEEEQDFLSQPHLDVEERMSPIAEADQDVVSASVNEQSASKQQRGDGDFIVIADEYP TYVRERMEERRQEMDKSRAVDSSSSGSYSSSAYEEVPRGPIPTATQRPTFHFPQIPWR THPPDIPRSYPSQWMPPVEPLPGDLLMYPPYANMGRNSRRERGDGKPGLTSSNTPPCP V CC84DRAFT_1181381 MAETCIVCLGDLVPQDESQTSATDATLVQDSANGVDNHRHVIKL EGIVSVSPEHAQKEEDLVAHLLPCGHHLHNECLKPWVERANSCPICRASFNMVELSAR IGDTILSSYAVQDKRQVADIDPTMLVEDDYFFEEEAIPDLVCLVCDDVGDSSQLMECH SCANYCHVFCAGLDDMPTRGPWYCQYCMENPSLLRAAGHRPAMRGPAAYLNSGGRTSR SSRTSRRARAPDEWVDVWQSVWDRLHFDIEFPFEDDDQSESRSVDIQRRENEEWERRF ELARQMGAGSRLRAAADTITTHRQRPANRTLHREVPQTPKSPKDPESQEELRAWNAFD KAREQVAEDGAQHVRPASVSSSTRQRKRKSVTESPVEPEAREPQPERKLKRPRTRLNL NINTAESSATAAARRPTAISHTSPPAVTTNGDESIVPGFLQSLLQEVEVDRFAEDREI AAPQPKRIIVERACSPQNSSPGLSPVYPTNRAGMSTPPPLSISRTDSPTQKDQQLSPT YSPYSPADEDARHGRKKIAHRQHSPGLTSPPRSKDSSPTRSTTLSYSTKRELQLMVTA VLKPMYVKKEVSKDEYTDINRDVSRLLYDKVAEAGTDALASQGIRDKWQKIASNEVDI AVKALVGARSAASPPAEDSASTSS CC84DRAFT_1264248 MAQSRQYELVLFGATGYTGKLTAEWISQHLPIDLKWAIAGRNAN KLQDVIEELKRLCPDRKQPDIETCELKEDQLTSLALKTRLIITTVGPFMFYGEPVLAA CAKTGTHYLDCTGEVPWIHSMIPKYHDQAKSTGAIIIPECGLDSVPADILAYALTSHV RKTLNAGTANVNMSFFAGKSGFSGGTAHTILQLFENFGMKELGDAMKPWSLSPVQPTN PARSPKGSLLHRLFGLVNIPEIGGIQTTWLMASVDRCITHRSWGLYESSAKSTSTPSL SYGPRFNFNEYMRAKSLIAGLAVNVGMALFGLAFAFPLSRWILSPLVKRFVLPQPGEG PSRESMKKDFMDYKAVAIADTDRQEKVHGTLHIPHGGYVATAQTLSAAALVILRGRLE NTEAGRLGGGILTPATLGQPFVEKLDEFGIKIEVGV CC84DRAFT_1131026 MRLLEIRQDGTLGLTGNFMKDEEVPAYAILSHTWVAGKEVTFDE FIADEGHEAGYNKIRFCARQAERDGLRYFWVDTCCINKADTVELQHAINSMFRWYQKA ARCYVFLSDVPTADLETPTLKQALRNSRWFTRGWTLQELLAPQVVSFFSKDGKHLGTR HELRQEIHEITSIPVAALTGASLDNFSIEERLSWSERRSTTREEDMAYCLLGIFGVFT YLNYGEGQNNAFRRLKKVIEEDLRESSQILEANVSQRGLSTFDKLSSCKAHYHIPFPK NQYFVGRKEELDLLNQKLLVDRNCQKMSIVGLGGTGKTQIALQFAYAVKESMSAVSIF WVPALSMESFEQACASIVALLKIGQVGAGEDDAKELLREYLSSDRAARWLLVLDNADD PDLVFGTEQSSGILDYLPESEKGITLFTTRTQEVAVSLTRGDVLELGSMSRGDATQFL EKSVINKSLVQDSEAAGELLDELACLPLAIAQAASYLNMNRTTIVKYLHLIRSTEQDL LSLMSKEFRDHTRYKDSTNAIASTWVVSFKQLRERDQLAAELLEFMSCVEWKAIPRSL LPKVQSEEQMEHAIGTLCGYSFASRRNDVILEGVEGKEEWYDLHRLVHLATRIWVHKY GDAARVTESAIEHVAEVFPTDDFANRAIWRAYMPHALRLLVTKHSCNVKDRACLILWV GRCLSFDGRIGEAI CC84DRAFT_388896 MASPRGIIGHSHTDSAGWKDQPAYCAMMGSPNQAELDASFPESI ERLVTNFHILDLPNMEAVRSRVDKYVTEPKTAERLKPWYPFWCKGPTFSDIRATGAR CC84DRAFT_1222942 MAPSRTPPSSDLTADDEEGIDLTTLEKQQLSPIIESARWNLLRH YRSKGEVLNHGNSEMKLLPGDGNKKALHDFEFAWKTAGTVPKIHLVNEIDHPITICGT KLGPQDRGPSEARNNALVALDYNAEALKEAVFGLKPARNLPLWKGRFGAEKYDIQEIG TIFTVDIPIQYVQGLQDLNAKNSKADSLADGQPVDGGSKVKAG CC84DRAFT_1131031 MDQPRVDPSPEVEARPHPPNDAPTPGSSGRDAGSSHDAPASSDG APKAEEHAHQGPVDSGVDAGSASEYDQDNSGDHLLEAHSEDNFSDEGYDGSDASSFLS SILSEVRRGVEIAGRQYASYGKHEYGMPMDEKELDRQDLQHHKYTLLLNDRLHVTPIP EERLQDAGSRVLDLGTGTGIWAMDLADKYPNCEVIGVDIAPTQPTFVPPNCIFEIDDV EEDWPYRAAHFDFIHGRDLMTAVRDWPRLISQAYTHLKPGGWLQLASTIPGALSDDNT IPPNSGYVESGRLYFEMAEKMGAPLDAPRQWSSQMTAAGFTNVQDIVYKLPMGMWPRS KRLRTVGKLEQIMILDGGFEAYMLRGYTQILGGRVEDLQIVLALARREIKDPGVHTYV QFHVTYGMKPE CC84DRAFT_1222944 MADTPPSQGALALVESITKLGEGLKNGERGARESLLGACSKLIA ELSHPSETMLHLLWAQPAHLSVIRMGVEIKLFQAMQDIDAVGETTAEIASRTDPKTDP VLVGRMLRHLAAMNTVNETAPDTFAPTATSKSFAEPVYQDTILYIIDNFQPAHQKMPS FFQTHGFTTPNSQTDGPFQHAFNCKGYHYFEYFQKFDQEMGRRFGSMMDAWSKGRPRW FEPEFYPVKEQLIAGAECDGVFLVDVGGGVGHDVEGLREAFGSALPGKLVLQDRPEVV EHAQIGEGAEKMAHDFLTEQPVKGARAYYFHSIIQDWSDDVNTQILKAIVPAMKKGYS KVLVNDFVVPNQGAAWPQTALDWELMASLGARHRTVTEHTKLYEGAGLRITGIWRHPH SLDSLIELELA CC84DRAFT_388945 MLSLHTLANLIVTSFLLAPPTTALPLWPNNTTPAAVPTACSSPT SNPTAEIAPACQSFYPTSYRIMNSRYPTWDQTPLHGNQDFVMLLRQRADTFQVATQVQ FDGLGDVAPPNSSSTCRLQFQLPAKDMQTVLGPAPVVDVYQVEREAGSPASWSTYEPA LAASSNGSVSAPRLFGSINGSLAAQETAWNQSVGLVDVGATFCNATLTWQMAMAIDGG DEVNYWDFIGVDPPFNPVQGFRVITGC CC84DRAFT_1181388 MSPALELPEDDPRREEGRETYLARGLRTTMINLLNQYQLDARPK DPITLSQQNTNQRFYGLRSPQGTMVAEINLRRTDQGVHHVTIPRSGHEIVDQISGEEA HSIVEANLLAIRQAADVIDHSPRFLVDGVAIPGVVESRERNEMPRTSREAARDRLHKH VIVEVPVRIQYVNEQGSTDTSSIMVNFSMTIRRSPEDEYDWIYDCDEDGSYNHMIWFT NELVINGSSPYDSDGN CC84DRAFT_1181389 MSEVPSSTTPEWFSNEWKLGRRFAQKCFEMVDEYSEKGVGDANP DRVGFPKIVLDPNSQDRICEELFEADGNTVPGTMGMKQTFDLLCQVFLKNENTEKVII WGTDEDSLKESGLSQHELDGLSQDNWQTLVTTAGQLIVAEGLKDGKYGVSDPTYKHFE VEKSLTLKVGFSGSSEKELLAIFTLDIPAEYSDAYLANNTSDVDEVFFLGISNIPEPR DE CC84DRAFT_1169389 MGKQPLALTLILAATPSLGIGRAGALPWPQLRKEMAYFRRVTSR VPGSASASRGNQRCNAVVMGRKTWDSIPPRFRPLEGRVNVVVTRSPGEFTARLEEEGS GKEGHGKVEVAGSVGEALEVLRAYNAPSASSAPDAEDRPEIERVFVIGGATIYDAAME LAQTERVLLTKIEEEYECDTFFSVDLAEDQGWRKCEKGEVEEWTGEKIESVEEKGVRF EFEMYERVER CC84DRAFT_388974 MALVAPPSNDRKRVKVYELKNNDWFDRGTGFCRGVFVNDEAKIL VASEDDPSRQLLETRISKDDGYQKQQDTLIVWTEQNGTDMALSFQEPEGCGSIWEFVN DMQARLQERAAQDDGLSDDIGEHMSPIMLPPPDLGNLHEIENHMRAANSTPGGREALA KFVLSQLYIPKLIPLVEMAEDLESASDLHRLCSIMKMLILLNDTAIIEYVVTDDIVLG VVGALEYDPDFPLHKANHRQYLADESKFKEVVKIQDENIKRKIHYTYRLQYLKDVVLA RILDDPTFSVLNSLIFFHQVDIVQHLQANQPFLKELFGIFGPAEQNMQRKKDAVLFIQ QCTSIAKSLQAQNRAQLYQNFINNGLLDVIQYALKHQDASVRVAGTDILVSLIDHDAL MVRSYIFKAIQEKSKPLTDTLIELLLIEVDLGVKSQMADAIKILLDPNANSASIEALG RSNSDFLAKVRGQLPPLPQTDTFIQNFYDESARKLFQPLKDLEGRESMDNLTIQQINL YVHLVEVLCFFIRQHSFRSKYFILSEGLAARVAQLMDCPEKHLKLTALKYFRSCIGLH DETHNRQIIQHQLFEPILKILFDNMTRDNLLNSACLELFEFIKRENIKILVQHLVETY REKLQTITYVDTFQNLILRYDQMHEPVTTQELEASFTSVDSDTPARQQLSMVNGGKWG VGLKEVDPDEEAYFNGSDEEDDFVPNVAKPVNGASPVRPLVNYQDDDEGDDEVDDLAA AAPSVLPQSVSTTSPKQEAPAASKSPPERISEKRRREDDEEDELLISLSSSGTAKRRA SLNSNSSAGSLRSLRRKSPNIASAKDSGGGPKKISLSIPLKSGGEGGNGE CC84DRAFT_1169391 MSPHKHDLIELENLPSFALSFSSACSCPLLELFRQRYHTHLPPD TLRLGLVLANIFLRCTQNCHTL CC84DRAFT_1222950 MRIVESIVAQAVLLSLSLVIQLLRCWIRLWVERRKSLTLPDYLV WGGWFFTLGWFVCSAVALNIQLTHPLVEPDLTTDSVEYLRTVFVASYCFDIGLYFPKF SIIAFYWWLIPSGFRRLRIAVYLATGYTACSFLATLLTDTLIAGNISYNWSIEYQLYS TWNSYNGLIINWVLNWSSDVLLFVLPFFIINCIKLRKRQKIALCGVFSLGLITLAISL ARFIAYAGNFELDDPTGNLWCTAEMCTANIVVSLPALKALIIRASPTNTSAHSNTGYM QHRTPRIFSEPSKSGEDEVELVVQDSGKVSLSTHRTASANDVRVTTHVTVERHALEDV L CC84DRAFT_1191218 MSRQLITVFGATGSQGSSVLNSLQTNTQKPFALRGITRNPSSDA AKKLSDSGVEVVKADGWDKDSLISAFRGSWAVFVNTNSDDKVFEDPSETRTEVDLGKI IVDAAVEAGVEVFVYSGFNSAREITGGKLGVEAFDDKNAIWEYAKSGGKFKIAVAASP GWYFENSLVKDLAPILGGFPFVPGEDGTLVFRAPKWGGKEDVPFISIDDDYGDIVHGI LLDPERWNGKLVQAVSDIRSFDGMVQAFETATSKKARFEEITNWRDLEVYGIRSLETI KGMWGMCQISGGRYFGDETEGKTAAGLKKLAAEARGATGEATGLATLEHFYGAKFGRE KTA CC84DRAFT_389056 MSIRKSMVCRRQATLFLFSLLSLTAPAQSPGFLSLFMPPRNPFL TYEESRSRAPGSSPGQPSPSKVAGRVGSLNGSSGRLSRTDETREVPRKAE CC84DRAFT_389074 MAACEGIRIRGFTGKLHLPATCSERVSFLVSTRFNHAFVSHRCH PNAMILPDDSNTLGYRTETLHGNEDSKIKRPRLDSGETGTDDEVADWGHWGHRPAESA HREDASPMSVRRQLSPLEHQGCDFFESRVFKGATAFPSSRSPDHLLYVPSESLLNQLC FDTVTEIESGGSCFDVSDCEAVPELGYDQTPNPVYEDGPLLARQHLVPRSELPYTCLD IVLKSSPDEILPFHQEAMCESPNRPDPVNVKIVQQVPTTKLTHRRVARSRPECCRGRY HRNPKAKAQYLRDRNFRTASMPIEAPTALRVDNIPLLNSESFKLKRKHCAFVQEQELR CLFEDRVGTPHLSELQPGDDVNAQLNVDELSVGGEVAALSIVDGATQGLSEFAITDIP YKGLGPN CC84DRAFT_1199986 MSTAQADGASSNGTHKYQRVTEQPENPFAKLIPDQTFAIIPEVT LESGAVLRNVPVAYKTYGTLSPTGDNAMVICHALTGSADVGDWWGPMLGGPGKAFDIS RFFVVCINSLGSPYGSASPVTAKDGDPSLGQYGPEFPLTTVRDDVNIFKLILDDLGVR QIAAVIGGSMGGMLVLEFAYFGKDYVKSIIPIATSARYSAWGISWGEAQRQSIYSDPK YEDGYYTCEDPPSTGLGAARMSALLTYRSRDSFESRFGRNTPDLSKKQSINAVPRPST PANEHWAIHNHGHKNAGSPRVSRTNSTVGLSTSANTADLEFKDASNPPSPPVQAKPIG VSQFQKSRTPHYFSAQSYLRYQGEKFIKRFDANCYIAITRKLDTHDVSRHRYPEGFET ETLDPVLALQHALSLIEQPTLVLGIQSDGLFTFAEQQELAAHIPNAKLKTIDSPDGHD AFLLEFEQVNGHLCGFLNEVLPEIMTRTPNVDSAGLADSGLNATKTSTFGEAEVEDIT AW CC84DRAFT_1222955 MSLPSTQKAAVKVGTGDASRTEIRELPVPEPSPDQILVKINYSG LCASDKSLLLDEWGSTGILQQPCTQGIAGHEGAGTVVKVGSNVQDLWQPGDRAGIKWI ASICRKCEFCTNGVDECHCPKQLNSGFSIAGTFQEYCITDARYATRLPDGVSDAEAGP LLCGGVTAYTGCKRSGVRPGQWIVIPGAGGGLGHLAVQYANAMGMRVIAIDGGDAKRD LCLSLGAEKFIDFTTCKDIPAEVMSITKYGAHGVVVISATRQGYEQAPHMLRPQGTMV CIGLPKDGTVIAGAPPILMVLKKLNIVGSVTGTLKDVEECLDFTARGLVRPILTHGDL GDINRFLDDMGAGRLAGRAVIKVAA CC84DRAFT_1169397 MRMLGGGQAPTTRCHHCSARVVWGGCAVTPGGRWVQCRAQAYAS MLTRVDTRIVSTCTQELTFQLLFGPSQRQIRPTQSILFSRSISRPQSQHAPRRTFPTQ DPPAPASPSKTSSPAPDAPISGAQSTSSTMWRLALALGSLAATTSLEAREG CC84DRAFT_1169399 MLRSLAVCLVGLSSAFASSVALPNVFVAHEGEPKGFFKNISGVN IYHSYHNQNVTTGGKAVLFVSDIYGVPLLENKLLADSIASAGYTVIFPDLFKGDAVPS DDREGPALNLTEWRTRHPTAEIDTILGLTVDYIHNDLNISRIGGVGYCFGGKYVPRFL AEGKGIDVGFIAHPSGLEAAEIQGINGPITIAAGELDNAFNSTGRYNAEAILTAKNAT YQTNFYSGAPHGFAVRPNITIARQKYAKEASFLQAVLWFAAWL CC84DRAFT_1169401 MADALEKNPRIDPAIDATGETHDAENKDKPRDSKGWDGKLRVEK NVLVNGRDQDADSDAEDVSEDEGPPPEQLPADEDLLEDYPEDEEEIELVHLRISDMAA LRLERFKKLKRLGLRQNQITSVELPDDIAPQLQDLDFYDNLISHVKGFDACSELVLLD LSFNKIKHIKRINHLTKLKDLFFVQNKISTIEGLEGLTNLRQVELGANRIREIQNLET LTGLEQLWLGKNKITELKGLDTLTNLKILSIQSNRLTSLAGLSGLKNLEELYISYNAI KEISHLEENTNLHVIDVSSNPIQHLQGLENLKHLQEFWASNCQLSDFNEVEKQLGDKE ELETVYFEGNPLQKSQPVLYRNKVRLALPKIKQIDATYL CC84DRAFT_1131061 MVLPSGRGQAQQDACTGVATAFTITSFLIVGLRTYVRSRIVRNF GKDDAFMVGAVVFTLGYLVCIWIMRDNGMGYSGKVLKLDQMTTLIQTTLAIQILYYLL IACVKISICFCYLRIAADKYFARLVKGTIYFLATFCVICVIVCLTQCIPLRQMWNFTS VPLGTCVNTTALFYTTSSINIITDIWIIVLPVRTLLKIQRPNREKLGLIFVFGLGVFS TIASIVRLHAIRIYTESTDPFYDSVPINLWSMVEVNIGIWCASIPSLRIILIRRRAAT QASRSAGTYKYHSSGRSGAKDPGNSASGGSKNLESFDMGSVDLTNPEAARKASKGDSE WSSHGSDDQIYFPGAYNPTPKLPV CC84DRAFT_389180 MRKVVVTGLGLVTPLGLGVRRTWSRLLDGHCGIVSIKDRSPQFA ALPSQIAGLVPEGKREDGNWSVKDWMSASDERRMARFAQYAMVASEEALNDAGWAPKT EEELEATGVYMGSGIGSLDDVYETTIAYEKGGYRKVSPLFVPRLLINLAAGHISMRYG FKGPNHAATTACTTGAHSIGDAARLIQFGDADVMVAGGAESCIHPLAISGFARARSLA TDWNDNPTEASRPFDKDRAGFVIGEGAGVVVLEELEHAKRRGAKIYAQLAGYGLSSDA HHMTAPREDGQGPRNAMKHALRHAGFRPDSVDYVNAHATSTPLGDAAENRAIKELLLG EHGKSKASDINISSTKGAIGHLLGASGSVEAIFTVLALHNNTLPPTLNLYTPGDPPED FDCNYVAHTAQQRDVRVALSNSFGFGGTNASLCFAKM CC84DRAFT_389177 MENQPVSPHESEDVEMSRFKSWSNKASAYHSRVPYLNKLPFNAI AIIVTLVAINIFVWVAVGIVLHFHTPLISTAILSWTLGLRHALDADHISAIDLMTRRL IASGQRPVTVGMYFSLGHSTIVIITSLVVAGTAAAVSSKFDNFSHVGGIIGTSVSATF LLLLGIMNVYILYKLIVQMKKLIASTPGTEHKEFKIQGGGCLFPILQRLFKLIDRPWK MYPLGVMFGLGFDTSSEIAILGISSIQAAKGTTMWLILLFPLLFTAGMCLLDTTDGAL MMSLYTSTQLARDPIAICYYSIVLTVITVIVATVIGVVQFLNLALNVAEPEGRFWDGV ERLGESWDIVGGAICGAFVVFGGLSVLLYKPWRRRIDRKRLRNTTFEPVDAQADEKIE EEVGTDAPNWTTKNNPKTFNVSIDPVETTDAAGPSGLRN CC84DRAFT_1210180 MVEVRNIVVVGASSSGLQVAHYIAKYLLPVLKNKDRSVKYHLYI INPSSDWFFRIASPRVATSTSLISTEKVFVPLADVFGKYSKDEVTWIQAAATGLNHSA RLVEYKRVGEKEEEHLQYHALIVATGSRTYYPAFSTWSDKETTLEALKYTETAAAAAK SIIIAGGGATGVEAAGELGDFLNGRPGWFSTPQRKVAITLLTSAQQLLPTVRKAIGDE AERKLKGLGVDVRYNIRVTDARKEQDGKTTLTLSNGETITTDLYIPAHGVQPNSQWLP PDLLTENKYLNTNARTLRVDLAGPRVYAIGDVSSYSRNTVIDIMDGLPVLVINLKRDL LAYDAKHPERATPGKDRVFKPNTKETMVVPIGTATGVGVLFGFRVPGFLVWLLKGRDY FIGMSMMGTATGSKVNEVKWTKEEEVSL CC84DRAFT_1045877 LSKNMSGPELDVLLKISFNHYIGKAAEYKPCDTPDCDFSYLDPQ AQNNIDGDPDSGIDGDARVMTCPECLRQICTGCHAEPRVRISCADNGDEGVRNKLLTE AYWGMANTKACPKCNAPMEKDEDCNHVQYPVCEEHMCWKCMKIVKDSQDCYRHMMEVH CC84DRAFT_1181404 MNHRKYCCIATPPQLRILLTVQISAGYPSFSKECVQFALQTNSN LMVFLFLGISIDWNAVEIVKPKVSFGAAAVVLILANDNIIVSTKIIQLLRFGRFCVAG LVPLLTYYNGGEAGRVTSPSGVGHLESLLEIKPDTRGFPIPPNRKASAHKAVTYINSL PLKAAMLLYTIAFAVPKSIADATEGKVRVLLNKHEILKREPRNTSMAYDTLPVNQLKS PAASPRGFSSVIFVWHGTA CC84DRAFT_1232672 SRLKQNLRALDEIGKQNGGNRAFGTTGFAKSSDYVLSQITSKHD KEFKTWKQYFNHTYEETRAISVTGPNGEDVDVLSLMYNNATPLPNGVTGELVAVPVDD ALGSGCVEDQWAGLNVTGKLALVKRGTCSISDKLKIAKKLGALGVILFHNTNATPNAA TLGAENIGLLAPVGLVSLAVGEAWLARISANETLTVTLLVDSIFEPRKSWNVFAETRE GDPDNVIMLGAHLDSVQAGPGINDDGSGVTAQIEIIKALRGFRGIKNKIRLAFWGAEE PGLVGSLYYTEHLTPSEADKIRFYYNYDMIGSPVPVYGIYAGDNPGDKAGAQLLLDYL VAKGKPAYFGSFGTGSDYVGFLELGIPSSGIHTGGGDPADPCYHLACDTYDNISWEAL EVNTKAAARAAAALALSVKDVPPRNTTSVNPRSENRIRAQFTTWEEVRLEAAGGHSCA LKTKRTV CC84DRAFT_1232675 MKPAPILAAFTVTPAVSAAQATCHDYVIISIRGTYEPQGRSIAF TTMINQTFAAIPGGIEYDAVYPAAADQTAYLGADDVTRFLDNGLQECPRQQYAILGYS QGASATMLTLNNITDTSSAAYKAIKAVLVTGNPYHVPNASANVDENGGDASKNYPGAL YNANSASSRGIPQTYYDSGALLDICHQDDSVCAPKAPNASFIPGHLHYGDQNVQDLGA KFLISRFSANDNNSSPTSTAAASSSSSLGLPTGSPTGSEPSQNTGGANMVSMSFVAAL GGVFALLLQGC CC84DRAFT_1232677 MKISFITVLAAALSVTSAAPAIEKRQYSSSTYNQLTDGTACRPI SVIYARGTGQQGNVGDSQAVGPLFFNNLASRVGGTSQLAIQGVTYSASIAGFLAGGDS AGTTTMTNLISQTASRCPNTKIVLSGYSQGAQLVHNAAQKTSAANAAKVAAVVVFGDP KKGQSLGSIASSKVLTICHSGDNICEGGASITPAHLNYQNDVGTAGAFVAGKV CC84DRAFT_1131073 MAPSRALLVVAHLRPSPRLLPAARRTLSNCAEAHRSRLSPALRS GPTRAFPALSRRGYATAAPEPPDYLNEAELHIFNKIKAELQPVKLEVQDISGGCGSMY ALQIESEKFKGLSVIKQHKMVNEVLKEEIASWHGVQLRTKA CC84DRAFT_1169407 MDSSPFSGRFSSPQSNGSSQRRAISIVQNTQIGHSSHQPITARA TTTINQAIACAARPPLLQISQHARGTQNSTTSLDTAVPLV CC84DRAFT_1222968 MQLTVTLLALTSLTLTSAFPYAHPQDAPSTVAPIPLPTLSIPAD PTVSVPLSTSLNVPTTLPTLLPTLSVPGNLSSSRSTRHHFSHWEPIPIFSSACNCPAL ATVAYPCWATDALQRCNFEELHSFVCWTSAARGCPTPTRQCDALYSPTPLTGKHPCDL GQNTGGLEPPQDTTVYITETSYVTFVPTETATAAVGARAAATPLGRWQDMAWSPEYYG VRK CC84DRAFT_1169408 MLPWLCLHIRACGHTHTCTIGSELEADRVLVGSEFFTVHFPSHG SSVTSRTLTSLTSYSFTHQPHSVRSSPSAETPKTPPPAVLGILRRRSRSPPSTASPIT SSSPSQPEPSQDRPHNLPLRAYQGLIRRRLAIAPLNPSRYTTAHHVSGEPLLRGQPPS AGAPPRKHCAARLFGESLPLRQVHCYSGDCRRDRHGRVLVKNEVQSRVRTMWRGYALR TGVEETGERSTE CC84DRAFT_1169410 MRSHDESEIHRGEAGGVEPSLTILCGSPSIVQPENVTMASVSDL HQPLRSTTCTPATRHVRTVRESDCGPFLIIVYGNCTFRISRHGHQFSSRDTGAAFTAG REFLPCYTSCRMFCVPKGVNGCHRASQVSELTLADRQYAVVAKPQQNAQREPSRLQPR LFPIQARSRAEFFQCSLKVASVARLFPGRTLNPFPRRVIRYPRCGGATHHQEIRTLPY KTFAQGQTLGQTLVSRLGRKRGNQWRLVSALMH CC84DRAFT_1199994 ALPVSQHSSSSPHLQAIASLYLTRPTLQRWIWLRHRPHPPLSTS TPRPRAHLPPRCAPASPPTANGSRRHRDCRRPRGTARTHRTISPETAPQSTTSPSQL CC84DRAFT_389494 MPYTPPSQTRSPATSKPNSPILSRNHSYTDQFPHSPASVQRPAL PRSHSASYLVKHRRSSASSNANNAAANAQANSITSSSDASRTHGSSPHGSLRQSPPPV NNSLIPSGAITTPPDSSDDDEDRGRNIGSLRELQEALQHIPVKRQSSPNRASIENAQV SPAVSNTAVRPLSADARKISHSRSSSEIMLSKHVTLNTDTSVVISSSEGSDAEDDELR IKPPLLRKKSGELVKPALRPASRRRPSSMPGTPTYSKAVHFNEEIEQVRHFLQVDRPI AVSAGSSPVETYDSESEYPFFEDRKNKEPEWEIKLANFPSVDTYERQTMPVRVERIFL ASDNKTLVGTVACANISFHKVVIARFTLDYWKTTSEVVAEYNHDVRKKQKDDGYDRFN FNIKLADQANLESKTLLLCVRYQVNGQEFWDSNNSMNYQVDFTKKAQPSPRKGSSGPL RGAIPRSRHSPTGPRPRSMPAGSFDDDFGTPEFGSKFAFGPSRPQLREPSSATIRLKP RKRSNLFPDQTAKPASQAFSTRYDFSASLSAALSNAQTALGDRSGLTPNGTNKSSKDY FAKDTAPKVPTVPGTRPGGLSSEKPDLQSAEYNELIQKFCFVRSRPSGLAED CC84DRAFT_1169414 MASGFWGSKAAPPPPPPPPVHPSSPQIDATTIVSLLFFVSLLGA AYAGSVRLLPSTSTKKTRVLFVWHLFDALIHLFFEGSFLWNCFFVSYSLPTSFNAAAK KHPRIKLLTPPDVYWLGREDRIYGASYGEGLFSKLWQEYAKADRRWGGTDLGVVSLEV LTVFVGAPLALWICWLLRREERKGALKRWFWMIMLATAELYGGWMTFAPEWFTGSPNL DTSNWMYLWLYLFTFNGLWVVFPVWILYEGYAAMSSAMSHAEMVDLVNYLNKKA CC84DRAFT_1210186 MSKDMIFDIYKLLDEEGMQGPAIDLRAAPRAYSWFPSKHPMNLD PTDEEEVSSEIARMPDIYSGAVITIAASTAASADDGFLKNRNCGSNIHMQVQVECYDR DIRRMGILKFAENQREEEQLPLNKRSWALQEHRLSTRILEYNTRQLSFICAASNRTSS SGYTYTDVWTKSMYFSSILEAVTLPLLSHELVSTDGRCWDTIVRTYSNRELTHPKDRV LATSGIATKLQKYRSKETYIAGHWLGGTSYDLLWFAGGKELDRGYNATHSDTNWLGPS WAWTRMSGTVRCAARGTVTSYETEQEELTQSQRIREMKIVHRTLVNMTAPYDGVHEAV LGGKTLARRYRVKKNSAQEEYLMDTKIPYHEHGIMAIQLDNSEQHSDKALLASPVLVI IARFHPDFVDFISEGVGCGAHGLILVEVPRSNRDETPRFERHGFFHAY CC84DRAFT_1169416 MKTTAAIAIGFIAAASANPLNLNTRSKAGSSGCGKPHNSGFNSN PDSHTITSGGRDRTYAVRVPDGYNDDPNKPRKVIFDFHGRNGSPENQYNNSQYDKYDA GKEYLAVYPAGIDEAWQGAPYAAKGVDDVLFVKDLLARMREQYCIDDDHIYASGKSNG GGFVDTLACSDEGDEFAAFAMAAAALYDDNSKDRCDKKRAILEAHGGNDTTILYNGEN PTKTGGAVPNIDEWVTWWGQRDGCAADEGRTTQHDGYETTTFACEGLRAVVKHYKIND LGHCWPSSTGDNSDGSRSYCGDHSLDFTPRVLDFFATWDLTNAPKN CC84DRAFT_1222973 MNGPLQNLPSPHAHTNGCTLHYPPVTNGNASSSQNQPDPSERHP FLHILTNFTRIASSFLRHLWKSVGYWSRPAVSLIVYGLTVYTAWTAPNQHTLLGRVSP SLGTWILAIFAKAGDICFAFAIEDTFDALAWRKLGSRHRRYDVVPLEWFLSMTSSTGI EGLTRLLWRRSRLRRWTVRYFGRLWRAEQVVQTSEGEGEVASWERRWRDWWKGGRYFR WPFARLLFVAVMIPGPGIILLANIDQATVFFDVRSMNVSAGLATYDPTIANATRNVVA PIISRYMHALLQDRSLTWPVDPIDPLCSTGKNCNASLIAGPYSTVSPWPFPRANESVG IDGFRINNAPFYQVDMWDWEPGTVTFSESRNCVVYGGLDAFNEFSTSLCISQQDSEGV LAAGWASCQLGYSPTNYSCLARGGREEWGTFFRFYRRNATLVFSRSDLQILSVTDLSP PQPQNITPAALFTVLDTILYRPDRADSALKFYDVRSAEYLLTQMIGVQLWYSLYNNSA GLPVGRDWLKNLVSLPVYVFQPTSIELVPYLPALAADNGTRPQPNLPRENYVEGAYCV IDKRSIPGLGTVYAYIVVAGLLLAFVIIAKGRALLWDDVATSDFAIFDYHILTRIVDM SVQKREVSPDQILQTAGGEYSTSQMLDQIPNLRIGLR CC84DRAFT_1169417 MNTESSHRAYAPTACSLPKSAATFSITKVRRLPQPVTNSPAQAA ANAPMAWIESKVGDAPPPVDAVAITDSMTVGFVNNKLREPEVVSTSVCESVGNASVAV KVVDGDALIVRPRLVSLTGSKSLYGAASMEKLGMSKSWHHGGSPGIHFCAAMQHQPFP QSTGEKPQVCPIERFAV CC84DRAFT_1104712 MNTHTRQPVPPPRSSVDSKPEKHETLSLDDSKSDLGSPQGEGLR STSSSTIATEPEPPVSRSASRLHGTRSHLSERPHSHNGQGDAMEDVEKQKTQDDQGES EKDPNLIGWDGPEDAENPQNWGKGYKWFITTILGTVTFVITFASSVFSTATLATAQHF NVSNEVMILGTSLFVLGFAVGPPIWGPLSELYGRKYPLFIGFFIFAIFQIPVAVAQNL QTVMLCRFFGGVFGSAPLGIVGGQLTDFWGPLDRGVAMVTFAGATFIGPVAGPIVGGF IVNSHLGWRWTEYITAIMAFFFGTVGFLTVPETFAPVLLSRRASKIRFATKNWAIHAK RDEQQVDLKNIAEKYLLRPFAMLLKEPILDLVTLYMAIIYGILYLLFEAYPISFQEER GWNSGVGALPFLSITIGVVLGGGIIIFTTKTRFARKFKENNGVVVPEERLIPMIIGGF LFPAGMFWFAWTSSPNITWVPQVLSGIFIGAGVLMIFLQGLNYIIDCYLMYANSAIAA NTFLRSGFGAGFPLFATAMYHTLGVSWATSLLGFITTAMIPVPILFYFYGAKIRKMSK YSPT CC84DRAFT_1169419 MASLDLSRPTLFTPEDLESRPALLDDITGLINDAFTRSKLSEPE KWEGAHRKRFPNHETYLEMLGDEGVVTAIFDDSKIVAVAAAVPWKGGWKKEGADVEDG WEIKAVAVHGNAKYLHRGLAVQLYTSSEQCLVHKERKKLLTTKDVGSTITGHVSLWIL AAECINGAYWRKRGYREVRRDTCGPGTWGCLTSFEMVVLQKELTFDLDMSF CC84DRAFT_1222975 MAEVGLAAVATVDLALKYGKQLVQLCSDFQHADEEVRERKKKIK STWVRTEKQIDFLGKIWNSLDVELQNHQSELLDELVSKLDAATKQIMRVRRKTVDDEG AAKVNRMKYAAIKGSIDKAIDELKEWQRDFDPGWFLTMKIAQPVIDRELAEASNSDSA TPIKENKSAMDTISTARNVRKYMSSNVEEKLEIFRKHDNATELEPIPYSAARTLQRTG KGGSRSYIVDTVPCLEGVNVDILTKDVRELARKLSVADPFTFGLLQCRGVVKIYGTEG RKPTAFDFIFQIPSELHSPRSLRATLLNSGTDASLTSRVQIARKLAQSVSYVHTYGFV HKNIRPDTILVLQDTSTELAASFLLGFERFRNVDRRTLMMGDSVWNKDLYRHPQRQGL NPEEEYVMQHDIYSLGVCLLEIGLWQSFFLIMQTEEATPTGWLPKNILEDSLDKAACV KEHFVELAQDRLPAKMGEIYTRVVVTCLTCLDKDNVDFGDAEELEDEDGVLVGVRFIE KILRHLNSISV CC84DRAFT_1210191 MRPTTDLVKDSKLKTEYRDGITQHTILTSDRRNGHRKIKREQRW QRVGGILGEGAFGTVWKEVLVGGQESDVKDRAVKRIRKRTGTSTFVDYSRELETIAKF SRGKYKSFFVESYGWFESRDHVFITMEYFEHGDLQEYMNTAGRMSEDDARSITYQILE GLYQMHDNGFAHRDLKPSNVLVRRTKDHEEGWWVKIGDFGISKRAEEGMTALRTIAGT EGFLAPEVLLKKGSLFLEEAAISKMLMNEREYTFAVDIWALGEIACRMMCGSQPFLTD LAAYANARSEFPTSKLQDSMMTAKGIQLVQGLMKPFPRDRLTASDALAHVWFDEFRDG SSQSSGELESVVENYEILSDLNSPTFRVGTSGTSIVDASESVPWTDLDVTLTLRPTGG KPFPFHTGSTSPLNEQIDRETATDMDSIQAIDKKETPSSDEAFIIIVGLPSDDEQNIT PEIQPAILSPQSATQPEAANIPSAKLEPEPKSACVDDEQALPATQKTGDRVNVTPDPG IELSAALFRVPWYPPSSAYPTTPFSSGEITATTLLPGMGANANEEQPEVGTHHRGLLG RSASERKEKTPYIALPYPRGKSEERKKKTPYIALPYPRGKSEERKERTFHIALPYPRD KSEDWSDRSGSEHLEEPSYARLPYPLDDSGDLCMPSMPSPQLDVPLHERTRMRAEYNR RVENGVYETEPRKAATKLRRDAEERRAGTSPLRQDRPTRKSNQGRVSSHTKQQNEISR TSRFLQAARLFSSSDPKKKKRGQKDATIVVRSKHRNTPRPPISKSVKKPEAYEANALG EPEALGKDAWKDERTVSSLGKTTDKDEWAIPSQGRMTDRNKNDPLDLINEVTPDRSQD QKASDHEHSLDESMRDPRDMLARLAIPYDTAAKPRVSSFRRRRSSSSSSSRVRLSSDS EQQDISGPVASQSPNGVFHLTLTHLM CC84DRAFT_1157203 MASRSRRSAAHEVVEEEQAELEGVKKLRFSQTLVGKPGKQLGVT ELLARLKALCDELRDIDQEEADTESLTRSAKELASPSLIHHKDAGVRAWTACCIVEMF RLFAPNAPYTASQLKDIFALIVTKILPLLGNPSHPYNSQHMYMLKSLAEFKSIVIVTD IPGSATLTQSIFTTCFDVLSGPSKGSNGEELSKNVEHNMTEILRILVDESAAIATEVV DVIVAQFLWADPQMLAASRGKKNVTLDAKQSTLRRKEAPPAYNMAKNICNMYPDKMAR LFGTYFGSIIVDFTSGKSARADESDDEGDKGPSEEDINEASKAHRLLRELWRSAPGCL KEIVPHLQDELATENVQLRQLATETIGDMISGIGAAGPPPLPDLDPAAYPSQSLASSS VHAYNYLTTPASLNSFILHHSSAYHVFITRKNDKSPIVRASWATAIARILMTSAGNVG LDPEEERTLLKHFADSLIDGDDRVRLAAVKAVEHFEFNDIVRKLGVNGGAAQSGSILS NLADRVKDRKPPVRTAAIKLLAKIWGVAAGAIAEGSVTVSDLLGPIPSRILDAYYVRD PDLTVQVELATYDSLLPLSFPPIKPKAAVNGGSQVVKDSQPNGEQSYSEADLDKIRAE RQLVLVRGLDERAKKVFYANQGNQTAHATYMEHFLKLCEEYNGGVMEGSEKDTKRKLD GLIAYYAKTLPDVARAADDLTKFAKAHDRRSYQLIRFCMAPESDYRKIFKSVKELRKR IEENPPSPTLLETLTPLLYRVSLLCFNKSHVPAIIEFTRTDEKGLAATAHEVLKEIST QHPKVFSTHVKELCKTLESEAPTQSATNPPGAVDDLKALASFAKKFPKEIPLNAKDGQ KLVRGLLNFTLYGTPPKSAKHAITILMNSDNSKKRHAKEILQKSIKNFDYECEHWLTK LAALSQLVLLAPDECDADIDAVFTIAVENVLLKQHLESDEAEEEWMDIPDDDITARTW ALKILVNRLLAYTGDEERTDASDQVLKLLNRLVKENGEASKKNPTPLAHRNRQRLLAA NFLLKLATVRRLDNQITSADFNQLALVTHDSCLQVRKGFALKLMKYLGQNRLPTRFYS ILFMFAHEPDASLRNILTTWIRSRRAIFASRKETVLETSVFARLLSLLAHHPDLADDE SSLTEIVKYILFYLKTVATEDNLALIYHMAGRVKTVKDGVDPSAASDDKLYIMSDLAQ AAILSWEENNGWSMQMFPGKQKLPAGIFKPLESHERGQEIASKQYIPSDFTDLLDPLV RRTIKNKKRKAGDGAEKPRKKAKAENGVKKERTKSERPIKTPRKKRTGDASGDEDLDS AVAPSSGPRRKSGRKSNVSKSYVEVSSDEEDTQAGAEQDESMSDAESEQAEEDVAMED KNTDEAVESEAEAEPEAAAEESEIEAGPELEPEPEPQQEPEPEPPRKTRSRPTRISDA PSSPEAPKRRAARPAKAATPAKVSASAKAAKEVKAPTPAKSTPAKETKKATPKGKAKA KEKEVAVEPVANGTGIVRRSGRTRG CC84DRAFT_1200002 MERQHIFAQLKQPCIQLLQITATLAQRPNGRKDLVDALSKLLNA LQAVSSKPDAVDSRLAEYVFVPISQVLRISRTVPVRALELCLECISILLRTGWGGSLA PELSGQLLILFTFMAKPSSNENGVAGSSEELQTLALKCITELFAQSSKSTQGKQQLTA TANIPALGESILVILDCLADSNSNTIRLQAVAATSKLVSAIDDLDALASFLPRIVSSL TKVLTPSSSNRTAFRVLERCLDVMSLLFLRLLGEQATKDLPKLSPESSRDGSKTVRSV SWLQATASQIKVALGNVLKLRNHDKSEVRQALLRLCTTIVQECRNSLADCSGMVVETM VILAGRGDANNANESDLRIMLSTDAKLADLLKESLHGWIISLPRLMQSKDDAGRRQVI HQISTTLRLFDEDQILVNDLLADNLRDGVSAVFNDSKTAESIVEAAPPILFDQQLIPG TTTSASFAPLQFRLKGQDDTVKEFRVLLRELAQSNSAITITQDLVNGLDVGTQESRLA TFWVSVNLLRDTMRLKPCFDDFLDLGGSNPQEELLDDLYSHALTVLSPHDTSPSPNWH APALALEVFAMQAARYKTEFRAELTETLYPVLHCLGSSNPGLRNHAITCLNIIAESCG YGSASELVISNVDYIVNAVGLRLSYGDVSPQAPQVLLMMMRLCGPSLLPYLDDLVGSI FGALERYHGYPSLVELLFSVLKGMAEEGAKTPQLAITSSAPHAPEDSPTPDILTQLKA LEHRTREQARDLSSLPRGAFPQQPWKDLDPETLKDPSAPEDDSPGDHESPKPEPEDPA PPAPLTYSLLLKISELTQHYLTSSSAPLRTSLLSLLQTTIPALSRHENSFLPLINTLW PILLPRLEDTEAYIVASALDVVGLLCEYAGGFMRSRIDNAFPVFKKLHRRTGGKMGGA SGTGKMRSMKREDLGKIAGKKTDLAPSSNEMNTVIYIDTPARMIWDALVRLLCSISAH IPISGEAFEDVLDMLEPVITNPQVKVALDKRNADAVWLRVYKRRKAAGELPDGKAEMG GPVGPPEWGFVTL CC84DRAFT_389610 MYQRLTCTCASPHQKSFFNGRHCACCAIAADTEYLLDCTPSLHA LDGEALASHGPARACMSAGCRNSCRVSHHSALQGCILASSLSNAETHYTSSTRATSPK ETIDATTAQNGPDSAHLQSARVDASRARTFLLTPKQECTPSRMVVRSQWIVAERLKRG YALREVRS CC84DRAFT_1222979 MRFFTPLAFLAFAMSITAVPITPSAPSLQRRAEQFRLQGLQEHE IAEKLTLVSTPSAEDDSPLPTSLFSSLSTKLHSLLRPCSEEDHDDYDDISGDIAPGSL EAPQMLPRPVATLGKPSALGRIIAVLRKGDEGGNFAYEGKELELRRPTGMRHWGAADK WRLF CC84DRAFT_389629 MEARVPNLGSFSSNEGHLTVTGLDLSSIPPIGTLSAHFKGDEED KVKATLRRHGAQLTADVSKVKILIGKVGARRRAEFELRSRKFEVEEVKIAVEKKRSAS PEQQNTPRKRARVSCATSKPIIIHNEGSTTEEEAGEGSETEDEGPARTRDTPMTSPVI PDEHPAALPPIFNNVSSDDTLWVIKVDWLDECLSAGRLVPLGANLVYMCKIKKRPPAP LDTGYTSIKANFTGPPKSTSQTHLVTRRRAAPHILDRAKEDATTTTPKRRYNHQNNDG HGSRRFEGKSYASSATKPTFANRTAQLLQQTTSDYESEDSDLPPPPDWVVNGVKYACQ RFTPANPPNQDFIEQLKKIRTARTLIDDAIGVRAYSSIIASIAAYPHKLRHPRELARL PSCDEKTAALFVEWKNTGKIQAVEDYENDEAMKVLRAFYDIWGVGAKTARNFYYNKGW LDLDDIIEYGWNELDRVQQIGVKFYDEFLSGIPRAEVESIASVVRQHAVRLRDSRISV TIVGGYRRGKPASGDVDMIVSHPDLAATAHLVRDIVTSLETDEWITHTLTLSLHNTSR GQTTLPFRATKTSAGAGFDTLDKALVVWQDPVWPTRAADLATNPRAKNPNIHRRVDII VAPWRTVGCAVMGWSGGTTFQRDLRRYAKYKRGWKFDSSGVRSRETGEVVMLEGEEGV SGTAEEAERRVFEGLGLEWVPPEMRCTN CC84DRAFT_1157207 MAGDSQNPLVSNTRASDTSPTVQLHPLVLLTITDCITRHTLRQQ EGPLVGAILGQQNGHEVTMEVAFQAKLMSNHHGDVVLDDEWFMKRLDDYKDVHKQPQL DIVAWFTLAPPTGPTPEILPIHNRISELTDSPILCLFHPSDAYSEATTAGKLPLTLYE TVHENAAGGPNDKAMDIDGAVQNKALKFRELVYTVETGEAEMIAVDFVARGGGNATAV EGSSAASKGKDGKAAEEKDRKAKGKQKETPKEETIDESAALTAEDEELLSTLTAKMNA IRMLSRRISLLRTYLASLPPSYLSDPSLPLDPTPSNDHPLPLNHSILRSVSAMLARIN ILAPPDVEAFTLESQQEASDVQLVQLLSSITNSVSAARDLGKKSQIVETGKSQSRRGA GGMGSMMGGGYEGGGQYFDTIMGGGTSRQFRADQWS CC84DRAFT_1157210 MAPSALADIYPIPARHYSKPSTVVASVLYGPRDLRLETRHISDP GPHELQVAIKATGVCGSDVSYYNKFRNGDLQAVQPLSLGHESAGVVVAIGEAVTGFQI GERVALEVGVPCDNCRSCQRGRYNLCPGMRFRSSAKSVPHFQGTLQERINHPAKWCHK IPQHVSMESAALLEPLSVAIHATRRASIEQGDTVTVFGAGTVGLLTAAMAKLSGATTV VIADIDSGRVNYALANGFAHKGYIVHPRRHLSETAEKLAAAKELAEDVMQIASLNDLD FEGADVTFDCTGKEICMQAGLYSTRPGGKLIMVGMGTPIQTLPMSASHLKEVDIIGIF RYANTYPTGIKILSSGALPSLDNMVTHRFRGLAAAKEAFELAGKTTDKDGRLVIKILV EN CC84DRAFT_1131101 MTLQPINPVSDSRVEHRNSSLNGHNYHYLYGEPAGGQYKATVFL IHGWPDCGSAGWRYQIPLLLDMGFRVVVPDMMGYGGTDAPEVPPNDISLYSYKRAADD IAELAKVIGAQQIILGGHDWGGMIVYRTAQWHPKLITHVFVVCTPYTPPSEEYMSNED LVSKFLPQFGYQIHLASGEVEQHVNDEQSVRQFLRAIYGGRTPSGETAFDPTKGILFE KLPHIGESKILNGKILDHYVREYSRHGIHGPVNWYRTRKVNWEHDQALLDKKKIEQPL LFIQATNDNVLRPEMSKGMEKFIPNLTRGEVEASHWALTQTPEKVNAIIASWIGKQSL GSRSSL CC84DRAFT_1222984 MDGIASAVVLPDQDVDSPPPTAASPTGTKRRQSSISEQDAKRVR LNGNGSPTDRRESVSSTKAAATAPKGRERGRERRLFGAALGALSQNSATTGQKRRLEI EKRQRAQRKLEEEESEHRKQERQAKRKAQRWREHRRFEKDSLRIRHDNLLAMAHFLHT RTEPRLYYKPWETTPEEDERIRDQIAEAHDIVQREREDFEAKQRQYAGRERDANRDMH MDGDAEDTRSGKEYSEKAPAEPAAANGHPTNGSETSKNPEQNGDHAQTREGGVTSLEQ DSTTGHERSTDDTYKEAMDDHGEEVVEAAEDTVMY CC84DRAFT_389813 MSRHHPDLVMCRKQAGISIGRLCDKCDGKCPVCDSYVRPTTLAR ICDECSFGNYQNKCVVCGGEGISDAFYCFECTRLEKDRDGCPKIINLGSSRTDLFYQK KNFRNH CC84DRAFT_1169430 MAYNFVRSYIDTFRERTAAISHTSTFRETGQITPEEFVLAGDFL VFKFPSWQWADASSPSKRVPYLPEGKQFLVTRGVPCNRRLDDNFAGEAGQDETLVRDG FAAGDADDDGWLRTGGMNASQEAKVRDVQTVDESGNLGAADDEDEIPDMEDEEDDDEA IIREPQGGSGASGPARTYTLYICYSAHYRTPRLYLSGYGSTSVPLNPHEMMEDIVGDY KDKTVTIEDFPFFEHAVKTASVHPCKHASVMKVLLDRADAALKLRLAKLKAGKDVGKV DSGMEGLVDDTKLLKLTEQSKSKAGEDSKEKGDDWEVLSEGEEDEVAIRVDQYLVVFL KFMASVTPGIEHDFTMGV CC84DRAFT_389742 MMSETAALPILDLSMADSLHQKQQLLGQLHDALFNVGFLYIKNH GIPQEKIKALTSLLPALFDQTNEAKASLSKMNSPHFLGYSGFAEEVTLGQKDLREQFD FATELPIVYDPKGSTSDTSEGKDFSKLYYRLRGPNQWPYEKNVPGFRKAFLEYHDELQ ALSYRFVHLIEEAFDIPVGTFDAFFQRLTSASNAAYKHHVPPQHRIKLVKYPALPSGL ARENQGVGAHKDSSGWLTFLHQVGEEEGLEVLDASGSWIRAPPIEGTFVVNFGNAFEA ATEGAVKATIHRVITQGPRLKPRYSIPFFQGLPLDLTVNEVRQCIPDHVRALRPVSER PNSLVSSFLDPRWDSLGESQLRKWIRSHENIAIKWYGNEVVSYYLQ CC84DRAFT_1200010 MLIDEKTDVDHVEKAHIPPAHSDNDATPEQDWTAEEERAIVKKA DWRVFPMLCIVFGLSLLDRTNISSAYIAGLATDLQLTGQRYNIALLVFFIGYCLFELP SNYVIRRLGARLWLSFLIIAWGACVLSMGFVQSWEVLTVLRAFLGVFEAGLFPGAVYI IGSWYRQFETAKRVSIFYMAALLASGFGPIFAYALSLISVGDGLYSQGWRWIFIIEGI ATVVAGLIAPFFLIEFPEKVRFLTDRQKHIAITRVSLEKAGQQTAHATVAETLRMIWD WKLLMYSIQYFVAASSVYSLAFFQPIILRQGMGFSYAKAQLLSSPPYIFTVFASLGTS WLSDRLRLRWPILVGQSVIAIVGLLLVLYPQPPAARYFGLFLATWGTQGNVPASLAYG NNQTARVSKRAVVAAVMISVGAAGGVTGSTIFQAKDAPQYLPGMWATIAMQILYSIVT ICMSMYFKRQNRLADETGKTLEGVEGFRYAP CC84DRAFT_1264287 MVALRTAPISATPIRNLYISDISDITVPNDPNVFQQRNITHILI LTHDRDRPTPEPYINPFHVPIEDDPTKASSAFSRMLTGKRDANVLVHCV CC84DRAFT_1222990 MAYYQQDPSSSWPAPGRQASWEQPQPPSRSGASSTVNRDEFSAF AFASQFEDVERAIENLAKSGAGKGFGFPQYAGRRDSMPVVGGGPRPQYQQDFVDSRMS GPQRHHSVSEYDGSRSHSASNVQGYYQNQRNYGPRPNDADQMAQAKRRMAAQRERELR NYHQEQQYHRNVSGSKSDRSMSPNANTMSEEERRELIARQHRALYGESSQLYNNNPTS SQDVRVSGAGRGPSPLAFDPFGMQASGATGEAPVQMPTRGDKDAAGGAQEARANSNSS PGSGQNPAFALFDNAQQASRTSNSSPGGSPPRQGSKVNGSGVAPIGTRPITNQGQPAG SSLSKGRTTPLPSPLSYNSYNASEQNANANATTSASLNPSSTVTDNKSVGMGWNKGPW GSTSNQGVQASSSPRPTSPVNNAAGSTTARPSSPKPPGGPATVMRRKAAADRAEKSAN QRPSSTRAAGAGGSSSTMLRLYTDESPGLKVDPVVVMTLSVVFIFSVVALHVIAKVMR RFSA CC84DRAFT_390322 MARPLRMNVTDESHSRTCKIRKVNYFLPRPAHWRRARPSRHGQR QRWAALITLAAVKLLPKQDGVGSPSIGWWWRRGGVCRCAMPSHSVSFQTSCAVACSRR ADARSSPGSGAFAACRRRTGCWAEHGGCQGSIARRHMHIPSTREVSQETSPRAHAKRR PARNVRTTTGACDGERLPGEIGIASQACCALEMHVPQWMEAAPGSFSDVICRVAACPA VLWQTATVATGQRRGPRRSVRGKAGGPWTLLRGDGGERAGSKPNCVHSTWARELSRNL RASCDI CC84DRAFT_1049726 LQLPPELLLELSDFLPVDGILALKLTHSILNNTLPAIPRLRNRT LDRCARFAIERHRTLPDEDRQQRRCMLCKKTYPKSQFSSSSSPACLSLAFDQDGPRPE VVELPDSFCAWH CC84DRAFT_1181435 MSFLDVPQGRALAILLPSVTLMAHAATFVVLEAVFAESWCSSWV ATYDLVAAAASVVGLLGAVHLRHGDNFERGMCQDLDDGFGWDERWLNDCASSFDTLNR GAMWVGVVMMSAQWLVLISLGRWLREKPANSYMVFDVEKRREKDIDQDYKE CC84DRAFT_1169440 MEDAVGVVMCRTCSLSPGGIIEKTLGAKTLELEPIAEQALRMTS NMLAFISVTQSWKSWIQPNNLDAYTGFLTMPSLFIAALQWKTGAKTV CC84DRAFT_1046804 LLRTPLQRVSEIGSFEIVQHLIDKGAQIDTPPSRSGATALQLAA MEGHVDIVAYLISKGANVTHPLAQGHGRTALEAAAEWGRFDTMSLLMQREVDLDREYG NPPQSQYERATSFAQTNGQMASKRFVE CC84DRAFT_1169441 MRNITVTLPEGTHIFNDSEIICTPAKWKDIAIFFLVNYVTHALT TVVLPGEGWESYLTNALASLMFPGFGAYRGLRAIFVGWATVRKRFRSESWEHVDGETK HMHKHKARRARDLQKARRAGALCMIVRSSDWEPRDGDVIEKNVMLVQGSARESFFNGK GKKEAVVTEQEIDDDQSLLRDSYFEMRSMSGGTSAPGIQLHTYSTPWTYCRKRCPDSV GSRTIRCAPADLSPGYSIIMVPACTPVRNLIDDAAVDRINSNYDIMRGLVALVQALLA IQTLYQTRGDQLEKLGYAAFGLTVAPYAVMSLVNLLGSLARPDYDAVYMVGSPIMAEE RRRKGLDGYYDGVVGEVFEADTDRNESFADNKVEEGSQFVKSPVKFVTMNKDMYVQFA NWGIAASPYRQASEETLVKVITGGATPDSAAPSRSLFVPNASPFSYNRAKKGAINDPL PSPLVLDTAKWPGKLLPRSTRYIKRSRYFAFALSLSPVIIIFVLSKFRSGNSTILQRA ITMLWLAWGSVIGFLVAKYEKKDTIGEKVDGVTRVRSVLVKAVFFLVLGWPAVAGWWV VAEMLLNYGKCVKLPG CC84DRAFT_392979 MSTPSIKLNDGNSMPQVGFGLWKVDNKTCADQVYEAIKVGYRLF DGACDYGNEVESGQGIARAIKEGIVKREDLFITSKLWNSFHDAEQVEPITRKQLADWG IDYFDLYLIHFPVAIKYVAPEVRYPPGWFVDDAGTKIEHSKASLESTWKAIEQLKKKG LAKSVGISNYTGALLLDLFTYAEITPAVLQIEHHPYFTQENLVKLAKERGIAITAYSS FGPSSFIECDMKIAADTQQLLSHSLITGIAEKHGKTAAQVLLRWATQRGLAVIPKSND PKRLAQNLDVVNFDLGEDEIQKISDLNINLKFNVPTNYGIPCYVFA CC84DRAFT_392999 MSPIPKQTRQWIVSNPPKTNVSLSGDDATFKLQTVDTPSITDGQ VLVKLKYLSNDPAQRGWIQDGADAERLYVPPVRQGDVMRSFGIGEIVQSKAENLKEGQ LVSYMANWTDYRVLEAKEATPIQEDKEAGIKATHFIGALGGPGLTAYYGLVKVAEAKA EDTVVVSGAAGSTGSMVVQIAKHVLGCKRVIGIAGGAEKCSWVESLGADVCVDYKAAD FEEKLIKATDGYVEVYFDNVGGHILDTMLKRIKRYGRVAVCGSIATYNDPESTNLKNW FEVIANRIELKGFIVFDAYLKGNGQEMVDTVRNAVKDKKIQIEKQEETIVPTKFEDIP KTWTMLFEGGNKGKLVTELTN CC84DRAFT_392993 MMKLGSSATMKDVQPKILLPVMYLGAPGQHPRPLITSTTTIFAA VTRIRNFRRISTPLSRMISSSYDAGRS CC84DRAFT_393003 MAHVLVIGAGVIGLQTANDLLDNGYKVTVLARHWPGNESIEYTS PWAGAIWHTQALPDQIEVCDWQRQSYKYWMDILENEAEESEKMGIQRVPIVKYSVDER NDPASPRLWFCDLVQDFALIPTSDLPPEGPKSGNSFTTVLINPTRYLTYLLTRAKSLG AEMITATLPESASISNTISAALSHLDRKNAEVVINCTGLGASKLCDEAVFPIRGQTLL VRITPPPPTSRITIWDSDPVTYILPRRITPFSAGPSDATYFIGGTNDTGNWDPEPTPV ISQGILERVKKVMVGWTGEDAQIEVLREQVGLRPGRKGGPRVELEDVDVGGKKVKVLH QYGHAGAGYQNSIGSARKALRLVEQALG CC84DRAFT_1191249 MVRMGKVQELRRNYHSLSALAFTVIIQGAWEVLLTATTQGLVDG GPAGLIWSYVWTFIGFSFVVASLAEMASMAPTAGGQYHWVSEFSPPSVQKPFSFFIGW MSTLSWQAGTASGPYLVGTLIQSCAIVVYPDYAPTGWQGTLMVIAITLLVWVLNVYGA NLMPLFQNLMLVFHIVGFLVIIVILWVRSPRATAKATFTEFTNDGGWNSIGLALMVGQ ISAIYACISTDAAAHMSEETQDAGRTVPRAMLGAYFLNGILGFVFLISYMFMMTDVEA ALEDATGYPHIWVFSQAVPKGAVVVLNAIPTILIFAGTLSFNLSTSRQTWAFARDEGF PLSGWLGKVHPKLHVPVNAITFTSIFTVLLSLINVGSNAAFNAIISLNVVSLMITYMF SIGAVLYRRIYHPELLPRCRWSLGRFGVLVNSVGLLYATHAFFWCFWPNATPFALEDF NWSVVMFVAVAVLCLVDYAIRGRKVYKGPVVLVEGWHNS CC84DRAFT_1264294 MAQTVTSEDCGPTIARVSIAVYAIAVSFVALRFVTRGWLVKKFG LDDLLIGIALLVGAGETVALQFQVHHGQKLQFGASKKEEISKILMYKYINMLLYYVAN GAVKLSILVLYHRICSLQKGLPWFLRGAVVWSMWAAITAFTLSTFFVEMFNCLPVTAI FDLENPARKCIAWVPFYLSQAGIDVFINLVLLVFPLPLLAILRIDRKQRYLLVLVFSI GLLPLVASIIRLCQIATAAGTKAGMRPSGDSSWEGRWIPLWSQIEVDVGIVAASLPSL SPLLKQIWSGFAHSRTLSPSHVPTLIEPGFNNRRGEAQGLQKLPSTISMLPNKSISTL DTLPNKSVSTLGSLPNRSVSTLGELENTKRLTFFDDSEDGEVDREAFPDPYSTTQIGV ARTVNTRLSRAMFVDVPVSPRRSVGFTGLSIPEAAV CC84DRAFT_393047 MLVKPGRRFSFLTPRAVELILHEPLMPSYLLSGRPHRRARCQEI VVIAFDRRRFSRERLDKRRDLSYTTSLLSFGIAQASGSTRVVNTP CC84DRAFT_393056 MDKDVQFTGRATITIHLADAPPVVVWTSLNSWQTPLEMIADSPP SNTYRIQVYNVPEQSFQYKVRAGNRWVLDDNMPIAQDQAGNHNNVGYTEHIPRAGTPD SSTMANGDLAQNTERRTGRQDGLIPLVSIPPLDSGHAQTDMRPKTSETMVSQGEPDVE EDLLYDVDPGYNADQEAPLLRHETFKEEPDSSLHTQDDVRPGPRPRSPPMATIQEETM TADDGGTDSQPGTPSSLEQAREYQRLLIEAMPTSIANGFTRAFVLFPVRPPDEASELD NAPLMRHETMTTPDGRHRIEQQDELSHAPLMRHETVLRDDDDDSQIQDISEFEQAPLM RHETNLASSEPDELGHAPLMRHETNVASSAIDEPAGFQHTPSMRFEAALSPEVTYGDS AERRVSYSTTLVDEENGADDELSHAPLMRHETVPGHFDDSEQSSLYSERMMFLMETSN PPTLVGPQRSSSVYSHGLNELDRALLPHEMPDWWVSDESAFDDWLDPRAAPDYHANIA YYEASDGSSEGDDDESVAELDRAPTMSHENGSETTGELNRAPTLPHEVGNSTSSSSSR TDELDSAPMLPHEAQYASDTQAFPKSDGASSERRRRGVSDNDAASQRSKTRRIISGEE DLLSTSKTNSFTSERLREAFKSRIFGANRQSTRDAENDFDFGGTPLLTHERTYPLPDR ASNDSLGSIWKQHNIEIPDIPSFARRLSSGVYRFQRSNLPHRMPRSDAEDLDLRDPSL EIFPMDREQVFGRVRDISHRLAEDEVRSPLGELDSPAVVSHASSSVNLVSIRSSSTMS LHSIREDASENEDESQLPSPVLMLASRVPKTSPINIPRVSTRQHAGIIHEEMEISEAT NFKRHGKVFDTVVLPPEPPQANVALPPKPATISFNEPTDANAIGKAKHRCRTPYPDVG TSDEEDGGHITRKLCAHFRECFPSRRASIVSVGILVAGAAWLWKRWT CC84DRAFT_393064 MIASSTACRGRANPPTLVFGLKRSSSVYSHDMSELNQAPTLSHE MPELAWKARLSGFRFALPARNAMAICQ CC84DRAFT_1222999 MTPLYLVIKTQTTHDAKPNYAATSLVPSGLPFPAAHTPSPFNPI RSHHIARNIPHTTADVTENYDVRISWEHDTSACVSVVASSNDKEAAGIVMHEAVDVFV AEAAAELARGEEHVKRRTKTTYDGEYDLVFAAGMRTGKGGNVGFEMFCVEIAPGVGLR YGVYAGVDRGEEREGVEMGDALF CC84DRAFT_1223000 MGLIQRLLRREEPEQSYAPLEGGSERPDGERIEDLEQRAYSRTD YYVFLLLGVAMLWAWNMFLAAAPYFQRRFDSDERLLRNFQSAELAVSTAGSLGSMIVL TKLQANASYPKRITSSLILNIAVFTLLAISTRAFLDVSAGAYFAFLMLVVFSASLATA LCQNGVFAYVASFGREEYTQGIMTGQGIAGVLPCIAQIVSVLSVPPKQTQEGAPQESS KSAFIYFLTATVISALTLVAFVYLLSKPRSREQLSPTLDDEEVDPGHRPVTRKSIPMM RLLGKLHWLAGAVFLTFAITMFYPVFTQKILSVRDPATSSRLFQPAVFIPLGFLFWNI GDLIGRVIPGIPGLTLTARPRLLFTLAVGRLAFIPVYLLCNIGGKGAVIGSDFFYLFI LQVLFGISNGYIGSNCMMGFVEWVDPEEREAAGGFMSLCLVAGLTAGSFLSFFAASA CC84DRAFT_393090 MAPQPPQTLSVKRKRNDAPVHHLVVDADRDRTVKRQKSAPRFTW RLLQKPGEHVPAALPSPTPQQNRRFHVSLSAGQRVLVEAPDLPDTVPATAGETPSVPS IADAAEPRDAARATPATPRPRKRPGAAAAVRINPAYAAQSEDDANPSDEHVKHFEKLA EELEQEELAQAKPAPSPVKYLPTGPARRPRKAALAVQDPDAMDIDEYVIDTYVREELM SDSDGNIAEHEGIVGYIILKEEDEDWWNGEDESDKEFDTDEDDENAEDYYANDYPEDE LSSDDEFDRDPYQRKYRHGSDDEEYDLNDDAFSGDEDDDHFRRLTLPGQAALLNRPGR CC84DRAFT_1200017 MGDTMPESDSQKGSDAFRDFSKPATFDLRRQKPDKTVDIGLDGV SLSLDACGRSMLQWIQGDRPGLGLSFRSNPSHVSINIPNANVATFQFTLEDDVQVSLQ VDILEGGDIFQRTTITNHRTSAYTLACDVNLCVSLNRASYGQLTEGGPIALPKSRNTF SLTRQDFVKITNAELGAQLTGEMGRVIELDGDLVSVEWPSSDQEAIDAPLDLNIPFQF RLKPSGRVSIENRFRLRAIVDANQIPSYSFDETWGKTLDPESDCLRQSYDQTACQKYS WLHPSTVTTYILRRNVEYILANCTIPVGSTTTDNYWQLRLLYSTHNHAPLLLHSSSIP SYTSHILKIAKGHLTWVFRTAQRPNTYWHRSYLVTGTPKDAAVFQLDQQCYPLLELCD FADTFASEAAFAREILEFGVVEEIIELLREKRDMETGLWPTDETPADDAVTHPFHFSS HVLLWRTLTRLAHLYTLLYSASHPCISPLTVLADALRTATLAHFTIPYPHAGEGESMF AYLTDGKGNHTLYHDANDLPTLFALPWSFLSTPSQHAAYHATLSFAFSPANSAGYVVD QPYPGLGSVHSPGAWVLGYFQEAAYAAMVGNDVGLKRAWAKVKAAMQWDGTFGEAVDA RTGRVTSKAWFSWPGAMVGALVVQLRAEAREGVLLGEGL CC84DRAFT_1104449 MQDDNPRTQRLPVNPRRRKVAPENRKRVARACNSCNVRRVKCSG DQPCQQCRACSRECIYPAEEPLKGASLKEELDRLRKKCDQLEKCLQAVLPEKSARDSL LHSVEYADTPPAASVYSGSSAVDDSETTEGRMLFDPDGNMRFLGETSGATFLDLLKAF MLTLVPLAFVSDPDPAIPEDGSTFVASIGRYQTFDSRPLLDLDVDPFWLPTRTEMIMM LAELRYHIQDGNGDFPSGGIFYWGDLSSMPEAITLTISQSEAATSDRYRYLAFNHVSF ALAAQILNQSLHQGEIHAGDAYFKRARILIGNPLDTVRFTLRDVPVLALMAFYLIEIN RRDAAYVYTSLAMHIAVTHGAARHCVDEASRRVFWSVFVLDRWLSCLMGRPPTLADEA IRLPLPLDAPNMPPSAGLRAHVQLARISGYIVCETYKIAPKQQDTNPAAPDVDRPLRM LHDWLKHLPASLQMPSDQPSADAACCILHMAHNQLILITTRPVLLTAVKRSVAERYMN ACSKLEQQSQFNHIDACSKAAHRNIHLAQWVMQLYHTRRLLQAGLHFVFNAAVILLLN RVLRNDLAPSKEIDFAIDVFARQSRIGTNYERDCLQVLNDLKILIERFLAGSCSAQQN YPADPTSASSSLFHDTHFDVSQDRQAFATDSGNVHQELMAWIQDDSSQLHSSFRI CC84DRAFT_1104321 MTVEKQDTMRAVVWEGKPFHMTVKDVPRPRLVEKEDVIVRITTS AICGSDLHIYHGILGSNKPGWIMGHEAVGIVVEKGKDVKHLKIGDRVIVPDSPDDGVL NIEPRVPPFIFYGAGDDFGHDGGCQAEFVRVPEADNSCIPIPEGQSSDIDFLFLSDIF ATGWTGLDFSGFQPGDTVAVFGAGPMGLLCAYSALLRGASKVYSIDHVEARLEKAASI GAIPINFTNHPASEQISLLEPNGVIRSVDCCGYECINADLKPQHNFIIEEASKITAAG GGFGIPGVYMAQASTQGAPLAGKVNPDITFPISAFWTKGIRIQGGAVDPKMVAPMLVE LVKSGRAKPGFIVSAEVGIEEAPRMYEKFDKHLETKVIIRFPWEEEELKLGPAKTK CC84DRAFT_1200019 MVEVRTYALKPTSLIPNSPHVLIHYPGLFLEKVSKPDFNCTQLY DLYKSNGWESQWVARYGPTQTSHYHSGAHEAMTVISGEGATIRFGVADTSDDAEAHTH GNGHEDGGIWIEAKKGDVFILPAGVAHKTYDPRPGPVEFAFFQCKDENGNVIRDEQNA RAFFEGITIGKNFQMMGSYPFGNEWDFAVGGDHKGRERLVWDVPVPERDPVLGTRREG MCGLWHQRSAISKL CC84DRAFT_393221 MAPVKDEHFLPEIWALFTLGSLWVVMRFAVRIRTFGLYGLGIDD GFAFIALFCWAVIIAGINCTYYTATNIDYKPDEVWALTPEQVKGAEWGSKFYIITLYA YIVMVFSLKAIVIILYQRLAFGSWQKKLLKFTIILCVCGSVATTLMLSLMCLPYSRRF RVQPLPDDKCTASPSFFVVLSCFNATTDAFLLAIPVPLLWTLRMPLHRRLLVFALLSS GIFVMAACITRVSLTVVPNITVRIIARWGARELSIALVAVNSASLRPMFRKSFWHRHK PILPRGHPRQKRNVYTFASAHRISQALKRGHYRDHDLNSTSVGQSTLQRFAQSQASTM FEGPEEIPARPQPSFQPHSSRRRRLFNSISSLGSNVISNVVRSRLVSRTVPSQGADPT DVGTESRKDAEKWAADLEKGKRANEMVRSVTANSPFPGFSHRNSGLGVLPSATTTMQS ASPGKSP CC84DRAFT_1264303 MVRFISAAGVALALATSTRAHTLPLSHGMSQLSQRDLAKTALLD NFDALTADPSRIPPIVKGSATKWDAGRYPQNCYDKAREAISDTDARPKCQLQDLEIYD VTYEDCPSGRDPWILCRCSNAELSLQDTIDGMGTVPPGARSNVLHIVTMNGFGGGGGY SDGNSIFCGGAPSKTWFSHESMHCNDRDGFAFSNGDVFNNAINADSCVPDSYANNNPT EDFAQVGTYLNYDINGHPIDYTGKDASCMGNQLNAARAYLAERLDKGGACFNAPGTDG TVNGKRSMSIVERDPNSAYETLLPHTPYAHAEFF CC84DRAFT_1232756 MCTVIYYSFDCGHYITRCQSRCGGTKVKERRDSRRAACTAEGYV TIKRSFPCSKCSREAWLSHWEKRLEKARRFYNELVKEKLPGARAVSELINQLDKEYEL EAWELRNTIPHGDRARIRRVTPAKARWSKLPPSPLKREVQPEEIVLPVITELVYNKDD DDWVPSADPLHPIDTNYELVDAGIDDDYLRSLLGEDPGEVAEPEQDNVAPFNTSWDWN DGTSETAEPNMNQLMAWNLTAADTVSSGEIGPHELRKQEDGQQEQIKKVIKAFWDVVN ITNHNGQPQSPTESTEDVSINADTSDHTNPAGLQHPWIDSRSDTPNTSPPMQLQAPQS NQDQHRPYYAQWLVECRLEIREMVGPDGAWVPDPASFKA CC84DRAFT_1210220 MGKKFSFTLAPIKVPPRNEKPAEPSHAEKPSHVRHASKDSITSV NMTYISRQRIDPKTEQELRAACALILQNFKPSDHDIPDADPKLDFRGPHRRREHKTDD AQVRVHRPTGAPPEHATSHNVRRGSQKVATAPKSYPDLPLRANTGKRRVENTENITSE AEARRPLKSPTTDAPRHVLARADTDTDDGMSVGTPLTASTDTHMYSGSTAPTSVAVTH VSSKRTSRQGDNPAAIADAQAAEWMRQELEKRRQQLAAQPQSRPQTSDKPPSRANSIR SGIREYMFPGSRSLSRAQSHASLRSQSSDQPKRSGSVQGWRSWGLNRSSSRSSSRPGT SSGRKGLAEHEKKSELNLNRELPPLPSLDTWKEPERPKEKPKAPGQGAHIATLMRTQD QQQQSYAAAARQHHRRSGSDTLATRYANAPGHVSPLVKSPTQQAFAQKSTVVVPEERS MDFDHMISAMSGTQSLDDQLKLRVNGHTLQHSGHSFPRASADGRLAAPNFSRKISADG LQSDRPFDANQVAYPNVVQITATHAPKAPEHKSKLRKVFSAWALKKEKKTDEDWMQRI EKGGIKTGVMMQDEAALPPVVRY CC84DRAFT_393275 MPETSTPVLIGSHLKRTRRLLRAWTLPLPAFLFFCLPAASLLPE SGAVPLSPLASPHASFWEPWSMVSAARIPHPTP CC84DRAFT_393463 MSYVRPCASTWSAKSGINGCLSLMLGLSALHWTLFTALLRIQLL AQFSSSQQHALVPRIANHSNNTPMSDVWISNLVVFPVVFPGAFFRV CC84DRAFT_1169456 MPNLQSPSNPTSYRRTGWQIALMLLMGINYLMDHDPRHVQYSKD QRAKRKAEKQRYKAGFVVELPDDEDMNGERVDPNATREDLRPHSPCCHPPPVSQNGKE AWPCPRGASHRNPRPNRSYAKAPSRHVPKPADRSQMRDAWTRMRAVTKALYEFVKRVD RRKKRVRFKKDVQCFVLDGDEDEHGGDYGENMYGRL CC84DRAFT_1223009 MSFITRTGSTAPAGYKAPPWPSLYWPLPVSASVPYYLYDPDDIW RFTLIWTALFFGAMHLVVAFWACIVQWRNWKIIWITPLLYAIIGGLEGLIAGSIVGGL LGGVYQSGYFKMSTWIPFVWGLISAVVLVLSSFAIHGGL CC84DRAFT_1104474 MPADRLLSTLLRSLQTYTDQQDTPRILGTASSLLTTLGNPHNLS LLTSHLLTAPALWDRPEGVRTPLRLLSVFHTAVTAVINHQNDARWGKASELLPGQTPV GGGLSLDEWVRAVVAGADERSQRWKHLVTLGGLLIAIGSLEEQGMDLYWASGIKKRVE VALVRAANLALVEVRERSEADGLGGQTIVLVLNHAFGCLSDAEKMLLDYDLLLPVLIG TAYFSNEGFQSAYFMGGLNLDIKKNGAKLDWDARSSSFRQVEAILARPLVSSMGPLSK IIAHAVENVRDPWVIQAMMDDLASFARALTTQWRQINFSEIDPAEEDIYLEEEALHKT VPLLWKLLKAALFATTITLRGVLIRTLGDRTLAGDAVAPVIASQTLQTLRHLYFITSR LGPASFSQHTFVYLTAIDILAAYPMHADKFLKSIAPPQLGQIPRHPLDRILDLYFLNT AEHFSLVLSTAANEDLLVASAVPYLAAGANHNMLPIFEAAHSVMLAVLSAPQSAEITA KHLPFYIDALFSVFPHNLSPRQFRLAFKTLMRVTAPPSAISASHPDLPATLMELVYHR ALSAPTQPLPPDEMTLALQGSDAPPPALSEQAVLTLTLLDALPFLPIPLLEEWMPLCA ELLNQIDDSAMREAVKARYWEVLVGGEMDPERSGSAVAWWGTRGGRDMVLFGREMEQQ EHMMSGALPVERTPRESKL CC84DRAFT_1169459 MDSLNLNGVSLHTAQPWKCLSSLHQDPQAKSQISAELATSTQSS AYHESHAKVFMKEPPKTPAVSVRANRDVTFASTSHGNETSVPRPSSYSMYIRNANAEW IHHAISRSHRDSLFVHQPRGIIPSRVSTAIASSEAGYCTDVSLSFKEAALSFRFRNAD MLKTAVYVFCL CC84DRAFT_1157257 MSTLGSVRVGRKAPDFRCDAVNKGVIEEVTLSTYINKDKKSWLI LLFIPAAFSFVCPTEVLAFQNCLEEFRDRNCDVIFVSVDTKHSLWHWQNVPRQYGGLG HIDIALLSDANHKMSRDYGVLIEEEGVCLRGMFILDEETMVQQVTLNNLTVGRSVLEA LRLLEAFQAVAKHGVLCPIDWKPSNNTNDIAATISNTLTESYEERLANLQKEFGDTVV TDLDAKHKRGSSGKDSNSSEERMKDSRQGSNATASSATPSSRKTSSTPSTRKTSADLR LSRESSLSTTLDSPKSTTKSSNDNEEPAKSSSNDAVPQIRTSATSTPSRWPFKSDRSP SAPQNTSLKPMPTPSRQDPPRMLRQYSQNTTARHARGHCTATKPPRPEPGPLTPAQTH LLPLSPRNTYESRRGSHVVLEFADPTSSPRTSPHATPGSPTVQPLYSPWLSAPARVQS PSSIATTPRPSEATLQGGTPTRLQATFEAIKKMSAGLASPSPKRAVSGGRGGAEGGKA AEGSGQGQGQRQGQVQTPGYFDVIVDAVEV CC84DRAFT_1157259 MGTLTAGCPRLDAHCPHKLATSCRLTSTPNCCACADERAHSRLY RVYVDGVGYVQRGTRWQSYCWFCKEFWNNRLAATDPPLEAAQTQIPHIPDQSEFLERW FEFHQGYRTVTQPDGSESRIAVVGEPFSEVSPGFLPRTLDQLRANRQNDASRPDNVFR RRRLTSEQERPAEAQQSIEDALDDLLGELSDEEEAPAPAETGAPSTDMPRRRSSGGLS RPLTRTEVSVQRARDRLARVFGSREDVQREDYESPLSTMYNRAEERYQQAEERRATGE TTDPRTNNLHNLPRQERRELEEQILWGVLQDSRRSFLERNPDAYVRRHALELDSTNLA EPSATPPSSNTTSTSAPASSLRSSLDQITSDLSRLQAATDAVASARIALARQRPSHPL FTEPKQTLDQPNRPPPLTDAQMTKKLDCQVCYSQIADIALLPCGHMVMCQWCADVVVP VRHSHIPARPSKCPMCRKMVKQRFKIHIGGDDVVLVQGRGRVDEERGTDAQAQEREEG VEVT CC84DRAFT_1169462 MAAEDTISDGRPEDQTLFDGAPRKHFSLTTFNEHPVSKHIHDGT ETACSIYLPQDSRCTRQMVENMPAYKNWLSQLLQNLALQQDPNHVFHKNPYKLRSVDL QAPTWFPSGPPPGKLGFLKAQCTVEADHLDDGKKQWLPGAVFLRGGSVGILIIIQPYD GEKEEQQKLKEGREPELFAILTIQPRIAAGSLAFAELPAGMLDDSGDFGGKAGEEIKE EVGITVNKSELFNMSEAAVKDVYQRPSTAPTNDSDAFRELVQNSMYPSPGGCDEFLPL MLLQKRMSRDELEDLQARTTGLRDQGEVITLKVVPFKSLYREGGRDAKCLAALGLYEN LKREGGILPDMPSKPDKGTKRRHS CC84DRAFT_1264311 MATHTRQVSHTKRPLPAHARPSKPAPLSKRSSSHGASKKAVPLH KKEEEIEDEDLMATSFLQFCTTCEKQIIVPCNAVLYCSESCRKKDTEKQFIFAPDQSP PLTPFSQLSNFSFEDLHFRDIVPPRSPTQLRSNRSSCAFSDLSSDDNAASGDEKPRQH SDASRYLRHCQLTTYSDAAATIRPRRPHYSRASTSHVNFSAAPSLSHTPASSISYSMP YTPSTRPLPPRTNPSSSSYTHKSIDLVTPLTYASTAPSSPRQYSLKSHAETATSASTI EGEIMYAKTPIASMSPANGSLGRLLASSSH CC84DRAFT_1169464 MVQQNTWTRLATFRRQYFQLVDPTQLRWLEGRVLKDMEVQAWMF SHLFDAETNSTLPPDRYRLRVLKALVSKLEQAIDDPEEDAISDDLMESLTSILGSNVP SETDSAQQKAYVTYAFPRHSADNNTVNDNSVTLLESRSVISSSGTTGLRTWEAALLLG QFLSSDRGSAMVRDKRVLELGAGTGMLSILCAKHLGVSGIVSTDGDEAVVDAIKTNVF LNELDASRITVNTAALKWGRPLNGSTFLEDYGMDVPEVLLGADVTYDSTIIPALVSSM RDFFDLNAALQVLVAATIRNEKTFETFLNACRRNRFTLQQIDFATQPESLQDGPFYPT STAIQIWRITRAHTAQDPFAF CC84DRAFT_1264313 MADSQVTLRTRKFIRNPLLGRRQMVVDVLHPNRPNVSKDELRGK LGELYKAKKDDVSVFGFKTHFGGGKSTGFALIYDSNEAMKKFEPHYRLVRYGMASKIE KASRQQRKQRKNRGKEFRGTAKTKGGDKKKK CC84DRAFT_1104542 MDDAAVDDYNHDGGRSTSEGDSEPEAPADLMVVSRARRSNAGNR MSTLLATAATGEDEWGEEWEELANEEDFVGDDANEQEDYNLDSSSSEDEDAGDDEDAG EKELRKAERQERTKKRKTATNPFAARLAATSRKRVKLDVPATATQSPPAGPPRPKKKS ERASWIPTDEDGPIRTSSRKQTMANKEHTLAKLKEKDQRRDETLAMMKAAEARKAKDE PKPLTQAERLAEAARVERINKKTLHRWEEAEEQRAAERQAKIDALKNRQIDGPFIRFY SGPAIWVDDKVKYTGKDAPKLEDLEEKLNKEMTASTTTENPSSSDQPIPISVDQTRSQ DAQTIATSLPLSIDSQSGSIPASQPPVQDVTISQGPPFANNVMFAPPSTSDSFLFQMD QYTQSSQHHTSEPELPPNPFQNSQPDLPSNPFQTSQPDLPPNPFLSASHSNLSDLSFT PSLFSQEQPPPTTMSPQHLLAQFRNPAPPQVPPPPPRRKLIRRALRNLLILCSFPNLD ATAAPTRARTTASLLKEKDRAALVQLSTALFAWSVPDATSFVTAMLNAPKTKKEKEAL AKPKKELCAVSNKEARYRDPETGIAYRDSRAFGVLRGVVGGGFVWCGELGCYVGGRAK PMESRGKGFLGMAPAAGVPRRFLEMETKRAEVGAVLGGGESRPVEVGSAVEGSEAAAV KTEEPATTV CC84DRAFT_393633 MAPSNRPEHHINLNYIPQLTSQAPLSASSTTSASPTEPPSSGGS IRFPMPSQNTSNPLAGAAAGARLGAGSPSHEYGGRLYSKRAREIQAQEGLTPQMWGPP TSGNSTPLRETIPESPSGDSFPDFNGAMPDSAAPQTSGRRARAGTLPSRFSPSAPPPG LLSASSLLPKTSRPTPSTSPFKPGSGTPTENSGFAALGSTNAAAKSALLSRLRAGSMP QRPGFLPPAVSPFGNPIFSTGWTSSRDRSSTLQSIASQPSNGPTSPQSSFSRDSLADT DVKTLDYLGLVDTPQPARATLAPSDIELLLEGQRNALNQNATAAANRFRSYSVNAKEK YADDEDDLDQAAYGGYSGTMTPAEASALAIHEAVRQHNLEVQAFANFASATRPRARTA GVLDSPSQRLMRNYLPSSSRLDNSLTAADLTEDAEYSLAEAVKSLQLGGKPSSNLGDD TLEGPTRALWLGNIPSSTTVSSLDVIFKAFGPIESTRVLTHKNCGFVNYETIDSAIQA KLQLNGKEIFPGAGPVRIGYAKVPSAAATPGHNGLFPSPSPDPHAKSQAEGSNLSSNA AKANGGRAESANVPLTTPELTDIRGDIVNIVKELGATDEEQLRISAHVEQAVQHDNYF MEIPPVEEPSHTRIHDAPRLREIRKRIDNNSCSQPEIEEIAMNMLPEIAELSSDYLGN TVVQKLFEYCSEPTKEAMLREIAPHLAKIGVHKNGTWAAQKIIDVARTPTLQKMIVDA LRPYAVTLFLDQFGNYVMQGCLRYSAPLNNFIFEAMLSRLWDLAQGRFGARAMRACLE SHYATKDQQRMVAATIALHSVQLATNANGALLLTWFLDTCNFPRRRTVLAPRLVPHIV HLCTHKVAYLTVLKIINQRNEPDARDTILQALFFSPNDQVLEDILIDQNCGATLIFKV LTTPFFDEKIRPDVVQNVRNVLTRIKAQPGQGYKRLMDEVNLSTRGGPGHREHSQTRP ASSRDSPAGSHAEPRQFYPGVAPGMDPIALQRTASMDSNGFDQYGMGMQSGPMFMPGM PAMTGSQQLQYQQLLAQQGRGQPFYPQMNGYQPPVSGMDYRNASPVAAPGFTGSPMLQ PATLGQGINPAMAGQMYPYGMYMPQQQQAAGGGQRRGRR CC84DRAFT_1223019 MAAHKSSAPPSIPGFQLSNEDAAPLKHQVAALIQREGNTRFPGA QPVSFARQHVAELQQREYFMCEKTDGLRCLLFFNYVESEQGSFEPVTFLIDRKNNYYE VKPPIRVPYHGALFQPEAFLYATILDGELVNDLYPDGSTKLIFYAFDCLAADSENYTD KPLSKRLWALKERVIKPWHTYLTQTKSLMPLVPFQVKEKEQQSAYHISYVFDQVMPKL KHGNDGLIFTCKDTKYEFGTDPHILKWKPPHENTIDFKLRLGEFPMVDASPEDDDQSP YPDYDAMPTKFELLVNHGNNRYRPFSHRLSITPQDWEMLKGLKQRLDGRIIECYRDTD GRWKFKKDDDGTPRWRDDKADANHVSTVESVLGSIEDPVTEQDLRSSEGAIKKAVKQM HAGGQYQEPEHSNKRRRIEDVNGH CC84DRAFT_1157282 MATNYTCRACSRALSRQSSSLLQSRSPRKVSNLYRAEAARNLST TAPSSYHVTASLSAVQPVSQPISEPAKPSKPLPKRTVPIEGPNTGSALRGIAAKLREK APLMTETYVAYAATQQLMKECTRHADYTIPQALEKNDEIPRDETGAHLGVSDSWWFKE LGLAPTFINWAQVTFIHMYLMQVRFRMFPTTHAPVWIQHLTNHAFYAAEDRLVVWHKI NANSLRQKYLKDIFSQWRAVLLSYDEGIMKGDAVLATAVWRNLFAGREDVDFEKLAQI VSYMRRELRRLDMASDDEVADGRWTFSGGPELEQNAVRTPSKSVGADASRP CC84DRAFT_1210232 MLVISCIPAILVALAPIVSANFDLNVAIGDVATIFPWPDTIGIW ALTDGDNPKCEDLAENGVIASWDEKNDLSHGREGIRCVPGNHCGYYHPDDDPWPSVEL LEMHFTNNPLHHYTIYKDRGYTAPDGFTWLWPMYGVDGNTYGACHTDTRKSWDCNYNN DKLKLHGRRKFRCLTSITAKEINDAKRKGGKTAEDSEGYRNPDYPFFEVLSHNGTAIK PNGAAVAWTS CC84DRAFT_1169470 MVATFAYLLTILIALIQFTAVSADFDLYQVSGSSPVHHRVRSVD ITAPGPGLLIPPFDYGWQIFDWDAEPTCDQLLQAPFYQNKKDLSHGRVGIRCVGKCGI VDVSLATQNTPFPLNANLP CC84DRAFT_1210234 MQPVMSTTFVYLLSIVFTVVQFTTADFDLYRVDSDWSRLGWALF DMDAEPGTAGSTCDDVNNHAFYPGKNDLSTAVWSSWPDVNIVEMHFVNKPLYHWTIYK DDGYVRDNGWKWWLWGVDGHNYGECFAYEGHDVDCYDAKSNGHVYYNCKFRCNVRDPT ITAKAINAAYWKDTGYKPPNTKKSLSNGAVGNATAIAWTN CC84DRAFT_1181471 MSAKLAYVLTILVACIQLASANFDLYHIHGVEADGPFPGAEING WQLQNDNPTCDQADQFAVWRDSSDLSHGRKGAPWPDVKLIEMHFTNNPLYHFTIYKDR GYTTGDGGWRWYLYGTDDKADGTCFAWVKSFILQLQLGLQVARQELGGQGLPQVPLPH CC84DRAFT_1181472 MTRTEIQNRASERCSGKAGTRADDVSLQFGCARVDSGSGDHTRG GHSTVHPGEGISKQAHHNIRLEQKVVICNLPRGSHVPTMDPAVVRLRDDIKWLYWTDG AQRRYDGFGLEAIMQFSDHHGVRLVMADSRSRISLRQEVSSESTVSFATWRQFSSGSI LMCVIEESEKSNVVDTMHERPCKLACTGVM CC84DRAFT_1104396 MSFLGGYRPSSRSSHSKFDKTSHNAQPFSRSNTTLDSQPPPRSG RGMAGVLDIERSRTRRERTFIGSECAVCEEPLEHTLRGERILQLSCGHVSHEACFYEY IREFDSQHCPTCNATLGLDTSRGGNVLDLEKLSTMVRSGQNDSNSSHRSQQNTPTPWD NQTVRSEAAPQQLTGRPRNDSDVSSRYQQSQLSRARDTQRDSSHSRERSENNMRTMSR QHLRSDSGATGVASSGDYAATHDGRRHDYDVQSMESSLSSPRGLLKSPIPAPTVTVRS EFPTLSKSRQQQSLTCLVTVEVVEGKWRPDPDDVRGAPPMPSIANATEQFGRTKSPVR NNRQLDMPHASEEALDEITEDLHARVDNWHGLDFSRFGKLRLHGHVRVGKDRQSWQEL ECYLFSEMLICVKEKKTSQPDKWDSDPSAPKKAKCTLKGSILIKKHLNQVEHSPDEAI LTLSLSVAELPSFHLQFQNRSQLELWRRALMDIRMDFPTPQRMPDYDQDNSGTEDEDY RRPKRISSIASSYGAARSTVTAPTEYTSSRAGGPEPRPSGGLHVPLDIVVVIPVSSSM QGLKINLLRDTLRFLVQNLGERDRMGLVTFGSSGGGVPIVGMTSKNWRDWSKVLDSIR PVGQKSLRADVVEGANVAMDLLMQRKSNNPLSSILLISDSSTSDTESVDFVVSRAEAA KVAIHSFGLGLTHKPDTMIELSTRTKASYTYVKDWMMLRECVAGCLGSLQTTSHQNVK LKLRLPEGSPAKFVKISGALHITKRATGRDAEASLGDLRFGDKRDILVQLAISPDTAS PEQLPQDPWETIVSGLEALGGPLDQDDSRTLSVEEVPLIQADLIYGDILRDGSIAHLP RPSLLAITILPSSSKKNSSGRPPTPPIPPHPHVVQRRMELLTSDMLTRALTLVSRGQH DRAHHLLKETRSILKGLGKGGLPPLPPPGHRRNDPSSAPGSTGQNSPVDGSDRPRTPS PHAENPFTPAAGIDATTMHALDAELESSLEWINHPAVFGRDSRKAVLQAIGVISSQRA YTFRTPSEGLWADRILGVKRLAERAREWREADDQPLMEEQ CC84DRAFT_393722 MCVVTEATLPITQPCPPRQPAGPRPMPSRTQLANSRPARLSNLP NSNLSLPHAHGATKNSTSLGRRWGSAHAHRLPRLVAFNKRCTTRSTSCSPSPSHRSCS EPCSVTVPDCHKACRTAGAGPGGEHRQDGGFHARRTQEGDGRGGREGRTDANARDRHV GSRFARGWTSRAAGGKKRAELRPIASRPGKTCYTHGGIIAEVRCTCQLNANRARSR CC84DRAFT_1223026 MDVRRRSSTTAQTETLLHTIIFFPVDYDDPGNESIANVEKADDS ISTGPHKHMIRRPALEQASLSFHEMPKYFQDEHFGRVQRRLRSIYFSELAPIDRKHYY AEDSDSYAAQEKEGENITHRFLHQMPLFMHMLQEKGFRTVYARNLESAQRHARRLKKE INQGESPTYRQPKTPATPPATATSNSFTLSPTTPRKLPDPKLPEVMLLTYRVGTRIHL DSRAYDAHVGEHVLLYPSRDEISLDNAKIYDLQAFDAVAQKDDSWRPAWHQCNLKSVT AAEKECLFEEEKYKGLWQGKTQILKRGHSWGMHMVESVPWKGSFQEPACYRTLQEFHK KLKDADLLNIHLEKHPGKLAQKAENHILHHSRFFNYIHQEYVDSLGTWGELRVFIRMK NVKDAKGNERRVPKVVDIIKTHFNDRVAKDRFQKRFGPPKPQTQKRKANLQPGARPGS GQRAPEKGGTNAPNQEGDRYASMFKSQLKRTIRQRKLGMKPSEYKNKNKSGLIKILRD SDAGDGAEQEGVEQAGSDLEGETAVEDEHDTSGDTVLEADADDGDELDPGDGPDEDFF ELPDEGPIVRCTYYTYKMNVSRLNLESKDPFRTYPKINISAIKRFAISQYRRLLSRYP KQFESLNVGARLDLGISSKQELFINEVTRWWFASWFGGLEDVEIQDRVARGFAESFAE AYKPDRKANGESDHESDDDEDDFDDDRYEATAMLYKLKKGKEEHSDRQTYGVGGDEGA NGGGHGGGSGADKGPGGGQDGEGGQGDETNPDDQGDNGKRPNPTKNSQKKAPGPAEED DAQQNSDTKGSGKKVTPPTKAKDQIKAGNVNDGDEGVGQEPKQLRSARQPKKRISVDN DDGGDNGDNEERPPRKKGKKNPAKPKNTSKTKKAAKASTNSMESRTKKT CC84DRAFT_1264322 MFRPGFRAFESAFRTVRSPARFRPAKHAQSRAYRQIRFGDKSPQ GAYGRLQFISSFFRRWAARPTFYRDVGLISLGTGGAYVYNLEEVPISGRRRFNIISPR IEAQIGAATVGEVQQQYQGQMLPDWHPTVRRVKKVLERLIPFAEEAGLHDVDWEIHVI DSPEANAFVAPGGKVFVFTGILPLCEDDNGIAAVLGHEIAHVVAHHTAEKLSQAPFVL LGVLALMTFDLSFQLSSAVLNLFLSMPAGRKQEAEADQIGLMIMARACYRPEAAMEFW HRMETTGGAAPPELLSTHPSHHNREEKIRGWLPRAHEQAERSECGATSLYAQQFNTFW GR CC84DRAFT_1264323 MRAALLFAAAAPALVLAAPEHAPRITSLKFSGSGCPNDSGSVKA VYGELGDAPAFTFSQLRGDDTGSCELHIQSTGGSQGWQVAVKEVAYQGNVQLSSGSQL DTITQAYWSEHAADTSVLRGTIACAGPDLKDYVTVKSSTTDLKWSKCMGADGNPGILN VNARPVVQGNSGTYDIKQATWGLQWRKC CC84DRAFT_1169476 MRPTSTVIQGFVLGLWVARASADCYAHDGVLAKNSAAYTKPFEM VSCGNGTNNCCFQGDKCGSNLLCYDSNGNTVRQYCADLNWNGCSSMCADYAPSTGTLL VDCGKNMYCCGAVDESCCEDDSQHFFVDPLNGDVKHPSKATGASATASPTWWTVDSKA LLAATSTSSASSSSSATSTAESTSESSSASTTASQTSTPTPTNTAPPQGEGSKGISAG AGAGIGIGAAAAVALLAGLAWFLLKRRKGKNAYEAPIEQGHANNPYGAAGTAYGGAAY PGEAKHNDYYAHDGGHGQGAQGGVMPQELDGRMGMAEVHGESRRV CC84DRAFT_1050374 AASGITYSSVTMPNGVSYRIALPIDSPTADGIVQIVAPSKYGWC GFAWGGRMTSNPLTVAWPSKAATGEPVTVSNRYSSVPAAYTGAIHTYLPISPSTTNST HWTVTARCQGCTRWGTTNIEASTSVTFAYACSTVAPSTPASNTSSFSVHES CC84DRAFT_393754 MSNPTEAVKPLSEFHAIGEHVYLVTPKAYTSKEPLILFFSWMGA APKHIAKYTVAYRKLFPTARLVLVRNELADFFRNEATYSRLLTPAIDVVKQHVDAGGQ LLVHSFSNGGGNQLVEFAKAWTKREGSPLPMRAQMIDSAPGNGDWRRSHKAMSTSLPR FWLWRLLGSATIHLFLAMLFVIAKLGRREPIMNIMRRQLNDPALFNRQAPRVYLYSKA DEMVGHDEVEEHADQAEAQGWKVTRIRFEKSPHAGHIRENEGRYWGAVQRAWEQGARV E CC84DRAFT_1181478 MPLPPMVSACVMSLTIGCASNIIAQRLKAYIEDAPFVFDYTLFW QLTAMGLITTPINYHWQKWLEFMFPAEKLVKRSRAPTSDDAEKGAFNRDDDENKRAID EEVYVRSWANVVKKTIADSMTGGALLNTTMFLVLLGLMQGKTAAQIANDIREEELSII WNSYKIWPLANMFSFAYVPVERRIVFLSFFGLLWSIYMTLVASRLSA CC84DRAFT_1232783 MVVLKNALAVAACAAQALAVDIVVKSSGGNETGKFGHPFGYGFL HEIVKTCQKDINNSGDGGIYAELIQNRAFQFSNKYSVSTAHYFPINGASLSIQNLSAP LSKALPASMRVAGGSGYSTGKIGFENEGYWGIGVSQQKYTGSFWVKGAYEGSFTASLQ SNLTDDVFASVEVESKAVDGEWVEHEFELVPEKDAPNSNNTFAVTFDAAGTKNGYLDF NLISLFPPTYKNRKNGLRVDLAEALAGMNPTFLRFPGGNMLEGLTNDTHWDWKDTLGP LKDRPGFQGVWGYQQTHGLGIMEYLEWAEDMDLQIVLAVWGGLALNGDVTPKDALQPF IEDALNEIEFVTGPADSTWGAKRAALGHPEPFELNYVEVGNEDWLAGYPGGWESYREY RFQMFHDAIKEAYPDIQVIASAATSDPGKPNEALDFSKTPDAIGDYHPYRRPDELVEE FDRFDNDIGHIVGEVAATHVNGGQDPRWDGPLYIYPWWQGAVGEAISLLGYERNSDRI PGTFYAPVMKNENRFQWPITLIQYAADPKMTTKAVTWYCWSLFAHSPISHTLPTTSNS TYGPLYWMAGRDDARNGALVWKGAVYNTTNSSTVPVSVHFQGVSPGTKASLTVLTSNA RNTTLGDYSYNDPYTGVNIVNTDAKEVSAGASGAFEFVLPELSVAVLDTGKAGNSTGY KRKL CC84DRAFT_1169478 MPIPWPDNPLKEKVPILIYGGSSATGALAIQFAKLSGFEVLTTA GKSNFDYVKSLGADAVFDSRSPTVGEDIRAYTNDRLYYAWDTIGEHGSPEASAKALAS KAPEGQQLYYGTILLKDIAHFIERNGKFVERPDDVVFSMSIGYTAAGEAFHIRGAEFK ARPEDFAFAKKWMPFAGDLLAQQKIKPHRAEVREGGFEAITSGLKDLKDGKISGVKVV YRVAEL CC84DRAFT_1191277 MAKEDRGVVAITGANGSIGYACTVYAVCLGYRVRCVVRSKEAIE TLRHGPSLQRFASQIDYHIVPDNTVPDSYDSALADVQYVIHIAGVWPTPDKHPDNDIY IPFVKSMQNILSAAEKVGTVKRVVFTQAGAAMVHPDDGDTLGTAMDMVLNEYTPVHPG LLSLKPPLASPHHAYCAAKAQCMTTLKNLRASGNLPFSIVQIVPGAVMGPSELVSTKM DGRGYMDRMSRALLFNEPKPRYSFGFVHVGDVARVHVEALDDVRIPYDEVPDWFIAAG ASDPSKDGEETWKEAGDFIENNLQHEVASGMFSVGRDKVPTNMPYYVDSALTETKLLR HERFKSLKECIKEVAEWYTTLR CC84DRAFT_1131191 MASNGTTYYEPSKDTYGTRAIHQPLDIVIVGGSLGGLCTALALK SLPQRHRITILERNPTPLLHNQGAGIVAGGDTLKFFKRYDRCNRDFAVSSQRRQYLNK DGEIVHKEDMVQNMTSWDLVYYMLRANVDYVESAYCKVPSRQPDEAEVQHLHDHKVTA LEEYHDKLVVKYTTKDDKEGEMEADLVIGADGPSSSIRSLLQSDVERTYAGYVALRGT VREDEVSPKTLEAFKDRFTFFHAQGIQILAYLIPGENGTLEPGQRLINFVYYTNFPSK SLDQPSGELAELMTDVEGVRHRITMPPGKTDPKAWEKQRVIASERLPPQFAEIVRATK KPFVQAVTDVISPTNEFFNGRVILLGDALAGFRPHTVASTSQAAFDAMILADYLRGNI DRKEWRKQTMGYARTIQKRGVDMGERSQHQELPLEEHIHDRNLASRPREQEVWPDWAI GDVW CC84DRAFT_1223037 MADGETSNPLLGLDLDFGLSATCPPTPIFEFTDDQQATEATTSL ETTLGIGKIEKETSMDYYTFRDNAKADGKIATDGCASHGLSDLSLLTTYSRVDQTIWI SIDEESFFHQDDQISLSKVTSQFVASDKKVPDFAYQLPTPPATTSGRETLLDAREVVD LVMEPSASSATTGHASAQGEFIVSDFSICLENNVQHYSDDSVGLDRYYDEWFSN CC84DRAFT_1181484 MLPFLSTAIWLALTVVAEIAPAPYLRTPSSRQLAWHQLEYYAFI HFGPNTFTNEEWGRSQSTPDVFNPTALDTDQWAKGLADAGMTGMILTAKHHDGMALWD TNTTTYKIGNGKWAKDRVANGLSADVVRLAAASAQKYGIKFGIYLSPWDIHRDPAMPK PNLTGTIYDEPQIFGDNTTGDYNDLYARQLTEVVKLKYDNGSQVPLFELWLDGASGSD TVQTFDWARFRDIIRENQPDAVMWGHQGVDARWVGNEDGYTVSTNWHTISRTQDQTHY EGEELQTGVRDGLYWTPAEADARIRDGWFWHASEKPKSPDALMKMYMECVGRSVSLLL DVPPDTTGQIAKEDLEMLQQFKKQRDAFLNRPLLTPDLNVSASSVRGGQNNMQFGPAN VLDDNTDTYWAMDDNQTTGFLEIHLDGVYSIDAIILQEHIALGQRVGGYLVDAGVDGA YSRIINGTSLGYKRIWNLTTSVEANDIRLQILQANATPLIQSVQALGSKQK CC84DRAFT_1169480 MARILALSFVTASLAAAAPEPLITARAELHPRADSSILGWISTS GASRLSDVRSCDFPQTLTQSGSYAQCCEKDKECNFYTTCSAGNVIAESTSVFCDEGFC NTGIILETKGATSAQSYLGCWATSLGKDPITLIQSMGATGPAPTGLTSSTDDSSITES GSAASTGTATVSSSSESESESSPTSESSPSSSSPGAAAGVAKPLTGVVGLIAGLVAML CC84DRAFT_1232788 MIFSTLLFAASAAALPKALEPRQGGQNTMLRFGCSQVIIDRIDP LVNPGLAPSPHMHQVVGGNSFNTTMQSTDIAKISTCTTCGYSEDFSNYWTANLYFKAK NGTYKRVPQIPNRDLFNDKYTGKTKGGFVVYYVSPGKGKVTAFKPGFRMLVGDANNRV SKNLKSQTCFRCYTGPNFAGDNAAPCQDAKLDTEGLPKAACPGGIRSNILYPTCWDGK NLDSPDHKSHVAYPKAGPQVFTGSSVGGDCPSTHPVKIPQIMLEIVWDTRQFNNKADW PTDGSQPFVLSTGDTTGFGQHGDYVFGWKDDSLQKAMDDAKGCMGANCGSLKTQQPDD GNACKVPRRVNEDADGWLTELPGMEMPMAM CC84DRAFT_1157302 MEHEHAEKCSLILQEGKCNCYRSEEEELFESKQAPFQPATKDGP ADVKAHPDEAGWAAIREHVARKLRGTRMNDQNLSADLNYSPIDLDEIRVLELQRGDHG DPLNGSLHVANIDFAYPEVKDYKRKTNHAISLAEGGPVWYTALSYTWGDPIFDAQFHF ANGTSIQITSSLASALTHLRSQTDSIFLWIDQICINQEDVQEKQKQIPLMGLIYSHAT STVIWLGDERGDDPQLAFDTLQTVHERLIWFDGEIRSEDFERLRFPAPAASEWVEVSR MFSRPWFERLWVVQEAVLSKNLYVKCGKAVVAWEDFALWSSTAESCGIGAFLADGAPA TKNQSGLSTMNELSTLRMYLQTQETPSSLLEALVTTRYANASDVSVRDVFLEASIAEF PMEIFRLLSCVDHDRPVSPSWVPDWTLPRATESLGYLTRSWSLYSACGPMFDSATGKA YDTEYALEDSNTVLVIPGIVVDTIAAVGEPLDEEPFMFISDDNIRANPTWLSYLMMAK AVTSYSTGETVWDAFWKTLAAGKDGSSHGTTPQEYSEVLSLIVDKCMDEEQHIPGQPY TARRQKGFFTLKSLTSRKPKQTLDDMRKAFRSAMHCRAFAITRKGHFCLVPRRTKVND AIVVLQGGHVPFVVRGQKMVVTKGFELIGETYVHGIMKGEAFDRNSPRLEKIRLI CC84DRAFT_1223040 MAIFSRTKRASKAVEEPKIAPLIEPARLPPPPPAISAPIPPIDP TKPAYTESPPLMTTEEVRERIAANRKRQSDIIRRSAALSYTQTHTHSRTVSEYSLPGS GWQTHRIREPTSIEAILQDMPIYNAKLVPPPLVVRGAELSRRHSEEFHAYSNPRAVPL PPPIKTQLLPPPRSRSRRLTKTKPSSPLSEVPIPPEEEYFSSSSRDSSRNSASTANSD ASSATSASSVFNEQTEAKQTLARIPNFSKPTKTSKKEKPALEISLPYSCNVDNPVEEV KTAKSIIPTWRYEDLNTDTQLPTPVTTISAAHMRNQSWGHSRKTSFGTMFRRKNASTS AF CC84DRAFT_1232791 MDPKQRNAHALRNRVNDLMNELLNQYRAILAVAREDENPDYSQS AQKELAIKEGANMSMFIRDLQELWLFGGLETLADEKDAEVERQKALEVAGLVEGLVGK LPQAWKVPDGEQNGAQNGMA CC84DRAFT_1131199 MQAIQIPADYGFVLLSVVSTFLTGTYLGSRVGSFRKAAKVPYPF EYASWEQVQSAPAERKAALLAFNAAQRGHQNFGEVHLTTVGCLLICGLSQPKLTAALG GVWSVGRILYSIGYTNWNQNGKGRYAGAPGLLVQYGIQIWAGVVAWGLAMK CC84DRAFT_1104265 MTKGGCLCGKIVYEILDEPVKTVLCHCINCKRMTGSSYSTNISV RSSSLRFVGSPRKFLLKSGQGPVFTVSFCDTCSSTLWKESESEGYKGYTIVQAGTLAQ GLDRYPPEGEIFVRERVMWMGQMENVEQFEGTDVRL CC84DRAFT_393867 MAFKFGLKNKLASGPTKPLLGKKKPLFDEEEDEPVPKPKAAVAE EEIAEFNFEDTLAAASKAEKPAQKPKPKPKTLEPPKRKALAKEDDPTRVANLASAKES ERRAKEAQELDASIYDYDAAYEAIHARQLAKKAAEQEAAADQTPKYMEALFESAEQRK KDQLRARDKILQREREAEGDEFADKEKFVTGAYKLQQEEARKAEEEERKKQEEEEEQK RKYGMQNFHKLRLMEEEKRHQEAMEAAAELAKSGVRLEEQPKEKTDAEIAAEARAQGK DITLNEEGQVTDKRQLLQAGLNIIAKPKKPMTAVSTALKPSAPNNGFQGRNAARNDIR ARHTQMVAQQIEQAAKRKADEDAEEARKLLHSSKSQKTATDISSAKERYLQRKKEAAA AKAAAEGK CC84DRAFT_393881 MEEPASDRAGEKQVYGSAKASRFQFKTSSGRRSKRKHRDVDDQE EPRKRSSSTRCRSDEESDSRRRHRQSKRRHKHRDHGRTFTRTGDYEDPDHRHRESLYD PLNNGSPDVDSDAIFRESIFDAMADDEGADYWEGVYGQPIHIYPNTKPGPDGRLERMT DEEYADFVRTKMWEKSHQHILEERAAREKARQSRKERNRDLEEETAKEEAERENIRRQ MEDSLKRGAERKRAKEAGAAWATYTTKWDHLRSLQNPGLHDPPKIIPWPVASGRASLV EAKSIEHFLKSSPNWRDDALALLKMERVRWHPDKMQQRFGQHLDAETLKLVTAVFQVI DRLWNERNLRR CC84DRAFT_1044513 MVAFSSIFLALGTAASVLTSPVNVTSPFNLLERAVATSPGTGTH DGYFYSFWTDGKGSVNYNNEAGGKYSVSWNNVNNFVAGKGWKPGAARTVTYSGTWNAA NVNSYISLYGWTRNPLVEYYIVETYGSYNPASAAQKKGTITTDGGTYDILQTTRYNQP SIEGTSTFPQFWSVRQQKRVGGSINVGAHFNAWTQYGLKLGSHDYQILATEGYQSSGS ASITVSG CC84DRAFT_1264334 MHLRISEPSSMAADDVRAMRFTITANSGDERWSDVLNYLRATQD IAQVSLPPDFNFGIPSLPYISGRELVGTVVQAPTASNTRIRNGDVVIVPSTDYRDLRK AAFQEYSIASSFNAIRLPHSIPQAQGAILGVSFVSAILALGINMGVDFSSIENGPDLL AIVRSIDPSRLPADIRNECLDGITTEERAKQGDFLVVWGGSSTCAHTLKQLARLAGLR IISVVDTAKHGLRLSSAEPVRPDLLVDAHDPARAVAIIRAATKNTARFGFDTQGKDTA AHLLRALASKTLDALPEDESTFASTKPTAERLPTPPSTPHEISTSPRSHLVGLTGVPK TNIPEDVALHNVPIKLFHEIPEVGEALCAWCERLLAKGLLLPPEVVGTVEGLEGINGG LERMRRREVSGGRLVAVLR CC84DRAFT_1232797 MAPRKQLVLNAFVEMCDGHQSPGLWRHPDDHSHEFGNVQHWVEL AKLLEEAKFHGIFIADVLGGYDVYNGNLEAAIVSGAQWPVNEPLAVVSAMAAATKSIG FGVTCSTTYEQPYHLARRLSTVDHLSNGSRLGWNIVTSYLDSAARNMGYQEQLAHDDR YAQAEEYVKVMYKLFESSWRDDAVVLDRSKGVYTEPDRVREINHQGKYFTVPGPHICQ PSPQRTPLILQAGASKAGKRFAAQNAEAIFVSAHAPEVCAKNIAEIRELAKTEFGRDG SNIKVLALVTPILGRTEQEAQAKLAEYRKYASLEGALALFCGWTGIDLGKYGDDEELR QVESNAVRSTVEGYARFSPGTSKWTKHTVAEHVSIGGNGPIFVGTPAQVADSFQTWQD EAGVDGFNIAYALFPQSFKDVIELLLPELRARGLFWDDYTVPGGTYRENFYQKEGQSG PLDEHVASTYRWKAGVDAKDHIIPS CC84DRAFT_393905 MRSIAFVLLLSTRTIAAHVVRSGKWCFGGCETVVNYATFNDTSP GSKKARSCEGILRATSLYLCIDEYCAESGRKAWLQDANETCIERVNATLPPYEIIDRF GPQERSGFKRLSADEAFTWPTLDEIVIPDEEFFERAFTTLDAAFFEYGIHRLYGYVLF YFWAVVVSFGVGSRLLSLIQGLRRQDWQRVSTSPDGFNRYRTKSEMSSWLQTLLKRYI TVPAAFNNLCSQPFGWCTVPTRIQSLTILAFVVLNIVLCSASYRLTDGNLYWPTQREQ LLRFVSDRTGIMSLVNFPLIWLFGMRNNTLMWMTGWGFGTYNNFHRWVARVSTVQAVI HSIGYTIMICERDGMASLVRYLHKHYFWNGELATVLMCALLAFSVYGLRRSHYEIFLT LHIICSIFVLVTMYYHVLIFGAWEWNGFVYPCVAVWVFDRLLRGGRILLFNYRFWNIK ATATYDSDSHIVSLRVPCNRSWLKPQPGTYYYISVVDDLLYAHQNHPFTLAYVSTDVE RPDLQLPLSPISERPSAHRANSNDSSESDALLQPTASPPKSPSLVFLIRPYDGFTGRL AKRAASRLTSVRVLIEGPYGHTVPLRTFTSILFMVGGTGIAVPLSHISNLLSEASSVV SLRIVWAVREHAFLASVLRDFRALLEDERVMLEVHVTQDVKNTDVVPADGMKGVKLMP GRPAVHNVVEDMAMESGYNRFAVVACGPAKMADETRKACVAMLGKGFRGVEYFEESFK W CC84DRAFT_1210248 MVTYFNDAQPMGLSPALHRYACIRCRQRKVKCDKTLTGCANCKR YEVECIFSARRPRKKQNPHSGTALSKPGRPAPQDFSAEKNESFRAEDFGGDDSEEEEE EDEDYEDDHRVIACWSVQNQPCHWSGNAIETNQGRLITDAHGKSYFINSDKASQASYF ETALGGDTDDKLPASAEQCSVSTNRCEHLDANSLLTTARGHGSLRTFYPHSNLIPKFW DHYALHVDTMFKVLFKPNVARLIHDASSGFPVDPSGESLLFAVIYAVIASSPPEECRA LYGAERKQLLRKYRIALERALVQAGWMATQEIVVLQSLAIYLAFASDRSRSTWVLSGM IISLAQAMGLHTDVASSSLTAAGIEVRRRVWWSLCQIDVRVSNNCGLEPHVPLVVDCQ LPLHINDADLESERDIDNITPRDEKTEMTLTLIRVEMVYTTLRFTRARYKLSPTDRET LIKDQMQRYSEVYMRYFTDCSEFSRLCAVGLRYLMARLWKHMQNVDEPVDTGDFNEHL LLYNADVLEIAQQMPERQRQYGWFFRCKCSQWHALVYLLTHLCKHNRGAAIDRAWESV NAFFTSLEQKYDLAASRFFEGTMAGKKNALWQPLLRLLDKARDLRAQSCNEGSSMPHA PGTTPDENKSAQINERSTGAPLEQEGILANPFLGQSFDFGREMNWEQVDLWAHDFQAV LTQGDGTSDGTENLRDDNLREFDWW CC84DRAFT_1131216 MSGEEASFVPFKDQPDLHTPAPYRAAQLTYPANLRQALKDAQAD KSKTLLGVAQGIPNVFVTKILASTKPDFIWIDVQHGIFDRLTLFDAVHAAQAHSEGKA AVVVRVPKHDEVSLITALDAGASGIVIPDVESAQDVKDFIQKIYYAPIGKRSFSPWIF TPGVSDASLYPNDQFNIATSNRHVCVIPQVETVRGIENVDEIAAVPGIHGLMFGPGDY MISAGLPLKLGGEPHPTFVAAMTKLVTAAKTNGLALFGAAQSPDMVPVLIQQGYAGIA VAFDYWGLASMVKDALNKGGEFVKQAAGTETP CC84DRAFT_393978 MGLRKWLGRPMRGHHLGVEDLTRGDEGVPATKRRRWALQTIDSA PFQAWVVVVAGIGFLTDSFALFCLNVVTPMIGYVYWSHNKDSDGTPQLPASVKTALMC STLAGTMFGQIAFGVAADQLGRRKMYGLELVIVIAGTFLMIMSSNGEKNSMSVGGWLI AWRTIMGLGIGADYPLSAVITAEFAPRKHRARMLSWVFFAQPIGQLLANVLSLAAVEG FKSQITTLNPACADGDEGLRCFKAIDRLWRIVVGIGMIPAIIALAFRFTIPESPRYKL DILRNVHTVFEDTKDYFGGPEVDAEGGELETMPTSPNIDDQDLSRVSTSSEIALDEVV GSDSESERRPSSVHQRPTSFHHIQLPPGDPSYVPPLASWADAKDFFIVQGNWQYLLGT SLSWLFLDFAFYGLGLSSPDIVRHIWQNPGSDKSASSVFDALRDNSLHTLIMVSIGAV IGGAAMIKVIRYASPKVIQFWGFLVLFLLFIVTGSAWTKLLDSSRSGLIVLYVLSQIA FNLGPNVTTFIIPAEIFPTRYRCTAHGIAAASGKLGSWLVQIFLAYAFKSNSQEERYD WERQNFGHVLQVMSAFMVAGAIVTYFLVPETRDHDGKSRTLEVLAGGKKVLDELNKQR AQEED CC84DRAFT_1210251 MSFGFSPSDVVKLVQVSTRVYLAFKDANDNSETQVSGLVREFSS FHQCLLELSELMNEYGKPLPFPYLDFQETLQRCEETIEPYANHLVDRKMSMKKFVYTI RYIGKEKEIANLRTVIMGHYQALQMCTSFLQLRLHLEATKQTQRLLDLAPFRSVSFGG HAYTTNTIGSSSRTAPNALPAPSEADQLYKDWLIFSRWLKNEDERLAIENGSSARPSS WGATPAATQNGDEQTAAVLYHLRRELEDAIMIEENRAKRLAIERRTNLAPSDAIRQEM RNLPHIPQRTGTIQTLNSDSMASLAGLDARNSMGDSMHTLRPSLTTPSPCASPTGSPQ VPQSYFDTVDWGSVSEAPSSPGARTSSMSSFSQSPESRRSAPGLGISTAGTTPEDAAR PLRRKLSAASLLSIALGPGALQWNKLCRKAMVERVTAQGSESRECDLHWRYREDAGIS IRSVYRSGTSKEVKVWITQHFPATGPSIPLTTSFPDGDVAIDFPRHSHGRLEKRCIDV KYLMSEAASSDKLQTLLYTNNGKEGAELLYDRPVLSISSNLNKPECRGKNLRLWRKTE VRVGPNGLESADVLILLFYTSALPGEKAHWVEEPHYVFQWLDESAYSKSSDKLQLVFS KEPGKWTRDKVFQRRKSSKSSEQEGDSGRPGLSRAGTRSSIVSSSAVSVTSVRSSIFS SGQRKGAAANLNRFGYSELEVKFQSKADRGAFLEIWKKYVKGLV CC84DRAFT_1210252 MGLDTSDLVVPFVGALQASVSVLITIFFGVLTAQFNLLSVGAAK EVSRACVRMFLPALLIYKLGSNLNKDTGILYLPILIWSISYTLISLGIGVIACRVFKL PNWVKLAVAFNNTTSLPLLLIQSLSTTGVLDAIIPPGDDASKALDRAESYFLINAMVS NSLTFALGPRLLRPSDEDAPDEDDKEDEQSDGEEESMERGPDGIVDEETSLLPQRAVR PINRLERRGYKRTTKWIQSLPPWAQQLLDFLYQFANAPLLGTIVGAIIGLTPPLHRLF FNDSNNGGYLNAWLTTAFKNVGELFATTQIIVVGVKLSQSLRKMKAGKDSGTISKKSM AFVFSMRFFFWPAVTIPLIYALATRTTVLSTDPMLWFAMMLMSSGPSAMILVALTDVT GSPEEEKMVIAKFLTISYAITPLICFAVVGSLKATEAAVAR CC84DRAFT_394008 MGTIKDTVRKLEREMTGLRLKIHEKEIALKVTWDSRHRKSVELY SKAHLQDWSAALYAKLPRELRDMIYTLSLNNDWDDQMLVDSLTVLYGFGRPQVWNHDQ LREYVLLDRSFVKREVAIEVAEMIYRRAEVPSFGMNRREGKPRVLHLKEFLKRDFFGL GVLPAAHIRRLELKLLKNKLSTRNQDALRENLKALTLCAHNRHLRIDVTFPRGNSAID VLFFLNILSSTYKALASPNITFDITYKRRVHEADPPDADSDETRELNLTHMLELPQGM WKDHMMALCECVGKLSAKEQRWRGYRALRREKDVWADMETEGDTLAIRDPHGRDVWGQ CGEIGCTPFWGESWDQEHWLGDRCEEVGEDGIEDYSREGA CC84DRAFT_1169492 MASSSTETTPLISSIAGSAGPRPVAPKPQRTVTFNPTVQSSSPK QQPRAAPPSSPQPASNALAQGGKPMMASLNNRLRRRNSSGAAMLPHQNPAPKIGPQRT TRTAQKLKLLPDPEHAEEDADEESGRDVYVQYTRIKDPTARRDAARLGKADRERLPRV TAYCTAASYKMDELMRFLKGKARLRGAQPKRFDECIYSPYNYGTKGPEKIASTSAEEQ PPERQRRYSDSAIEVDAENERRREVLIDLQDNNDAPSIGHGEGSEQQERPAPMHADSD PSMDTQDFDTLVHTPEVFLFEYGTVVTWGMTTQEEKRFLKEIAKFEVDKLGKDEIETE EFNFYYTREYQARIYNDFISLRDKKNYMIKLAISHGLSQSVKTSLFEDLVDNTIDDTK DIPAQIASSGKINLNKKQINMQIGQLFILRISIHLQGSVLDAPELMWAEPQLDPVYQA VRSYLEMDQRVSLLTERLNVIGDLLAVLKDQLTVTHGELLEWIVIILIFAEVLVAGIN IIVDLNAAGE CC84DRAFT_1104380 MASENNRRNDSGDHDRKRKRDDRDGGRGRGRGRGGRSLQHGSHQ HKKRNMGRKEHHRDQLDRRERNAEEQEKKKRKAESGENEAPALPSAFPADEVDAEERR PKRKVAVMIGYSGTGYKGMQIDNKQKTIEGDLFNAFVKAGAISKANADDPKKSSLVRC ARTDKGVHAAGNVISLKLIIEDDDIVEKINDNLSEQIRVWGIQRTTGSFSCYQACDSR WYEYLIPTHTFLPPHPSSHLGKKLEEIAAKEGDLEEYRKRQAEVAHFWPEVEEKHIKP ILETLDDSIRPLVEEALYSVDAVDAPRDDDPAIEAAIETEKATEPTTDAEMTGTTDKQ AGQAENTASNEPTSQEVKDTLDTIQKQQPDLNLNSETDGAIPLPETDVNKQALEAAVK VLKKAYLDAKKAYRISPERQKRVQDALNQYVGTHKFHNYTVQKKFNDRSAQRYIKSFK VEDKPIIINDTEWLSLKVHGQSFMMHQIRKMVGMAALTVRCGTHPKIFQLSFENNVVR IPKAPGLGLLLERPVFDSYNEKQAKSAGRENIDFKRYEKQIEDFKEREIYQRIFREEA HGNQFNSFFTHLDNYRDPIFLYLTSGGLAATKKLNNKSTVQDKFEESDDENASGGEG CC84DRAFT_1200050 MDPLELGKLFVKTAVRMFYDTEHIVIVDALIFHGALNTPDLVIV LDMGKSTKQVNKYIGKLNQAGLISIFSRQETREGALKAVTRDYYYIDYRRAIDSVKLK IHKIDARIKKDAKPTTEKFEYVCRNIGCESQYTQIEVLDSIDPMGRESGFLCKKCLRP LIYLGDDEGPDLDSDDTPAKFNKQFKPILDLMQRVDGVTIPHIEGKDAVEGAVELPRD KEINPGAKHEVVQENSVRPAAVKGTNTVVEKIDVTIKSSSDYNEDAHAQEKARQERIA AQNQLPSWHVKSTVDKSQASSAATPITATNGTGTPSIKAEMVNIKPDPAGNLDDVFAQ LAAERAKQEAEDDDDEEDDDDDDEFEDAMVDTAPDSKRVKLESSAAPTPTSAATPAIS TGDGGDESDEDEFEDVN CC84DRAFT_1191296 MAAATKSLSALLAQTTIDDHEETLQAANAAIKKSKTDTQAHHVR AIALLHLDRFEDALKVFEEVKELQGKARFEYAYTLYKTGNAARAVEVAGASNDDTGRG MKHVLAQAAYRSENFAQASRVYRELASRGAEDEEYDIRINSGATDAQLEWSGQGELAQ KKKPAREDLDVFETAYNAACASIARGEFAQGDICLKRAIDRCNEVEDMSDEEKKAEVL PMKVQQVYILTQMGKFDEAEQVAKTIPFTEIKELSTRHIAQVNTIAASKESSNPYLSH RLFHSAPAPPKTDQYFSFQTNLLRQDEYVISLLSKKASGVASSTEKVISAAATPSLSP AVNMAAVLNAAAHSRTAETERAALKQILPLLEKRPTDVGLILTITHLYVLTGNHAAAT HLLESFFARLSQSGSASDLDVRFAPGLVATLVSLYAQQGRPGAARTELSKAAEYWRKP HKSKTEAPSKALLVAAATALLDTHNQDNAKTAGEIFNGLYKQDNEDRAAIAGLVAAYS VTDSSNIPEDLRSILPEASRLVSDIDAAALEGAGVPALALPSQPSESRKRAAPKSVPS KAKRIRKARMPQDFDPNKKIDAERWLPMRDRSYYRPKGRKGKKKAEGFTQGGVVEEKA AVQQQSGGAAKGGKKKGKGRK CC84DRAFT_394070 MERLVISETTLLRSLGIRTSGSNAEELLTRIPRIMNLPEHCISQ TIRVARLAQIEGLTYHTYYPLLTNWICTLRYVC CC84DRAFT_394084 MTSNVDLPSRWVTALRTQAKTADAPSADALSCPYCEHSGRIFQT VDQLYSHAKVEHASLLDTMDPETARAQVQDAALRMKGLTDQSSDATAGGGSTPDITAL SLEARGRLSPSGRKRPADSDLHVRRGKAPVHPEIYDEEEYARELRRPVPERTRPKPSN PRLYDPKQHPKSAKSPYRTPEVASEWSVEANRSQTQSRTPQSTTRSPTTQHRPTISST PHRSQPGLIELQRYDSRYPGLLLQPDSRPISQEQLASEVKSIYAGLTMVETKCIHVDR AQAAALQDGSDPTSRLASDHWQALIALHRTLLHEHHDFFLASQHPSASPALRRLAAKY SMPARMWKHGIHSFLELLRRRLPESIDYMLAFIYLAYQMMALLYETVPAFEDTWIECL GDLGRYRMAIEDENPRDRETWAGVARSWYSKAADKNPSVGRLYHHLAILARPNALRQL YYYARSLTCVKPFPSARESILTLLDPMLSRPAESYSHDLPIDTSFIQAHGILFGKHSA ERFEDARFAFQSQLDNHIGRVTAKWKEQGVYIAVTNLAGLFNYGSDDSILRQILILHD RQLTRSANSSSHSDEGSRSPSPVEDDKSSQTLSESEVPAQIEILSSDFIFSRAYLLTM TTLTLVLRRIGDKNVLPHVHVLLAFISTFASIKYVAHFNDHAPWTELVSFLNTLLKSE QRPERIFPGPVFPADRADSLPLPEDYLIRGQIWSQWYFPEEWFKREHDEEDRSLELAS TVKLRTERVLHLGFELATFDRWISYDEQTHTFSVTNLSDSC CC84DRAFT_394076 MSSSNDAAFLEEEEIAREFRARLRARQEAARANKAQRVREHPNK VDRRSRTVDGGEDTPLLGRTGSTGSADSQSDGSEPEWFGYAELRGLPWWKRPSIYWLL PPFLLFTMAFGAIIVPKLNLIMDLVCDQYYASSPDPISGPMDPGQRFDRCQTDAVSSR SSLFLLYGNLCSGILAAITSPKLGALSDRYGRKRLLVCTTFGALMGEVLTILAAKYPD TVHVNWILVGYALDGLAGSFIVGMALAHSYAADCTSPQRRNVAFGYFHACLFTGIAVG PVLSGYIIDWRQKYVGKTEAVLLIFYIALACHGFFILFLTFAVPESLSKERQETARRR HRDEKERHGGLAPDWIDQLRSFNLLEPLKILWPTGPGTSPALRRNLILLAATDTIMFG IAMGAMGVVVVYTRRQFGWQELESGKFVSIVNSARVFALLVALPVVTRLIRGKNGTRN QRNSGSDVFDLSIIRLAVFFDMLGYLGYTLSRDGNVFILSGAVAALGGIGSPAIGSAL TKHVPPDRVGQLLGATGLLHAFARVLGPTIFNGIYSATVGSFRQTVFVCLTATFGSAF VLSWFVRPYVHLELEADGSPSRHGGTMNGHEDETSA CC84DRAFT_1210261 MGLDEQPYGYGFPSKLPAHAVQMPPTWMMIVALVGLGGALLFWC YMALVLFAPVPREPRRSEKQYITVLPNGDRSDPKELPCWIDKWTAEKEVSKRRTGGKR RETLAKIAIEQPELFMSVVVPAYNEEERLTGMLEEAVDYLQQEYAGKGWEIILVSDGS TDKTVDKALQFAREHQLSNHRKSVSGPWKDHLKHEQRIPEGSIRIVELEANRGKGGAV THGMRHVRGQYVVFADADGASRFSDLGKLVEGCQKVEDDRGRGVAIGSRAHLVGSEAV VKRSKLRNFLMHSFHLLLRLMTPPATAAIADTQCGFKLFSRPALPYIVPYMHSEGWIF DVEMLMLAESGNIPMVEVAIGWKEVLGSKLNVIWDSLGMAMGLAVLRAAWLTGVYKRD CC84DRAFT_1157359 MTQLPSFDDLPPVEGMPQGCAWGIFDKDGKKDLCGTLNILTPEV VAEACKEARDGISISLNWPLNANKIPMGRGAPVHKHVNFQESGLSKGSGWDDQLTFNT QVSSQWDSLVHWQHQETKLSYNGIKATQEGLAATTTDENKMPTLDHWHTSGGLVARGI LIDIKAWYEAKAARDGKAGDEAVFHPFEEHRITVSDIETCAKDQNVTFRAGDVLIIRT GMTEILSSPQPADLAKMQNPQLAGVHGCIESAKWIWNQHFSAVAGDSIAFEALMPIKE DGSVGTPEDLVLHPWLLSMFGMSIGELWDLKALSEHCKKTGRYTFLLTSAPLNIPGLV GSPPNALAIF CC84DRAFT_1104607 MDFIDFFDRNKILLAIYPLHSTHTLQPLDVCIFKPLSTTYSNEL SAFINNSQGLVSIAKRDFFSLFWKAWINIMRQPLILWAFEATGICPLDTTTILSRFDQ PQPTEQDSRENSTSVLSASDWRKIDRLLRANVDISRGNEAKKLSRTIHHISVQNQLLE HENKGLREALVIQKKRLKGGRPLPLDQLDEYYGGAIFWSPYR CC84DRAFT_1104360 MRARKKNSDRQVAKDYGVVVSTLTRRHQGITQAGAAANSTRRSL SQQQEVELLQYIKQLTKRGLPPTRQMLQSFASNIAKKQVSMSWVDRFRRRNKESLISQ WTAGIDNNRHEADSLAKYKL CC84DRAFT_1232840 MAAAAAMSQLPPEQLKFMQEAAKRQRPEGTKQFQELHYSDSSRL RNLVDDIFADHAALDKRALPIEAGGNTKFLIMGAGMGGILNAIKLVQAGFKQDDIVMV EMGGGVGGTWYWNRYPGLHCDVESYVYLPMLEETGYVPSHKYTSAVEIRNYLVKLVEK FGLGDRIMYRTEVTSLQWDGGKKIWRVDMTTGRGNGGTEKATLSVNADFSILAGGIFP HPHVPKIPGLAGFEGDMFHTSRWDYAISGGSSEDTFSELKGLEGKRVGYVGTGATAIQ AVPEVAKFAKELLLFQRTPSQVNYRGQKPTDPKEWSERIAAGLGWQKARMENLAQHLS RNLPEGTVNMVDDEWSRLQAYCAVLGSERFTGLTPDKIPGYLSELQAWDANHNTKARE RISNIVTDKSTAERLTPWYPTWCKRPTFSDTYLQTFNKPNVHLVDTDGKGIDAVTSHA IIANGQEYPIDILILSTGYRSPAYGGGDPAARNGIDIIGRNGLKMSEKWTSRGATTLH GVFSHDFPNLFFFAIAQASATANVNHTLEVQTSHIISIISHFSPPSDPRERKVAIEPS AEAEEMWAMRCLSGAAFFSSVSVCTPGYITMEGDAMNHKSQEEMIKAGRGSPWSAGIV PFERMLEGWRADGFDGVITDDA CC84DRAFT_1104804 MGWTTRGDAAYIAPRDFLPDKLADDQTWKRFICKGEALVEVMKA SEDEAAKVMNLPHAQSEWSGDLKGEYLTGSLVELHKTDSDAAELKTWAYTEAYASYLN CDLKGYWAVESACNALGLDTRAKAMGGANICYNFNHWDANKKDENGNIVPKARQTYQV NNKEYRATGAEMQIAINPAGGVVFMQYVTSPATAAQNVWNKKPSIDELPALRHLSDIM WGAWNRDNEDISKIKYFWASVGNTRTKTLIARALKEAGKVLEKWPGTTLDMEEDGTLA ILGSENGACWGWFLAQHKKELGSKVIETVVIFKNDRDKDPDPDLIFYVGDAPAQ CC84DRAFT_1232841 MADPENSSSDLATGVEKRDHPTKWYRSTFYNMTILGLCNFSAPG IWGAMNSLGAGGAQSPGLVNAANALTFCLMVLSCYFSSVIVKYLGIKGALIFGTLGYA PYAAGLYTNNRFGNTWFVLLGAALCGISAGVFWMAEAAIAIAYPEPWNKGKALGYWLT YRLSGQILGGAINLGINADRNEAGKVSYTVYLVFIALQAAGPFVALLLNRPSKVQRKD GKKVELAILKDPWFEIKETTREFFTKNFLLIVLWIGQAVFSEAVFFTYLALWFSVRAR ALGSFVSGLVAVTCGNLLGWYLDNSRLSLRTRARTAFTVIVVFQGACWIWITVLVTRF RHTHPTYDWGSPGFGNAFAVFVLLTAAFQINYLFLYFFVTNLAKDEPQVIRYAALLRG TESAWQAISYGITSVTVFAEVGAVYWNFALWGVAIYPAWLVLRHFGGPKEKVEEIPGS GYRRKVD CC84DRAFT_1157360 MARDSVIPFSDNTTPGAQASSTRQRVAQVTGHLASPKETEQVNS SPSYPVSRLQPDLQHHIDDVRPLRVAVIGAGLSGINAGILLPAKVPGIDLTIYEKNHD VSGTWLENNYPGVRCDIPAHVYQSTFSPNTQWSEIFAKGSEIRDYWQSRARKHDVYKY LKFRHRVDDASWDDNEGKWSLKVHDLNANVTKLEIFDFVITAIGRFNAWKLPDYPGID SYKGHLRHTSNWDQSFDPQGKTVAVIGNGASGLQVVPNLQRCSKHVTHFARNPTWIAT SWAGDERTFEPQPYTEEQLKLFEDPQKYLEYRRDIEERYWRRFRAMVRGSEENEGIRD VFIDVMKKRAAKKPELLDGLIPDFAPHCRRLTPGPGYLESLTEENADLVKTPIKCFTE TGIETIDGTHRQFDAILCATGANTDLVPPFSIKARGVDLREAWRPDGKFGFPYTYFGY STPGFPNLFFLMGPHATGPSGTVPFAAETALTYFAKALRKVSSQGYKSITPSKEAADD FVDYCDAFFPTTVLTDNCSSWNNGGTPGQRIHGLWPGSAAMATIARREPRWEDWEFER FNKVNRFAYFGNGYTRSELDESADLVSYLKAPGQEPDLRDVHESWWDLPGASSKPSQ CC84DRAFT_1131254 MSTVGHLSPGQWKNPKDRSATKRKLEYWIDLAKLLDRGGINALF LADTYGGYDTYEGSLDNCIRRAAQWPMTDPTIPISAMAAVTKNLAFAITASTSFEPPF LLAKRFSTLDHLTGGRFGWNIVTSWKKAAFKAIGLDNPIEHDERYAQADEYLRVLYKL WEGSWAPDAITENVEADEYIDPAKIRTIKHDGKYFQLESRHIVDPSPQRTPLLFQAGT SSAGSEFAATHAEAIFVSSHSPQILRPKIDKIRQLAQEKGRDPQSIKFFTTFTPIIGR TDEEAQEKYEELKKYVSTIGGLVLVSGWTGIDLSKYPPDHDLTAADATEDNRVRSLLD QFTATSPDVPRWTPRVIAEKASIGGLGPVVVGSPAKVADELERWVREADVDGFNIGYV TTPGSFEDVVELLVPELRRRGTYSEAQRDGLTAREKVYGEGQSGLRSDHVGSRYKYDV YDEAEG CC84DRAFT_1131258 MSWNLLERFLESDHFNHDPSLTVAYLSRYADHVGIHYVLCNKLR HFAYEEIEFFLPQLCHLLISVDNESMALEEFIIDLCEESVNGALLTFWLFQTYLHDLA AAPNSHAFKTCRRIYNKVQRIVFGSAEPQRREKVKENILPVTVLSSLVLASIAAPFLP RHAGPLAIAQARKPRPLDDMISDNVQTAKIGRSNTVAGPPRTRRPRAPGGHSDPEDGR TSKPSTPTLKEEGDPKELRKKAARPHRPERIASAHHRPSLLSVSAEARLSSSSLPDFR NGATSPLPTPPPTAGLGTRPDHHRSTRHFPRPLTPTALSRSQKRRLLRSNYFRLESQF LSALEDISNRLVVVPKQARLSALRAELALMDQDLPAEVDIPVIAPASLRDGIASQSQH HRIVRINPAEATSLNSAERVPYLLMVEVLKEDFNFDPDSEQNQQVLERLLLEKGTSKR RLFDITHAEHLVHDRPAPNGSDSVFEPANGDLSSSSLIDEPETGDATSSPAKVALPSA AITAVNGKATAPRSSSGANTLSSIATLSTPRTSDTEISRSSSPIPRRMTMPVTRATLS TDQPDISALATHMRTAAQMLAQLEQSGSKRPKAEVAAIKAKIIASMQTLEEQNFLTEE TGPTFDNILAEADPTSLSAEPETIEEGPLVATNSGAGAARMENDLKTGGVKRQGDRDD PSAATFGEEWSAKKERIRRSSPYGHMKNWDLVSVIVKTGADLRQEAFACQLIEVCTKI WQELDVPVWTKRMRILVTGESSGLIETITNGVSLHSLKRSLTLASIAAGTNPKKRIAT LKDHFTKTFGDPESEPYKAGIDAFARSLAAYSMISYVLQLKDRHNGNLLIDNMGHIIH IDFGFMLSNSPGSMGFEAAPFKLTQEYVDVLGGLTAPAFEEFKTLCKKSFQALRKEAE RLIMLVDMMGKQSKMPCFAAGSVGVTNSLRARMMLHLSKEEAEVFVEELIAKSVGSYY TRLYDTFQYRTQGIY CC84DRAFT_1210265 MAWNPLFDHFYHVDDNFRKKWPRIVKPAYEDVRLQILLYAGREA AASLHIAAPRRRSGMGGGDTDMVDVAEQAMLYEPFTYWDWHGYDAEGKPLNESRHLHE GGRKKRLLWERERAFSANGEKNGDLTPRERWEGFKRFVERAESNRRSVKRMAVANWMT KGDMEWIAKTLTRLEALDMSDVPSAYSACSVQNDNTWLGYLKETKFREPIYKNNLFEM FPLLRKLRDNSSYPEEVRMADLYEHRHDHTYKTDGPSHAFKRGPHEKSMEVTVEKTES FEEWFWRLQAQVPCELSGLEEEIAETHLWKRVKWLGLPDWRSSSYAAKTVGSTLPPWC VSMKTVSIRGEYTRDRSSNEFESIHDHVCRFILGVERIVPASVTKLELRLSVSFLRYF LEQLEKNKPTIQRVGIDLGAWVQIFPLGNPLEHLEDDDIRATTRRLARNVPTLQIFHP KKYYYREVQGKEEAFAAEQDYVAKQPSFYRNRSGNFEPARPIRQAPRPETPVESAPAD YDFVEDLQFDRTKQNDACPLDGSIGHRATREKVDSTRANTLAKLLEKLHLARHRVGEV SHMANMTFRQNRAKSNLKITREGAHLFGLPGEAQTRSFDPIDPLTLTQQEVKTDFCAG NEFQFWNPEGLKMVYPWIAKTFGWRPVFDWDWFMVPRNMSGTENPNLVTINSSGKRGS SRPADWQLEGKQSDGRGKPGKALESTLGAIKKQFALLNEAGIPVHLLIGRRDPDISSV YWGWPYTKQAWKEWNEQYFSASLETIAEHVDTLSIMYDLRNPIDKDRLSFIDAKRPYH PPHGKCPTRVCRLEEHNGGKCPFIEKYLGQRNKHPRPQVKPAGESDSKKKKKKDATLT YSALADSGSCGPPTGELADDEANEDDSDDEDEVFPLHLARRSVYLRETVGWIRFWEAY GSSFTKLTALHVRMPRSHDNAGSWRLARLLNRHAGWHIIYHADERQHMQSEEDLISTF DNGDPTAPGKEVWTHLKESRVWPAGRFVRRTWVWDPLRLVDESFSVVEEEDWEDAERN PKAVGKKFNAFRFEPRQRRELTSHDNLIEVREIEALKAARRKVEIAIANEEANGDPKD ADGLPIKPDMERIPRPDRLPHMTSGFAGRLQSVYGHHVRNVAGAQWREELRGMIAFME SGYGGEADEAWRDERDRLKELLAEDPPYSRIFEVRDDRIALRDVPVKQWDEDDGDAGQ PTTAAPADTETQEASAPPFSGESFLDTSEVDSLFVDSETEGIAPLPTASSPTFTSPQH NISSGNPSSSRLPGPSRIKKPHTAGPFDQSSSVDNADDGADDKKGGSAVKVEAVKVEA VKVEIEVKIPKKLQDLGKLEEGVARAPQTPFDEEYTDGDDGGEDEGKTTDKEGVDAYG GLDGSEAHSYKFDELVEKRQDYSEKAEESAAAEASGEKEGMIEASPAPGAEGQQQGRA AETSTKRKKSDNVDAPNKKPKISKAEKEEPRPDKKRKQSGSAPKTTMPNKKPKVSESN KDNPPESRPDKKRKQSDPAHTEAPNKKPEVSKAEVDDESDLSSFSESELEPAPKQGQK RKQPTASVPSPLPKKPRTTKSGRVVKPVIEQASDGEAASDDAAALKGKEGRKHSRVDY VPSPTTPADDGESDGGNKRRRPKPNKGKGKPVTAPSVPVPPPPATPAPVAPPPTNAAA TARPARPAGGIQVPQRAGGTPDYDRVTVVNLRALAKQIGLKLTGAKRKADIIKKFEDD DASGPGENAGGVTV CC84DRAFT_1169501 MADSLTEEQVSEFKEAFSLFDKDGDGQITTKELGTVMRSLGQNP SESELQDMINEVDADNNGTIDFPEFLTMMARKMKDTDSEEEIREAFKVFDRDNNGFIS AAELRHVMTSIGEKLTDDEVDEMIREADQDGDGRIDYNEFVQLMMQK CC84DRAFT_1169503 MWRDRTNLFISYRQSYSHHPQKKTPRYGGPAANGFDDEERQGLI PAFEDDGDAVIEMDLLPPRWLDVQDEITDHLADIAKQTRRLDQMHQKHVLPGFDDEDV KKREEREIESLTQDITRSFQSCQKAIKRIDAMVRESRQQGSISNGEETMAKNLKISLA SRVGEVSALFRKKQAAYLKKLRDMGGFGSPMRSATPIQNPYNDPALQESDADRSFSQS TLLQAKQQRIRHDPNEVLIAQREREIEDIAQGIIELANIFQELQTMVIDQGSMLDRID YNVERMTVDVKEADKELKVASGYQKKSIKRKIMLLLAILIAGVFILLSLKLSRKGNSD PAPAPAPKPPPEAVVPERRRRRRQSLTMGPNRDWHRRKRRLWENVADHVPLHRA CC84DRAFT_1169505 MLRSLLFLTGLAAVVRADDRPQYVPGRYIIQLKPGSDAGAIAAH HEAVRSLARRDVGAAQAQANPLEKRNPQCKVVTVTETVYGDVPAPTSTVAAAAYTPGA DIDNAVKRTFTVGQGNFHAYVGNFDEQVIKEIEKLPNVVCVEPDEYVYLPGNWPIAGK NPYPVAGGSSPSSAVDAQSTCTDSPSAHPSGSGYQSGKISTPGSSRPTAYPGKYPTGN DTASAFPTATASAAAVPTSSATAIPSQVPTNGTSPNNSTSLLTQQSTSIWNLDDLSHK AGVEGSTSYTYVYDESAGNGQTAYVFDTGIRSTHSEFEGRVRFGINALTNSTDGAADG NNDNTGHGTHVAGTLAGKTYGVAKKAFLVDVKVFDTGSATMSSILAGLDWAFKDVQIQ GTFKTAVFSMSFGARTSSTTLDAAIKALYDYGILTVVAAGNENKEIGNTSPARLAESF TVGYTNQQRQRVDSSSGVVGSNYGPELDVWAPGYEIVSADYLSDDGTRVESGTSMATP LVSGLVCYLRAKEGGLGTPKAVTDRILALATNGVVGDVKDSKNVLVYNGSGQ CC84DRAFT_1169506 MPHANEPTFDAAAFGEQHITKGIGRLTKHVFEDGKGSYITTDKG VKLLDMTSGIGVCNLGHCHPKVSEAAAKQCMKITHAQVNIGFSAAQIALLRELIPILP HPSLDTVFLWNSGAEAVEAAVKLARAATKKQNIIVMQGSYHGRTCATAAMTRSKTIYG EGHGPLMPGIFATAFPFYAQFGLPADTPIDKLVEMSLFQLKLTLSQQTAPSDTAAIIL EPVLGEGGYVPAPPAFLHGLRKICDEHGILLICDEVQSGFGRTGTMFAVEDSGVKPDV LIFAKGIANGFPLSGIASTNEIMSRQKPGTMGGTYAGNAVACAAAKAVIEVFREEKVL DNVAARSKQLFGFLNNLKNSGSKAGNLIQDVRGRGLMVGVQFQDADIIAQSQNEAARK KGGAGQIAPKVVQECVKRDMLLLSTSVFDVLRFIPPLTISEAEMQEACRIFGESLEAV AKEL CC84DRAFT_1157382 MSEDLNALLEKLTLDEKISLLSAKNVWETPEIDRVGIPSLKVTD GPNGARGNNFYDGTTAACFPACVSLAATFNRSLSRQVGRALGQEAITKGAYVVLGPTV CCHRSPLGGRNFEAFSEDPFLSGALASEYVIGLQEERVAATVKHFLANEQDTRRFSVN EKISERALREIYLRPFEMVAKQADPWCFMSSYPKINGKHVDAQNKFLIHILRKQWGYD GMVMSDWGAASTVEGVKYGLDMEMPGPPRQRTPEAVHEALKEGRVSEADIDARVLSTL KLLKKVGKFDDRRDTPEEQAVDRPEHRALIRKAGAEGLVLLKNDRCILPIDLKKTKKI ALLGPLAKYAAAHGGGSASLNCHYKVTPYDAFIDRLPKDVQITHGKGAHIFRVYPDLE AGCINSNGNPGFVADYFMELDISDGKCFRSEEFPRGSFNTLMNTNVTGCQSVRLSTTH KPPITGDHYLSFSGLGPSKLFIDGELVAEQTGPTKDAMGFFLGVQDEIRVQYSFKTGK EYLIVAETHPSPVSNAELYLMDNQCSIHLGFILQAEMEQDVLSEAVELAKDADLAIVF VGNTTQWETEGQDLSSMNLPADGSQNHLIASVAAANPNTVVVNTTGVPVELPWFEKVP ALIQAWYAGQETGNAILDVLLGEISPSGKLPISWPVKYEHTGCHGNFGLDSYESREVE YVEGTHVGYRWFDKLWGSEKEVRFPFGYGLSYTEFKVKNAQANGSFEEVVKVTAVVRN IGHTAGAETIQLYVEPPAEDGVVKKLVGFGKVDLQPGEEKDVEVQFKRQDAAYWEESL NKWMVSKGTYGVLIATSSAPKDVRARLEVVVDGDIVFEP CC84DRAFT_1131278 MTIDTKDVAVETMESTTPAPAVVGSSQVLASFIARQHGLSRSEA IKEHYKPILWCLYMFFTCIMYGFDSLAGGVVVGITQFREDFGTPFGGDYVIDANWQLG FQAATLGGIILGGVITGFAMNKFGRQLCILLAYILNTGGIFLQFFATTPAHFFGGKLL TGIPLGVFATVAPTYSSEMAPISVRGAVTAGMNFAVVLGQLIGYGVQRQSSFYDDARQ YKVLFATQWGYVVVGLAILPFLPESPYWLVARNRIEKARRNIIKLHTPGYDVDGQMAE IHDSLERLNADKEGSASISECFSRQNIRRTIVSTMIFFIQNASGSAWVIGYMSYFMQL GGMSAARSFDTTVGLSGLMVVGNMFGWLFIEWFGRRGTALYGTAILAVTLILIGVLAV VKTSGAIWGQVAFMAVWSFVYQGTIGSAAWVISAENSTSRLRSPTQSLATVMNGLSGC IWGFSLPYAINPDQGNLQGKIAFIFGAVMVASCVFIFFFVPETKGRTFIEIDELYRRG VPAWRWSKTELVTVVEEGKGDAEIRTF CC84DRAFT_1223076 MKLTLALLVPFSLTALATPLSNPNPFEKRDNWCVVASNGVDCRS KPGVGAGNLVRDIKTSDRFGVACTKKVGGRTWDWVPGWGCWVSARDTRTGDAAHNECE SGLHDC CC84DRAFT_401799 MSQPDHELMESATADTALSVPASPPPRDSMEDADPSLTRKRPRL DSGGVETPAMHTDTDTDADSTTAPLEQQVEMTIRSQPPSSSHTRDAAASTDDARATAA SDEAIHVPAAMDGASSGANDADGADDSSADSPPIIAIDDDDDDDDDDDDGDADPMAGY ATAASFGVDYDPDAHLSMFPFAHDGDYMYAAQEIAKYIQSNSQVDGAILNQVSDWLDG LPDQPLAWLSFYVNQAGLWEEICCVAWRLLNRKTSLGDTFASTDMSEEDIVTKFFSSY LRLVVRLTQVDACMFARSTPGEHCHHPVISQKHIRQVHPIMRNLVMGGSLHLYNMMRK EYMADIDTMEQQILSVYFEAEGPKHLLNLACQAHGKLPLPAQNWMATNVAGIVDSIIS HLLATSNEESSKDVFNLPQLCRDALTFFRTYEADLQIPSKVVDTGVARDTIACFYSLL FNICRSDAVLAANLVAEFLDYRDPESPTADPADDQVGHAPYLEDSLEYPKLVANAWKF KLLRKYMVKGRMELRVMSIGYMDMSLVELWKEYNNTPLSTGHPVMQYLADFILHERVV DYIISVDSHPQLISRSGNIVGFLVVTHRYSNTQTDAIWNTISHSSDPRMVSATMTMVR GIYNLMSEAAQLYLCSKMYGLPIESYTLDILRFLKDLAPKILNREKDWSEVHVEARPW NICIRVLQDTSPGRESTKTSNALHYEAAEQLRSMVTFISKDERRRLLRECTMHVASRS PTATGNVRVIYILTSYFLYGEQSFFKDNLDVVRDVIEEMCAYIGNEKDMVFNVELTAL QYRLELLALIIYQACESIPTDLYQSIWDHLIGSHAHTNHRRDMAWSKFLDAVKSKPNN DFCKQLVTVCVPRLDPVYYTLGMFEFVAAYRFPTTRRSVTIQEGTKTLLQIRGADLLW AMILSAPPETIEDRAGRLLASRYLEVDLNEGITLDDLEEAHVALVEQCMKELLSVYKT LRAETAMTSPSANQMDVTIPHVTKQQKEQRFSRTVMFLKLLLGLIRSKPELNRAKRSD SKVEPLDVELPVGEPLEIKYSCPVTHTKETLVIGSENTLQDLYNRLCQATGCTKLNLF AKGQRLSVVNRPDAKVADLGLGGQQLLVQKAPGAEVSQPMSDPSGSSSIFETTLLSHF DELFACMDADDHISSVIFEFLFMFPFHSTIVDSVMAGTAISESLFPQDKPWQAKYAAY ALQNRLRVQVRKNSVDDTFLANSVRYVDQALLRPGLVEQQRSSSSMPLPGVLVGVLIG FLKERPAQEISSSYFSNEQALVDRLLDLVSIALDSKTDMGVHAYESYCTVVEASLHSR GIWNAFINHDKAGPLHGALLLRDGRKLLREGVAKAISSVCGGGLSPTFAITEADTSSA FWQFISSVLPQAVQFPRQSEELLDLADQVFRKHDEHNRDEVSLRFYLATWSALLLSYV HEEKVGRDEIDCVVLGFTKLLLSCVSSLKSFKKPLNASSMIDRIWRKFLFAPKVSEME DESQESQTPILESKTRKELYDLVLALADDQTSCGLLLDLAEDLGIENPNADSRALCVD RSNEIRAPTGYVGLYNPRAICYMNSLLTQLFMNVNFRKFILKLNVTDPDGQQFLLHQT QKLFAEMQNSYRKSADPREFAVCVKAPEGTPIDINIQMDADEFYNLLFDQWEGQMLAS GIKEQFRSFYGGHTINQIKSKECEHVSERVESFFVVQCDVQGKQNLYESLQSFVEGDV MEGDNKYKCESCGGKLVDAVKRTCLKDVPDNLIFHLKRFDFDLVELRRAKINDQFEFP AVIDVSPFKIDHLSDPSKPREEDIFELVGVLVHQGTSENGHYYSYIRERPSPVGHAQP WVEFNDRDVDAFDPSSLGYHAYGGFYDEFQRQQKQFSAYMLFYQRRSAIVEDHNQYMV ASQSGTVKVSVPPALQQSIQIENDRFVREYSLYDPNHTKFVRLLLTNLRAVNHGTCSE DHLQESQALHVVLEHLSQTLFRVKSADNFDEAMMQLRKTVLSCATCCQVALKWLATHH SALANLLLHCVHGKVRSQTRSFLIDSLQYLRGKDPVAYGIEATETESESGSMIQTDGV LVAVSRSLRKVCDESYQTFRGWDDLYLTLCQVSDLGHVETAVLLDSNFLEFGLRMFCM HALEACRDVDLWRVVDKKRRIYNRLIEFVYRLLSRVDLHAQVFTKNRGSRLDIYEVNG HKFPLSREEKAFLYFWHEDNRAIAFLDRMLEMYDPTKTEVYYPGEILKLMLKSVDGRF QQRLFLTVHEGVGSLEPPQSDPYVRAALPYCEASPDIHHIDQVIDVVRNHIVKYPQGG GEANVCFLKGLLHLDNEAVSDEKGTDYPYTASLRFAKSVAVHLLFYDDEVVRKLSAEH FEELFVRYQDDQNTPEDVLKLKYKTVRNLLFELSDKIVLEYQRTTARSDIQAVAQVCE VLALLITNLYESDDPTWEPCRHVQDGHIIERYQSEALPRLQNWPTDESTPVSTGEAYD HSDYGSESEMDPELIDLEN CC84DRAFT_1157390 MAATARKGTKPIFKTTRPYTETNWPTLTAQQNRDVTDLVCSVLQ PLGDHRRTHVLPSKGKKRKRESQRKNNEDADKNAPSNPTPPPDISNHILIGLNSVTRH LESLAAHAAPPSAPSHAKADPPSPDATPPRPLSIVLIPHNHPPASLPHAHIPTLVHLC TMTSQKDKATRLLAVPPGCEPVIAEALHIPHVGALGIFEGAPGADALVAYVRENVDVT RCAWIEEAIGPEWKGTRIQDK CC84DRAFT_1131283 MEAHCDERKPGQLGEKDCVVQRSLSQTRSEASDEQRTLWQNVRR YRKVVCVTFGLTSAILLYGYDNVVVGTVSGMPGFQRDFGILLNDEWILPSSWLALWNV SSPIGAMLGSLFGGWFQDRVGRRMSLALCSFVSALAVAVMFVSYIPASADARRALFLV GRFGQGCCIGAAMVATQTYLSEVLPTVLRGSGMALFPVFTLLGQLMGALVIYGSLKKD NGYVVVFGSQWPFSFVPVIVAYFIPESPAWFIRKRKTEEALRAQARLDPPGADTKAIV DRIAANIEHEEATQGQAKFKECFSAANSRRTMIVLWVNSLQAVFGLPLMAKASYFMQI VGLDAKRSLIFLILGIVLGLFANVASIWVLARCGRRKLILSSLSTAAVLWLSMGIANC FHGMGVVWWTGASMMLTIIICGVGVWPASYAVAAETSSLQLRAKTQGMGWAVNAFSIA ASGIALPYIFNPDAGNLRGKVGFTYVGTCVLGVLISYLLVPEMKGRTVAEIDRMFDIG LRTRDFRSYRDNSVQQDA CC84DRAFT_1131287 MSLPRRFAAVARPTLRQAVSAPQKAVGRSTFLTPRSRKLHNQAS RSAQAAVSEADAEPVPGSTNPALSFPCLDKQESRSASLSSRSSGPEPSYTTGKHLNFH SREPILLDWGGILPEFNVAYETWGTLNKDRSNAVLLHTGLSASSHAHSTEINAQPGWW ENFIGPGKPLDTDKYFVICTNVIGGCFGSTGPSSIDPANGERYATRFPVLTMEDMVRA QFRLLDSLSIRKLYASVGSSMGGMLSLASGVLFPDRVGRIVSISAAARSHPYSIAMRH TQRQVLMMDPYWNRGFYYDGVPPHSGMKLAREIATVTYRSGPEWEQRFGRRRADPSRP PALCPDFLIETYLDHAGEKWCLSYDPNSLLYVSKAMDLFDLGQDHRNRINKVRQDNAG KLQAYLDGRAPAEGVESDLCSLTLPEKPYEEKEQPTEESEVALDAVEAAPADLVAGLK PLANTPALILGVASDILFPAWQQKEIANTLKRTGNKNVTHIELGEEKSLFGHDTFLLD LKNVGGAVQSFLG CC84DRAFT_402071 MKFFSTAALAGALFLEAVNGHYIFQKLTANGVQGGVYQNIRQNT NNNSPVIDLASNDLRCNVGGGSGASTSTVSVAAGSTVTFTADIAVYHQGPVSFYLTKA SSTAAASDGSTPWFKIKEIGPTFSGSSSTWDLEQSYSVTIPSCVPAGEYLLRIEQLAI HNPYPGGIPQFYISCAQIKVTGGGSKSFSGVSIPGHVKNTDPGYTANIYSGFTSYKVP GPAVSTC CC84DRAFT_1104491 MNEASNDSDTDMGASASASSAAMRPGNMFASPTAHSRKQGRMSR SSAPRPIITPTSPLSSTIPHNTLPSAPASPPTPAPSPTPTQRVPDWSTAAEHEDSQIK NTRALFSDMNRPERLRLLGELLNLCDSHELSFVAEFVSPRLKKDPFMVLPTELCFRIL ECVDDPTTLARASQVSKKWRELVNDDTAWRLLCQKFSYRGLSREERSEEDDPGDEEEE SSKSHWLQPSRASRSEDTANKEQLDGASSGAAGEGLRTQSLDRTSKRKEIPSRSKATT YRSHFKQRYQVETAWRQGGHCDARQITPEQGVVTSLHLTKKYIVVALDNAKIHVFDTE GYHQKTLQGHVMGVWAMVPWGDLLVSGGCDRDVRVWNLATGYPQFTLRGHTSTVRCLK MSDAHTAISGSRDTTLRIWDLKKGLCKHVLIGHQASVRCLEIHGDIVVSGSYDTTAKI WSISEGKCLRTLTGHFSQIYAIAFDGKKIATGSLDTSVRIWDPTDGKCLAVLQGHTSL VGQLQMREDVLVTGGSDGSVRVWSLADYQPIHRLAAHDNSVTSLQFDNTRIVSGGSDG RVKVWDLKKGTLVRELSTPAEAVWRVVFEEEKAVIMAARGGRTIMEVWSFAPPEDDIE DFRSSSPMSMPDHSSDDLYSQPPPVRPVSALPSTASAPALQRVSNANPPSDNDIPMPD VGMTS CC84DRAFT_1169513 MLSRTIRRSIASPLRRNAFVPAAARPAFRTVTTDAASAHAEAVP TEDDKPFEVRLSDESFETYELDPPAYTLDTTKAELKQMYYDMVAMRRMEMAADRLYKE KKIRGFCHLSTGQEAVAVGIEHAIERADHLITAYRCHGFAMMRGGTIKSIIGELLGRR EGIAYGKGGSMHMFAPGFYGGNGIVGAQVPVGAGIAFANAYENKKNVTLALYGDGASN QGQVFEAYNMAKLWNLPIIFACENNKYGMGTAANRSSAITDYYKRGQYIPGLKINGMD VLAVKAATQFGKEWCAAGKGPLVYEFVTYRYGGHSMSDPGTTYRTREEIQRMRSTQDP IAGLKQKLLDWSVVTEEELKSIDKKARANVDAEVAEAEKMAPPEAIPKVLFEDIYVRG SEPEFLRGRIPEENFYYAEADLGKEKDSPART CC84DRAFT_1157409 MAMRSPLSHAVKRTTYKSPATPVLSPCTQRWKRSNATVAPPPVT QSSAGSKGPTAMVFMNMGGPSTTDEVHGFLSNLFSDADLIPLGRLQNYIGPYIARRRT PKIQKQYAEIGGGSPIRKWSEYQAAEMCKILDQTSPETAPHKPYVAFRYANPLTEEMY NRLLADGFGGGKGGRAVAFTQYPQYSCSTTGSSLNELWKWRQRLEGKRAGGEVNPEGA IKWSVIDRWPTHPGLVEAFAQNIEKTLQEYPPERRDNVVLLYSAHSLPMTVVNRGDPY PQEVAATVHAVNQRLGMKYKYRLVWQSQVGPQPWLGAQTSDTVKNLMKKGNTDLILIP IAFTSDHIETLYELDKEVIGEDAEGHEGVKRVESLNGNPIFIQGLADIAKAHLHAGVA CSNQMTLRCPGCVSERCLGSKEFFAGQNKLLEPLAA CC84DRAFT_1169515 MADTQDNRLGEEEDDEEEIDDASYKTIRDAVLFAIDISASMLER PPPSDDKKAERDSPASAALKCAYHLMQQRIISNPKDMMGILLYGTEKTDLKDGDNTFQ HCYLLADLDVPSAQDVKQLRDLLENEEEAEEILKPAKEAPTIASVLFCANQIFTTKAP NFSSRRLFLVTDNDNPARVKQDKDTAITRARDLYDLDCTIDLFPISKPDHGFDRTRFY DDLVYPTSPSDPDAPVAIATTTKVAKSGDGLTLLKQLLASINSKAAPRRALFSLPLEL GPGMRIGVKGFILIKRQEHVKSCYVWVGGEKPQIVQSSTSHMADDTARVVEKTELRKA YKFGGDTITFTPEEITQIRQCFGEPIMRIVGFKPLSLLPLWANIGKATFVYPSEVDFI GSTRVFSALQQKLIKSKKMALTWFVPRKNASPVMAALIPGEERYDENGEQTMPPGLWL VPLPFADDLRQCPEQPAEPRTTDELTDKMRIIVEQLQLPKGVYDPSKYPNPDLQWFYR ILQAMALEEEVPTKPDDKTLPKYKQIDKRAGEYITAYGEEFEKVFATVGHSALSSRPK AAAKKRPAAVAVDDDEDEPKPKKRVKKEAIVKDEYGDDGLTDQQMAAINDKGQISKQT VAILKEYLGARGQPISGKKADLIERVQDYLENKGL CC84DRAFT_1223086 MSTPASPYGSTVSTSAHDHTSTGIIAGSVVGGVIALGIIALLAF VIHKGSKHRGSEIHRQPQVDKMPYQEFDQHDALSDPIVCQGGRHEDISELANVSVYEL PKKVTQQSK CC84DRAFT_402132 MTCEIAAERFKLGNCASRAPQGTGPAVLPRAISAWGWYSVGSNS DGMICTLAPTAIITKPLPQHAFACSWHFLQTGTSVTQDNDDATLSSWNGPFTACSGKS IVFRSQTLRICPSRGTEFLYPTYGAKQAVLSNMHYQNKGFDPIHTTRSCHHCASNRCN CFPRTRQLRPRQLFLHHHL CC84DRAFT_1223087 MPAVGSLTPEQVAEAEERIRNAGRIPMISLELSLGILFGLAIVT CMGRIIIRLSSRGRLALDDGLLIFALSCLAFSTGIMYKISPNYYLVQALIRGDPAAKI IASTQGYRKLTAHYNWTFANIVLSWTAIFFVKGSYFALFYPMMSVMSRHVLWFYWAAV ALSAASWFVLAFGSNFILCKELGTAAVKCYFNNSRHGMFTMLAVTATLDGLTNLTIVI IPIFILRKSAVRGTVRLGVGIFLMLSVFMLICSVIRAAGVYYQGIIDCRWQSYWCHVE ACIAVIMGSLTVYRSTLVGSNEVPNKMQSYLYKWFGITSDQSQDDMSVLMQNAANKGM QPEQDISNEETLVGTYNDERKSIASVKHV CC84DRAFT_1169518 MPLFSSQPQSTTTPNLTFIGPDAHGTIYIEDARGMRTPFFAVTI AKHSKPSLTVNRILPSGHQEPFFTSHTSSMSGTSRIDLVSRASEIKMKISYEGMRLRR EFQGPTGKLSWFPSGNSGCDQKLKDKNEMVLAKLTANIGHSKEPRLEILVPCDDYLLD LVVATGLAVYRDTVKEVKQVEVVSEVIGGAFGG CC84DRAFT_1169519 MESMLPSTLGSWIDLTRETFGFFRRNTQLGKQPWYFLRWAIESD REMMTFFNPTPEMADYVTAASDLVYDWRRPLILNYAHILDDDDMKRFPQELQKRPLRA RSQLDAAVKKTLNRLRRNYKIAIPQWYPKLKDEGAQLLLPLDLFDEGTADLALVVSLA GDRYRGSTVLTLEMAYTHARLVARPDSEWLKPLSTVVEDQMDTGSPNAGLQRDLASPR DNPAMKISDWRRL CC84DRAFT_1131304 MDKIHRFNVVHRFEKRALLIAINCIAGLSILFFGYDQGMMGGVN TAYDYYTRMGFGHKGADGGVVVTNDILQGGIVSIYYLGTLVGALVGGWFGDRFGRIKT IFLGAAIGVVGASLQTSAIDHQWMICARLVNGWGTGILNSIIPVWATETAEHTSRGQF IAIEFTLNIFGVVLAYWIEYGCSFYGDGTSSFIWRFPIAFQIPMLLTLMALVMFFPES PRWLVKVGREDEARYVLSRLRGNVTGEEQEKTEAEFQDIVTSVEIERSGRKGPQSYFH MLFGIGSGKLHTGRRVQLVIWLQIMQEWVGIAGVTIYAPTIFGIAGMSPEKRQWIAGL NNIFYMFSTLICVFTLDKIGRRWTLYWGSVGQGIAMALAGGFSYLGQQGTLEGNAGKA SAYGNAAVSMVYIFTFIFGATWLTVPWLYPAEIFPLEVRAKGNAWGVVGWSIGNGWLT LLCPVMFKALSEKTLYIFAACNAITIPMVWALYPETNQRTLEEIDLLFAADSIWNWEA EKNFTRLQEQMGVGMSGSRADEEAPHSRKGSVVRGMSLHYEEAKP CC84DRAFT_1157416 MEPASSQEESPGVTKLPKKRKLPPSNGWLPHKAEFQRKRSATAC QLCRTRKTKCDNNRPICSKCLELGAECIYQDQAAAEPPPSHILQRLEYLIGLVESKVP INSYDHSMHDDSAATITSLADTNETLQNPSFHSLVLDRVSTPASSNQVPHHEYFGSSE DVLGWPIFAGKYDRRWIEALIFDPTLPSDDLSGTPTSPRVTDDSLRNKFEDPRQDSHI NATIREEDVLHLVEAFLLNVHVKNPIFDPNYLRSMAKAVVDRGFDWDASTCLVLTVCA LAAISSTFACRPIRAINTSSDDLVLSETSLSTTPGYHMAEAYYIASRKRIGLLKDTLL ATECHFMSGVYEMYSLRPLQAAISFNRACVTFQTLTWMRTEYYITESQLGKARASRLY WSCLKSEHEVSIEIRLPSSGLTKLNYTSAFPPPPSATNTEDWCRMYTQVETDSPHSTH SLWTQNEFEHGWYYYLADIAARRLLQRVIELFYRKSEVVWLEFPFLTLVHTVEELDRQ LLEWHRALPGVISFDIESTAANDELSFHLQARALEIKERIYRPFLYRMIYQKGVHDFS DQNALQPFATSHAITCSKLIQQWNIRHRHHGTWLMSRQSFACALLLLAGRRAGIKVLP DEQCSGSVQASIATLKFWEDEAPDLKASRQILEDIYSQLHEQHASTTV CC84DRAFT_1223093 MEKHNIPDLFKLVWKTLLSSPTKFPDKHKGPTVTKNDHLNRRLI SACEKGCAEICRLLVDEYGADIHHVSHNYSTTSLGRAAGSSANPIEGRLLVTRYLLEE KHVNIHMADGEFENSATSLAMLLKSGTKGQDEMIKPLLTHGRPLEEIGEGVLKVVEEA GSEANVELYLVLYRAPRETVKLMTERRTDGYRQVGVAASKEEWKTWLGTMQIRKSDEM LAAEDPKGRPLE CC84DRAFT_1223094 MRLSSLLTLAAAGLTFLTVSAQDCWSSSRITCRNAFANKTAAHN AITAACDAMPSCAPGTTVTGKVPGYTATLRLGTACAGVNPWTKDACVALFEGKLYPQC KTNESLPYQEFPPKPWPPVAPVDFPLASKLSTCDDSWLSFNVGG CC84DRAFT_1104451 MSQSPYNLVVLISGNGSNLQALIDASGTPALPNTRITHVISNRK AAYGLERAAKASIPTTYHNLVPFKRKHPQTEAGTTAARTEYDASLAALILGLEPRPDV IVCAGWMHILTPDFLNPIAAAGVKVINLHPALPGEFAGAGAIERAWEAGRSEGLKRTG VMIHEVIAEVDAGEAIVTREVELREGESLDELETRIHEVEHGLIVEGTRRTLEGIKK CC84DRAFT_1131312 MNVQLEEYITSANECFELNLTRPSQDAAPEPVFSESFNPTHTYA FFGEDEEIIGYKEPSIKLSFRANDMKPSLKAGFEEKVELPEEVYSEKHLKVDFATVFK DYLPASVFDRSETPADGVRTDPTSKDWRPPGKQLHTFTHHGKQFEIWVGSFADPTARS LWDNIRILPILFIEGATLPELDVDWSNERWSIYMLYEVTSTDDDISPYTLAGFSTTYR LWLLPTFEIMRATKSLPSPPASTNGDAGKWTPPRLTQDPVTFRINEHIDPLEQPSRER ISQFLILPPYQGQHLGATLYQTIFADLVKRPYIYELTVEDPDEAFDAMRDYSDMEYLH SLSAFQSLTIPATIPREKLAKSAPIPRDEILGNGVDLRQLQRETKIVSRQFNRMLELY LLSTIPPAHRNKNRITRKDRCSNENDRRYYFWRLAVKDRIYRQNRDSLENMEEGEEAL TTAQKVEMIENAVDNQQAEFEERMKGLEKRAKWKNGEKPEGSSSRSKRKRMIVEEDED DEWEDMDDESVSSKRARV CC84DRAFT_1169524 MWDGLFMLLALSTIMGIASFLAGILPLSFNLSPRQIRTVSLLGT GVLVGASLIVIIPEGIETMYGAAIKSHAHNVPHPQPKIPSGHTQQKGTLNPAEFVPDH VRAEALRTDFTKTGAVKAPDAASIIVKRTPETPPVDSYKQRADKNKKGKAEEEHKEPQ KGGDDDSTEGHREGHTRVHGPEEDEQVATPVDADMEGPHGYIGVALILGFILMFVVDH LPEALSNAKSQYQSLHISLSNLHRGPHNSSSMSLNALTPGSPPPEPLTPLPPHVSKPP RSSATTIGLVIHSCADGIALGASSTAPSTSLSLVVFFAIMLHKAPAAFGLTSVLLKQG FSKRRIRTHLAMFSLAAPAGAVLTWSIVHLLGRGRLGGDDGLAWTTGWVLLFSGGTFL YVAMHSMTEATNSHEAPVINGYIDHHMPQPSGLSRSDIAIVLSGMLVPLVTQVGHHH CC84DRAFT_1169525 MASTSGKIERAGARKIELFSGSYFAACTMGGIIACGPTHTMVTP LDLVKCRRQVDDKLYKSNTQAWKMIYSKEGLRGVFFGWTPTFVGYSLQGAGKYGFYEV FKYLYGDKLAPNANKTVVYLAASASAEALADIALCPLEAIKVRMQTTLPPFAHSLREG WSKVVSQEGYAGLYKGLYPLWGRQIPYTMVKFTSFEKTVEQIYKYLGKPKESYNSLQQ TGVSFLGGYIAGIGCAVVSHPADVMVSKLNSDRKPGEGAGQAMGRIYGKIGFGGLWNG LPVRIFMIGTLTAFQWLIYDSFKVYLGLPTTGGH CC84DRAFT_1210288 MTRPTTIPPKEAILSTFDSLVAAGTISFGPYRTIKYDAGGYPLE FRVCPAFSRKPHTIGAKLDPIYTNLQQWGPGSDLFLSDPRMKLAQLNGTHDLAFNMFC TDRPQFLILTLDSYARQSELLDAADFQAALDMLHIPGVGSELYVIFNGGEEAGCSRVH KHLQGLRGPPPAFSNFVQEGLRASVPFQFFAHQFSSGFASVRGEQMASVYAGLISKAK DALGLPESASVVPHGMFMWKDWLVVIPRRKSGIEDTRASAATAGMLGSVWLSEEGPVE DWVRLGLREVLEGLGVPP CC84DRAFT_1264380 MSEASESRSRRRRQRSRIACEPCRERKRRCDGDQPACELCRNFG YECSYRSHPRKRRREGTSTLPSQPYSSSPQENSGALQDQTLAPPALAPTPFAQSLESN SGSAFVRTFAITVDPSNAPPSQMLGWNVFLGQRQVSTNMPLRSVTDIVTQAELEDIVA VYFDKIDPCYGFVDRELVDQTVQQLWVRGSSLSIPEAVICGIAALGCAFSNLQSLETE TSLFVLAKLLLDLSAEDITYNTATAWVLRTVYLRLTGRPEETWMASCIALHMIDAAGL HCEIGTDTPFRRADRPSTPDMNRRILGVARHLNVWLSFDLGRTRVTLQNMSTVPASPR PKDYTTELLGLLFYTENLDPTKSISGPELINAISEVLNRIHTQPPSILAQCNLTLCLF RRLYTLRWHVQDDVLDKVVQLIEKAIQGVHTLLEAGSPWHHMANVPFQSVCTLLAIDT TKSFSLLGPTMSCLSRVSETYQTKATQDAYTAARALISMHQKRREANVVRQSEMLKLY PVGASTENDTNGHFSVDQAQTFADLPWFNEFFTDIEITSFFNGTA CC84DRAFT_1169529 MALPLRPRTIVAGLTASSIGAGLLLSRLGRDVDADANAPKKVFG RGPAFVSLPLESEEQVNHDTKRLRFSLPNSTDISGLSLTSAVLTLSWPQGRWTPVVRP YTPVSHINEPGILELLVKHYPNGKQSTHLHSLKPGDKLIFAAAIRGYQWVPNSVPHVT LIAGGAGITPVFQLAQGILSNPDDKTAVTIVYGVNTNDDCHLKKEFEKYEKEFEDRFK VVYTVSRPDEKTTLRKGRVTKELLEEVAPMPSNGETKVFVCGPPEMEANLVGSRKEQG ILEQLGYRKDQIHTF CC84DRAFT_1131330 MGNDRPFPKTIEDRESYVVMFDGPNDPWHPMGWSFSKKCCVATI VCYCTLVATFDSAVFSPAAAQASQDLSVGKEVGALATSLYVLGFAFGPLIWGPGCELM GRRMPLIVGSFGCAVFTLASAVAKDIQTVIICRFFAGVFGSSPLCVVPGILADLFDDY QRGPSIAIYALTVFAGPLAASSIGGFIVDSYLRWRWTLYLPAIMGFFAVFLLLLFLEE THAGTVLIAKAAHIRQETGNWSIHAEHARVEVDTHALLRKYLLRPLRMLVTEPIVLLI SIYMSFIYGLTYCLVGAYPYVFQHTYGMNKGTAALPLIAVILGLCLAVCFIIWQQLQS QKTSQSGKGTSEPEVRLLPVIVGAIVFPIGLFWFSWTAFTPSVHWMLPTASGVLTGFG LLCIFMPCFNYLIEAYLPLAASTVAANVMLRSGIAGGFPLFSVHMFANLGIQWAGTLL GCLAVAMIPIALGFRAYGAKFRRRSKLLSGSKAIV CC84DRAFT_403054 MSKTLRCARRQAWATQRLLPGKSHHQPHNAALHASKVQKNTLRS FFPWTRTPFVVSAPMLGASTSRLAINVSRAGGLGFIAGGTDPASLNNMLEESKQHSFE TFDYPYADLLEKKRGVLPIGVGFQLFNSNLRLLAPVIAAHKPAVVWLFAPKVEEDLRE WATQIRDVTARSTRICVQVGSVAEAERSVALAEPDFLILQGADAGGHGRRQSASVISL VPEVKDRLAAIKKADIPVLAAGGIADARGVAAALALGADGAVMGTRFLASEEAAVPEG WKRELIKTGDGGVTTMRSTLCDRLKENREWPTWYDGRAIRNKGHDDKEGGMADEENVR LYKEELKRGDSAWGAQGRMVTYSGTGVGLVRSVEPVQSIMEKTLRECRHILDTSYQQA VESS CC84DRAFT_1264383 MPRITLLGHLSPEGGFNDGIEVWQEDTTQGHLLIRKTFRRADVP DHALREVRLLVQLAACPFIVRKYSATITHTSGELFMECCGKGTLKALIREHRHRASKI PEPFVWHVFYSLVEAVHYMQYGPSGDARGWNWIYHRDLHPGNVFITGRRDSGLHVVLG DFGSAVSSTWGDGARVRMQAVDFGIPERLMNCTSDLYQIGLNIVAMCRLTFRPLAFVR PARGGRERLVAGAEYSDALNGLVGRCLRTKPEERISVKALRAALYREAFENKRASRYD HRELLLFPSMRIYER CC84DRAFT_402346 MPKRSTGCFECRKRKVRCDEGRPECSTCLRRGTKCPGYRPLQSF ILHKFEEQNERPSLIKEDDNRYRYANQETQEEPTSADSERGVVEYLQPPGRRSSGAPV LRQVSPIAIERVQHVGELIWLYLPRSDAHTLPPPSALMLTLPQLPATSDVLLAAVDAL SAAQLAVANRDYNLVNRSRSLYGTALSKMMSTIQYPDRAVEDETLLATYLLTLYEVFV GITSGHGFFYHVQGLLHLLKQRGPKSFGNRLNMQIFHAIRYNSLSIGYHVRKGSMLDS DEWMAVTAKASKVDPYVGLIDICIRIPRVLERTDKLIAGDAESANQLIEDSLALAAQA KQWFANFEKHGPRYTTVDVNEIAGFSDICPDRTFDTAYNFYAFGAGICYMIYWMSLLI LQSNTFKLLLQHRTLEPKDMYLWDREMGSYADSSCRCVPYNCRTDVGYVGRFGSLTPL VVARKYFEAKKMEKEIRWCEKVYENARIPGLYSTPMPMEPLKSMQRLVQHSDRYI CC84DRAFT_402321 MAPKETAKKGALTLEKLALYDDILTDALVDKVYYWTTIRKNRGG RFSGSRGLNEEDIASILREHVIVEKNSAEAMHRLVQLPGLKKFLATLKDDADTEHFKR HLRRYVSIYLPDCPFEVTTTNRYTITDHEASITARRDIQPREEIKYLTGVQVAMTEEQ EKNLELARKDFSLVISARKKTRSLFLGPARFANHDCDANARLSTKGYDGMQIVAVKPI KEGEEITVSYGEDYFGIDNEECLCHSCEDRMVNGWAPFRPIEDSTEDEGETDDGKREK EELPGIANEESPSRRRKRKHEQSSSAGVCATATTSKRRKSEPAAKPISPSRRTAPPQK SSLKKSISASCLRHELPVSSIEDPAVNLPSTSRNLRTHQRSSFFNIVLEDNSSSRNTT PQSSAGAASPKSTQSTDATSVDEEQVHGLNNPKIKVDPDVIHKNPTLGVATVSDEVSM VNAPWSSLFADDTSSELSDLSDSLDLDDVLQQIVKRKRPKLPLIPRNTRSSSRHMIHN PISTPILYQEPEEGMVENPRKPGDYMTSKSRLSGKDSQWVECQTCDDQFVQEDSHRGT RKECPRCERHSKLYGYPWPKTDKEGKHDTDERVLDHRTIHRFVDPEEEKEIKKEKPKR KFKEEIMERFSTPRSCRDSSLSIEVESGRKRRRTRLTM CC84DRAFT_1169534 MIQLKTLINCIDNSGAAIVECVNVLRSKRPAKVGDRIVVVVKKQ RNFGPETGNSVSISAANKVRRGDVRHAVVVRTAKKWQRPDGSVVKFDDNACVLINKAG EPIGSRVSGVVAAELRQKQWSKILSLAPMHV CC84DRAFT_1169535 MSSPADQQQETDENKKILFRFCSECSNLLFPREDKAESKLLFAC RTCSFSEEAPSACVMRNEIASSAGDTAGVTSDIGQDPTLPRIKDRACPSCGEQDIVYF QSQQRTTHTGMKLYYVCTQCSHVWQ CC84DRAFT_1169537 MSSGPETGLPEGWEIRHSNSKNLPYYFHPATKDSRWEPPAGTDP DRLKAYMAKYHSSKGVTPANLDNQGDKIRAAHLLVKHRDSRRPASWREPRITRSKEEA IEAIKVYLEQITAYENNSSDPNGKSLSELATTESDCSSARKGGDLGFFGRGDMQKEFE EAAFRLNKGEVSGIVETASGVHLIQRLE CC84DRAFT_402286 MGMHTYMNCRTAALVPKGQVYADIRQASWSAACTPKQSGDRTGV AAASEWRQSKVKSSHTEMRQASSIARRKPGAQYPIRQRMLGRLLFRALSASKPLGWRA FTLDVRNAMRSGLMQKTRRRRWCENKGRFQSQYAQKSADPTPHSLLPAQPTNQPVI CC84DRAFT_402956 MADPSSPNLTYNNPAFSQNHERRGSIRRSFTSSFCTPKIDTPRT LCPRDGDAFSYDRSHLADWHVTQDLWEHLPSNIQTTLAAVQQAGAAVLTGYSRIDAHK SAQGNGNAYTKFEDDELIAKLDALPPPKIRTISNASSVVLSDVNSPVFTTTSVSEAGT PSIASSQSTNPVSPICLGPSDSLPAEKGNRSRNGSFIIPIEPQDQGYVLEISSLRTEA LPRLKHSGHKLDLEWREAKRTSDTTHHLSAINIATFEKWWAEKKLKIDSLYERGQHLS TGLNLSPNGLGWAAP CC84DRAFT_1232946 MGNSSSSHPQPPLIALNGGSLGRHNTISVPAEAFAGKRAPEKWC RLRGKSNYTPNYRPVFPDPWSYLPFPPPRVGRRPWGRRGWRGGGEETLSEGDMSMRPR RRGRGGVGRMRGAGMGLRRGGEMNMDVAYVPGMHGVVPLPMVYPYDAQGARARSAPNL QQAGYMSMPVPVPMPVRRGRVKKGVNPTGGVQPGVLPHYAPVRVNGMAPGPAPSPYAA QAQPLARMPARAPPPQQQKMRHPHPPGVEAVANGVHFAHPSSQRAPVRRKPSAPHVSA QLNRTQPPSKRAGPSGQEWLPSDNAFLDACTCTTNCNCRKGVRVLYRQQGQGDGEGAQ NVETWGEIRYVLKDDLGRDCGDSGRCRADGEGDSGGGGRRKGKGKGKKGEGEQGGEVD KMREELKGLRQDIRKMRLGGTAMGPGMSMARMPSPRAWPGMEMDPRMSQRIGAGDPYD MDFTLRMQQQQMMGRRPGRMPGRMAGIDLDDERSFPDAEYMLDPMMHPDMPRLPPHLR NPGARRPKQRRGPPGRLPPRRAPDFDPHMEYAPHQGRRGNMPMPLPRRRGGGAPPPPP GYHFDDESMGSGLDGRGRFGPMDDDEGNWAPRPGKPFSELGAAHPDRYTTGIDNEFNM APPPPPGQSPRGRGRESGSEDRPEGRRPNQAYVDDGDDY CC84DRAFT_403177 MSRNEAVSPLRINKTPTGSPAKGSSMSRPLSEIGSTESRRNSGN FNGQSTKKVFLTKESSPFDSAFPNSPRLFWKEQTVSPKNRFGSENEYEREPSLSPKRN SIENLKKASRVKNSSMYAREQKNEYDPNSVQAPNRPLAAGRPLSTQVQGNAFGGSGLT GLRQQNEGHRRGHSRGESLSKIPTLSPSKSSPSKNPSLSSSTGSPSRDRSSPGPGRMS PTKSSLASPGRFNSIEYNPDFSMFSDDDSQLKHETPRPLRRHAKSVTFDAAPPVINEY EMVTPDPSSVASGSREGSYESDEEELSFDQDDSFDASLEDTDKTPVVLPEDWRHMSPE AANTSLIDNYDDVFGRDNSPMPNAHPNGRANNSRNGSTASDGESRPLPPLPGMQSQDR RDSSVGLSAAAERAASRRSLPQLPPGAGISKADLLNMREGSMSLEDRLRLMNFDDERD LSTPTQESHDSKVEMGVQVEVAEMEEVTETELDSPKTPRISRESILRKVQGQSYDGEG YGYDYDVESSPERSYGDLADLDPDVPIPSREVSSNFDEHPEAPSEADSVIDAYSFAET TEMYTEVSELEEDDRDEDRETSVIHHDIHQNSQLDSDDASEYSPQPEEQPTSQSTIDT SGPSTPTATTSSPTAGAKEIRRDELFADFQNNEMDLTLDPLRSSFQTALSPLDTAPKL DAMRQFLQRPETPEITDPEAEVEEPGTPDSVIRHPVVISPPEDEAETPVPEEEATIKG ASGKLRARPSLIPADVDMAAQRRQVSGEHPPPVPRRSPARQSLSTDFGHVDEEDSQTT LSNKENLMLDLQLGDDSNDLSFGLDKEFDNIIEAQKKGYLMRQNTKVVYASSRQFSDE KPPAVKVEQPADNGLKAPAVNARKISAETKPAWTKEPWNGKARRRSIRTASGSRKAMT SGPVPPMPGQESAVAGNLDSVAEGEVDEFEEGQERGRLFVKVVGVKDLDLPLPKTERT WFQLTLDNGLHCVTTSWLELGHSAPIGQEFELVVLDDLEFQLTLQTKLEPPAQPTVTA APVKIVNHKKSHSAFRNLLSSPKKRKEQERKQQEEAERLALQQQQEAQAKAKRNHQAT AWDLLHDLVGPDGSFARAYVCLKNHENQAYGRPLNVDIPCFNEWAVDNTGASSVKSKR GGVVRRPPYRVGKLALQLLYVPKPKHAKDDDMPKSMNACIRELREAEEVKNQSWEGPL SQQGGDCPYWRRRFFRLNGTKLTAFHEATRQPRATINLAKATKLIDDKTALQQPSSTK TGGRRKSAFAEEEEGYMFVEEGFRIRFANGEVIDFYADTREQKEEWMKVLSECVGKDI AGGRGWAEMVLDKERKEKRSQPEPLQAKPKRESNAMNGALQRPRSHEGHGSQSVPSSP VKQRPGHSRTKSALPTSPAPPPIEKDEQHKSSTAPRRKEVPGGVANRRDQVRSMIF CC84DRAFT_1169543 MMGLRHAARACPFCEVRAFLGRRPQLQQTRLYAQIQRDRAARFD EAAARRRSGGPSRRRPDASRPSRMVLSDTVARPARPAADGQPPKKKTREPFSYLNRTK ATGILHKRLDVGQDQRQDTQSRQSSATERREGDRRFDRKRTVSSSDSFHALKMQKSLH EIPYRLRSAIKAKVEEVDSFEGLPLMESVQKAIKDALPDLEYRTPTPAQAVAIPALLG LQKQPRTRNPVKKTGPDSYLLAAETGSGKTLAYLLPVIDAIKREEAADKEEAERKAAE DATTKAADPTRRDDIFGVEEPDLNKAVDPARPRAIILVPSAELVAQVGAVAKSLSHEC KFRAAPISAKNSATVIRNRLFNENGVDLVICTPPLLASIAESNPNILARVTHLIVDEA DSLFDRSFKPSTTEILERATPSLKKLFLCSATIPKYLDKYLAEKFPDMQRLVTPNLHA IPRRVALGVVDVNKEPYRGNKMLACADTIWQIGKATADYDPAEGKEAIPTKRILVFVN ERDDTDKVAEYLTKKGIDAMAFHRDTDPNRQAKALASFTGTEKIKEPKDSEAQKEAAK SNASGTSRQLLNTKVIVTTDLGSRGIDTVSVRHVILYDVPHTTIDFIHRLGRTGRMGR RGRGIVLVGPGDRADVVKEVREAMFKGEALI CC84DRAFT_403175 MGYKNITVEGNIRPYYQSSALAHKPCSRGTQYHALDCGHTVVDP SVTGCASNCVEPGVYADGSSPYADHSSHADKSSSDMSFMDIDITSSSSDITSSSVVNF DIRPNTFLCVLCVLKQYCPWIDEDTVPNDDVRSLLCEVGNAHFEDASEDLAAATSKHR YSEPSKPFTLADHF CC84DRAFT_1210301 MLLYLSLLLVTVSYLVVVRLLRYRRISGLQQQHGATYVQFKDLN YRDAQFILGQMGLYECPWVFLSGKDFAFLRAFAIPGISKVSVGAKEMVDRVGKRYADT TVLVGEFLVNDIDSERACVAINRMNWIHSRYGNRIKMDQMVYTLCLLICEALQWIDKY DWRKTTALERHASWVFWNEVGTRMGLTGVPKSFEDCATFIQEFESRNMAPSDDNALIA KGVFTLYASTFPAFLAPVVRTVLVAFMDSRLADAFKLSSRPTAIITYGLRFLLALRKY FVRYLMLPRYSTLQVFGAENAAGYRPMMFWEIEPWYVPLSARCTWLYSAYTHFFGLPQ PGDAKFRPEGYKLHDIGPKHLEGKGGEEVMSFLRSHGGAAFTTFGQFSNQLCTDGDEI TSDPQLMWASCWDAVLQGLNHNYHVSFVQFAV CC84DRAFT_403355 MSESEISSHASSDNGSDDSTIEVQTEKLQEYTQQIREKLKPGFM TQEELVGFGADLIAADNHDGDAEDLAEAIVGQLWEERLEEEKSWPAETSHDRLERAFN RLEAQGITAAMNFTCCRSCGFEEIGDVANEGDHAFVFFHQQDAERLDGEDCDLYLAFG DHEDESRAAAEKAGREVVQLLRDNGLDVQWEGNANSRIVVHFDVWQKRLEQ CC84DRAFT_1169546 MSPRAPSTMARRFIATATHQPAPAFNASRAAVPLIAAGAAATAA TAVTASRAAPKLGRIAKWYLPTMAAVAFGMLYIPETFFIEPSKRTVTLDQANRFIGMG VDQQLSDHNRRANVGYELTQEERNQAMLDSFGSRSSLDDMEKAIAGYEATRPKGPVET RMALENAYGDRSSLQHLRRAMQIYEVQ CC84DRAFT_403395 MKGSASYRDIDVRTVRRRPRRPLPRTKISRCLGLLARGHVTTFP PALTTPNDVADLDHGTTALSLRSCASSLHLETRSLPVKSLGRPNPLGNDKRRPECRWF RPISTKPPCFDKRAESTKLLDPFCHRWSAPLNRDALTTIETAFIVRVVSVPSVAILAK VAA CC84DRAFT_1169548 MEDLLNIYTSDGVIMAPGFKPAVGFEALKSSYERIFSTIKLEID FTIDEIVVMNDEWAFARTTAEGTKHWLKKGTQEFHHNQEIFICRKEGGKWKIARYIFS SMKPLQ CC84DRAFT_1104648 MNFGIVGAGIAGLGAAIALRRAGHHVEVYEKSTFKREIGAAILL TPNANRILRRWGFDFDKARPVDFKQYRLLNATSLEILDRDGFEDVEERFGARMCAYHR VDLHSGLRELAEKAGATINLGAEVVDVKPEDGVVTLATVQTVQKDLWVLADGSRCPFL ETISGEDNPTKKIGKSVYRWLAPMDKVLEHPDAKKLWNGQGAGFCTFSEPKAGITMVT YPCRGGTLLNCAVFHDTRVEEINAMDWNADSSHERVLQMLENCHEVVKHIPHTAEQMK VYTVTQRPPSKRIWRGRMLCVGDVVHHMLPTHAQGGCSALEDAAALEILFDASTISPN AGDLAKRLELYTQLRLPRSATTQILSSTNPWLTMEQVAKKTEEIRQFYEGNLVDWPKG LEPWSAPIREFFYGYDAVGEAEEAMKYRDGGRLPEGWKWFGEVDKAMEV CC84DRAFT_1169550 MARLNTHTSATPLRSRATTVDTLYRDPTPAGRGSTARTSSYSVM SPALSQSSDKENDIPESRQNTPSPASKRGSMAGTRAQRLPTPDSASTSNPNKRLRTSK YDSANSELRGSAAAGIGIYEDGQENEEDAEEEDDFEQALPTPTDAELEDADGQDETED PELPAPEDDDDDPDMRYYNPQQDPRKRRQIRSNYRNLQRELEDNRDEYIKPNSNRLNE LVPQATRVFSKVRMTADAVLDSHFLTSVTDLSSKQLKNSVNQGNHGIGVDLDQFVSRC IFFMKEGRPPGAQEDAQPSSRRPRQMQVDEADEEGDGDGEGLDWAYLGRHACFPSNSR PPLSSFLLGPLSVQKRVRNMTRRARAQRQPAGPATRPQEIKLSEMDKSENSNLTHQVK TVGERLKDHLNRASELVTNELELLTSDPTDDEMGDVFRRHRVCALESEEAAVSLFDFA INPHSFGQTVENLFYISFLIREGAAKVETDADGLPLLAPEASTADQRREQNVEVQRRQ AVFSIDYATWRTLIEAFDIKEPLIPHRAPEQTNIGASGWYS CC84DRAFT_1104805 MEAFHFATTTDLSELPVHVKIDRLDGHQKPIPYSVLLKRPDLRH RASNTNPHSELYVTAQIWADSKPLTVDVQTAYKSFKNARIWGEWLPLPITYASLPVSA QLAITIWDLSPAEDKDSDRHAIPFGGTTIPLFDKDNTLHNGRQRCRIHRFKAADGLSN TTTPWVIPPARKGKNDAPQEHAVDEQIAEMQRLEELLKKQEMGDIPETQWLDKLVFRK MEEIKQKAIKAEHAAMNGRKSRPNGLNGHGGEDADDDIFYLDIELPRFDHSIVFTDNE YPPPPVSDIKLLSDSEIRLRPPPEVSFGPGIGNEDAEAGRLIRIYDPEIGRRANPAED KHRELMRSQQTDSLDRDRKPNVHVRDKLFGLLNRGPLDEITTQERDEIWKFRYFLSTK KRALTKFVKCVNWHNEREARQAAPMLDKWAEIDVDDALELLGSQFDHPVVRSYAVERL KKADDDELQLYLLQLVQALKYEAAGDGDDSSLARFLVTRAANSLALGNFLFWYLVVEV SDSSNDQAPEHRELFAKVEYDFMTELETTPEGTEIRRTFRRQVELLTVLGKLSKEVRY GGGDRPAKITRLKKALADPKNDLLSFDPLPLPLDPSVLINGIYPDDCNVLKSSLLPIV LNFRTTANAKYPIIFKTGDDLRQDQLVIQIITLMDQLLKKENLDLKLTPYRILATSAS TGAFQFIPSMSLAAALQKHKGSLLSYLRANNPDDAAPLGVRKEAMDTYIKSCAGYSVI TYLLGVGDRHLDNLLISPSGAFFHVDFGYILGRDPKPYAPQMKIAPQMIEGMGGPEHP NYLAFKEYCFTAWSTLRKSSNLILNLFALMKEANIPDIKVEREGSVRKVEQRMWLGKS DGDAGREFEKLIEESVNDRMAGVIDAAHDWMQWLKK CC84DRAFT_403624 MVTPTLVLLGAVFVVSSTVFLLLKKGRREVLLSRLHWERRRFSG SKTPPRSLSPEKETNNSAPDYSDVYPPSRKIALADIPGFAEKLGKSQDDVILSAEKRE CVPLTTELHDAKKTMCTPCEFTVEEIQALGDFPDYATLSGIPLPEPYYDFDIKKAKPR PYRPFRWAYHQTMSALTKMEPDWWLELESTYEERIRQRKEIYTRERENVLNMLPGSYF ACKELMEMSLQFLCARYPQYFHLDTEKMIFYNSILKTESDLKNTHPLHVLFSNVPEDF AITMRNPDDGLYSFRAGTICSALGWNVGSKIGKTLPEIHAPVPDYKEKMQFSMDRYFT KKPTDKAIQRGSWGLEVDQPLYMPPGDPHEKLRDVQMPELDISRCNLRVDWQTLRRLP LSGAIVFNFKALFTPVEEFRAEPFVPALVLKVLNEAKPNLMAYKNTWHTEHVVKPALE AWAKEQVEKGWVPEDWEPHTLDDSPYFPGWEEKWHRQQGF CC84DRAFT_403595 MGSVYHKRQQCSTVCEDQGNQRSGRRPTATRRSIDEHPSPAYSY FRRYPSLDRGRAGGGSDWTCILSWVSSKWLNSPSGGSHRRMLARPALDATTAAASALI IRKPAWYVPVPSAGRLPWSSRLLEPPWRPSALLPRCHFTMSITHRCTPLSFDKNRAIL SLAGHVHLVHCGPLLLRLSSFYMR CC84DRAFT_1232961 MARSSFASSGVIPTSPEQQFQATESFDVLQASKGITSQTRLQQQ KRRFSIRDSEAFVSTAIAPNGWVAVATPSEIRLYNIECQNLNKDVTPTALIRPKSLSK GESVRAVAISDHLLAVVTHLRLIVYEYQETGNVEDNSLSEVRINQKAAWTPRSLSILQ VDATDTDQRAAAWIAVGGEGVNGVRLYQYSQRPCWTALRNCHLTLKCPRNTGLVQSVG FSKFVRMNCFVVFAVTSENHVVCWSVHAQGIGKLHTLSTSHHLAEYAMSDVQQPHRGE VTAVNIFESPSERPYIFVAINQKHGSQLMRSFIAPLGAPSSQWRSLPGNTTGRQALSA AATRNGRFIVVVEDGALKLLALRGAFGGGLTCSDHTVEWASSLRETAKDICAISLAVK ETLGCIEITGIDGRGHLLSARASVPGMPVTAPPSLTMRGFSMAELHGDHVVRTVPELC GKEISQARRVGLTVRSDWEPFGGG CC84DRAFT_1169554 MSDIRPLDRKPYYVDHFEHLHRVLGRPQLTGIGISGCVGVGIFV TSGSLITTSGSLGGPLSYLVAGIIAACVLYTLTEMVACRPLTGALIDLPHKFLDPAAG FAVAASYSLGNILSMACLTAYSAELTALMKSGNRPARHSPGVEVGITVAFIALTTLTH CFGVKLYGTIERVVAAFKLCLFILVCILMLVINVGAAGRRTGSYRGNYTTMAFPPGFK PTGFNASSDDLLHGKDTADTQFGIPGSGGRVFGFLTSVTLAMFSCFGGETIAMTAGEA KDAFRDVPVVMSFVYIIPLSLYPLVLISAGANVNYADSDLPVMWAQGNGSGGLSPFVV AVQTSAIAGVAKALHLFFIISAYTAANTELYVASRSVFMLAQTYLPRTVADIFGRTNN GHTPLSAILLCSMFGFVSLAGLSRQAYDQPRQTLSAFFTGSIACVYICECVTFLKFKA GLKRLEERKILSRNDPLYISRMFKSRWQPLPAYIGIVGCTFVVIWSGIPPLYILGARG GLTSTKHLKSSVGLACDVLGAYSGPFLFAVFYLTYKYITPRSFSVKLDDLTPGDYVLG DLAVIEGEDPIASDAVVEPDGYQLEAQRWSTASPKYASAEFEMSPDLQEEYEMQRGNE EERQRIEEVLLRRPERLERALWRELWSCVVADKQARSTSE CC84DRAFT_1223123 MKFTQIIAGFALATTTLAIAIPGDCGLNPHANCKRVAIPEPEPA NCGPNPHSNCKRTPIPEPEPMPEPSDCGPNPHSNCKRAPAPKPAVVRRLLDREVMTEH PAR CC84DRAFT_1051067 MRFSIFTAATAALAGSAAAVDHLVVVGNGTLTFEPANVTAAEGD TVTFKFWPKSHSVAQAAFASPCTPMANGFWSGFIPSEKGAAMETFMINITNASQPLWY YCSRGNHCQEGMVGVINAPKSGQKTLAAFAEA CC84DRAFT_1104243 MKFSALALGFASLASAITVSYDTGYDDQSRAMTAVSCSDGANGL ITKYGWQTQANIKTPYYGGYQGIAGWNSAQCGTCYSLTYKGKTIYVLAIDHTAAGFNI NKKGLDALTNNQATQLGRVDAQYAQVALSKCGL CC84DRAFT_1169559 MDAQKQNLIITKITQAGKPTIVQVTEAGIIGKIGFNSSGVGTLL NAIKVHGVDASRMPVHFGLRAALESNTAREAVQKLESYGMAASAHILISDSSEALGLE FTKSTFAHCKADGKGRIAHANHLLLEHPGEVDTVWLKDSPVRVKTMTNNTEKLSTEPS WEDISRLFEDEHGFPHSICRQGQDGGSTTLFNIVIDLKAKKGVVRLGRPTQAEETVSL EL CC84DRAFT_1169561 MTYTYFVRLPLTFNEQHLDFLHSQPNFKSIGATAFEIRHCVLIS LPHHCAPSAPNSVADRAPPTLILPVIELVATRAQSRACTRSLLDTRPAMPRTLATRDA ALESRKANVAVANGHARSCVRAVGLVERNISRSSSLIPGIFTSSHTPYP CC84DRAFT_1131391 MPKRALCSYGIDIDAVSGWINTRDGKPANPTDISRGVFGATVGI DRLLKLWDKYNIKTTWFTPAHSAESFPAQIRKIVEKGHEIGLHGYTHEFVSTLSEQQQ RDVLERSIRVLTEITGKRPRGWTAPAWSTSKETVKLLEEFGIEYDHSFMHHDSQPYYV PDPSLSTHTETDVSKPASHWMSPMSTLKPSSVVEIPANWHLDDWPPFQLSLSQASTHG FVDTAVIERLWKEQFEYFYRECPEDGSFVFPIS CC84DRAFT_1210313 MGVFSLIFLFLALYKYACADDGYHNRAMWFVGNTTVPPPTENEP DKSEKVGTTVINGCNYPVYIWEAWESESHQTFKRSLPARSKETYKIIDNYLLPGNKMC TDNCGVTYKLSKPDGLVGGVGGNQVQFEYSTRKGLFY CC84DRAFT_1104448 MLNRTKVRRRVLACARCRKRKLSCDGKVPACTRCVDAGVECVGF DSSTQKEAPRSIAGFLEAHIASLNNANSPTAFRTPGRLSVAFPSPAASHDSESNPGCS VGKCSRTDSLVDLAMQDITPKFLGVTQKRPFLSCVVKGTQLPSRKGPVGSKDLDENNP RSIINPQTNTNYMDSIAWKTASGLFNNYLERVITQYPIYHRNDVTAAFNAVYVPMSSP RQATSRDRYIVSIIMAISLSTAARTKQEKANALASLIVRQAMQYIPDVATNDVPGLQA ILLLTQYIFLNPSIADVWLLTGLISQAVIDLGLHQELPNDSNISAYHRDMRRRLFWCA WEMEIGVCCIFQYPFTLPIQPTNVEFPVEVDDTSITQTGIDYSGRVSKFTSRRICLFR LLEAEIISVMWHGQPLPPNCPSIEHWKQSCMQRIEKWKSEIYEAAAANRDPSFAERWK EMSLYSEITVPYVAVAVYRPTKAVPSPPPDQLLVAFHNTVLVANGYCQQNDADYGRIK YVFHPCHHVFNCATVFLRILACCPRQISEIYSFEMIEDYTVRFATCFHNIAERWPAAR RCLDEYERLLEPVKQGYQDFLARKAMQARISETPAETAYNTYFAEQMDDTVNSWPMFN PTAASSMSDPLSATSYNAPYDWHTEFDLDFGRESGTMHDVAPQFSTPPSL CC84DRAFT_1223130 MAKTSCCHGGAPSAHWCPAVPLYRIAKSGSYIAKLIDHVHKLKA HANPSPAASGPTPQDPAASTPTSVVKNEFFKSDSVVSEPLVHPVQPEHFFAEAAGSYR YLGAEACLVKSPRQKAKELNWGVGDEDDDEWRISIQQSDAKNHELVEVYIEQIQALYP ILDVSARYLASELPSDLTAVELFHLNMIYSIGCHVTPLILSKKVRQREGFGKIGNPSD DRLWSRTGRHTYRMAHTQNYTLLAQNFLETAELYMEAATADATVEGLRAILLLAINSL FDPLRGNIGQQIAIATRLALALEQKSHDMSPSDLDMVHRMHTTIFSLENEIATVLDRP ATFPEPEGELCFDLNRPADYFCSIYRLQNRWRKGNAATKAWALNQLPPLDLKYKLPPS LRLILHQTRLLFDPVWQTAWQVLEAVVETGSIHIYLTPHWVYRAATVVMKENWGGFHP EDVITLYSNALVVLQISSWKWGSAAALAGSLENLMQQKRLKTTGDLWDKTHTVYDVRF CC84DRAFT_1104179 MSTSVDSVTAQMANTSLENTNEPAGTQPTAAENEAVLASAAEGR RLYIGNLAYATTEGELKDFFKDYLVESVTIPTNPRTSRPVGYAFVALSTPSEAERAIA ELNGKAILERKVSIQLARTPEQHAEGTGSGAEGASGGEHRRRASTRGRGRGRGRGGRA GRGGRAGADGTDGAEQVELVDAAPADSTPTNVPGQVVGLTETTNEALTADKTDKKAAP RERKQRGPPEDGVPSKTKVMVANLPYDLKEDRLLEIFKDYSPVNAKIALRPIPRFMVK KLQARGEPRKGRGFGFVTLGSEELQQKACNEMNGKEIEGREIAVKVAIDSPGKEDDHM EAPAEGEAAATAPAGDAAEAPAESTETPAAAPAATAA CC84DRAFT_1104183 MAAPARRALVVYGSETGTAQDVAEELGRIAERLRFDTEVVELDA ISLNQLLQHSVVLISISTTGQGELPANSQKFWRTLRSARLRPGCLHQLRFASFGLGDT SYPKFNWAHRKLYNRLIQLGAQPICDRGESDEQHPEGVDGSFLPWSITLRHRLLEQYP LPDGTEPIPDDVLLEPKWLLDFADEQHHEAESDKVVSNGTEEVPPDDLLDIPGGLTAS VASNDRLTPQSHWQDVRQLNLDIPDYHPYIPGDVLTIYPKNFPTDVNELLSVMKWTSI ADIPLRFAPSSDATLPTSRLPLPHFTSTTTVTLRTLLTNHLDIMSIPRRSFFAQLAHF TSDEFQKERLLEFTDPEYIDELYDYTSRPRRSILEVLQEFETVKIPWQRLCSIIPTMR GRQFSIASALNPAAEAKKRTRIQLLIAIVKYKTVIKRIRQGVCTRYVASLRLGQRLTV TLQKGGLGVTKAELERPVVMVGPGTGVAPMRALTYQRKFWREELQRKDDAVRDLLFFG CRNAESDYYFKDEWAQLQKEGIPLDVFAAFSRDQRQKVYVQDLIRQESARVYEALAKR DGIVYICGSSGRMPQAIREALIEAFQSHGNLERAAAEAYLAAMEKSGRYKQETW CC84DRAFT_1181574 MHLPPEIHDEILRYLDRQDIGIYRLVGRSFATAGTPQLFERLHF RTSLESLQRLAHISKRDCGGHVKHLLWNTTGAEFEARAFMEGLRTRELKYILGRLSLD TPTRTVTAVGEQVDLSPSLGAFLLAAIFSGFPNLKSLYVLTLRTSPSAYGSDGWVQRE FDATSDPWPAAWKATHGCPRCQGLCQAVVSTGSYEMQVGALAAHATGHPLTALRVEHL YPAGVVVTGYEKALAHITSLDLVLGMRKKDVGSVQRMLRATPHLRVLKLWLNSGSYWA DVDHDTPLPNLADMLLGQDSLTELRELEVHQFDVAPAFLEAFLLSHARTLRVLKMHRM KLYPRGSWIALFGLLKGKLALEVGWVRRKLGYG CC84DRAFT_403656 MRFVRSSVSVLALPATTFGRAGHDSRITARAETPLDCALPTMSC STCMLARECTPRFAQLPAREYRRWCTRAWNVTIAAVPIATSSVAVGCNHDIKSLACRR PT CC84DRAFT_1104811 MVFATVLLLLQLLIPAASFTVDDIHTGFNYGAFWGTPDKPKRKG DYGYAFRAAKSLNSSVAFDSPRLFTCRQPGTTDEYIEAFDAAVEMHTYLLLGFYVSEM NKSAQIPRQSYETNADMLKYELRALEKALAHHGRALADLIIGLSVGNEDMESLYSDAV TTVVSENVITSNIATVQNAVLGSAFGWDKLFKTLPPIGHADTARNAAVQNADFIGANI FPFWHSDPSEKARASFNESLQEVKKRDGKAPVWIREAGWPSAGKGTTVSLKNMQKYWS TVVCSLIDRYTIFSFELKKDSHDPGDLDWGLIEKSSQKPKISDLSCLGSPDFPSLPPL AGLSTSHTITPISAPMNTSTFLATSLTSVPNGYMSVQHVDPLTSPLTSVFASQDRASS NSGSTTHITITSTITAYAAPSNSVSQEGTSQPASSSFSETHVTITSTITVPP CC84DRAFT_1169572 MPSLARSGAQFLRSRCAPRLNAHQTRALSSSAARWKRSDDELNW VSHAITQPKSQGASQAMLYATGMDEQDMNKAQVGISSVWYSGNPCNMHLLDLNNKVKE GVQRAGLLGMQFNTIGVSDGISMGTKGMRYSLQSRDLIADSIETVMGGQWYDANISIP GCDKNMPGVIMAMGRVNRPSLMVYGGTIQPGCASSMPADKQQVDIVSAFQAYGQFITG DISEEQRFDIIRHACNGQGACGGMYTANTMATAIEVMGLTLPGSSSNTANSKGKVLEC LAAGGAIKNLLKEDIRPRDIITRKSLENAMVLVSVTGGSTNAVLHLIAIADSVGIKLT LDDFQSVSDRIPLLADLKPSGKYVMADVHDIGGTPALCKFLLKEGLIDGDTITVTGKT LKQNLEHAQDFPADQKIFRGLDNPIKETGHLQILRGNLAPGGSVGKITGKEGTKFTGK ARCYNAEDEFITSLEAGEFKKGEKTVVVIRYEGPKGGPGMPEMLKPSSAIMGAGLGHD VALITDGRFSGGSHGFLIGHIVPEAQEGGPIGLVKDGDEITIDAEENLLNVNISDAEM EQRKKDYVAPPLKFRRGTLFKYARFVKDASHGCVTDAE CC84DRAFT_1200107 MASQNGAPSSPHADISFPVNRPAEPGKWTPELDAKIRAQEAANI QLKKTILPRYLWPGGDRSLAGIAIRAFLLGVSGAMGFLLTVALAYNGIRLWRPCFFLG VLCVFHFLEFWTTAAYNTPTAYISSFLLTNGSRYRQAHTVAFVETITTSYFFPGWQAC VHPPWVVALGVVMIVVGQVVRSLAMVQAGTNFNHQVQQHKNEGHELVTTGLYSVFRHP SYFGFFWWGLGTQVALGNTVSFVGYAGILWYFFYTRITHEEKHLIEFFGDDYKAYKTR TRVWIPFI CC84DRAFT_1210321 MELSENKQKMLRGELYYAFVPELTAERNRCGQACARYNNAGDVS RRRLAELFQDILCDKAALPPPATTPEEDEKLFEDHPWVCRPVNMDYGYNVKLGKNVMI NFNATFLDTCEISVGSRTMCGPNVSFYSATHPLDPVLRQGTKGPELGKEIHVGEDCWI GGNVVILPGVNIGRGSVVGAGSVVTKSVPDFTVVAGNPARVIRKIETAMDPASGKVWE GWEKEVVEGAEVPMAEMGGRDEATQTLPEAK CC84DRAFT_405521 MKYLILSALLASAAIAAPTPANRNQGGNANGGNNANANANSNQA GAGAAKGTAGNTGAAGAAGTAGTAGAATGATGAAGTQGTTGQTGATGQTGATQPAAGT PGTITPGTSSAVPPPPGGAPGQTPTAGDLATAVANWMADTSMVSQFLNSGPTIQDDAA FKQAALVAFNAEVDELTHKAVIDAANGQLPNVQAANSTLATGGAFQDVVDKLQLMSQQ GKAAANNIDLINQNRCTNVLPNIDAYMASTGSNSQAVRPNVCDQTGVIGGVQSNGPTL PGQTPGTPQQAFAAAKALASGTGTGQMSQTAGTAGTAANAGAPKTGANGKGTGVNGNQ GAGTVANPNVAGGAQGTQAGQTTQGVNTGANTGAQQGTNAGTAAQGTQGTATGAQQGQ TGTAAQAQQGANTGAQRQGQTGAAAQAQQGCQHWRSTSGPDRRRCSGTAGCQHWCSAT GPGWGRCSGQRQLSISREILRRAWICWQCEVGWKMDLLV CC84DRAFT_1264415 MAEAEKAGPAPVDLERELTCSICTDLLYQPLTLLDCLHTFCGAC LKEWFAFQASTATSIHPYTCPSCRASVRATKPNATVTTLLDMFIQAHPHRGKSEQEKQ ADRDKFKYGDVVMPKLRRRAVQDEDEERRVLEEVQQLSLREVGIDSTTNVATVDHLEP PRERRRRERREHSGDRRERSADTRGADRRSGSRNQAGVSSATREVVPPRVIEHQSSLR SLLSASELDSQEMEEEIMRQIMEEGLLDGIDLNNIDVAQEDEISERIAQAYRRRQEQK RRERRERRERLAREGQISASGTSTPEIRSPPAREDDPQRRRTHARSSSSTPIPRDRDN RPPVSRPGLLDVANQGSRTRHTRSSSQGSSRSAREHRPTPLAVSSTRAHNNSQPELLG PTSALANNSDRRRQSDNEQRSTLEGRDQFRSSLQTHTFSNPDSPRRAAFNFITNSENP TTGSPVVASPVTSPISSVPPPATAPAVSASRRTTDPTGIRQPRAPHTTTPPTATPPTF PRSTTDPAIGQSHSPAASATAPAAPPTMYPEPRISCRGCGRDHIEYELHYNCSQCDEG GYNLCQPCYRRGKGCNHWFGFGWAAWPAYQAKAPPGGYPANHDLPHIMRGHRFRKPQA RLTETAAAATPHVLQSEDDPSHRLESGVFCDRCAQWADGCYWKCDYCNEGEWGYCNDC VNQGRHCTHALLPISHVGRERASLSSTPAATNTERPSTPRSNHLAPPSALDSSPPTSP PLTPKAASLISGPGHVTLAKSTYRPLTFTTLCNICTYPIPPSHTRYHCLQCNSGDYDI CSGCYQKLVLSGRISKEDGMHGWRRCLRRHRMIVVGFEDRDGGQRRVVVRDLVGGFSL RDETESVSPPSPSQPAHAEKWTWRDTDGSRQQFRSPNMPRSALSTQRFPPDGGVGLRI QARWSYFPAEGVTDELAFPKFAEITEAEDINGDWCWGVYAGTKGLFPGGYGRVVGGSG EREAARALSQADLPPSVEKAYYKKCIDLRRRITDIEESNDGTRVRIRRLHRGIQKMRL ERAFLLEQLQKHMEFNMDDSDRSSSPPPTPTDKPLRSKRSHRKGTPPAGSQTGGAASA QQESPSNSHHQPLLHAMNPMSSAQSTPDPSRSNNPFFTNVGATATSPHAVNGNAQAIL PPLHSLPPLQPHRAPEPTRGAYFDPVNDEARPPPGETDPEYAGRRRTLSGTNPPVSEP REVQNGDTEMTDAAGSGFTSVNRG CC84DRAFT_1264416 MAGRPPGAPPSHNENLIDFDDHDEHQPYQYNPGAPPPHNDEELL RRYNADQATTPGRPSESYDEFLGGRPAAPGLPGGPGAPAAGATPYSNSGGMYSQTSDL NNYARYSDADVHIDEDQSVQGYYAAGGGYEPPAGMRRANTGKANRNSILSLGGGLTGR VKNMFGQRPEYSEMDLPLTEHAAQQGQQESRGAYDDEAKAPKKRSSGVFKFGFGRGAP DPSTLGPRVIHLNNPPANAANKYVDNHVSTTKYNIVTFLPKFLYEQFSKYANLFFLFT AILQQIPGISPTKRYTTIVPLGVVLLVSAVKEYVEDYRRRQSDSTLNNSKAQVLKGSS FQDARWIEVAVGDIVRVESETPFPADLVLLASSEPEGLCYIETANLDGETNLKIKQAI PETADLVSTAELGRLGGKLKSEQPNSSLYTYEATLTIAAGGGEKELPLAPDQLLLRGA TLRNTPWIHGVVVFTGHETKLMRNATATPIKTTAVERMVNKQILMLVAILIGLSIISS VGDVLIRTTKGGTLEYLRYDSFNGASQFFLDLLTYWVLYSNLVPISLFVTIEIVKYWT GILIDSDLDIYYEPTDTPANCRTSSLVEELGQIEYIFSDKTGTLTQNMMVFKQSSIAG IQYSDEIPEDRRATFEDGVEVGIHDFKKLEENRKTHRDRDIIDNFLTLLSTCHTVIPE RGGEKQEIKYQAASPDEGALVEGAVQLGYTFIARKPRSVTILVDGQEREYELLAVLEF NSTRKRMSTIFRTPQGKIACFTKGADTVILERLGKNNPYVEVTLTHLEEYAAEGLRTL CLAMREIPENEFQDWYKIFTKAQTTVSGNRADELDKAAELIEHDLTLLGATAIEDKLQ DGVPDTIATLQTAGIKIWVLTGDRQETAINIGMSCKLISEDMSLLIINEENKEATKDN IHKKLNAINSQNQGGAEMDVLALVIDGKSLTYALEKDLEKTFLDLAVKCKAVICCRVS PLQKALVVKLVKRHLKAILLAIGDGANDVSMIQAAHIGVGISGVEGLQAARSADISIG QFRYLRKLLLVHGAWSYQRVSKVILYSFYKNIALFMTQFWYSFQNAFSGQIIYESWTL TFYNVFFTAAPPFVMGIFDQFVSARLLDRYPQLYRLSQSGVFFKMHSFWSWVANGFYH SLVLYFVSQAIVLWDWPQPDGLNAGHWVWGTALYTAALATVLLKAALITNIWTKYAFV AIPGSMALWFILMPAYATVAPMINVSPEYIGVIQRLFPDPRFWVMLCVLPPLCLIRDF AWKYAKRMYFPQSYHHVQEIQKYNIQDYRPRMEQFQKAIRKVRQVQRMRKQRGYAFSQ TDESQARVLQAYDTTRERDRYGHMASSRR CC84DRAFT_1169580 MERYSRSPSPYRTQHRRRHRSSRPTRSPTPYRWRSPRERLKRGR SFQRQDSYELSDSRRATSQQRGHSRYPDRHPEYAAYRPYSIGRYARRERRDGRDHSPL YYVQFENGSTRIFKEVTRFPGPNLDPRMIYDSS CC84DRAFT_1157534 MAAIGLDPSTEEFSVVDEEKSLSEKEDAPPAFDITRISSIEPPP NGGWVAWRQVLGGHIVTFFTWGFITSFGMFQAHYTSIGLSTPSNISWIGALTVFFLLS TPLISGAASDTGHFKLVLRMGIGLWLVGIFMTSICTQYWQFVLAQGFCIGLANGCMFV PMISVISTYFDATKRSFAISIVLCGSGTGGLVIPIMLNRLIDRIGFGWAVRTLGFMAL GLLLIAERLLKKRLPPKDSVRMLEPRELKDAVFDLFILGSVFCFAGLWFAFFYINAFA RRTLGLTLEESIPFLLVLNGVGIPGRLIPAYIADHYCRPLTISLIVSFVTALLLYCWI AIRSVAGMYTFAVLYGLFAAALQAMFPATLADLTLEPKKIGTRMGMGFALSSFGCLIG GPGGGALVEVGNGTYLYGQVLAGSCGILGLFCFGAAAIMHERKAKQMEVAK CC84DRAFT_1191362 MYRFSNIYVLAAFGTIGGALFGFDVSSMSAWLDTDQYLEYFNHP NSDLQGGITASMSGGSFIGAIAAGFLADKLGRKQALQVACAVFVIGCAVVCSSQNVAQ LIVGRMINGFSIGICSSQVCVYLAELAPSKIRGRIVGIQQWSIEWGILIMYLICYGCI QGISGPAAFRVAWGVQGIPAIILFFALFLFPESPRWLAGRERWEEVHQILAELHGNGD RNDPIVLAEYEEVREAQRIAAESKGVGFFELFGPRIWKRTLAGTSVQMWQQLLGGNVA MYYVVYIFQMAGLSGNTNLYSSAIQYVIFLVTTGLMLPFIDRIGRRQLLIGGALICMC LHYSTAAIMATYGNPVGNVFGNENLKLEITGAPGKAVIALSYIFTGIYGLTWAPTGWI YCSEVFPLKYRAKGVGLSAATNWIFNFALAYFLPPSFKNITWKTYIIFGVFCTVMTIH IFFTYPETARKTLEEIDVVFDSKIPPWRTNSNGKDSSVDRKEVA CC84DRAFT_1181586 MEPVKNQINKIKTSVQAWCNFKALESSVANMSYSQLVNLPTELL REIFEYTTVCDKSNAISIMILRATCQRFRCFFDDPLATIEPAAKSVMQQRYSYFLRSR HLYSLIRSETKGRLVCIACMRLLPAKLFFSTPVKVPSHKRLCKGHEGELDFFRPGYGS QTWEKLHAGGLQYWNPVRDYWWPSEPSQQYRGSPVEWYHSLRNMRRELGPTDNAQKGV FFGPASVHNHYYGRMNDNQLPIEATDFLLRREWVLCEAPRMDDKTASAVGSKVDSVLS RIMDGKQIEYIKMCPHMCGRNVASKSQVRKLLRFKTVHCPIRSCKTWLRIEQQTIALH DKDVRLSTESAKPQREKANETSSTGKAKEWLVLVVVRNVGSMKDPTDPRWISQLDVPQ WPGPSRTNYCPPLWLIAQSGYTT CC84DRAFT_1169582 MSTAPYPEANRVCDFWFNRPPIEWIIAPDGLDAQMKSEFGDLVK QARCGELDDWTSHPESSVALVAILDQFSRNLFRGTPEAFSADVKAREVAAKAIAQDFD KQVSVTKASAFYMPLMQDENLISLIAARCLFEALKARCTNEEEHEWVDGAIEACPRHI VQLERFGRYPSRNTILGRKTTKEEEEFLRNRKF CC84DRAFT_1233008 MPGQPHKVYPRPEDVPYQHIQPIGHGGQASVDSVKRKNKRIHEE VRIANGLVHPHIVRLIETYQCKNMYAIIMEPVAQGNLAAYLSDLDELPVGQDAGRREC LAQWFYCLTNALYYIHESGIRHRDIKPQNILTLDGFVYLTDFSISETFQEATISGSTE IVGTRTYRSPERDSRKRSGRREDIFSLGAVFLEMLTAYTRQGMLKEWHDFRGGPYCHK IDKIEQWIQRLHTVSIDMQRVTHQNAPKMPFWYGALLSLCGFMLEQQPKNRPHADVLV AAWRFRAISFDYKTYWLPIAQCDCGPLSPNHESRPYHQWTHILRILRRSNWSPERDVI EARNTITEEWYKHRIERLNVCQELREPLQDRHQILGENLGASAIALPLKLYFRASLVL GDAHLTTALATA CC84DRAFT_1157540 MQFSSFVLALFGFLSTLVTASQCTGHKENAGYCTVLTYEDRTTL NTSPPSTSQCERSCKDVLTDAGDWIVSFNGKPAGYVQHMVNSDCSFSVGRGTGEPSDY QFYMDNQDIVDIIDEVNVRFGGKHGGRVSAQGTMKCQGRLATWYVD CC84DRAFT_1157544 MAASKRKVLRVAEKKKPLPVTVLSGFLGSGKTTLLRHILQSPDH GLRIAVIVNDMAAVNVDGNLIARESNSSKSRSGEHPAQRVVKEKVIQMQNGCICCTLR EDLLTELARLAWSEQSFDHVVIESSGISEPQQVAETFTAELTEAIVDAEGMETEERET FLQVAKIGGLKSIATVDTMVTVVDAFRFFAEFDTAEFLQDRFGKDDVPEEDQRTISDL FADQLEFANVIIVNKVDMVDRKTLGRVRAYVKTLNPTAKVIEAKYSKVNVKEILNTGR FDFAEAVASPGWLRSLHEMTVMDVHGKKRVAPKPETLEYGIGSFVYRARRPFDPLRLY RALEGKFFLLQDEADENEDEEMSDDVDEGSSNLEHSDSASEASDDPPAIDPSEILANK KACSLFQGLHRSKGIFWLATRPSQMGSWSTAGAMLTLGSEMPWFCTVSEDQWMADEHT LKNIKLDFEGEWGDRRQELVLIGEKLDMEGLTKMLDGCLLSRAEMRKWEGVMHDSKLS EEEKEERLQDIWDDSYWAEWGRAVDEEHDHSGHDHTH CC84DRAFT_1157545 MPTTLKEFESVWPRIAADVADHAKSYKLPQQPLDWFKESLDYNT VGGKCNRGMSVVDTASILLNKPLDPSSEDYFQAALLGWMIELLQAFFLVSDDIMDASK TRRGQPCWYLKPGVGMVAINDAFLLESSIYVLLKKHFRQHKNYVDMVELFHEVSFQTE LGQQCDLLTAPEDHVDLDNFSLDKFSFIVIFKTAYYSFYLPVALALYYMGAATPKNLQ TSLDILIPMGEYFQAQDDYLDAFADPEVLGKIGTDIQDNKCSWVINQALKKVTPEQRK VLEENYGRKDAQKEAKVKELYQELKLAEFYQQWEEERVADLKAKIAQVDESEGLKKEV FEAFLKKIYKRSK CC84DRAFT_1131439 MGSLSQAETAQLKAQLQDAVTCCSDRGLYQAAKWAAELLVSFPE SDDDDALTDVDSPMSDAPPRTPNAVPRDATEARLEARETHKYLLAKAFFDCREYDRCA AVFLPSALPKGAAIGTSPPSAKSQAKGKARQGTPTTAQRSSGGVHALSQKSLFLALYA KYLAGERRVNEDSEVILGPRDGGVVNKELQGISTVLEEWFSNLPSSGRQPQGWLEYLY GVVLAKGKNESLAKDYFIQSVTYYTFNWAAWQELASLLGTAEELDDIVPRLPQNLMAF IFHVTASQELYRADEQVHDSLTNILSIFPTSAFLQSQRGLLYYHTKDFEPAEKVFSDI LLAEPHRLDHLDNYSNILYVMGNRPKLAFLAQLASNTDKFRPETCCVIGNYYSLKSDH EKAVMYFRRALVLDRSFLSAWTLMGHEFVEMKNTHAAIDSYRRAVDVNRKDYRAWYGL GHTYELLEMHSYALFYHQRATALRPFDPKMWMAVGQCFGKVGKITNGIRAYKRALVAG SYYDAGAGSSFGSGDMSALGGGILDPEVLYHIALLYERLHDMQECAAYMELVLAQEEG PDYDESNPDAGGGVGVTATTSKARLWLARWEYMRGVYQRAMELANELCQDGVEVEDAK ALVRDIRARMERGKHNELETP CC84DRAFT_1223148 MGGLYHGDVARGDTGMGAYGFNTMRVKQKDFLPVIWSMIGFSFI FLPIRYWTRWRTFGRFFADDLFALLAWLSAAAMGAVAIWMVDSMYWTMNLASSPIDPS LFNPSLLNYVRRYSYGTNICYMLMYTSLWSVKLSFLLFFYRLGPRLITGIKWHWWSVA VVTMAAYAATFATYPYMCSFGTYEQIMTPYCTAEQSMSFVNLKVNVGLDVGTDVLIMT IPLNILWRSRIPRAQSLALGGVFSLVLVTITIAIVRAALSTVGVTKQMDSPWVLVWSA AEANIAIVVACIGSFRMLFVVANRREEGMRRGEIDIRGLGLGARRRRMVVKSTTVEGG QSVEEMASVGGSGAEWGEMGAVESTAGNVSIGGLHILLRFVMVAHEYPLVVPLRIIAD LSGVGAIARTWQAQALTLLFTTQRNIVTTGWAKRTFFSLLLGKNGSGLALEVAGKSDD TVSELARALIEGTEDTVEINEDENENENTDEYEGIRPAGLRLRRVFK CC84DRAFT_1131443 MDAVNTAHVGDTPITCVNMHTTGEPTRIIISGYPDLSGTLLEQR VEARAKHDHIRKRLMLEPAGHADMYGAILIKETELTKSGEAHVGVLFLTNEGYSTMCG HATIALGRLLVDTQDLSVFPRRKDVQHDPHTKTAVVNLHAPCGLVRITVPTTEDGTQS DPTRPVTFVNVPSFATGKDIRVDVPDADVWPELGQRGHVKVSFCYGGAFTCLVSVEEL GFDNLRQPLDHSALTFATRNLKSIVNREHQYQKYITHPEHNDLSSVYTLIVVDKSLGK PIGNSKGAETGLCYFADQQIDRSPTGSATAARVAFAFATGDLALGESWTYHSLVSNAS NGQGGFVGTVLETIPELYDEETMLAQPVCVRVSGQAFYVGSSTYVSETEDPFGRGGFL CC84DRAFT_1157553 MRLQSSLPSFLVCFAPLASAFPTAVLEAAAHDPSILKRAAEIAK LLENRQAGADAATGIFEPVNTFNAQAQYINVTKGSGHEYVAPGPNDLRGPCPGLNAFA NHNFLPHNGYASVTQYIDATTKVVGMGPLLSIFLSALGGAIDGDILNWSMGGTPSLAQ GGVTGVLGNGLIGSHNKYEGDASPTRPDLYQSGNNYKTVTSQFQELIDYSPGGEVTLD SLTSFRSHRFDTQISQNPRFFNGPFSGVLVQPAAAEHPAGQLSYDVIQSWFGVQGSNK NYNAVQGTERIPENWYRRAIEYPYDTEYFLADALNAAALHPKFLDIGGNTGTTDSFVG VDVADLTGGVFNSANLLQGNNFACFVYQLSAQAKPDAVLGVLDQLTNAVGSIIGKLGC PQLQAIDNEQLKQFPGYSQKPVYG CC84DRAFT_1157557 MAIAEVKDIKEFATHHEFTEDIAISNQVDILELDAIEQTPTGKF VWLVTLTASIAGALFGYDTGIISAVLVYLGTDLDGQNMNAGQKEAITSLCSGGAFFGA IMAGLTADKYGRKAGIYVGCALFTIGAILQGAAYTFAQMCVGRLIVGFGVGSAAMIAP LYIAEIAPTKYRGRMIGLNNMSITGGQVISYAIGAGFAHVPNGWRYMVGLGGVPSIAL ACLLPFCPESPRQLIFRGREDEAKKVFARVFHAATEEQINNKIRLIKSSIEQDLTVTE GKTRWRLIKELHTVPRNLRALVVACGLMVISQMSGFNTLMYYSSTLFALVGFSNPTAV GLVVAGTNFVMTAINGFVVDPWGRRKVLVTLSWGMSAGLLAVAVAFIYIPINTGTLEL EQSTVSTAAVVVLVFIIWFVVFYGVTVGNTAWMSTDFFSQEVRSMGTMWLTCSCWASN VIVSSTFLTQMHSLTPCGTFGFYTAICFIGWLLIIFFYPEVSGLTIDETTEVFDKPTF KMVSEARKLRNERRAAGQLLKVEKSMGGH CC84DRAFT_1169587 MVNLVITTDGGNALQQIDPVTLEPIELFIYDGPNFSNPGSTFTS AHPGIGPNHEVYNYILDFQADPPIYQVFAIEADGRGRVLANITDAPPAYIHSVSSTEN YVIQIIWQSDLGPPPEEGAPLLDFIQPWDPERRTLFYVIDKKNGGIVAEYTAPAFFAF HEVNSFEDGEDIVIDLAVFPDNSWLENARLVHLRKVGPNNPNIDIDLAARFRRFRLGN FRDAREGDDLEAKIELEIPYDIGNIELPRINDNYHHKPYRYAYGVHTVKRGFFVDSIV KIDIETQTTTLWVPETNHLPSEPIFVPRPGCDDEDDGVLLSVAMNSATSRSNLVVIDA KSMKELGRAKMPIAMGYGFHGLWGA CC84DRAFT_1169588 MAAPAPACPTTSSITQHDPFTSIPSSDNAPMLPLFTVLIFGFRA PNTTIQEYRNHYDNVHVPLAKSLTGAAFPISHTRHYFGENATLAAISAPVQWDSLAVL TFKDDTHAGTFNYLLSQPEAAAKIHADEKIFMADGSPKMVVIGKDTSITEP CC84DRAFT_1104150 MTKNHPALRKSVSNADLYAEPSPAPRHASLSRAFTTTTAPHRPR NPFVHAQAYQTQRLARLHADPREQPIDGSACRPALQENHRHSIAAPYSSNLIDLNDDC EASQEQQSPPPVPPKIPEIPAFERQEPAREKEGPEKYTKPFTDFMTANPTIFHAVDAV AKDLEKAGYKKLSERDDWELKQGGKYYVDRNGSSIIAFSVGDDYKAGNGAAIVAGHID ALTAKLKPVSNLRTKAGYVQLGVAPYAGGLNETWWDRDLGIGGRVLVKENGKIVTKLV KLDWPIARVPTLAPHFGAAAVGPFNKETQMVPIVGIDNSDLGSPAQTNQQGDFKSTIL SEGTFAATQPERLVKAISDEIGVRDYSTIVNWELELFDIQPAQLGGLGKEFIFAGRID DKLCSWAAIQALLNTTTASSSQIKLVALFDDEEVGSLLRQGARGNFLPSVVERIVDAF ASSSVRSLVSRTYANSFLVSSDVIHAVNPNFLNAYLENHAPRLNVGPAVSADPNAHMT TDAVSTAILQRCVDADVGARKQDPKLQVFQIRNDSRSGGTVGPMLSAATGIRAIDCGI PQLSMHSIRATTGSLDPGLGVFTFQSFLERFESVDAEFKE CC84DRAFT_405758 MTQHSPEIHSPSSHPQRPKGILKNSSSYRAQSSVSPTSEVAPAP LGQTISNERPGIGRELSEKEIVLENTLRNAGPHRRSSSNARGPGSRRQSGTSVQADEN SPKLKWDEVNLYLTEQQRDSTMKITEPKTPYAKQYDPAEDEEEVEMLNAEDLKVDELD KPKKPKTKMEDIPDFDLGEPELEAAESRTPEGERRVIVEPDAPGEAGHHDGDELAHLT PEEREKHIKFEQLRKKHYEMRNVKDLLGHPEAMDEDEDDQAGQVPPMPNGK CC84DRAFT_407268 MFETDHAASKLPVPRTLLPTGRRRRRRRLASASGCIGHHTYYAA CRQSLRACTSVRGCSWLAAHLGIVDYRSTASHA CC84DRAFT_1104541 MDSRDNLWTRRSNSSKLSLSMNSGDGKPDPPQRTFSATKRFGDT SSHGGRNPFNALSPITAGGLASPTTSGQTAFGLGSGAFASFGSANKTPKTPGTAFDFK AATMGGGAPVTPSEKKDKPAGKAVNSARKESLSTTASEEAPVSSAPLDFNVPWPLKYT WVIWYRPPTPKNSDYEKSTKALCRMSSVQEFWKVFVHLKRPSTLPTVSDYHFFKEGIR PVWEDDENKRGGKWIMRLKKGVADRYWEELLMAMTGGEFMEATEEVCGFVLSVRSGED VFSIWTKNDGGRNIKIRETVKRVLNLPEGTIITWRSHDESIAQRTAIDQARQDKGHQE KRRPNTSTTEESSK CC84DRAFT_407345 MLNSLKSTLTETANSISGFVGGLSNVAAPAAQTQTNDAAEPDRT RTPATPRSPATTSRHSLGAIDLISPTRQKVQPSSLRHNHITNLPISNGVRNSAAGVGS TSGKSSGSKATPLPDPRFHFVQKNGMPKPGASHAPQPKNTLSQQIYHPTSGGHKTYGH SNRQGSFSLGFLDDEQLDNRPTKKRRTDTEPSDAISVYDEGEIQETRPEDQTPRPVSR RSAGSGSIILSGAKDPRSEFDRAYSVVGSRRKKARNSTSHGNSGFINGGAQSAILIDD DDVPDGGANPRKLQLLKFQQGVERPRAEESGYQAHEITSRHFAPKMNHSTLPPGAPTQ RVVRRSNNLRDGFRGGSLSDTSARDRWPIRWIRAHDFRESHEELFLDSRVLNEYRITG SDRNDVKYELRFKDVRIAQTDDQSRIRLVGSTSTANNVQYIVDLEFEEGKSW CC84DRAFT_405752 MKKIFEKPLAATSQSQNTGQSPSGQNQVQQGSNVANTKPRLSDN LGAVGSKISSSAAKAPVRAETRRLSDRPVRNTRASQPLTVDLGDFEDLPEVERFSEIH GLGPPWKKSLNYNSGRRRAVVDFQDLERLDDGQFLNDQLIDFYLLYLFDQANVPRDKV YLFNTHFFTTLTRKVPGQKGTFNYQGVARWTAKEDIFGYDYIVVPINQDIHWYLAIIC NVSNIARTPAIADPPKSDKIGSAAAQDPDSEPSGHEAKVEDFQSIPAPALVDQPSESS TSHAAIEVVDPDDSDLNLVDPRATGPDHALTAPSGSSSVAESPAAETAQMKKLTLSDS RPESVLLSSTSSAGPKKPRRKLGPPPKKRDPNEPVIIVLDSLGGSAKSAAMRVLKDYM TEEGKEKRGMDVVIPGNVVYAKEGQIPQQQNFVDCGIYLLGYAQQFFTDPDLFKKRLL SNEMQVDAHWQDMTMSSIRAGMRDILQRLYREQEAEREKAKKAKKQEKKARPDTTVDA AKNHVEQKATVVKQADAPTLTGDAKKTTPTPETTNKVKLSTLSNAEPPPMLGPPFEPR SSPKRDRSCSSKSLPAPTQTDAVLITKKQGTPATDGQNASPNTNGPRRSGSPVVLIQS PAKKSPKRPRELILVGYSQEETTKKKARTAPESPIDFLDGDPRIVGLRSPDPKARVLP KRCEEASTPVRGSSRDPIQLDESQEAPMSSEDAPQSAKRNSQRPDFIIKSPTSAKDTR LPPPRRRQQHMSSPARTNVQRSSPIQRRRETRAANESPDPIIDLDDFDAVPQRIQSHV HSSRSNRSKWRLAQFDEDVPEKTIEVPETPPHDG CC84DRAFT_1210343 MGFVNAIVTSIAPIFIATSPITSYADQIYSIHRTRSSAGFSLDI PLIMLVASILKIFYWFGAHFSTSLLVQALLMVLVHTVLLHVALTNRVAPTTHLPFQPA AARKRPYDFWQWRPTRPYWAFVSYFTLALLVLHVLLSSTSLFIPYTDVLGVIALTVEA TLPLPQLFANYQRRGCRGFRPSVIANWIVGDTFKMWFFFASAAGEVPWAFKLCGIFQA TCDLGLGLQWFVWRDGPEGVAAAGEKELRSPPPERAGDTFEMLKGAPGYGEKGTIGAG IDLGDGAGSWERRGI CC84DRAFT_1169596 MASSHTAFFYGTLMAPPVLHRVIWGQSTPPTPAHASLLHIRPAI LHAHQRRQVRHADYPAVLPSSPSSSVRGTLVTGLTDGDIWRLDIFEGDMYERRKVRVR VLEQKDSKADEGEGGMGDLTAKEEDNVEGDEVEAETYIWVAPASHLEDEEWDFREFVR DKMKRWVGREAAEMDEGFQDVDDAVAAGKDPTGGRGANGSITRQLEKGGKTEEALGAA V CC84DRAFT_1223160 MSDPRLKAELYQMIRTELKTIRIPVMKKVADELETTFDDWYLKV FSLSSEVNTGDDAKMVEEIRKAEAAVEKYEKVMLAIELDKFDVAIAILGTDYDNYPHP ARAQSPFMCITLFLASKKRQLDAVRKILETDGYFKGTCWTGSRRTELPRRACKEV CC84DRAFT_1157579 MTEDTRITRSVRCNCGKVSFTVTGIDRLAVHCYCVNCQRTTGSA FAHNHRFSEASIIFDRGEEFVKQYADGDTDSKRLMLRHFCVNCGSPLFLKSTAVADFV AVHEGSTTEKSKSQPVLELFVHEKHPWIGDVKGDYRGPPPFPWDPQPCSSA CC84DRAFT_407432 MPLSMITVEYDQSMKSKCVQSGSSAYTSRHATFQGCSAPYDLNY KSGPIKSLHRFPLKRGRSKPDPCTMGCPFVQRMGEHKDESVNVYRSTYVPILHTKPRM SALYAMPFFLFLFFPRTRRPDSYFWHISAAIREHGTHNSDPDAATECRLRLSQVYSPP RNPGHASSTDSGCSVLQDVLVAIAATSDWTE CC84DRAFT_407449 MADVAAVSTQLQQWSFAKPAATRPERSDSTASSPDLSHHEPEAL RIPASAVRAATSIAGQESATFQERYLSSEEDLSPMDGNSSDSDDYDSEVEIHEATATP ATPSFFRARTMSISRRDNVKSGDMAVTVSYVSAGRPKMIQLAQSPVCEPPIRSASLAQ LPIAAINKLRQQDNRTRSLIVNPIIRPSSPALSIDSRTPSTGSRPYAHSNKSAMLLSD SGSQSSLQSGDSTPRSSSPSVSEKSTTSNRPVSAAGSSFQPRSSLYVVSSARSNTSSN LRSPFPPLAQQPPAPHAFLSSDPYENSTTSAASPIIKQSPHKRLRSISQRLSLARIAI APSKKYDSRANGNRTGNMPPTPSTPFTPLTPQTAPLPTSTGMNKLRRNSRMLSSRPGT ARVPSPDIPPMPVRSVTSSSFSPQKSARSSKMIARGANEREPTLELPPCPVDDAGMGS LKTRRVRKRKSLMDLL CC84DRAFT_407450 MPCNATRPRSRLHIHHHFSLSSVCLFLHGVLPARVGMIEHLFFS HVLRRLLHVAALPRVAFLRRSTYWVPVGATIPFRFLSSSVRVMSCYLQNSLLLFTRKL LSRILRTQYGSVLHGRCLNESGYDIPRLVGPSLHMASNSVSIPSVVVCPVEGGENFI CC84DRAFT_1191377 MWDSAGLIYSGTYTEVVNTNAPMDTIPYWFRGTRLNFAENILFS ADGNDPSRTTTRNKEDSKIAVTEVREGNTEMRNMSWGEVRRRVGLLSNAMRARGVKKG DRVAVVASTSFDTFICFMAIVSLGGLFSSSSTDMGSKGILERLLQIRPKFLFADDWAV YNGKTTDLRPKIAEIVEGMKVVQEFEGVVLQPRFAGRPADTEGVPRAMKLEKFVEAAN GDEKLVFERVEFRDPFIVVYSSGTTGIPKCIVHSVGGVLISMLKEEKLHKEMGPDSVM LQYTTTGWIMYLVSIQSLVSGSRSIFYDGSPFVPSPQAFLSILSTQRVTDFGTSPRFL HELQKHNITPRKDFDLSALRSVCTTGMVLSNALFEWFYDTAFPPSVHLRNISGGTDLA GCFGIMNPLEPVHVGGCQGPTLGTRMEVYDSLIESGPGRAVPDGEPGELVATASFPNQ PVFFWGDESGERYHSAYYARFPHVWTHGDFIQYHPVTGQVLFLGRADGVLNPSGVRFG SSDIYSVIETHFLEVADSVCVGQRRPQDSDESVVLFLKMKDGERFTDGLVERVKRKIG EERSKRHVPRYVFETWDIPATVNGKKVELPVKQILSGKIVKPSGTLANAQSLQFYYQF AKVEEVLQKMKEEKERRTKSKL CC84DRAFT_1157588 METVQIPSPTPGSMSRFGDGFRGTRGNCSMNGTAPNFNFPPAGF RMSGGDMNQGPIFLGVGSALLFISILLLAARLWSRLHPVYRLKIDDWTVLAATVCYSL LPPSTANTGPQILAIVQYFLLIISVLNGFGRRTFFVPPARRSTALRYLFISQVFWYWS VTLVKLSVALLLLRLKQTRPWRSFLYLIMAIAISAAIVQTCFQFLQCRPFSVFWDPRG FRSAVCFRRSIIDGNIIVFSSIQVALDIIFSFIPIMFVRKLKLPRREKIFMCMLMGLG LFASIAAIVRTLMLQEYYTTPDTFRSGVMITLYAVIEQHLALMAATVPTLKSFMETTL VRAGLWFYDEKSEGHVRGELVKLGLLDEGEFLAKNEEEVARRMVGGTRVTKTQTSGGT GKVGEVRTESVVPSRDGEVSFEEMLAISAKEKEFV CC84DRAFT_1200129 MEAPTPLPVILASPQKRPHDDEAEPCVSTPNRNSPSNASTPLSV MSSMQTPSPLKRTPAGTHTPSSSAAPSSTQPAPASSNDQQSAKRRKFTQQEKEEQAKE KEAKAKARAEKKAQKEAEEKLKADQKAQRDEEKRKKNEEKEEKKRVKELKQQQEEEEK KKKERSQMRLNAFFSKPKGVAEPTGNTTVEPVQKSCTGQTWLSSDPVETIANAAPPSP QKAIVRNGQSDYERVFLPFSLPPTGILAPLNAFHSKPEDLAAAQARLDDIVAHKDVGM EPVTVESIRSIFPKCHRGLETTTMAEIVDRINGSADNPINLAEDPNIKPLDLLKQVSM KYLHFGEDVRPPYFGTYTKHHDPERERKVARNPTFRGLTDLNYDYDSEAEWEEPGEGE DLDSEGEEDLEEEGEEDLDGFLDDEDDPEVKRRLLNGDQEPVSTGLCWEDARGVSRLN DGSGAISTEFKDFRMGFLLQTQAPIDPFSDSYWAPDVPVSRASIQALIKADQSSGSMN PPRLPLTARPANVNGLMNTLNGPKKPSPALDAKTGKAKRMIDASLLPAFKAEVAGSDL TKIGMIEALKKKFPKVPKDAITNTLTDVAQRIGPSAAEKRWVLFN CC84DRAFT_1157593 MMDATVDADADELLFEPFLPSPPDSYSWDPSEQNLDDKILQGYL EDSQPISITELGEDGESSHGPVTPVPDRDCIIGRIEDMLERIVDGLLAGNEQLTINLK TRSGLSRRHQDAGTIPSARDIEISYPGNNAQDARRFTIVVRILELIHGCLIDDVIITK RDMYYRHPELFGKQPVVDRYVDDIACTLGVPRSLLNVTAAAKGLVAGNFVLSRADGTS MRGLSEPEGILVPTIQAGDVLDMSAVHWILVIEKEATFRSVLSSPLWKVLGTQGLVLT AKGYPDVVSRRFLLHLADDFPQISMHALVDLDPDGIHIMSTYKHGSLRLAHENVAHGN TPGVNLPNLQWIGVKSHHIDRASGNEGDTTTATLADAQGVMKLTARDRKKAHHMLEWG ICREYGSEPAWRAELQRMLMLNIKAEMQILDELPGGLVLWLSSRLEVMQGGSSDDEIL F CC84DRAFT_1169604 MPDSATISRPASSVHEARGALPSSGAAQRAPPNAQHGVHAAIAA SAAAATLPPAVTPATTTRQSVSLGVLSTLWSASGPPTALPPAIVTHAPSPVDAHEQPL QDLAASAGSVPSATTTLTNTLPPTQSAALRALNAPAFQAHASSTPNTKLAKSASSHTT LSSQPVVVRTYSGSRHTSRNPSGVSSPRYPAMNGHGSQNPSALSAGLAGRTERLPSIE DFSFSAILRAVDPEIRDAIDAIAEICARSRLSLADEYDAHLPPQGEITGTGPGWAAGV GALVGRGRISRIGQGWAAADNTLTAVPEASSSSERLAGETRGAASSKKRSQSAYGSLK SVISGGSAKQQPSNHAVDQSEVGPSGQTVDAKPGPAWAVHNSSNDHPVITVPSPQVSN HLSLDTSSTIKETPEGAESRLSLRPQTATPKAAFSHSRNASLNSLNASRARSSTLSSI TAWLPWPRPADTRTNAPTDLTKAETRLREVLMIAQESAFGKGKGAMNNA CC84DRAFT_407517 MADPAYTAQPLHTPELLELILSFLPPHALLLAQRTCKTWHNLIT ASPTLQTALFLRASASQSPDASYTLNPLLKSAFPFILSPKELERAQQAPDWDVLWGDV DVPAQEEATVKPFVYSQLNRRRDAFKRKEASWRRMHLCDPPVRTVVWRHEGYGMAGHV VTECVTGFGAEAARRAGSWMKRDGEDVRLEQEEGLRMGVLYDYLFASACGGGMLVAWD VEFGVGGYPGLEEVVKHAQRDGDLSLARLMRDQEEGGGDGDGRGVTLLVKQQYSGSCV FEMGEEFPQFMSEGYCTVGLAPKETVLHNMWD CC84DRAFT_407542 MSHTTSSSTETTNAHPFTLPSPRATPENYDFAMDRLSSVYYRDL SANGLQLKGLQGEGRSSAIQVYCPEVRRSCFNNNSPISHLDSVSCWRGFDMKQAEEIF SWEFVFRKALEEQIAGNIRKLLPTVPAVGDDISTVTHYIDVDLESLVHAMLEYYYLDK LDQRRPESAWALANGDLPGFAYNFVCTRDCFIDTCSRSCVQVDLLFSPSLSSIVGEVI WQRPNIDFNNLPNSLPPGAPYIIHPKWRSPDYGNMSGPRFTVFPGNADFMVDSHCLAF EASHAGHTYLFKAVVPHVDISSPATPILETTLTAKTTVRFPAGVRFQRTSRYSIKLSV MIQTESLQSGDISFRLSRRVDSMSPEPKVPAVNHPVMTQGVINEAKAVLEKRSAVAGP RLPSLAEWKKDSTARITAALDEHISSITSTDNYSDAHYDDRTTKPKAKKQMAVSSLID GTPSKSTRFALHELDDEGTPELTVKRQRIWPSEEVRSTFSDAMYFMTDGNNAPVDAWT DKHMDDLGRLSTTCVGEAQSIYAIKLSTPTKQSCINSAPAHGSKSRAYTTSTGKSRVM PGLRKKGSVKRMLFNHPGRAHLDSPVEADTSTVAYEKFLPVNPEDLKLSPVEAWTPDQ ATIQRNYDEFVLKSRHLRLDSDEARESKEYDSFFLNSPSGASSVSDGVSAMSLGAD CC84DRAFT_1104086 MRAPRCRSLTRAPSHFLCPPGQRRAAHAAAAVAHAPAWRPSSAL DEWVQREARPISLRRLTFFGRTLTQSRLLDGANYCRLELPTRIAHRLRDIQTLPYVVV ANPHLAHVYELYLTAFERFRRVPEIRSLDDNERYCKILQETLTEHATVIPRLALGVLE VRGLMRPEETDKFMNTMLRSRISRRVIAEQHLALTDTFNSPWHFPHNQPEHDPHVDTV GEIFLKCNAKEIVERCGKTTQELIKAAHGSHVQLPDIHIEGHLDATFPYIQSHLEYII GELIRNSIQAVIEKKKYHGAKPPPIEVLICETSQHVIIRISDQGGGISNEILPHLWSF SKGPRHQKRLQNLARVPKLLGTLQELKMPGTQASKDHDKHDGGSSLSSLTSRPPDLRL GIGLPMSRVYAEYWAGSLEIHSLEGFGVDAFLQISKLGNKDERLTTRASMDAI CC84DRAFT_1131493 MDQIGSDMVVEPDFDEKHHVAIITADSDDTMDEADADEEPRADE FDAFFKKHMPELADQETEAETYCTWEIKDWRTLTRREHGPVFDCGGSPWRILFFPFGN NVDFASFYLEQGFEEKKVPEDWYACVQFMLVLWNPNDPSMYITHTATHRFTADEGDWG FTRFAELRRLFAASWDERGRPMVEDNMANVTAYVRVLKDPTGVLWHNFINYDSKKETG MVGLKNQGATCYLNSLLQSLFFTNAFRQAVYQIPTEKEENRTDSAFALQRLFYLLQTS NNAVATTDLTHSFGWDSKQIFEQQDVQELSRVLMDKLDEKMKGTEAQGALERMFVGKM KTYISCINVAYESSRMEEFWDIQLNVSGNKNLDDSFKDYIQVETMDGDNKYHAEGHGL QDARKGVIFESFPQVLHLQLKRFEYDIQRDAMMKVNDRYEFPDVWDASPYLSETADRS EPWIYHLHGVLVHSGDLNAGHYYAFLKPEKEGHFYKFDDDRVTRATDREALEENFGGD YTQANGNVGQRNPYTRTWSAKRSMSAYMLVYIRESRLDKMLLPGIEVKAPQHLADRVA EEKAAFERRRKEREEAHLYMEVAVASEREFRLHQGFDIVPWKAETESDANPKVHRVLK ATTILEFMNTMAEELGTEADMLRPWSMVNRQNGTTRPDVPISFLEMSMDLACQKYGTK TAPMRLWIEKAEERDGDGKPVFGGDFIDAKNLQGSKTLMLFLKHFDAKTQSLFGIGNV YASSLDKVVDLGPQICKVMGWPASTQFQLSEEIKQNMIEGMKPKMTLAQSEIQDGDII TVQKTLSDKEMTQITGSGGYTNAKDFYDYLLNRIQVEFAPRLGDSTQLPIFTLTLSKK MTYEQFSTKVAEHLKIEPTHVRFTTVNAAGKPKQAIKFSQQGTLNSILFPGPYTYGGN TMVRSDQLFYEVLDMSLKELEQRKALNITWLPEGLTKEEQYQLMIPRNASISDVLEAL QKKANISDEVMQKVRVFEAHNNKFYKSLAPDFQVMGIGEYLQLYAAAFPDDESTKKIT AFHYDKDVSKVHGIPFQFSLKEGEAFSDTKQRLSDFTKIKGKQFDKIKLTLVSRASYS RPEPIDDDEILWDLIGNRDDIAIGLDHANKTRSFWGKSDSIFIR CC84DRAFT_1169607 MRTFAALTGLLAYCATAVSATALTYRMEPHERACFFTNVETKGT KVAFYFAVQSGGSFDVDYAVTGPGDRVILDGTKERQGDFVFTANDEGEYRFCFNNEMS TFAEKTVDFEIAVENEVRAQLPSKQGAGTEQTSVLEESILKLSAQLSTISRNQKYFRT RENRNFSTVKSTEKRIFNFSMMEVGLMVTMAGLQVFIVRFFFQGARKGYV CC84DRAFT_1210353 MTLDDPTSASSRLFSLPELLNSIFLHLPYTDLLHCRAICVLWKH CIDVPLAVRQRMWKAPTKTREGEEPHFEVVSEKAGKVVYTQGNAEWHGRKILEKSQEI RLAFLSEAIPGQEMPTGKYATEMQESRNYAMRSVNFQNEWPDGYCGYVNAAEIVCSLC DRFHTRVRFENLHPILQFLEAYEICFTGVADRLIVEYGDMYCPAWIALRCFGEFGRDM LSFARGLRRAMENAHLHDDLFINPPVTLVAAPETGRAVAATGGLLVRDILLILLELFH YRFKFRAAKTALDLQRAIKNELHIRENNDREAQIAPSQTETALRQKIAKTVVILERFL VTMEDINDILHGVKGWSRVDFCIGKEFDVNI CC84DRAFT_1050301 LLSLVEWSLVIAATTYGLGNNTISSLQYSRLHAHHLLFASELLW IPATALVRISVIFALLQLKQGRPWRITLSLLIAAQVVFCIIDLVFLVVQCIPLAYIWS EPVDRDAVCTASSAVLAGQYAHAGVGTATDLVLALAPLTFAQAGLVPTREGILAIILV ALGLLATTCSILRITLLKTSAASSDVRQDAMRITFWSLLEVQSALIAANIASFKS CC84DRAFT_1191386 MADENEKISGTEPTERRSSILDTEEKRRQSITQLTANTEGEIRN PLVGLSKEQLIADVEGFAREHQLEDITPLLIKGALAAQAPALIDEIEELDENDRICLR EEITHRWKLPKILYATIILNSIAAAIQGWDQTGSNGANLTFAQQFGIPDGPASFCDES PANTALCRKNSWIVGFVNSCPYIAIALFTAWISDPINDLIGRRGTIFIAAIFSVLAPI GSGLTQHWGQLAACRVLLGVGMGLKEVTVPVFSAENVPASVRGGLVMSWQIWTAFGIF LGTVANLVVMDVGRIAWRLQLGSAFIPAVPLLFGIYFVPESPRWLMKKRRYAKAYKSF LRLRNTPLQAARDLYYTHALLAQEEVLVKEAGLTPSSNFFSRFVELFTIPRVRRATQA SGIVMIGQQMCGINIIAFYSSTIFREGGATDKVALIASFGFGLVNFVFAWPAVWTIDT FGRRGLLLFTFPNMFWSLLVAGMCYYIPASSTAHMALIALFVYIFGAFYSPGMGPVPF TYSAEVFPLSHREMGMSWAVATNNFWASVLSLTLPTMLRVMKAQGVFGFYAGLNIVAV IMIFLWLPETKQRTLEELDYIFAVPTRTHMRYQVGQNLPWWFKTYVLRKKGLAKPALY KFDHVHRTAPSPDITGVKA CC84DRAFT_1233075 MGFNLKQQLVFYGAYHNEPTNVAIHMACVPLLLATGQILLTNTP ALRTPTMLSRLNLPLNVATLASLTYSTLYLVLSPNLAGATISPLVLGGAAFANSLTIK YDRTKANTIAAGVHMVSWILQFIGHGKFEGRKPALLDNLVQALFLAPLFVWYEILFKL GYYKGLKKEVDSAIEVELRKLKAKKGE CC84DRAFT_408109 MNMVAQSETYMMQLQLLMEPPGDLRRHGFITAPLTDEELLLKRR CLGCNKAMSQLRGRERTGPGLSISAHAANVAGAPQISASEFNGPAEKAATAPKFKCRF HPGKVEYKYWTCCDQHCTADPCSGSDFHNPRDESLMELTRRHQLHFTPIVHQDSLTRG QDLRAAVALDCEMGTAQSGDTELIKVSLIDYFTGEVLVNNIVEPDVPLRHLNTKYSGV TWGHMREAQRRRTILKATTGARYAVWRFVGEQTIVVGHGVHNDLRSMKWIHERVVDSF VIEFNIKRIREEKERIAEEEAIAKAKADGTYVEPVKETPVSGEGANRVVVPPVHKPRK RKGGDLSLKTLVDKRLGRKIQLGEGTTGHDSLEDAIAARDLVHWHINNPGANNSDAE CC84DRAFT_1223175 MDAELGSQQPSMQHTPQQLLEKPGMPEKTRGRPKNSRDKVPRNR KGEGLAKKPTWAKSGRPSKQQKQALEERKALKYLIDREEQMFGISNPELNACLQKLEG QARLKK CC84DRAFT_1104279 MRHPAAPTVIAFLLGLLAFFLLWGKLRGDGHHEGNPHPDDVPPK AKEEIVEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEAGEG CC84DRAFT_1264449 MASHPNFEAMAAKLSDPSPDHRVKLAQAIDIRDNIETYCQGQQY GAFLNHMVPLFLKVLDGTPVFISTSPDQRLRNCVLEILHRLPMSPPDALEPHAAKIVD KLMALVREENEDNAVLCMKTVMDFLRHQTKVLQDKVQQFLDLIQEMFETMEQAVQDTF DNATPAPSGQGVPSTPSNHQYSQSPRPGSPATSLTTGPAGDINAEQTRMLLKGTHSFK VLAECPIIVVSLFQAYRNVVNRNVKQFVPLIKNVLMIQAKPQEKAHQEAEAQGKIFTG VSKEIRNRAAFGDFITAQVKTMSFLAYLLRVYAQQLNDFLPTLPDIVVRLLKDCPREK SSSRKELLVAIRHIINFNFRKIFLKKIDQLLDERTLIGDGLTVYETMRPLAYSMLADL IHHLRDSLSKEQIRRTIEVYTKNLHDTFPGTSFQTMSAKLLLNMAECIAKLEPKEDAR YFLIMILNAIGDKFAAMNRQYHNAVKVSAAYSTPSIDAVEENHLAVPDQLPDWDEIDI FNATPIKTSNPRERSSDPIADNKFLFKNLLHGLKNLFYQLRACNPQKIKDEIDAANAS ANWHEVSYGYNAEEVEVLIKLFREGAKVFRYYGTDKPSETKGLSPADLMANQHMMSSG KEEKELLETFATVFHHIDPATFHEVFSSEIPHLYDMMFEHPALLHVPQFLLASEATSP SFAGMLLQFLMDRIEEVGTSDVTKSSILLRLFKLSFMAVTLFSAQNEQVLLPHVSKII TKSIQLSTTAEEPMNYFFLLRSLFRSIGGGRFEHLYKEILPLLEMLLDVLNNLLLTAR KPADRDLFVELSLTVPARLSNLLPHLSYLMRPLVVALRAGSELVGQGLRTLELCVDNL TADYLDPIMAPVIDDLMAALWEHLKPQPYSHFHAHTTMRILGKLGGRNRKFITGPPQL NYKPYSDDAASVDIRLIGSTKDRAFPAVIGIDTAIAKLHELPKLPAAKKSDPFHKQQA FRLITSHTKLLVGFDNLPDDFAQLIRLQANDLCNKKTDAGADLMTLSERSKSVVKKGV EQETLKKLLKACIFAISITDLKVDADALVTNLAKHFTLLELGMHFAHEKHKGRPFDVH SGEGPVTIDTDVFSDALGDSLASEHQTVRDAAEQAIITMRDTATIIFGSQEKLDNFPF FEELSKTFIHNCYADDWFMKSGGTHGIEIVIKKLGLGDTWMQNRHLELIRALTFVMKD MPTDLDSKTRIQAQGLVEDLIRRCYKSATEEECKKVGGPLHRICGQLVADLSHMNKNV REATQKAFQVLSEVTGRAVHELIKPVKERLIVPIWTKPLRALPFSIQIAYIDAITFLL KLKNNILEFNEQLTRLLMESLALADAEDEGLATKPFEQRNAEHIVNLRVACIRLLSTA QTFPEFSTTPPNQTVIRIIGVFFKCLYSKSPEVIEAANAGLSGVISATNKLPKDVLQS GLRPILVNLQDPRKLSVENLDGLARLLKLLTNYFKVEIGTRLLDHLKNIADPVSLQKI SFTMIEQNPKMKIVTAIFNVFHLLPPAAISFLKQLIEKVIELETALRRTHYSPFREPL IKYLCMYPKEAWEHFSPSMKDETQGRFFAQLLENPESGALREELMKDIPKFIASFEID GSEKEKYQAQLNAIHVAYAMSQFKETCEWLVGKEDLRKALFEAARSLEKALRQNTVDP TLRLATEQAGEQIMTVFTTYLRYDPDNIDFFFELIDAVTSDDFKAHPILFDFIYEQMV SNESVDYWKALVHKCIDLYTGRTSSQKTKTFIFRYIVNPIFAMDAKRNWDTLFGNEAN KGTKLVDRNMTDTIHNRLWKPQALIDTSEESAQLGVDHSRMELLQLTTLLLKHYSSVI QETRKDIIRFAWAYIRLEDVINKYAAYVVIAFFIAVYDTPFKIASQVYQTLLKAHQNE GKALVMQSLELMAPVLKKRLGGADQKFAIPRWAQIPRKILSEESSNLQQLMSIFNFLV RHPDLFYEAREPLSAIIIAALPKIAQPPNPSTEAKKLALNLISLIRTWEERAANEAAH GTDRSSQSPQASKRRADGSIVPPTSAKGFVAAPGIRMMLVKYLISFIAYLPERYPVAT PKPKDTPAMPPTNPQPAEICRKAVQLLHDLLSPRLWNDLELDLILTKKLEEILILEMK QDDKQEVFTTRMINSLEIVKVIVNVKPDDWVLQRVPQFQRMLEKPLRSEHADVQASLQ AADEGEDGASRLQPTLKRILGVMPEPIKDEEGNTEETPSTSFVGLLGTIATEALSNGS NICAINILWTLCQKQPEEIDQHIPQLMKAFQGKMAKDHLTTNNGQPGQPPVPPGTRPD SATPPLDPREAEIQTDLVLKVVDILAARMNELGENRRPYLSVLASLVERSQSTAVCTK VLDLVEEWIFVSNEPVPTLKEKTAVLSKMLLFEHRPDTSLLNRFLDLVIRIYEDPKIT RSELTVRMEHAFLIGTRAQDVEMRNRYMTIFDKSLSRTAASRLSYVLASQNWDTLADS YWLSQVIHLMFGSVEMNTQAQLHPEDFRLPQNSLVFGTYSRDSRISDVMVDDELDNLV AGHRRFCNQLGDIKIKDLFEPLGHLQHMDSNLAHDIWVAFFPLAWTALTKDDQSDLEK GIAALLTKDYHSRQLDKRPNCVASMLDAIVHARPRVKFPPHIMKYLARSYNAWYTGAV YMEESAVNPIVDTEKLRESNLDALLEIYTGMQEDDLFYGTWRRRCQFIETNAALSYEQ IGIWDKAQQMYEAAQIKARTSVLPFSTGEYMLWEDHWVLCAQKLQQWEVLSDFAKHEN FNDLYLEATWRHFEAWQNSEQREQLDATIKAVSDAPTPRRVFFQTFISLLKLHSKQET QPEFHRLCDESIQLSIRKWHQLPRRITQAHIPLLQHFQQLVELHDASVICQSLAQTTQ ANLDHKSQELKLLLSTWRDRLPNFWDDINAWQDLVTWRQHIFHLINGVYLQLLPPNPS NPSGNSFAYRGYHETAWIINRFAHVARKHNLPDVCIQQLSRIYTLPNIEIQEAFLKLR EQAKCHYQNKSELNNGLDVINNTNLNYFGNQQKAEFYTLKGMFLAKLGQSNDSNDAFG TAMIFDLKLPKAWAEWGRYNDMLFKEDPSTLDKAEAALSCYLEAASLYKNAKSRKLLG RVLWLLSLDNPERRLAEKFEAFQGDTPAWYWITFIPQLLNSLSRPEAPIARSILGKLA KTYPQALYCHLRTTREDMVTLKKTHEQKEKAAKQKQQQSQGVQGTPGAQGSPAPKQSS PDNRPGSSASGNRPASANGEAKPATNGSTGTVKAEVTAQDASNGTTTEETKPPTLKRP WDHVEEISAILKTAFPLLALSMETMLDQIQKNFKCPPDEDAYRLIVALLNDGLAYVGR SPGLYGQEVRLPSSTEANITRFAESVLPPHIRKAFELDFVTNKPTMYEYIQKLRMWRN RFEERLDRRRLVVPLESYTQQLSEFRFLKFDDVEVPGQYLQHRDKNSDFVRIERFLPD VDVVRGIGICHRRLKIRGHDGSIHPFAIQFPAQRSSRREERILQLFRIFNGILSKRKE SRRRNLQFHLPLMVPITPVVRMVQDDASYISMQGIFEDYCRRNSLNKDEPVLFSLEKL KALNPKNIEHANSIRFETFAAVQEKYVPQTLLLDYFRSTYPVFEDFWLFRRTFSYQLA ALTFMTYVMHMNSRFPHKISISRGSGRVWGSELIPSMAVGKPILHNSEPVPFRLTPNM QTLMGPLALEGIFAPSVMSIARCLIEPEGELEMQLAIFMRDEMNHWFTSQHKGLTAES LRETVQTNSDLVVKRATSMGSLPQTSNLPANQTMVDLVSTAVNPLKLCQTDALWMAYL CC84DRAFT_1169614 MDRRDAAEHTAPWLQIPSRAVSVVEHPCLVNNVDKGIVSLGGPV KLSKALRSKLEPQPTAQGQDALPNVISVSLRPDDPLAKRLLSTPVATSNLLLRVTVPK RTGRKRKRGSSGPFLADADVGAAKDKLPIPQHQKSHADAATIFRSLQDNASKYDVSVA GVIDETHRFRNLPDLQYAASTNSIMLKIRDNVLPLSFTKLKNFDLSTAAGPDLTKDVG PSAEFLQVQVAHNYRFQQNHYVKYKDDNGAVAEVNLHKSLAWSGYSIIKPNAENVPTA PKSNLPAESDLTPYVQELIRSIRGELEKRPIITRHLLYNTLGWDKRDRLRQAAVYCGY FFETGPWREALIVWGVDPRKDPKYRFYQTVSFLSYKKTGTARHFSRFDKHVRDLAQWS AQDLRQQHIFDGVHVSRTGNLFQFCDVTDPLIQRILYTKDIRTAPAPTAQGWFHIGTW AKATVVLKHKMNTILGGDTPDNSIYERILAWPELWDDQTFFAQYTKEFHDREAIRGRG VEHTVMRNVRYAARNPRYAFERLEAQQSQPPGAEDQSEDMEEVEIEEDMTEVPERAED ILNEGENEYDDSDDEVERMVDEEDDEDDEDDELEEGIDGVLEETMDEDADGEWEIDDV PATFGFGGLYDT CC84DRAFT_408427 MKGFRQRVHEQLARAKSDKSTSKKKDSNNGTSSPSHGASNSPSG SAHATPTSSQTQLTDGRNKPLPSGDGATGSAGSLQPGQPGVPTSLGAGSSFGGQQGTG SFGGAGSASAPGSATPHRAGQPLAPSVIISPSAPHIPPPGAAETMPGDLLPPKAGQKS LIFDRLQTTPKDNVEGIRTPKRQHSSRFDISDQRQRELEKLPGFHEVPPNKREELFMQ KLDQCNIIFDFNDASGDMKSKEIKRLALHELLDYVANNRQVITERMYPRVVEMFAKNL FRPIPPPMNPQGEAFDPEEDEPVLEVAWPHIQVVYEFFLRFIESQDFNTNIAKAYIDH SFVLNLLELFDSEDPRERDFLKTTLHRIYGKFLNLRSYIRRSINNVFFQFSYETERFN GIAELLEILGSIINGFALPLKEEHKLFLTRVLLPMHKVKSLSMYHPQLAYCIVQFLEK DAALTEEVVLGLLRYWPKVNSTKEVMFLNEVEDIFEVMDPAEFAKVQEPLFNQLAKSV ASPHFQVAERALYFWNNEYFCNLVSDNVEVILPIMFAPLYENSKGHWNRTIHGMVYNA MKLFMEVNPQLFDDCSHDYAESQNNASERERSRQSRWEKLEKLAEARKNGDLVDNKVA SKLGSPMRLDDSDPLRMEALRLQDDAKDRRPKEFERQGSQTSVR CC84DRAFT_1131514 MSKPDWIQVGVPTLDRPFGLQLWPIFEKAYESAVGYKPQDFRFV PGETPISTIKSCTAILVSYYIVIFGGRELMRGREAFKLNFLFKVHNFYLTAISAILLG LFLEQIIPTVVRHGIFFAVCDHAGGWTDKMVILYYLNYLTKFLELIDTCFLFLKKKPL TFLHTYHHGATALLCYTQLIGHTSVSWVVITLNLMVHVVMYWYYFQSARGIRIWWKKY ITMMQIIQFVLDLGFVYFASWTYFTSTYWPHLPNMGKCAGEEFAAIAGICIITSYLFL FILFYLATYKKPVPKGRRRATSALVEMKDEKVPTVGEARRRLSQSVHVSANGQTTGVS TTPNGRATRSRKA CC84DRAFT_1169619 MSFVRAASRVSRVGLRVPAYRASPVAWTAQRAFSQSAIRCSEHA EETFEEFTARYEKEFDKVNDVFELQRNLNNCFAYDLVPSPTVITAALRAARRVNDFPS AVRVFEGIKFKVENKTQYEEYLQELEPIREELGIPLKEAMYPEEK CC84DRAFT_408599 MASEESPYLVLPGSLAFSDFRLKRLAALIGATEVRALWVHYVNP TKELSADELKTLEQILHYGEYPQRDDRLSQTLIHAVQTPTATTAVDDGTALFYVCPRP GTISPWSSLATMIARTCTLDHAVKRIERGMVLAATFEKPPAAGEIPNADSLHDRMTQT ISRTPPDLDLLFGEHEPAQATTISFDEYSSPHAALEHANQELGLAMDKSEIEYLVEAY SQELKRGPVDVELFMFAQVNSEHCRHKQFNADFTIDGMRKSYSLFGMIRNTHQKHPEY VVSAYSDNAAVLQGEEASFWAPNTLTGEWTGTKETVHILCKVETHNHPTAVSPFPGAA TGSGGEIRDEGAVGRGSRPKAGLAGFTVSDLLIPGHEQPWELGDVGKPAHIASSLDIM LEAPIGSAAFNNEFGRPCTIGYFRTLLVRVFTNEKETEIRGYHKPIMLAGGVGTVRPQ HALKDPDIVPPGSHLLVIGGPAMLIGLGGGAASSVQSGEGKVELDFASVQRGNPEVQR RAQEVIDTCRSMGDKNPILFIHDVGAGGLSNALPELVHDSGLGAIFELREVDSADRGM SPLQIWCNESQERYVLAVGPDDLDLFKRICKRERCGFSVVGTATKEQRLVLKDKESQG TPTPIDLPMPILFGKPPKMSRIVESRKLRLPAFDSSLSIYLPVTPKDGLLAEAVDRVL ALPAVGSKSFLITIVTATSLLSGVKTGEAMAVGEKPTLALISPAASARMAVAESLMNL AAASLFDRLSRVRLSANWMSASSHPGEGAALYEAVEAIGMDLCPRLGISIPVGKDSMS MKMKWNDQNTNEAKEVTAPMSLVITAFAPVNRIDRTWTPALERLEDVGETVLMFVDLA AGKKSMGGSALTQTFGQIGDEAPDVWDVDILKDYYDAVEQLHDSGIVLAYHDRSDGGL FTTLVEMMFAGRCGLEVMLDGIAKSPDTKDIVETLFNEELGAVFQVRKKDEVNFNRCF ATCGPPPGMIKKIGRVPAASKQEISFAFGSQVIYRSSRSKLQQRWASTSYQLQRMRDN PQCADEEFSSLQDDSDPGLSYNLSYNPKESILPLKASLTSPFTNKPRVAILREEGVNG QAEMAFAFSSAGFSAIDVHMTDLISGRVSLSQFTGLAACGGFSYGDVLGAGQGWAKSV LLHPATRSEFKTFFERKDTFALGVCNGCQFLSKLKELVPGAEAWPSFERNTSEQYEAR VAMVEVLDAPVTPGQQPSVFLHGMHGSKLPIVTAHGEGRAHFAPGSHSPDTLYSEGLV AMRYVDNYGKVTEKYPYNPNGSPKGITAVRSSDGKVLALMPHPERTILKEVGSYIPRE NEWSEVGPWARMFRSARRWVG CC84DRAFT_1169620 MAIPKAMRLLGGATFLVFLFVLVTLYRSDPTAIKLPTAGIKEPV KPGPRPQGWDHDPQLDQSGEAPEPLRRVSGNNYAPDNANSDRINATLLSLVRNEELDD MLQSMHDLERTWNHKFNYPWTFFNDVPFTDDFKQKTTAATKAKTSYHTIPKAHWDIPS WINKDLYAASKKALADKGVQYSEMDSYHQMCRWNSGVFYQHEALKDVQYYWRVEPKVH FFCGVDYDVFRWMQDHNKTYGFTLTLLDSPDSVRTLWPETLKFLADHPEHEAKNNAKG FLTEEKEKGHNQITGGYSTCHFWSNFEIADLNLWRSKAYTQYFEHLDKAGGFFYERWG DAPVHSVAVALFEDKSKVHWFRDIGYQHIPFFNCPNNGKCSGCEPGRFTDGDDWLNSQ DCRNVWFKNAGMD CC84DRAFT_1200145 MAQHARVAALTDELVHSILNFDPAANKRAYRHAKDIAKQGLRAH EYARTNPFDVRTTFAGLDEKFRVLNRDDLADALDGRLKELSARPGKWIPDCLSLLLQL SDRPTENSRIEALELLRPPTPLPELTWNEILQHDPYSDDEIWKDIDYGADSSEDDLLP AKRDVAKPPPPTAVDHDGTCHPQTCLVAVDATAVDRLEDAQFWKHEAEPDHGKIDITE LQAIRETLFMLAGLPTSLFHADRRVGLEYELRHTLTRTTIHLLAELSDTGRAIHQLRH WLKRPSSLPLVQTFEAAVSKRLLEYHQALARLQQKYLVPENSTTVSLLELHNNVRALS RPMLRLAQIVAEIEPQLLINPFIHLEILFDQVSLAQMTLEHDIFRFLSHIFFECLQTY LKPMRKWMENGELGLNDETFFVFEDDSGSEASSLWHDRFVLRRGQEHKLRSPAFLEPA AQKIFNTGKSVVFLKELGLHTSRLPAIAEEPRLDHEAVCGSFVDLPLSPFSELFQAAF QTWIESKYSLASNVLREYIFNDCALIQTLDSFRFMYLSANGSVFQEFADAIFERMDVG QRTWNDRFLLTELARGIFSAELDSSQSERIIVRSLRKSSKSLSASVKVLSHVLLDYAL PWPIMNIIQRSSIPIYQQIFTFLLQCYRTKYLLQRISPQSIRELKDSRLRQLSYKLRQ RLIWLADVLRSYLTGTVIDPCFADMRAVMSKAEDIDEMSAIHMNYVARLQDQALLSEK LKPIHKAMLSLLDLAAPYSQQHASLGSIDPRSMRQRNTEKIKSRRKSILPIKLEEEDT DSSNACDDEVSAESDLPIASEQDVVLGGIDKEFGRLLPFVTAGLRSVGRVGAEPVWEM LADRLEWEGGCGQ CC84DRAFT_1169621 MGFSGKPSKGCLPCRQKRTKCDLALPSCTQCVRKNRMCFGYRNE QDMVFRNETGLVIRKVRRKNTEDQSGHPSNEDTFATLDLGALVQHPSRRATLQDEAIM HWLANFNERFFSSNPNLNAGFEYILPVYRSDLARGGPAVEIIKACGLAALGNSKNAPD LLREARVQQMKVLRRLNEQLQDPEHALSDSSVLTCLLLSSFENIMCEGTHSMAASQIH LKGAATLIKQRGVSQFSNLVGHGMFVRLRGSLLALSLMTSEPLPKYFLQHLKEEGHKE DDFEVVFFSLLSRVCTLRAQLKNEGFISDAMAEDAKATLQLFDDWHPDFPAWVMPSGH RSFNKDASAPGVNMASDADKAHRFIWVAMSWLLLLAARLLVHDILIVYYRAQQAIVPS LDTEAALQIATNGQIDIAQDTQDAVEYYFETLLSTRATTRSIGAHMLMLPLSILLGLS TTGPETSAWIAKMASQIADAFALKQGKMVADFLLKGWQEKTFALALVKQSPGRTGTAD C CC84DRAFT_1169622 MKYSFAIPALATLFLSVQATPAAVPELHGLESRAVVSCTVNGGP TASCCTGSLTRYGCATTNTNQCSPVGTTPCGSIVQIYCYQFGEAVNGNSMWYRLNPTS NGMMPASFFSSCTGISPC CC84DRAFT_408697 MRSTAFLALLISAPLFPFCPLQALLLNIADDVRQPHPSSSRRRK LEARHQSPIHACARAYAGLVEPLSLLWTGRCYQSLFPPPPFPFEADDCVVLVPLSQSQ HSWITSLSFGRWSKGFRQSLRPCPGRSPAWHVHLGRSRTYLTYCQDSTVPLLT CC84DRAFT_1264459 MKAILFRAVAVQTLFLNNPILAFPVGVSLATDLPTALLEPETHR TIANVTKEFYVGLTVPIYKLLTPRRIAPRQVPEVTPAVLPEVTPPVVPEVTPPVVPEV TPPVLPEVTPPVVPEVTPPVVPEVTSPVAPEVTPPVAPEVTPPVAPEVTSPVAPEVTP SAPEPDVPSENDNWSIPGPPGPPGPPGPPGPQGPFGLEGFPGSEGPPGPAGSPGPQAD PGPPGPPGPAGVPGPAGVNGPVGVSGRPGSPGPSGPPGATGLAGASGPPGRPAPPGPP GPAGPPGLNGLDGPPGSTGPAGAKGLNGSPGLPGPAGSAGSAGLPGPSGPAGPAGMSG PAGSPGSAGLSGPPGRNGLNGSPGVPGAPGPPGPSGAPGTPGRNGLNGSPGLAGPPGP PGPPGPPGPPGPPGPRASPVL CC84DRAFT_1131528 MRALTYISPNHPPALVEVPKPGLEKATDAIVKLKYTTICGTDLH ILKGDVPTVSKGRVLGHEGVGTIEAVGAGVSSFKPGDTVLISCISACGTCDYCKRGMN SHCNNGGWKLGNTIDGTQAEFVRIPNAENSLYEAPDGIDEKVLVLLSDIFPTGFECGV LNGKVSPGGTVVIVGSGPVGLASLITAQFYSPIVIVMVDGDPHRLEIAKNLGATHTAS VEDAAEVVKAATNGKGCDTVIEAVGVPASFHQCQELVAPGGVIANVGVHGVKVNLHLE NLWDKNIAITTRLVDTTTTPMLLKLLSAHKLPTEKIITHEFSFEKIVQAYEIFGNASY NKALKVLISF CC84DRAFT_1169626 MKSLRRSALVLFLNQTNEELRLDTQSQWPHQGKWSLGKEPPSFI LAGGGALWQSESAGVGCGTSGSITYRIVGGAPEQMVVTSWKVPYFRRNSYQGSVTMKE FDIEVQGGKGRHCIVVFIFRSAAACN CC84DRAFT_408807 MEIRLRNAYIGSEVPNDTIKKSKHDGDFRIYFSGGGFRGWGYLR MYQNQTEKHHYPISIINGFTVTNSELSDTERLKEVAHTAQKIFRISDRRRGVREGVLF QALPLSIHKQNLLTMGTTLYAKASAPKIVEPLLNAIPEYSGAYSDLFSNFLSVDIVEA LVNTLYVHSTMSKGSSCTSALCSTSTGLLSSTHGDTVTMTVIVTVTVTVTVTVTVTVT VCPTLAARYLHWFSRKGTGRSFRHERQGSKQ CC84DRAFT_1181628 MTKLLTAVACMQAVEAGIVRLDEPISKILPEVGKYGILTRFDDE KNESVYMQQKTPVTLRPLLAKWGASRGELPWTGPTVEHKATLPLLFEPSTSFRYSGGF DWAGNLMERFTRNTFEQFMIEGIFEPLGIKNITFYPDRRPGVSNHLATVSMLSETGEG LAAHAATFDPLFGGKDCLDCGGAYVSASDYFKFLHAVPRRDSRMLKPESYDELSRLG CC84DRAFT_1131530 MVSADLINAVLTQAVRVATHSWEYGTVAEALLEWDTPQLSIWND PFPNGQVPTLIVDDVSALSYIKPHIRTDNVTLVDGDGSAGDPAAEGIPALLIGKSDEA YWDAALRQSNHLLTSVPRWSNGAISHREAVPELWADFVYMVPPFLAYTGVATSNVTLL KEAALQAKLYRDVLIQPASVWMHITGPQVQDYELWSTGNGWAAAGMARVLATLKKGPY AADTLDEQASLTSLIKEILDGVRALDTDESGLLRNYLNQTSWFGEISGTSVLAATALR VAVLEPDTFGSEYTEWAVEKMEVVDGHINAEEGDNKGIVSPAVNPLNWWDTAPYTTGS PEGQSFVVLLHAAYRDWQEGQRRK CC84DRAFT_1157642 MHNTFPGESNIGFAGVQGGFCFLLQPTCAFLDEGENETGRVLTW NQDQSQCDVMGAFKCEGYKFNCAARNQVVEANPDIAGIGVIVGFLATTCLAFLVSLSV LFLDRYNRLISFLTKTHHVHDESRSYWRSPAFWSRVLSKNLLALADTQIMTGLAVQFT ALLKHCELSIYHFQIVTELAFLTTVTHLLTLVTLRNYFVMNKWINLPRILFMAANLGL LGYTSYISYTYDLAGLDLSSRLACFYQGAKPEFETAFQTKWALLLVGAIGGHTAVILA MYVLPETPMGGERTKWAWAKRVGAGVRTWVITPVYAIYGVFMAASMLSDTQALGNPSV LMEGSENEWGFGQFLPVLLLALPVFAGWESFWEEKDVDKDKEVDRFGRRNNRVSRSNT GLLDVEKGPKEMERKKEQGMGTVTGTAGTGTPRLDDSLVSISPLPTPRLVVPSPAASP RLGAVSGGERRPRTPSRFEEDLR CC84DRAFT_1169629 MSLPAPATHPNMPPSKETAKHECVSDDALQHLKSYKYSSVDKSF ISRYILKHYWNGFVELLPMWLAPNLVTLLGFFFILGNIVLLEVYIPDLVGPAPSWVYY SFAFGMWMYSTMDNVDGKQARRTGTSSPLGELFDHGIDSLNCTLASLLETAAVGYGSG KIGAFTALIPVLPMFFSTWETYHTHTLYLGYFNGPTEGLILACTFICMSGYFGPQIWS TPLVTYFPSFAEWLGDMSFRDVWIPMILSTFFIAHLPACIVNVARARRAQNLPVLPIL WEWTPLVIFCAATMAWLGSPYSFILQDNHLVLYCLTMSLVFGRMTTKIILAHLTRQPF PYWTIMLAPMIGGALLVNHPYFTITGTTFGPVSASTELWYLRGYFVFAAVVYGRWAHL VISSICNFLGINCLTIPNRKPNEKASSNGTANGFRSDKGRLD CC84DRAFT_1191399 MLTKALPVALLAGAASAACPLAVSIANADNHIVNVQVTNTGSET LQVFKGNTVFSDHATKDLLVADAAGKALPFNGVYVNYKKTGLSSDSFQKIAAGETVTV SVNAAKSYKLEGVQQAKVSAIQGFRYATGEAAPSALRDLSVCADQTTSSVDVTPDQST VAAEHISKRSEPFSSRIQKRSITYSSCSTSQTSTLKTSVADAISMAGKAATAAASSAD YYTTWFKSTSVASKVQTIYNDVKNVQTTSPEISCTDTYGDCSDGSALLYTVPSDNVIV PCPNNGFWDFPEYASQCSGDDYDKAGSILHEMTHLYGTTDYAYGYTAAQKLSASQAAA NADTYEMYAESVRLGGCTVGK CC84DRAFT_1157650 MADNTPWGRRLIICCDGTWQSSVSSKDNVPSNVTKLCRVIARIG TDQHDPNKRWHQIVYYDSGVGTGNLSGSEARRQGGTGAGLAENVIEAYNFIVLNYEPG DEIFCFGFSRGAYTARAVAGLVTDIGVIRPTDMQLFPAIYRAYMSNDEMLPFRETKAW KEFVDGKLTSKGQDLSRAGEIKGLKDRVQSFEINPHHSLLAAEGSRKVKVVGVWDTVG SLGVPDVAWFDNERFRTKYGFHNVKLNENIEHAYHALALDEHRKAFRPTLWYIDNEMR ARLTREGKPLPELKQVWFPGVHINAGGGADDCITDMKGDLENLSTATWTWMLHCISPH LTIDQDAFKSSLGQYQRWLARIRYACTYHHTTWLDTIKSTIPNIPILNPATDPLLPPR RDPVHTHPEFDYGWGTGPIVDSYTGMYILNGSLPRVPGKLQTEVYDQKEEVATLDDIS KFGETNEYIHPICEYRKIVRGKEDDSALKAYTRKYEAAPGGKEGRFWWYRDGKRLPEW VIMEHGDEGVVNFERTWYEMCETNEKAKSRLREAGYEKDFLVALDEKVDFGVGEKPGY MYP CC84DRAFT_1131545 MSYLFRHSSVGTALHYGRHRRFDFSRFKAKQLSSSSSTDDVPAA IAPKVAFGAELKPEQSATLVGWDGPNDPSNPQNWPMKDKVLMTSLIGLYTFAVYVGSS IYTPSQEGVQETFHKSHIEGSLGLSLYVLGYGIGCLLFSPISEIPAIGRNPPYAISGF LFVILCIPTALVNNYPGLMVLRFLLGFMASPCLATAGASLADIWTMAQFPFAVALWAA TATMGPALGPTLSAYAVRDLGWRFSAWELMFIAGPIYILMLCMLPESSAATILYYKAK RLREETGNQHLMSEAEKKQKNLKASSLLFDALIKPWEINALDPAILFTTVYMGLCYGT YYSFFESLPLVYPVMYGFSAESTGLVFLVVAPAGLLAFTAHCIYLKYRCFPHMMNGTF GELENHLLPGLFASPIICIGLFMFAWTARSSVHWIVPTIGLGLTIFGTYFIAQSVLLY IPNIYPRYAASIFSANSLSRSLFAFAAVLFSTPMFKSIGIDGGVSLLAGFMVLCTVGM WVLFRFGKAMRERSRFAVG CC84DRAFT_1223197 MSDPDALIRSSDPEHPANHICTLCAKFYSLGWVTGTGGGTSIRH GDKIYIAPSGVQKELMRPTDMFVMDFESREYLRRPAAHKPSACTPLFYAAFKRGAGCC IHTHSQWAVLVTLLVERDFGSSAPFEIEQIEQIKGIPKGRDKVGNLGYFDRLRIPIIE NTAHEEDLTDSLEKAMEEYPDAYAVLVRRHGIYVWGDNVHKAKTQCESIDYILQLAVE MKKLGLPWTTK CC84DRAFT_1105363 MSDAPIVSTGRGGAGNIGHDPTVYTDGSIIREGVQGQGNGDFST GRGGAGNITKSPLIRPADSSPRTSSDIIPEDALRQPQENFHTGRGGEGNVHREKYGGH SRSPDRKGAIDKIKHVLHLDGKDKREGSPLANETKTETG CC84DRAFT_1169635 MPTLFPAPSTLSQVFSGCSVIAHSVPESPSKRAAKWNARHELYT AWSAADDARNKAAKLSDAAVAEFEKASSKAQAKVGGIELYSAKFYAACTVGGIMACGL THTAVTPLDLVKCRRQVDSKMYKGNFEAWSKIGRAEGLRGVFTGWSPTFWGYSVQGGL KYGGYEFFKKYYADLAGEENFHKYKTLIYLAGSASAEFIADIGLCPFEAVKVRMQTTI PPFATGTLNGINTIVGKEGVAGLYKGLYPLWGRQIPYTMMKFASFETVVEMIYHRLPG QKSDYGKGAQTAVSFTGGYIAGILCAIVSHPADVMVSKLNATRQAGESFGAATGRIYK DIGFGGLWNGLPVRIVMIGTLTGLQWMIYDYFKIFMGLPTTGGAPPEEKKNA CC84DRAFT_1223200 MTFYERAVLGSSMTDRDLSDFEYTTDTLIFPEDGTEPFMCLGGG TDMPVSQIEIEEDLMVVNESSIDTGRTNVTSDGLLEEDGGTSFPNSTGAAWNISATDV RRHSAMWGKASANRAAFIHSLIKFINTNGFQGADIDWEYSSELKRGGRIEDAENVVRG AGWL CC84DRAFT_1169637 MMAWAARLSGFLLFRILKTGKDDRFDDKRDKFWSFLGFWVFQMF WVWTCSLPVTILNSPKVTQFPQPSFGTGCDIAGIVLFAIGFIMESVSDVQKYRFRSAH GSDGEVCDVGFFAWTRHPNYFGEIMIQFAIFTIAVAPAANKYVRGGPYAALYASILGP IFLTSLLMFLSGLPLQERPGAKKRYEKGIKWPEYERYLRRTSILIPFPPQLYEKMPVI LKRTVFLEFPIYVFDPAKHADQSKVQPNNAEEGRARPSDEEGLRS CC84DRAFT_409096 MQTHSRTAQQAVEEEWVGRDRTGERNVRSGSQREREKPNENPKP AKRHGAPQALNRCACQGMVPAQSVSDGFHAATVPDSCRRARAAKGLRRPSHGIAGLAR PRTLIARAGRDIHGRHAFERHFWVWPVSARSGEALVHRGMRRGARRSESLTPVMFHTL RPAKHVARAALGARDLSSFDHPRATCWQL CC84DRAFT_1223202 MAHRDSFSNVQQVGANPGFNINTNNIGPGVQGRKVEDLQYGAVD AVGRAQQEKRGGMIVEPIPVVERAAQNQPLDVSSLLNGASQEQSAAGSFAGGQRGGEG IDANAIINGLAGAQQGGSTNINAGAATPGQGQAQAQGAAGLLAGGQQAGAGIDANALL NGLTGEQRGRNISANATTAATQSQGVKLGAAGSLSSGQQGRAGIDANAILNGVAEAQK GGVGSANAAAAGQGQAQGQVSADLASLLAEQQKQNGIVGLDANALLNVLGQGQVQGGN RQAANGASAGDANSLINDLLNGANGQSNAPGIADILEGLNGQKQGQGKGQGNGIQIIE IKESIVQQINGGGAVKETIIQSAGGARESAAAEPVESGTTIIAEPSLDLSLLGSTQKG GARTTAAAKTTSAAGTTAAKNGTTAAGTAPAAAITIIASGGTGGRAVNSANATASAAK SINTSGLTQDGLVAGTTTTKVGSAITVSAGKGVSNSTSIASAAGGAITVVAGKGNGTS IAAGSAAKATAITVVAGNGTATGAAAIAPGLPTSSTSARSINSAALTQAGLVRSTTVS APGSAVTVVAGNGGSSNNGTAAQAAANLCNCACSCPGSAFPMSAPQAAPFQLQPAMGS MSAPPSTLQTQAVTVVAGGSAPAGAPPAAAASSSSAAPINSATLTTAGLVGSSTTSAA GVGVTMQASSGASVVPAQSQIAASSSSASLQQNRLPFDISTIELQSKVTVNLGARAAL PTEKKRWVF CC84DRAFT_1169640 MTATTPKIALIGAGPTSLTLAAILHRNAIPFTIYEAASTLRHQG GTLDLHPQTGQQALREAGLWDEFVKHARPESDCMKLVELESGEVFWDENAGDGQSGEE TKKSLEDSLKGRPEIDREWLMKITYGALPEESVKWGKKLKEVLPNSMDPKKHDLYFTD GSKEERVDLVVGADGAWSKVRNLLTDVKPHYSGISAVELWHHDVQSEPWLLNYVGAGS CFAFAEGSAILSQRQGDGSLRTYACLRVPETFFDDCGIDWDSEDTARTQFIDRYFSHV SPDLQRVLALSKDRLTPRALYELPIGFSYPHRPGITLIGDAAHLMTPFAGVGVNVGMT DALVLAKEILASCRGEQSVDRAVGAYEKELWPRARKYMEKTAKGKERHFRAGGSKEMA DMLRAFHAGQKPE CC84DRAFT_1131561 MLFTSVIRALPASLLFTGAHGTPLERRQTSVDTFVTSQRSVSIQ GVLSNIGADGSKAQGAAAGIVVASPSKSNPDYWYTWTRDSALTYKALVERFIGGDTTL RKKLDEYVSSQAYLQTVSNPSGGPDTGGLGEPKFNVDRTAFTGAWGRPQRDGPPLRAT ALTLYANWLIANGNTTQAANTLWPVIAKDLAYAVKYWNQTGFDLWEEVNGSSFFTLAA THRALVEGAALATKLSKTCDGCAAAAPQILCFLQSFWTGSYIDSNINVNDGRTGKDVN SIISSIHTFDPASACTDATFQPCSSRALLNHKAVTDSFRTVYGINKGIAQGQAVAVGR YSEDVYYNGNPWYLATLAAAEQLYAAVYQWNTIGSITVDSVSLPFFKDLLPSIATGTY ASSSATFASIVSAVKTYGDGYVAVVQKYTPANGGLAEQFDKSSGSPLSAVDLTWSYAA FLTATDRRSGSVGPSWGEKSNNVPPTSCTAPPSCNVQVTFNERVTTAYGDNIFIVGQL TQLGNWDPNSAVALSASKYTSSDPLWYATVSLPASTSFAYKYIKKTSSGTVVWESDPN RSYTTATTCGSTATQNDTWR CC84DRAFT_1169641 MHVTTPGTVGDTITRYSRGTGGMFRWATPYEPLPSNTCEIRTDV LGFLGQQVLKCTGSLKSPSQTFTGFADKDVNGNGLHYLFGVQVSNGTALYGEQLLELG LFNGGGCPV CC84DRAFT_1105207 MASPLAETAKANSTCNAVQSKQVGDLAKGIQANLDIQKQELAGV QFLQAMSNAKSNSTQDFKNIQKAVLNIQQQGIDIRTNNQKLAQQVNSPAQMGLAIVAT AQITEKDQVTSLNGSCADQGTLKTLVKEVQDGTKQNKKNLQAAQSQCGK CC84DRAFT_1157672 MDKNGTYSEEPYVQGATTVGAATGRGGDTHRGLKSRHIQFLALG GAIGTGLFVGSGGILALVGPAPLFMAYLSMMMVVWCVMNNLAEMTTYLPMKGITIPYF VKRYVDSSLAFALGWNYWYAYAILVAAEATAGAILLDYWSTPVPAGAWIAIFMIVILF LNIVAVGIFGEAEFWFASIKFITIMGLIILGIVIMLGGSPNDQGRLGFRYWNNPGAFN PYLVDGNTGRFLGYWTAFVRAGFAFITSPELIALAAGETIAPRRNIPKAARRFVWRLA IFYGLGSLIIGIIVPSDDPRLLSPDSNATASPFVIGIARAGIKGLNHVINAAILTSAW SAGNAFLFSGSRILYGMALTGDAPKIFGRTSKNGVPYVAVLATWAIGLLAFLNVSNTG AQVFTWFSNISTISGFLAWIVVMITYLRFRKAMQFQGLLHTLPFKTPFQPYFTYFVLG VVSILTLTNGFQVFFPSNWAVSDFLAAYITLPIFIVLYLGHKAWFRTSWAIRLQDIDV VSGKREMDELCAQDVDPVPRNVWQKMWFWIA CC84DRAFT_1052471 LYTPLKEDEFRLLSISPSENPHAPLVGTLRTTSFFEARYSAISY VWGDASSPEDAQINGHTVQLQRNLASALRYIRTTGALEVCSAIWADAVCINQKDILEK NRQVAIMHHIYSNAALTIAWLGSAQPNIRAAFKAVSR CC84DRAFT_1223209 MAKGRVRQILLTVFRVIQFILSLVALVCGIYITYVYYDMASSAH DLLEAIDTLDPENDGVQILEHSLVPVLHHFDGTPMRAILILVAALWSSLSIISLFFAD RAARHSPRDLKRNSRTTRVTISVLTLILWIAAIICSALLTVEFGVFALVAGPETKVAL TTSEKILLEAMQQIAESIDPISDAMNIVGSIMTIGFMAILLLVASIVNTKVALWNCVI ACCMSGKGMNKKVTKDQAMMEKGGVQVSVASV CC84DRAFT_409156 MQLYFLPLLAALSAVVSAAPAPELAERSIKCLKVGATATATWTN AAGNTCKWTGVVGSNFGTNSANGGEYSCNGRCGAGCTGTALGNVYTQDCFSHDICSYF NNASGGASDPNCGAAYNAAIDDTTGGLLAGCSQTNPSNAAVKPSTSPTCT CC84DRAFT_1264477 MIVSETPVIIGVGDIKNRTSNHKEPAVLIHEAVLAAIKDTSASD PTALQSSIDSISVVRTWTWPYPDLPGLLASKLGAEPKYKFYSEHGGEKPGRLLDEAAK RIARGETKLAVVAGGEALASLTACAAAGKLPPPGWTKPAESVESVFTPTGMDLGKNLG ALHDLGAPIQVYPLYENAFRAHRGQSVKANHEESARLYAEFSKVASQNEISWSYGQYD DEKKIGTVGKKNRMICSPYPLLMNAFNTVNLAAACILTSTAYARDLGIPESKWVYPLS GAGASDAAFFWNRPNFYTSPCMSQSLDAALSLAQKSVSEIDLFDFYSCFPIVPKLAAQ HLGLPITGGEKSLTLLGGLTSFGGAGNNYSMHALTAMTRAIRQGKGMTGLVLCNGGVM SYQHVVVLSKAPRTRGAYPQETSLPQRENAVPDIEERPEGEAEVETYTVEFNRDGTPL RGYIVGRLKSNSKRFLANHGDEMTLRQMAEGAGEVVGRAGWVVQDGEKEGRSLFSFDR TSRI CC84DRAFT_1131571 MSRPSADAASMLSYTGSTRGPGRGFTFSLDNFANRDFIVKDFVE ALSDSATPASRKSAPGGSQTHFDPKPLIRAFEHALGRLNSLSEDLEERENELSGAVRR AEAQHGANAESLGRKLNQAVDRFARLDNSLNGSGGDDAYADAGGNVAVRIGERLEELD RQLKRSNDAKFLILCWQEVSQRGTLETLEDVKRRNDIVECARIARQLLKISSRLDPDS TQGVNGSAANGVKRRPGPSKYPTKEIIEKFLENLEQDLLAKFDDCLRRANYEGMRECA ITLKDFNDGSSVIATYVNQHSFFIDRTQLVTDELAEDTETWDRLQDPDAEPPGVEPSL QSLIDEVKIVVQDESAIIKRAFPYYEEVLIRFLQRIFQQSIQQRLEMVLEKANELSSL AFLRQLQASRSYITTLVDDLKAHGLTEAPEPVTSQIAATLDQQLEELFVPYFVGSSYI EREKRNLEELYSSLLLKFTSYHEQRRRMPTSYFGSLAQRGKEFAASARDAYMERLDSA DLPTTQKAMLLRIAGLKEDQSDKKNIETTEEDGKLSIPAAKRMLKWLAEGVGRGLELS PTNDTPKDVQNLHNLLLTHVGEYYLETALDAASDHAVSQENMKTPPDLTHLPSIQTLT IILHLLQTTIRTILLPLATPNLTIRREIEKSATATMNTLESKLSNVLNITLTATLNWV AKCLAQQKKTDFRPKDDMDLMAASSETPACQAVCQFLTRVATQATGALTGRNLSLFLS ELALGLRSLVLGHLLKFTVSQFGGITVSKDMTRYADLIRTWPTDPEDLEPGAMDVLVE VGQLFIIKGDALKYKLSLAGAEKGELKKYIRQREDGGSVEVVAALGS CC84DRAFT_409208 MASTGITKREELAFRRGVVNGKQLTAHEREQMLQPFLPPAPIEA APLKSPKSPRLSQRTTAAPRPKPTPVRTVLHIVLHAVIAFVFSIFFRFRRSWRLFSYK VRGVLRHHHHTPEWIVNDVKNVEQLPKHISVVLEHRDDDEDQGTAGLEGLVQDACEIA AWTASAGIPLLSIYERTGVLKNYVPQLHAAIEKNLESYFGTRRRPTLTVKAPHTISYS PPGTPSTEPTENGATTSRPHLTVLLLSEHDGRATLVDLTVTLASMAQKSDIDPKQIDI PLINAELSDYVSSEPDLLVLFGPTVRLMGYPPWQLRLTEIFHLPDNKGVNYLVFRKAL GMFGKAEFRVGK CC84DRAFT_1169645 MKHLAAYLLLGLGGNTSPSASDIKDVLSSVGIEADSERLDKLLS ELEGKDINELIASGSEKLASVPSGGSGAAAAGGAAAGGAAPAEEAAAAPAEEEKEESD DDMGFGLFD CC84DRAFT_1223215 MNPLADILTSIDPSKATIFKNTAPVQRLGTGSPKPATGQRPPQR PAQPANGTPEASALKRKASNPGDVGQNKIPRKDAPAPLATTNGARSGSAPAGSRSTST TPTTSMPYRGTAGLGASKAANPQVKKPLPAGAGQSAKTASPASRPTAPKPTSAASAAS AAPVKKVGGYLAMLQKAKQRDATKPVAPPVKPEPVKIMSKKEREAARLAAKAGPKGKQ PVAGPPGRASAPKAGAASTLQEKRKPADLGYQGTARPAKKPAEIGYKGTARPAAAASS AARPGGPAAAIKKKPKPAQDRYAGYANWSDLSEMDDDEEDYASDGSSDMEGGIWDVER EEAEALKAAKREDAEALAEENALKREKEERRKRLAAMNKAAAAKKKY CC84DRAFT_1223216 MQYSTTDIPHKRQMAPDTRKQTVASPPPSPHPSLIPPPLFSSHP HHRPSRDLPHLPDAGADEPSVADYERDDVFWNSALEERDGEGVPAYDDLGSVQGTGTG YWVRELGLPCDEDERMREAEIERRRGTEVQDLAVGKEGDGAGERQKLPGGGPVELDFT FGEQRGEGERSVSPSLSMLSSWDLYKPPTPPWSKRESSLRKAHFEPASVQHDAGQSLD VMTGEREYAAREMRGGGRARLISFEPLYAPFEVNLGSHTQHFGPFEFKLPPNVLGNVE KFAKADPVGKHRVTSTAGLEPLGGYARGTERSGASLGETTSEVVDVQGAVWEGDTLRS NVAELEQAYLYSGLESAGGDSEDLSPPESLIGSTPEGYTLEEAMRKARTANAPGIKDH PAFKNEKRATDAKSADSEGERELTGTQEQKQIRSRSKTAGSGPPRKQGCPVTIEDEWP LDDHRPPPPGPNSTLHSPSPIRPSSASFLPPPPRTTLPTEDEAQAFIHLTHSRTPSPT TALPYYTTLLAAQASSIGELNALIADLTDRGDYYEHDLLPRTLAHWTEINTENHKLST ALRSAEQENSMLRDMLEVSRRTLNLCWKRETAVLATVQTMWRRKINRTNCAILERLVN NFGAGRGGGRGRWRWRTESPFREDVEQLWFVCEQNLKVLDEDLKDWEEGVRILEEMSE ETRKESAERADEDGKEGERVGGNVGGMRG CC84DRAFT_1157690 MATASPTPMGSYSSLGRMRSHSNVRPRRDSPLSVLGQSQGFSAN APMMQNIDIGDSSDDEIPQPMKLSALTTALLAQNEANSPERVRKPKLRVSRSGSGTPV HDTVTPAPSLRIKRVPLRGAPMRRSRRTPQSEDEKHPPSQDQENIVPMSVVKSDPKDA VHSGLKVPDSIMKTADHDMQPPRQPLSPIQRQERPMPLQSISANTPRRPAPPPPPKMS ALETATASAGAATTKTRERKKRSLVTIHNKTYQLMDRIGKGGSSVVMRVMAENYKLLA LKRVKLDDADEAAVIGYKGEIDLLEKLKNVERVVKLYDWELDNDRQILHVLLELGESD LARIMRLKNDAADAKLDLNFTRYYWREMLSCVDAVHDYDIVHSDLKPANFVLVQGSLK LIDFGIANAIETDHTVNVHRDAHIGTPNYMSPESLEDSAGGARGKLANGEGPKDMKLG KPSDVWSLGCILYQMVYGQCPFAHIPNQMGRVLAIVNRDYEIEFRDYGIGGAPVPPGL KRTLRMCLNRDPSQRPTIKQLLDGVDTFIFPENAGVPVSKELLSNIVWKIVDRCRDPS KPPVTHEEIMKGYPESFYAKIQEILEDPYQGRRT CC84DRAFT_1157694 MPTLNPSTASALHNYIDNATTGPNPTLPGVIVQVLDARGTELFK HISHDHTLKTMVSVHSCSKLIGAVTFMQLVDAGLATLDNPEIIDKYLPELANKKVLVG PKDTGDGTKEYVFEERKGDITARMLLDHTNGTGNTFFNTELRDFLGDSVATENEGTAY WDTLLKSPLLWQPGTKTNYGQGLDWLSVLVERLTNKSFEDNLRENLFNPLGIRHGGFR GEMGGSVVSSTPGVDFWPVGLRLPDGGFVSIPGFAEKKAEREDAWPKGGHHMQSVGTG LVLSVADLGRIYSILLPQNAGVDPITGTRILSAASAAEFAKVAHPVEIRNDSRNIPTA NPVFLPYELQAPNVDPAGCFGLGSAIQGENRVLSDGRRGRSKGSVYWVGAANLAFWID GEKGIVVVAAGNFFPFMDGKWVEFVERLEGAVYEGLQG CC84DRAFT_1210387 MFHDLNVPWTSAKELQRAVAFLDELGYDVVALTHSYGGRLPADL TSPVPNPLPFPTPPRLRVLRRCNIFLTDAASNFRIPQLQQQYDLIAARPTDEKTLQQA CQSLDVDIISLDLSQKFEKHFKFPMLGTAISRGIKIELCYGQAILSTDLNAKRNVISN ATQLIRVTRGRGLIMSSEAKSLLGLRAPSDVMNLASVWGLGLERGKDALTKEPRSIVE FARLKRQSYKGIVDIVYGGEKPPAQEKVVDSKLKKSQSKDQKRKAQDSLDTTPAQSGE EKKVSNRQIKKNKKAKLNAELQSGTQSSA CC84DRAFT_1157698 MALNSAGGSTSVARVYADVNANMPRAYWDYDSVNISWGVLENYE VVRKIGRGKYSEVFEGINIVNYQKCVIKVLKPVKKKKIKREIKILQNLSGGPNIVSLL DVVRDNQVSDGSDWVGDWSKTPSLIFEYVNNTDFRSLYPKFTDYDVRFYIVELLKALD YCHSKGIMHRDVKPHNVMIDHEKRKLRLIDWGLAEFYHAGTEYNVRVASRYFKGPELL VDYQEYDYSLDMWSLGAMFASMIFRREPFFHGNSNSDQLVKIAKVLGTEDLFDYLDKY DIELDAQYDDILSRYPKKPWHSFINADNQRFVSNDAIDFLDKLLRYDHQERLTAKEAM AHAYFAPVRQAAAQNHSASSSHS CC84DRAFT_1131591 MALNASSHNPTGESTGPNTTAPPPLGDDSKKDILAHANADGTGQ SAPGQAAPDQGGKENAAKPKTAKELEKERKKAEKDAKFKQKQAQAAAAKTGEAAAPKE KKKKEKAPELPPYVEQTPPGEKKILGSLEDPHRTAYIPAVVESAWNDWWEKEGFFKPE YNTPGYNEGKTNEKGTFVIPIPPPNVTGKLHVGHTLATSLQDLLIRWHRMRGFTTAYI PGCDHAGISTQSVVENMLMRQEGKTRHDLGREAFVRRTMEWKEEYSQAITRVLKRLGG SMDWSREAFTMDANLSAAVTETFVRMHEEGLIYRSGRITNWCVHMRSALSNLEVDNKE LTGRTLLDVPGYERKVEFGCLTHFKYPIDGTEEFIEVATTRPETMLGDTGIAVNPKDE RYKHLIGMHAKHPFVDRLLPIFADDYVDASFGTGAVKITPAHDPNDFNMGTNHKLEFI NILTDDGLMNENAGKFAGQKRFDVRYTVVEELTKLGLFVKKVDNPMKVPICQKSKDVI EPLMKPQWWMKMEGLARPAVEAVERGDIKIRPANSEKIYKHWLNNINDWCLSRQLWWG HRIPAYYVRIEGQAGDNTDNNLWVTGRTEEEARQKAEKRFSGQKFRLEQDEDVLDTWF SSGLWPFSTLGWPNEASIDLKNLFPTSLLETGWDILFFWVARMIMFSLHLTGKVPFNE VYCHSLIRDSEGRKMSKSLGNVVDPIDILEGISLQELTNKLKLGNLDPKELKTAEKYQ KAAFPQGIPECGADALRMSLIGYTTGGGDIAFDVQTIHGYRRFANKIYQATKFALGRL GDDFKPRSAVTSGKSESLPERWILHKFTTSAKKINEHLEKREFSLSTQVAYKFFYEFL CDTYIENSKAIFDNGTDAEKESAKQTLYTAVEGGLLMIHPYMPFLTEELWQRLPRREG DKTPSITVASYPEYMPEFEDEAADREYELLVGASRGLRSLTSEYAIKEGGSTFVQALD STTNDVLALPTSLPSLRSLTGKTVSNISVLSPSDAAPTGCAVYTVGSSATVYLDVKGR VEIDKEIIKAQDRLKKANETVERQKKIMGVDNWEEKANDVVKEAEREKLKAAEVDGAN WQKTLEQFEKLKLEG CC84DRAFT_1233170 MSASGDPTSLDLGQFKQLASMSLGANSYDLTVFQPFRGARFNHS VSTNGHYWAGPFIHFAVHTATYVFTYRFFANHSPEHPEGYLDIDSLMAFEDVTRNANG EFVWKTGREHIPNDWYRRAIGDDFGIAASALGTVDALQHLPYMAVLGGNTGEPNTFTG VNVADLTGGVLNPETLLQGNNTMFLAFQAVSAVAPDILRGLVGNVLLEVQKLTVVLTS CN CC84DRAFT_1169650 MPPSGAAYNIDWVWSFDSNTHVATHRDWFTTFTPLTSQISSTHI SSTYSVEGIGSVEIEVRRIIGNAAKRNVGPKNSKVVLRNVLYVPDFICNVMGNTVRED YDISIGAERWIIDKKTGRGIGMLDKNPAGLVKILLKGQAKGETGLKGDVPARITVEWS DEEQQKYKAQKEA CC84DRAFT_1131594 MSDEVYEGAIGIDLGTTYSCVANYEGTNVEIIANEQGSFTTPSF VSFTSEERLIGEAAKNQAAMNPENTVFDVKRLIGRRFEDETVKKDIQSWPFKIIDANG SPRVQVEYLGETKDFSPQEISAMVLVKMKEIAETKLGKKVEKAVITVPAYFNDNQRQA TKDAGAIAGLNVLRIINEPTAAAIAYGLGSGKSEKERNVLIYDLGGGTFDVSLLHIQG GVFTVKATAGDTHLGGQDFDTNLLDHFKKEFTKKTKKDISGDARALRRLRTACERAKR TLSNATQTTVEIDSLFDGEDFNANITRARFEDLNQKAFAGTLQPVEQVLKDSGIPKNK VDEIVLVGGSTRIPKIQKLLSDFFDGKKLEKSINPDEAVAYGAAVQAGILSGKATSAD TADLLLLDVVPLSLGVAMEGNIFAPVVPRGQTVPTIKKRTFTTVADNQQTVQFPVFQG ERVNCEDNTSLGEFTLAPIPPMRAGDAVLEVVFEVDVNGILKVTATEKTSGRSANITI SNAVGKLSSTEIENMINDAQKFKTSDEAFSKKFESRQQLESYISRVEEMVSDPTTSIR LKRGQKEKIESALSDAMAQLEIEDAPAEDLKKKELALKRVVTKAFSTR CC84DRAFT_1131598 MFASKRLGKELTKLQDKLPPGITLVKADDFRDWLMDIQVMDENP IYKGETYRLKFTFSQSYPIEAPEVVFVRDETHPIPIHPHIYSNGIICLDLLDRQGWSP VHNVESVCVSLQSMLTSNTKNERPPGDEAFVRSNTQRPRDINFVFHDNSV CC84DRAFT_1169653 MRVQCKRRSQIANRCIGGRHLSGDGYAIHPSNVYPNREAAVAFL CHKEWPYSAGEHGISPTKDAKNAHGVSLDLEVLCRAVHSFHFGSLATHFPNTEAPLDY ATVIMQAIPKTRHAHSLLCNRVSLTPSVGLSPFQYCSQLQSRSMHQSLIHDMPPHCQY PKAGGSTSCRFANMQELQLDHSHSTRDFLSYSGTVHVESPLDTPSPTCGCHKGA CC84DRAFT_409473 MRILESSLSSVPLFAVLQPGLVCVVAGLRARPQLPAKVGSLSAR IRATSFCPLVLRLGPADGQILNLLGASVTLLAKPRVRSDKTLGGICLPKCTALDVANL VLRFLG CC84DRAFT_1264491 MAEEAATPVTVDATKAVDEPTRPVDAAEPATTAEEKPSDSGDVP ADAPVHDQAPEAAAATADQAAKDDATDAKPDAAAAEGSGDAAAFEALTATNGTPASSK KANKGRKSAGGVPEHKGKKTPNKKGKKEVKLNLNTKPGDMWMVAMRGYQPWPVVVADE DMLPESLLAKRPVSAIRIDGTYREDFEEGGKNAKDRRYAVMFLGTNEFAWQPNTDLIP FDLDAIKKEVESGNSAKKNKQLWEAFQIAAEGHDLNYFKDVLVSHEQAIQEDDLIKEA KREEKAEKAAKKAANKRKSTAAVDDEDVEMGDADDTTAASAKKAKPSKKRKKEDSDGD DEKPAKTPKTTLKLTTKKTPAKESKKSKAAAKEDSEPAQPEEPPMTEEERLEKRKKTV LYLRHRLQKGFLTRNQPPRIEDMSAMSGYMAQLEELQSLEPEIIKETKVHKVLKGILK LGSIPRDEEFKITSRSHELLTKWGTLSGGEDAADEAAAPATNGIKPEEKKAESPAEEK NEEIAPAVTEEAKPKDADGDVAMAESAPEPKEDAPVAETNGDAEAEKAEAAVDTVA CC84DRAFT_1264492 MSSSARLLLLPRELRNRIYTYLTHPLDFTWHRGIQHTPPTARPS TPVPVRIPACPLAYVFLIHPLITAEYKESCLPHLEAVLNPPGFHALEDLVEGGRDVKV LQRVRHVTLFVQLHARSTGASLDWGDQLQLLGDVVTYMGGAGGLKTLRVAVRQHFLGV GPTVGEAELDGILEGVVALRGAAGGEDEGFLPEMPGRVGGLGMVQRGEGLSVGFGGFV GGGGAGVRHAVRKVGVCVYACGGERWERRTWRREEVIRRWPMRAYVESIREVVGEERA AWLMKLPYEMVEWVER CC84DRAFT_409518 MMSLLRLVSFVSAIILFGGAKAGPLGSILNPLLDVTGSVLSGQG IVNGVLGGLGGILGADQEYDYVVVGGGTAGNAIGVRLAEAGFTVAIIEAGIFYEFGKP VLGSTPAGAFFGIGSSFIDAVPTVDWQFETEPQAGANNRRIHYARGKCLGGSSALNFM IHHRGSKGSYEQWADAVGDESYKLDEFMPYFEKSVTFTPPDNQKRRSNASTQYDSSAF SNEGGPVQVGYTNFVSIWATWLEKGLQSVGMKRTLGFSSGDLMGYHYSQSTIRASDQT RSSSASYVYQAKAGSTGKRLKVYTQTMVKKIVFNGKKATGVKASLIGALPTYNIKARK EVVLSAGAFQSPQLLMVSGVGPRATLEKFKIPVVSALEGVGQNMWDHILFGPSYQVAF PTLDKTLHDPLALTQALLQYTTKAEGPLSSNVVEFLGWEKLPDKYRQNFTQATRDALN WFADDWPEVEHISGNGYIGDFAFPVLQQPLDGKQYATNLGALVAPLSRGNVTIKSADA LVPPSINPNWLTHPGDQEVAIAWYRRMREVWDTPELRSIRVGDKEAFPGLDKQTDQEI LDVIRSSLMTVWHASSTCKMGKKEDSMAVVDSKARVFGVENLRVVDASAFPFLPPGHP QSTVYALAEKIAAEITAGN CC84DRAFT_409539 MTLLTTITRILALSTGESIFYRESGSPSAPTIVLLHGFPSSSHQ YRNLIPLLAPTYRVIAPDFPGFGFTNVSSSYTYTFDNIAATISTFLSEIHDPPAKYAI YIFDYGAPVGLRLALQQPERVSAIIAQNGNAYAEGLGAFWDPIRTLWSTNNSADARAA LLPFLQTGTKGQYIDGEPDPSALDPASWTLDQALLERPGVFDIQLDLLYDYRTNLELY PKVQDWFRESQVPLVTAWGKNDIIFPPVGADAYKKDLPGAEINLLDAGHFAVESHTGA IAGIILDFLSRKRIV CC84DRAFT_409537 MELPIPTVTEDDLRQFHGKHFPSAPTPEHHIQAVDHAAQHEYYD EGDDGLGYYEDGVKRTLTDEEIAIFRHSEIQRILLERRRRKEAGEPIFDAHTPQVDTE NVTALPGATSARAASPASDQSTPMSISSDDDDQTQAPPVEQPQQKWAVTSAKTRARNA KNRQKNRKNHRERKKEERKRQEREAKQARRTAEQQAQHEQDEESDEWDPWHQANGPDA QKEDAVDLDY CC84DRAFT_1223231 MKPASIFAYPAATACLIVAASGAPRPLSDIIKAFDIHQLPFLTN PLPNMPSDESVSTGVIISDVIGKTQAIAIFSGLTRDIDPVSGRLDDASQNATVLAPDN SAMKNLQRKPWEDAEDYEAFGTEAYKGQDGEDRAHKNLRRFVEKHIVPESPWEEGKKV KTLHGNEIWWETKEGKKKIQPANIEVTSVADKVSNGEVWVIGGSLV CC84DRAFT_1169657 MPAGLSESTSTTKQLGIGERAILLQTSSGNVLWDLVAWLDDATV AFIKSKGGLQAIVISHPHFWTTHLEWARVFGCPVYLQEADQEWIQRKEDPQGLRHFIS GETEILPGVTSLQAGGHFPGSSFLHWDNKLFIADTMMSVPSGFSKPNGSKSNPKAATY SFMWAYPNMIPLPPSEVLGIWRSIKGWDFESTYGGFMGQNVVGRKNLKKEVLESAQMF LRKGGHEKAEIFEETV CC84DRAFT_1131614 MPKKAIDARIPALIRNGAQEKKRSFFVVVGDRQKDVIVNLYHIL LNVDVKLNKSVLWAYKKQLLGFSSHRKKREKKIKNEIKRGIRDVDTEDPFELFVSTQN VRYVYYKETEKILGNTYGMCILQDFEGITPNLLARTIETVEGGGLVILLLKGMNSLKQ LYTLSMDVHSRYRTEAHSDVVARFNERFILSLGKCDSCLVVDDELNVLPLSGGKNVRQ LPPPDPEAEGKTPKAKELEEIKESLADAPPIGDLIKLAKTVDQAKALLTFADAISEKT LQSTVTLTAARGRGKSAALGVAVAAAVAHGYSNIFITSPSPENLKTLFEFIFKGFDAL GYMDHQDYTIMQSTNPDFNKAIVRVNVHRQHRQTIQYIQPQDAYTLGQAELVVIDEAA AIPLPLVRKLMGPYLVFMASTINGYEGTGRSLSLKLIQQLREQSRGRANGADHVIDRS TGKESKDGTETSMAGRSLREITLSEPIRYAQGDSVERWLNDVLCLDATLPRSKMNTQG CPHPSECQLLHVNRDTLFSFNPAAEKFLQKMMALYVASHYKNSPNDLQLMSDAPAHQL FVLVPPVTEDNKLPEPLCVVQVALEGQISRESVLSSLNRGQRAGGDLIPWIVSQQFQD ENFAGLSGARVIRIATSPDYTNMGYGSRALELLVDFYDGKLASLSETEPKELEGMRRV TEEELESATLLKDDVKVREAKDMPPLFARLPELKAPQLDYVGVSYGLTPQLHKFWKRA SFVPVYLRQTANELTGEHTCVMLRSLETTTSDGSWVAAFAKDFQKRFLSLLSYQFRTF PAVTSLSIDESASAGSKLDAELAPKPVTKSELDTILSAYDLKRLDSYANNMLDYHVIL DMLPKIAELYFTGRLKGQVKMSGVQTAILLAIGLQRKEFSDVEKELGLNNSQLLAMFV KVIRKVATTFRGIVEGAIEQTMPQAMEVEHNGVAGDAADAGSGARFQPLEKDLDEELR EGGDEALREERERAKAMIDALPLGKYEIGAGAADWTEAERTVRDAAKKGAGLPSTIAV KTGKANKRKAGEAVDEAYKEAQKFNEGGKKKKSKFAKGK CC84DRAFT_1047783 MAPTLYTPLPIDKFSIRLIKLQPAYTTSAPILCKLINHPIDSER IVAHSYECLSYVWGPSENPQLISIDTGEAVFPFQTTPNLYEALQHLRDSCFEQILWID AVCINQRDDEEKATQVAAMARIYGLAKRVIVWLGAETNDSTLAFERLRDLAQPRETEA PPQHRDEDGPVAEHAIQTLLSRPYFRRMWVLQEVAAARNMVFRCGSAEMQTETFRAGL HALARLDDWELRHRILAFLLLIGTSIFRERLKGRPHLEFEPLNDLIDRFHAHDASDPR DKIYALWGLCSDSSSMESLQPNYDKKWKDLFEDLGKLMFGKESAVSASPLRQLM CC84DRAFT_1169660 MRRWEWPYRYGYTFAGNLHQNLYLPFRPPFSFRVLELQPGHTSS PVQCNLLNAALDDAPNYEALSYCWGDPKDSQTITCDGRSFPVTRNLHEALVGLRRRSG KRTLWVDALCINQRDYAERNQQLRLMGQIYRKADRVVAWVGRESDVAGIAKNVFEKLR ALEERVGGVVILEPDAQHLRALGLPEELWPAWSALRYFFKLAWFQRVWVIQEVANASQ LDVTCGEVHLPWDDLVFAARCVAESPMLAGSNTAQICQHIGFIDECRRSSQHGTGGQL SLFNLLYRSRRCGATDLRDKIFGLYPLVKDQSTLPEANYEKAVRTVYTETALHLIRTT GRLDVLSCAGAARVPHTRPLNLPSWVPDWHSYDKSTPLAPFSEPSTSRLDYEVSEDLS KLTLSGDIVDEIVSLSDIILPFRYALNGAHVNLLFQQWPDASVVLEPTQEIIRVLDVL PQRAALGDFNIASLPSASYTKGWLKANRNQLTKTFYGRRVFRSAGGRLGLASSQARLG DNIVALEGGTVPLVVRRKENAYSLVGECFLADFMDGLSFKNANTASKITLE CC84DRAFT_1104949 MRPSALIPALLSAAALVLAFLCLFAGHKKNFMEDYSILTLNTSR LGEGVVNGTLGNSDSTLGSLWDLVPDSIQNDVSEAAGVVTEKLGIEDFYSAHLLDYCY GQYTPTEAANATVSAGDIHKNVTGCSNQTAMFYFNPTQIIEDALNKSGLDVTLDDLEW PADIQRGLDTLRVVSITAFVLYCISIGLIFLSFIAALVAVFTSGRLSACVNLLLGILA FLAIGLASALVTAVIEKGGDIINEHGKDIGLEAGKGKKFMALTWVSTGCMFLVLVMWC VETCVGRRRKGAYVNGKHG CC84DRAFT_409654 MPALLVDTSTPSTSPSSSSTPSDQRTQRRSQSRSPNRPPVSPIT PTVAAAQLARPEPQDARPRVAPPPPPPTATFIQQPPSVPISESENPDAIALRSAISLL QLQREKSKRDLKALEELRAAAVSDPHAFVRSLQEQRKDAAGKPVDVLTPTLADIGEAA AREGVESSYGESGAARKDSAAVEGSLKEATKFPAVPQPQNIVRCPPVNWAKYHIVGEP LDKLHDEQKRYPGSSEPPRTQSGARAPPHRVAAPYSPFTDGIGGPPVPQPWRGPKKSK SPS CC84DRAFT_1169662 MAPAADLARPPRIPKLSYRNRLPADDLSLISRTSSTSTDTPLSL NDPPLDRHLSTPNCTPVLTPEFDSRSTASLASSQSASLASSDGSSTRESKVSSTKKKK NSVLNFLTLKEPSQSALDQFADAQRKQSAAKGGLPPPVGMQGISAQKLPPTVPKVNSK WDGVPESLKSSRNSIVSSKRTSTMSSTSSQGSPNYTTRTSTINSTINSSAISVATNDS RGPPNSLASPMHSAIDVTAYTPTKSPPRADSPSSASLPEMTSFFPDDPSLSAASPRSS AEHPWSPPSRPDQDAAHIFVTDFDEKLSISEPDIVTVDKADAIFRRLNGGPGEPLSDY YDGEDEDPDVPETHDFLFDVKPILTTPPPSTSSHQTPVQPIQPTPAPHYVPSGKPSAN FSRPRPNQTYSNPPRASTYPHHHKFTSPALPTLYEASIASTDDTDAASDTTEIGRTRT RDSTDSAESFSAHSIAPSTAPSVATSFTPSVMSASWYRSSRERLGLGGRIRKSDVLPW EQTDTDRGKPKKSRLSVFSRG CC84DRAFT_1169663 MAYNSKFNPDRLPAHAEPEQAAALLHGRESTRPPRTSSARPDYN DKPLPPSQRPDDRYGRSHSNSYGSYDSRPPPGAYGAPDPRYDDRSRYDTQPGARRNDH PPPRDPRYDGYGSPPPQNYGHGPAPAGFHHGRPPVQARPPATPAPPRDGNDRVALDRL FKQVDRDSNGNLTEAELKTALVNGDWSPFDPHTVRMMIRMFDTDRSGSINFEEFCGLW GFLSAWRNLFDRFDADRSGSISYSEFTDALIAFGYRLSPQFVQLLYSTYDRRGDNNMS FDLFVQACISLKRMTDVFKKYDDDRDGYITLSFEEFLTGAQTLFLFNSISAATDTGLY CC84DRAFT_409908 MGVDGLDGKEGQQGRRPFDVCSPAIVLSWTQIARLGLPSTDWAS SFRRNWAKLPQLIVAMYFVFVFVDELYRVNTLRVLLRYSLVVQQIRLGVMNARYYGTI HMRCKATMIALSLS CC84DRAFT_1157739 MAEKALPRPPPSFCGRIQFTHQPLDHTKPSIHLLEVLPDLLPDG LIQCTRFHATIEAGHTYLSYMWDPLDLPKQSHVIVVNGSKPHVRRNLFDILGVIRKLQ NLRKVYWIDAICINQNDGVERNHQVQQMGDIYLKAACVVTWLGNCDNIPASDIPEVIM DIVRPFLDGKTRKSAKGNMKYKYSLFRCTFDNPYWRRAWVTHEIRLARHHMAMLGCCQ IAFNDLTSLFGEVRRWDAAQDKKILQVESKFDFLKEISRNVDREDALINLMDDFYGRE CSVRQDRVFSLLSFCNESTKLSVDYSCSKEDLMYRILDTHGPLPLCFCCALALAQNLE LADPTSCRRKLGPCIEVILDPFPKDSDLITRAGDRYFFCRFVIDTQWLHLQIRRKTPV LRWNVYCISRYRRLKIYCDKVKDDMAVKVLAEYGLESTICQYGEGIELSPLLSEERWH FASLST CC84DRAFT_1131632 MGRRKIEIKAIKDDRNRSVTFLKRKGGLFKKAHELSVLCSVDVA VIIFGHNKKLYEFSSGDINETIGRYQYYGGAHEHKGPEDFMGKKDLDDEDDDEEGAMM AGSPPRDSHTPPEHAMMGHHLQNNPSYQHMRHATPSHSPPMHNGIPFHARHPSPQPGN LSRPDSRAQMRRPSSNLVPPHHPSQAPQPQNNFAYMPNPPFYNPQAAQGMAPKPPPAP APPQFQYTHPPAHPQVQYMQQQEPRRQSMPPAFQQPQQQQQQQQQHQDRPQQQQQPQS QAQPQNHQLTVPSPPQPNRNDFQSPPLPQPKPLPSAAKHSIFTPIDDSQSMFASWGSN AEPPRSDPPMIKAEPGVRSQSIDVAAVSRPQMNGNSNSPPHRSPSQVPNHPQRTQSAS SMPIVPVSRTNSIAKPRLMLQIPADEDTGSQTAESSPRGSGTTGATPARTSTDTHSSG VVLPPPSPSANSIMSAGATGPPNPFARPAPPTNNGSYGSRGEMETPISALPSRFVENG LLPSPSSFYPEWGFGRDSNMLPSPLPFQTPVAPNGPSFGRDEPADRKRKPSDEGSDAG SQKRVKA CC84DRAFT_1169667 MARICGIATGSATVWMGAVCLVERRALPRPRKASTPLTLCSRQK SDGKRQIGTALRVSVCSLEAAPRMALCYRPSAERQIQTGDSTLPGTRHQIGLTGRPIR CSQAQLLCALCATVQCGLVLRGLRQAMPRPRNIRRPTVVGRSISASTLFGTAYPWGLP PASSRMCFACVLGAHRAVLMPNWRSHTVPILRGQSPAPLAARALNRCLSSYVEATI CC84DRAFT_1169668 MRWFIPLFAGMTVSQTVPAPGTIPMMRFECSQLVHDRIDPLVNP GLTPSPHLHQIVGGNSFNATLAHDLPTVSTCTSCTFSEDFSNYWTAVLFFRAQNGTFH RVPQAPSEGLKGDGGITVYYIPDAKNKTSVTAFKPGFRMLVGDAAATTPQPARKVCHR CMPAKGDNSNINCGAPDAQELPGKPCSGGIRTIITFPTCWDGRNLDSPDHKSHLAYAE GSQANDVGPTGTCPASHPVVIPQVMYEVIWDTQGFNDKSLWPADGSQPFVWSTGDQ CC84DRAFT_1157747 MSLRTTAENRFDTFKLYTTSYKKIGDHEIEVNVLVPKDIKPGKR PIMIKWHGGGLTAGTAVYPPWFAGYLVPFLHRNNAIAILPNYRLAPEHTGDEILSDVA DLGAWLTDSLPAYLASKEPAISPDLSKILVSGESAGGWVALQSVLSLSEGTFKACLLQ YPVLNAFPTYPDDIIMGDPIPPKEVLDEFLTGIVPGTIISAARPPLRNAVAPMLRAHG RWGEFFGTGKHLMPDTRIEDAQFWVPTYILHGRDDTNVAVEWSEKFVGRARSLFPETK IELATPPGEHGFDGDLYEEDAGWLADLLKGVEGEWLA CC84DRAFT_1210409 MPFFKKVFGSKDGSRAAKAGHADNQPAAPPKPRWEESWTRKEVA PDEIQELIHKCTQELKSRALDIPFLLLPFRPASEPSAARNFVRNFFRANYEGSRQFTG EGLAQELRLAEPLTLCSVMKWCWSRLPGGVVSWDAYELFRIGESDSNFARHAFDTFIP LSVESEARKRVIIDFFDLLAAVAARGKSNGMGGRKLSRMAGWWAFQFTDDGKGFEGGY RTWEKAADAASHLFFAYLRSLAPDSDAMSGINALPRSLLSLLSQTEYPPQAPQLMYQT TTKVVMIVDSVSPTPFALLRRAKNFEYRDDDEALQAFSSYDDTVTALTDECRRVLDCI SNANHSVAATSEGSKQDPSWSKFEDMGFSGLLDSPSLGTNGTTSSSGMREFSSMNPAA RSRNTDFGRPTTPSWADFLSTGFPDENKNTSAFLSPSAKLPPIGEAARVQSSQSHMRN LRGEEDLDPGELASITQLDLDDTFWWVWMTSLASEETTERKAAFGRCTLIETRIPGAK WLVVEEQVKGASPGQEEGAYIAEKKSMFSWTKRSRLGRRKSTGKKPTASKEPYSRTTQ NTPMSKTSIGPDQHARVQAAAARLAQDQRDQKAAEQFAQRRGRNEDTMSTKTNSVLTL QPHLVSEAGPAMKWDKKFGEGAKDKDQLRAQYLGDVNAGKGSKTNLLSVANGNASTAA LSQRSNSNRDLPALPKDEENASPSPAPQTFSESQYNGASSSVQPPPEKPALSSAILDD KSGPALDQHPALRKPVPAPAEARPSEEHALVQDPKKSPPTKLKKNKKEGGGGFRKLFG KKKTEVPVAAPVENDDDARLRAIEAARSVSRIDDHSDAREASPTRSVERPLAPPAFPA SPAVSAISKTYHEPALQVTPTPNRHQEPEADTAFSRFDQGPMDDMPAFVPDDSDDDDA APNVPRHAPAAEPEESTPSRRSIDGISEESVDLSAQAPTSNDRWAQIRKNAAERAARL SEEQSRRSHSQSVRTDEGETSGEETIESRVARIKARVAELTGNVEGGPAGQAAARR CC84DRAFT_410131 MQTCPVPDIDRALSPYIATREETLQVRRTLSKYLTASLRPVNST TQNQHLDHESPHSLGAVGTNPPGLKGARGEYLEAIRSNKSARAKLERLQASLEDLQQR HIVEAPMNDSSQENDMVQGYIALLRQRRRFAEMELVQSSLEKLLNVNPIEGLKDPRDR VKDSLGEQPNLPAERLESLANSETCASSILKLKNEVLEARSSMDRAKAARSEVRSTSR DAPSLAVQVYALSCAREEIQDWIQVELSKMDEESGFVEDASPVKRPVALPHTQDLASS ESQIRDCYNRYTASRADAVEGLHSLQQPLATRPENPDGPDHDRNIAIQKASSGHPKLL TASLLPHLSHLARSHTNERLMLLETVYLQNQMSSADETISDSLARLADESHLLPSGSR AIEPWGTVVNELEARNLESTQECLESSRANINNITTIVDLCSLHSKVLDSK CC84DRAFT_1223244 MPSYKLFGSAIALASYVIAQGEVSSKVVCDAETKICYSSYSNAA SGLTFGVALPKTVTDPYDAIIKITAPVNHTWAGFAWGGQMVWNPLTVAWPNGNSGVAS ARFAYSISLPQGYDGAEHTLLKGTTKNSTHWTINALCKGCTGWQDVDENRYVLNATGT TQFAWAFGTSAVENPARNDSAFNVHADVGHWTHDLNAARIDNFDQLVKSNLLLTTPTA SATVIPPANTVTSSVRSTGTTNAGAAIPASCSGAGTPSYPGTLASGWKATKVAGGLTL PRSIKFDDKGNMLVVQAGKGISYHVVGTDGCITSTKMLVSLNSLNHGIALSADGKTLY ASSMTQAFSWPYDSAAATVGTRSTVITGMVNGGSHLTRTLEIAPHAPNLLIVSHGSNS NIDTATSNPSTGRAIIKVFDLSKLPSGGYNYVSGGYTAGYGQRNEVGICWDVNNQLWG VENSGDQFTRNGKDVHNNNPGEKIHFMGDVTKPNNNWYGYPSCFTVWQPSDFTDKQFK VGDWFVQTPSTSMNDDTCEQKATKPALTLFPHSAPIDCKFNADNSKMFITYHGSWNRS PTTGFKLVAVDFKKDANGTVVPVEPLTSNSAAKDIFANPDVTRCAGNGPSASSGCFRP AGLAFDKSGRLYMTSDVSNGELWVLGQA CC84DRAFT_410120 MFLAHLLTPYTFLLLPVLYYLLPYLRNWSIQSIPGPLVAKFSNL WLLYQARRGRRYEAVHAAHEKYGTLVRIAPNHVSVADAEAIPVIYGHGNGFLKSDYYD AFVSIRRGLFNTRDRAEHTRKRKTVSHTFSAKSVGQFEQYIHHNLEELQRQWDRRADA EKGGYHQMDALNWFNYLAFDVIGDLAFGAPFGMLEKGADVAEVRKSADAKPTYAPAIE VLNRRGEVSGTLGCFPALKPYAKYLPDPFFTQGIAAVENLAGIAIARVNERLQNPSDR VDLLARLMEGRDESGNPLGREELTAEALTQLIAGSDTTSNTSCALLFHCLSNPSVITK LQEELDQAILDADQVPTYAQVKDLPYLDAVIKETMRIHSTSSLGLPRVVPPGPGVEIA ATHFPQGTVLSVPAYTIHHSTHIWGPDASAFRPERWLGNGLTEQQKNAFIPFSYGPRA CVGRNVAEMELALIVGTVFRRYEFELRQSGMETREGFLRKPLGLEVGMRKRTV CC84DRAFT_410118 MSLKKVLGKLKTGSHSNPASDDESASGIKSPRAANGGTASPRAS GVFAHRPSGEFKRGLDSPAASQPLSPADSRTSLDKHSKNDSGIFHRRTDSPSRSRTFG SRHERSGSGVTHSPIRAVKEKLHIGGDSSSDGDTPLNREGEPMSKNQLRKHEKQAQQE ERRQEILQKEKEIEHRKKELEAQADAELTPQQKAKYGMIPPNSYAAGWKHESRMSIKD FSAADVGKEVVFRARIHHIRKMSAKFVFFVFRQQLATIQGLLVEHADISKYMLYWAEH LEAESIVLVKGVLQAPNNKQGEVTGANIHDIEVAVHDLHVEAAVTEHLPFNVYEAEVT QAEVEEELARADHKEGQSRVRINDRTRLSNRVIDLRTTASQGIFRIQSGICNLFRSQL DSEGFIEIHTPKLQGGATESGASVFKVEYFGRGAFLAQSPQLAKQMCISADFGRVYEI GAVFRAENSNTYRHLTEYTGLDLEMSIDEHYHEVLRVLDRTFKNIFKGIYERYRPEIE VVKRHFPHEDLVWLDETPIIPFAEGIRMLNDSGYRDEDGNPLDENEDMGTRDEVQLGR VIKEKLGTDYYILDKFPTNARPFYAMPDPNDPKLTNSFDIFLRGQEILSGGQRIHDSD LLLDKMHRLKVDPQTMEEYIQGFQWGAPPHGGGGIGLERILMLLLNLGNIRHASLFPR DPKSLPEKAIIKQLRHPESSTMHPPWEGQDRAAAGIDFQPITKLIANYGDATNTSWLE PRTEIWRDDYTGAAVGFVPQDGFAITVGDPLCHPSQYLKTMTGYLKYIKKERNLKPLW LLVGRDVEEVLASKFNWRTFSVVAEQRVDPAHNPALHDSDVQRKVRHAEKEGVKITDF TIGTPVPEEMKKKVDARVEDWLKGRKGRQVHLTNIHPWQDEEHRQYHIAMTPDGTIAA LVVMAQLSPDHGWQVKYSLDFPNAPSGSIEHVVLHAMKVVANAGATTITFGGGASSKF TPGHNVKGTRVKVLSRAYQAIATELKLTNKTEFREKLGATDDPSYICYPPHGLGPLAI KAILNFFEDDD CC84DRAFT_1169676 MFSLPSFKLPSFLASSSPEHTSIDIAPVETHDVETAAEKRPRTL KHLLKANHANHSIIYHNLRFHNHAPHILGSAYILGATHEHLNDIYEKESEELEPWHDS PGEISKDDWRDFLGKREYQRAFVDFFEDQLVAKRYDWKALLREFMFEGKQPLINGLVS GLAHPLIHLGYAYELNSRTVAIEALALGACFYGSLHKYIDDPKYARPSPIHATSLLDI LDKVRKDKRFDGLYKKRSGDISKVLGEREDVFLEYFNAWELSDPRTQFEESQQVAVAI LAGTDPAEDSRFDFFFVHLLTSSHAVRLLLPCIPAKFHISLVRQWWLFTLAVYIAQTR PEIKVDRINDYELQGRDWKFVLDKALHSSHSMDAHFVKSLRSIKVASETWGDDKQFYL KAAVQVADEFERWGGFETSEDDVAYAYPELQ CC84DRAFT_1169678 MRGEICHLHIGQAGVQLGNSAWELYLLEHGLKADGRPDPDAQDV AEGGSYETFFTETGSGKYVPRSIFVDLDPSPIDEIRTGPYRQLYHPELLLSGKEDAAN NYARGHYTVGKEMVDGVIERIRRVADNCSSLQGFLIFHSFGGGTGSGFGALLLERLST DYGKKCKLEFSVYPAPRVSTSVVEPYNAVLSTHSTIENSDCTFLVDNEAVYDICRRSL DIPRPNYEHLNRLIAQVVSSITSSLRFDGALNVDLNEFQTNLVPYPRIHYPLISYAPV VSAKKSSHESFKVSDLTFQCFEPNNQMVVCDPRNGKYMAVALLYRGDIVPRDCTVAAA ALKAKSSFNLVEWCPTGFKLGINYTKPISPAGSELAAVDRSVSMLSNTTAIAEAWSRL DHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVASDSLDEGVEEGEY CC84DRAFT_410620 MVTPVERPEPTYEMDSNTHHLPTHMPAAGAHGQRSSDDDGTFVG EDLSQKNSNPEKQQGSAPFPQLDTGVGRARAGSHLTVDTVHLGPPGSLQSPSQSREQA SRLNDELAMLQVEREVSNAVEGLERGQSTSRSMRRTRSRREEPEDEFDVATNPLHERA ALYKPPENPSTKVSRFFKKVHNSSWVVRYFTYITPLVLIILIPLLLGALLFKNASVGG VKMSWFCIWLMIIWLSLWAGRSIAKCLPWPIGLISSLFTNNDKKWRDMGKQLELPATL FFWFLAIEISFLPTMKHHHVNGNTATKNWEVILNKILISLLVGMTLNFIEKIIIQLIA ISFHLRTYADRIELNKFQIGSLAKLYKYSKEKIAMEDSEFEAKEGGMASGARTPGQYI KEAQKNTKEAFNKFGDIAGKVAGDFTGREVTGSGHPNQVVLALLGSTSGSQVLARRLY RTFARPETETVHQDDLKFAFENNDEADAAFSMFDKDMNGDISMEELEAVCVEIGRERK SITASLKDLDSVVSKLDDVFLFIVVVITILVFISIISTSAAGVLTSAGSSVLALSWLF SATAQEFLQSVIFVFVKHPFDVGDRVTIYGNTGAAGLGDDYFVKEIALLYTEFKKMEG HVVQAPNSYLNTLFILNQRRSGALAEAVPVVIKFGTTLEQIDSLRQRLLEFVTAEKRE YGSNILTELRQVTEVHSLTLNVVFFYKSNWQNELLRLQRRNKFICCLMVSMQECGIEG PRMRYPGQKESFPLYTQHLPHQATPGVGHNGTPDNPSGMLHHQAQDEPIVPAPAYTPS SGNGNEGAPGRQQSILRTTGTRARGESMAAMGRRVDFSLGMKDVDFAEIPGDVFEDRE NATQQRATIGRVTSPSRHSTERRPSNDMARSTSIASRPSHQANRIQRVNTDSSQLRER SVHRNRFFGRNRSRGQDEEAAMADIPESDSNDSKEKLDPRSGLISPQAVRTSAEEARR PAESSGALEPIDQRFHAPTRSQTETFEMRRFH CC84DRAFT_1181687 MSHSSFGSSSGCEFAHTSLGSPTHTARKNMRNLDAKIRKGKTSA HKILRSGDICGTIDSGSESGHIRLGVWVRSSAVDPPRTTKGTPSFIRDTPPPPSLNAY ALAASHHAPGPVGTCACEAIRMQWNKLARRLDSTAHAKFAVRQHRSGTQGKDWRTVCP TANQTTQCTLVHAQRAAAIFFETVSLPNAERGRSHPNPSIDIAALCAASATFICSDPV FLSDRRSDPG CC84DRAFT_1233255 MSSSSEHLETAVLSLDSSNQYAHFKKVLDKLRTDPLSITPEDAR RLSEQVEVTDRRSASIVSAVESLALVSQDLQQPDAQAGQPHMVHEARHTQHVLVNDLK AAVDSNPNSVTPDLLKATQEIVSKMQQAIGAAHAPQPELEPELQKELARIKPKIEEGT VTKAEADNLHSLEARAHGHTEKGGLTAKAQSIAAKRERALSLSDSTNESIQTYANRSS TSNGQIPSTPASVTVTKEATTHTLAENKQIPHHTRNSSAVEAH CC84DRAFT_1200192 MSDAKVFSLEGKSLKLDTAEDIEPHIKALKDNEDVEEVHFLGNT LGIGASEALAKVLETKKKLQVANFADIFTGRLLSEIPQALSALLTSLLALPNLYTVNL SDNAFGLNTQAPLVDFLSQHVPLRHLILNNNGLGPAAGVLIADALTALAAKKDAARKE GKDVPYLETVICGRNRLENGSMAAWAKTYAAHTGIKEVKMVQNGIRSEGITHVLNHGF AHSTAIETLDLQDNTFTATGAKALANVVGKWPLIKDLGVGDCLLSRRGGIAFAEALKN GKNTKLEILRLEFNDINAKGVAGLAAALSSLPALRRIELEGNKFDAEDASINTLREEL SKRREAAGVDDEDDENWGVGELEELESEDEEEEEEEEEEEEAKAARDIIADQQAEEEN VSQKIDKDVDELADALAKTEIKAKQG CC84DRAFT_1264517 MGSNQSAPAPPPPTAANLGAEVKTPSTPGKPKPCCVCTDEKAKR DECMLFSDAKNPQEDCADLVTKYKICMKGYGFEI CC84DRAFT_1157775 MASPQGYKPRRRRKIEEGSLAHVTHGTLDLDQSSHARKPAFPLV AFLWPAKGVVSQWITIPVILMVVGLFRWTTGLWGYSGFNSPPMHGDFEAQRHWMELTQ HLPVSHWYFYDLEWWGLDYPPLTAYHSWLLGTIGSAINPQWFALFDSRALDDPLLKIY MRATVLVSEYLIYVPATIIFLRRYSRAEKVNVWEASIALVAILMQPATLLIDHGHFQY NTVMLGLAVASLSSIQAGRYLWSCVFFVGALGFKQMALFYAPAVFAYLLGICVFPRIN VVRLLSIAVTTVAAFALLYLPFLVGISYDVYKIMPLKGLPLPPLLESLPMSLNPEAWY YPYILGLGQSVHRIFPFARGLFEDKVANVWCTIHTFHKLHRYSGTLLQRAALVATLAS ITPPCLILFLKPRRQLVPLAFATTAWGFFLCSYQVHEKNVLLPLLPMTLLLSGQGGLL PSVRAWVGLANMLGVWTMFPLLKRDELRVPYFVISLLWAYLLGLPPVSFSAYHEGTSG GLSLPTKILHLNIYVAMVGWHVAEAFVATPADKPDLWVVANAIIGCGGFALCYLWCLW TLLRKSELLGFKAKSKTQ CC84DRAFT_1105307 MSKTKATKPSADKKASKKVDAPKVKEGRVTKPSQTPKAKSKEIA KSAAGADKKSKKSKKAPTPEPESEDSESSASEEDSDSSESEEEAKPAAKANGKAKPAV AAKAEESSDSSSDSESDSDEEMADAPAAKAATPAKAAAKKDDSDSSDSDSESDDSEEE AKPAAKAAKADSDSDDSSDSDADSDDSSDSDEEKEAPSKKRKAEAEAEPVVKKTKTEA SGEEEGIKNLFVGNLSWNVDEDWLSQTFAPHGTIEGCRIITDRESGRSKGFGYVEFSS AAEAKAAKEALHDSDLDGRALNVDFSQPRQQNQDKGAARAKQFGDKRSAPSNTLFIGN LSFDSTNDTIGEAFSSFGEITRVSLPTDRDTGAPKGFGYVEFSSVQEAQAALDGLSGQ DVDGRNIRIDFAAARDNNGGDRGGRGGGFGGGRGGGRGGGRGGFDRGGRGGGRGRGGD RGGRGGRGGFSTNRGGFGDFKGQKMTF CC84DRAFT_1169682 MSRLSWVPRRAVIGPPVEPLPSCIPPATSKASRPPLAKPAGTSS LATQSAMTGA CC84DRAFT_1210422 MFATVSAHRSTTFATTIIATTTLQQDPYKLPQRGRELSPQQEPS HPTVRSQYSAYYSPPASGIVPVLASLDKPDVAEAESMDAVVDTSAAEDTIDEAIDALA KDRVTLPMLSAAAVLSTPVVLPAVSMAEYVGNANPLPCGYCFVSEPEQQSWFGQWLVI VHSAVVSSPAGDSAHE CC84DRAFT_1191448 MGLQLQTILNAEDSPSRNGAPDTPSSARYPSGVSSIQHQPPNAL PSLNQGFDNRLSFEASSQQSVYDSRRSSVDSRMNGGMAHLAISPGSPYDSQNASRVSL VSNLQQQRGITAVDQRPNGASPLSPLGPRAGVRTNHPPRRAPVINPNPRSVSGMPDPM AAAPTKGYAWAFPAEPELDRRGSSSGDSSVGHSVPSRQNSFAASINSSIFTTDSNLPT GQKRLDDGKEMDVFLFHHPLTLADIPTTHHHSMQHRNVTSLQTAEQAAAAGGGNYSRT PELRVSHKMAERKRRSEMKNLFDELNAILPNSPGSKSSKWEILTKSIEYIKNLARAHE NTRNENARLRPEAEHAYRYREENELLRNEIIAVWQNLQRADPGKPHVYGNVTGQLAQQ YGNAPPSTTNTLPPLQQQQNHPQAPQWAAPPPTAMQGVEFAGPRTYDHPHR CC84DRAFT_410778 MSNVGVEEAVGKCGDKEIYKRTCDRCCCCCSMTADAARWSCTLH YGGLTGISGELEVRRVMQRAPVEGRTNEERAIGQPFRRMVMCCAECRRQRVQQESELA EGGSREQWSKSEGGRAGADTQQAVTAHGMEDVLSGSLLLREAGQQRLTGALPSSARFQ GAGADSVLRAGYQESSHCAAWALAVLGGAPRARSSSNSNTTPKQR CC84DRAFT_410980 MRAGAGLEGCNEWLSSGVVCPSHGQKGRRHRKTRRRKQKSAGGR AGIGRRVWGAQRAQSRSFELLGHSRGPARKHIPGQNRCNLEEEGSGGHVAAAPSNGWA LAGVPCMQRPYLGGRTRDEWPTAGGRGLEGAEGLDVCTTRRDGQQSGGHWSFGGALSK TWAESDPQGHGGGQLMQLTRPGRCACTWEIVQ CC84DRAFT_1191449 MGLGASLAGSAESVCRKDVFPFLELPRELRDQIYDYAFNIPEDR ADRALRIERRHLKYFRPSAASILLVLHHEYFLLNRQIAREALGLLFKNHTVYLSCGPY VLKQLLSRIEATGGPGKRWLKRIKRIELDWVTFPNLRIYPPERSEGKDEWYWEHDNHE VDVDYIRGAQYSGHYDEHDYEGGYYDDNFYEAEDASLYPTWPGRSTAAAAANPNDPFG FSTHYPFADPARPDAVTASDIDSKLDLLVSLEVTPLFDYLASPAFALNSITLPLYFLS RASQHQRTVSRPGYTLPLKIRYWVHNCIHALLMLRDSDTLQEVRVKYIPWDVWASMEP ADDLRRMVEMGVWFRGSEEGSGEREGEGEAFRAVWAGLAGKGACNGDERMGLRAEVRF VKWEFDLDKRVGDELEVVFTKGVE CC84DRAFT_1169684 MPSPPLPASAYDALGLSEETVRIATTPRRSPPTVASPNLLQDAQ EPEPRLAMNDSAIVLYAELLLNIRTVTLFASLRTEYTRETKARLESNGCAVTVTHEGT SATIKLPIQVQGGGDAALSLPANPPSKDLTLRLQIEEKEGSNMLGALLSEDRKANIVP WDGASLNGATDVTIHCKSCERVIVPAGVVREWRDLPNENWAEMMDFWHCHKPDEHHLH DHANEEAIGNKGYAASNRLQAAKGIGFVDLGSFLLDEGDCTGIETSPTALHCTHCTFL LGAPDPSSSGHRIWKWCIGVSTPTPQAQPQKPLSFPPQHWIAARLLHLIENQNVRRFH VHPPLPPSPSPPSPSGLMPEPEPVPSLLCWVFTPDLIVSSSLNTRGPTRALKVFWKRQ TWAPLQPGEVEKVDEEDVEVVGVLFEALGRVLVESQGGMPESARRWGEWEVGLLERFD KQHVRAVSWVEGGGGDEEEGAAGEAGYDGDGEFDG CC84DRAFT_1223260 MAAAKAPVPSLGHVLVVGGCGFLGSHIVSLIAKRHPQTRISVLD LRTTANRHASPTVSYHDGDITDLHALEALFAQLRPDAIIHTASPHFHLPPAVHDKVNV EGTKNLLAAAQETGVKAFVYTSSASVILGPETELVNANEDWPMVTGAAQPEHYTSTKA YAETAVLAANRTPASFLTCAIRPAGIFGEGDVQLLPPMLSAFRKGQTKYQVGANDNLF DFTHVENVAYGHLLAVQALLYSHKMLPTVPLDTERVDGEAFFITNGQPVYFWDFARAV WHEAGDRRPLSAVWKLDRDFAMGVGAILENLFWVLGRTPNITRKQVRYSTLSKYHDIS KAKRRLGYAPLVQLDEGVRRGVRWQLENEAKAAQKKDQ CC84DRAFT_1169686 MSNQSILRITRELSEIQRGSDLSLAVACRDIDVRHVRALIIGPP DTPYEFGFFEFTAKFTKEYPTKAPHVQCITTNGGHTRFNPNIYAGGKVCLSILGTWRG ERGEEWSSAQGLESILISIQSLMSTNPYENEPGFEDAKSDYDKKNQAAYVAKIRHETL RISVIERLEDYLGIKRGKPSQVTVYNAEEDYQSGAGDAPFEPFKDLCKRRFLWYYESY LASIDAEMKKHREGERFEKMPFEGPGNTMEGIFQYPQLKERLQTILAKLNEETLGWAT EGMRAVEKEMGIASNLQRQFEQIVENYKKNDAVTLDLDLVDKNPFVWRLLFFGRPMTH LDGGMFRIIIHVSPKFPDVLPRVKFDTPIFHHRVSPDGVLCYNATRKDDMRSHIEAII EAIEEESPAYDPRTLVNLEAAKLFWGGPDQKKLYNRRLRRSAQDSAENCF CC84DRAFT_1131686 MQKLNSNDLLMLAGSFHGMHAITKQLCPNPPVPPAPSSAPAANL APSPFTHRPTGIEVLETSQFRMQCFQTITGIKFLLFTEPQQPNIDTMMKKIYELYADF VMKNPFYTVEMPIRCEKFDRALDGFIKLRG CC84DRAFT_1233267 MVLEASMIIVDNSEASRNGDYVPSRWEAQADAVNLIFSAKTGAN PESSVGLMSMGGSQPEILTTLTTDIGKVLDGLHRTKIKGTSHFSTGINVAALALKHRQ NKSQKQRIVLFTCSPIEEEEKDLVKLSKRMKKNGISVDIIAFGELGDDTTRKLEKFSE ACQSAEGSHLAIIQPSSNLLSDSLITTPILGGDASSGGAGASNAGGEGGDSGGNSFEF GVDPSVDPELALALRMSFEEEKARQEKEKKSKEAAEGKSELEPVAEGDEKQPLLDDQG EASGSKDRKDDDKMDTA CC84DRAFT_1181699 MSHLTVEAKAAVKDNLQLELKARAEKLVAMSEAQVASLRSRLER RVNRIPNSKRTMTLLELLEPSASGLTKVARTSPLKKEAAPNAVLAPVPTRTATQPAAR KTRAAPAKAAPAAQVAPKPAQKATVRGKKRPSDDGDKENEELSVPKKRVKAMAKPAAP APAPAARSTRAASKKVAPSANHVLSPKPTNSRPATRTRRVR CC84DRAFT_411357 MSASHSHPRRRPQKASAPSLSTHGMSLRKSGTFHSPKSIASDVC DLDNHHYMPRRSPTSPESLEQLLQDSSVRRVQNLLDDFDKRVAGHKSSLTGSSILSDP EVLPVPGLFLTSTSLEATHMDVDPKPKTQQASHEHASDSGLGSSVDSKDGQSSARESI STSVSSSHAAITRSFSALGGPEEKHTLSDYAAEQIRQYIVEPILAEETLKDFHPLITD VPRRIGEKNICNLRDLEKTLIFLAPELSATPASYLQFCERSIQLLHATVDRLSEQDQR LPSDRPYTNNYFLDLVEQIRRYAAIMAATRRKEENGEEVDEMDYSRDEKITLRGGLSH DGRPIELVREKDGKLIPLAERADTIATGFSSKRALSDDDMDVDEVTRSMARRRKSDKP GDIMHVCRDCKKEFKRPCDLTKHEKTHSRPWKCTEEKCKYFDLGWPTEKERDRHINDK HSNAPAQYKCLYPPCTYASKRESNCKQHMEKAHGWEYVRSKSNGRKKTQSSERSPPTP LTPFLGTPQSATLSTPMTPFAPSPSVPLIDTFDFNNPYGFGTPALGMNGFPDFRRDSV TTDGSALTYSSGHSPTEPTSFEDAVTPEDSAINHNDIYNHNNCALSLNTNFTGVFQQP TPAMSTGFDFEQLQFPITSGANNVPHLSPSGQADMTLFSPQMHLDEGFGEGMDTFTAP TADFELFGDAAPSSMGLGGTSSFFPDMNNFGGQFENMYVEPTTTLDDLMGNYGNQQ CC84DRAFT_1191456 MLGFHRRTRSLIPVKDLAKYDRVPLNEQDEEVDMEDSDTLVDEE SEASDKARSRQLTLIYVVFLAEAIMASSLQPQLQMLISDSDYCGNLSTSYLRSILDCA YAFGGTTGLFWGYMADRMGRRKVTLIGLWMMVVCCLSMGFATDLASCTLFRYFAGLAS STVIVTTLTMIGDLSKSFEERVQNVALLPLIALFGSIGPIIQGMVSESLHSSGAVWEK FPTLGSQLACGGLVLSITLTATFMLQETLSLETSSSHMHLDCEKAAFLSENDSDAPKI AVIDFVRPEPITINQFMQAPSFLVLLASFSLLSLHASVFDVVLPHLGHQSSQDGGMGI PCPWLGLTVLIVKGVAGVTIKHIIPSAVEKFGLLKLYRSTSLLFPAIYIITPLLALAA ASACSTNFVAITSAIGLLTKHVLTGGATVLVALLVLNTTPDAYSAGTVVGIMQIANLF RALAVAVSGASFYLSDELSVSTTNLALWTCLMLFGSCGAALAYFVRERPSVERDFPSE VLCWETCYDADEEKNEFDT CC84DRAFT_412004 MSLKRKLLRQVDPCILHLVPQFGMSQACLYCAPPGCKYAHRHNT LCGSHCGEDDMKDEIIYWPLYTRTFVRFRLCSTPSRTPTNRSATVSKPTPGRALFGVF QMPALVISLRIPRQTEFWSPQYPSYTQRDAGQIRRDAILACRNATEVCTHVPKDCARS NERLAENVMIQRRK CC84DRAFT_1169691 MSLSSTYTRFLANPLPSALADNASLHYITTLTTINDAPAIIKHF TVQEKLLKQKEHKLLSAIENDDGALCVDLQVTIEFLQGGGAYLPGLDDNFVSDRTVTF PMVHIVNFEAGKITQIRKYWDQGSLLKQIEVIGARARNWPIRDGKDQIRLIATSAATK PQSDAAEPPRGRGQDEVSVRQRGTRKNTTNAMNDPHASLALFENRQPEEEGEFESHPI ASRAQSAKPPPRNMGELFVGDEPGTPTPHNAPSIPKKAGGGKNFKPNRLFDEDDEPAP TPGGIKTSSKKYDHFEFGDGEDTPRGSEPVRNVNKKHQSQWDFEDFVTPEKTKPKILP GNQRSVGWSDDEQEEHSPVHREVVHKPRRDADTHFEFKDDGTPDASQQRPTQVKGRQG NNKGGLYSDHIMGGDEGNDFDTPKAKGDNKGPRDITHIKNDERKKDFGAHWEMKDDSP GGRNVPDSKLTQNQQKVLKTMDANWGNYEPSPQQGKIRIAGNGMGGRSTTKAFSLFEE DPEEAKKENAGIKSMGNGMGGRRGTTALFEEEDPEDHSKHTQMGKKSMSNSTGGRKGA TSSFNWDF CC84DRAFT_1169693 MEPPAKRMRILQSIGVDEVDESNPDYIRGKQQNGEWLKNKFEAI YAKFEAMPDMMSDEVDMRGEGAIVVDRGHMRKLDKEYRNRLGRRRTVMPDRSQLIDDM FANDKEMEMDDEDEIDERDELAPSQSPEPVLQKATTEQHVAPIARLTGQTDIPVPNTP ANATLQATLAASVNHTADVLQLVQFPQTPAGQQARQAFEAHTTQAVNQAVNQAVASIF SSFLSTVPTFQSPQLGLFKLPETPAAPVKTREVAPATAPSLYRPPPPVVSDPPAISRS SPVPVQAERRKRRSFAVGVHVKPSRHESIREGVPLFVDQNTTQLPEADASEPSANNNS SNMNLPVRDFQLERSEKPRRGKCTKYVFTAEDDQYIIESRVLHKRPWAEIMDSKPKWR KWNKSSFWQRWCNKLREQATKMERSGELASLRARANAGGELKVSSSMNERPVTQAQSS PVVRHLPTPSSLENDEAKQSDDEPDLQDPEDLIASGGHFDDDEKDLLSLCDDGPTGNI EADPLGSGDTDSYLADAREIPETPLNLTQETSSQPVFQGTVTREGTIDVVSNSQTTHV APKSDSKSKPATAASPPHASSSKTKKPSPKSRSNPTAHTCPLCRQTFPTAALLATHTT YPHPREIHISIASSPLPAATPPNVPPTPAIKREPFSDNELDMDSTPTKTNPLLSTPIP VPHPSSSTASKSTPKSTGKLSRADYNAVKRAWARGGGRGATPGKGKRKRQSLGTASMA PRKRSWDDAAGGEGSADELGM CC84DRAFT_1104848 MAKDRRPALSCQQCRNPIDIDASIDQLNPAAFKLLTDTTVPGQQ QKERHNPSRQSRPTYPSSRHQTYEAAIQSARNPTFRRNVPSSRHGQYGSHTTAMSFIN VDVSESMMVTPSSPPAAPRTPERPAPTQSAAPEMTRRASAAGTSSSIGGGSLADGLET TNRMFEILSSRSDIDHPICVECTELLVDGLSKRLNMATRERDAYVDYLRRANTDVPSA DELSAASAALARAKKAETSALTTLEALEAEKAALDAALAHEEEEARACDAEEASFWAQ RNAFAITLHEFSAQRDALAAKHAHDADVLRALQRRSVYNDSFNITHDNHFATINGLRL GRLSNPYVDWPELNAAWGQTCLLLATLAERLGFKFAGYELCPMGSTSAIVRLEHKGSG EAADARSGPGVTRHKLELFSSGDFPINFGFTHRKIDAAMVAFLECLRQLGEFVETQGV HTAGGLGGLGGGSAGGSGVGGMSLPYQIRKDRIHDQSIKLSVSKDEAWTKACKYTLTC CKFLLAHANNMESSAGRRGR CC84DRAFT_1105270 MHITDIPYEILSKILEEAAKANIRDGPTYTFGLSQPPMPGLRPS LQRFVRGPVPTEMLRWDATSAMRLVCWQWHEWALEYALKDVYIRRWKGGERWAELSCR RENYGLYELIDKPTGTAVYRDPFGSLRQTVKTFNEFPGVAAKIKRMWFNGFYTAQTDR MVFESLRNCTNLTSVSLPWTTIRHLDAQAWRTLLLGSGKPLESLELLATDPTSQQAAE AENQLDLEPLQSVNFGMLRRLKIFGDTTFKPITDRDLFDIARTATHLEEFYMTCISTI TIDGVVAIVKAARQTLRVLEHSPRSQDGFWHPHPGSPSDSEHLCELFRSCPKLETLSI SLPSVCADLFSDHNARFNGDLQVRALHLCGHEDGRSTQEAATDLEKLLQDARKFISRK AASVVPRDLYVELFFADCIFEPSLKLVHGDFSLAQLSSDGTWPGALNYSGKGPYGSTG LYEKEEEGPFQSMDEDDFLTGVRRRFLSIST CC84DRAFT_1223271 MGPKIAIAYYSMYGHIRQLAEAEKKGIEEAGGSVTLFQVQETLS DEVLAKMHAPPKPTDVEVLSDPAQLEPFDAFLLGIPTRYGNFPAQWKVFWDKAGKQWA TGAYHGKYAGVFVSTGGQGGGQESTVIASLSTLVHQGIIFVPFGYKNAFAQMSDLTEI HGGSPWGAGTFASGDGSRQPSARELELATIQGRAFYETVAKAVK CC84DRAFT_411089 MRTLRLLLSALLASVTGASRAPFQQVLDATSGKDTPMRGGDDIG VGHFSEWSRATKKQFFVDWQAGRQSEWVFVMGNEAGDLDSMASALTWAYHLEHSTQNT SNPTKAIALLQTQTDALDLRPENKLALKNSKMTSGHDDLLTMDELPEDPETLALKLRG IVLVDHASPLRKWETAKIISIFDHHVDKGTAPDASPRIFEKVASCTSLVARQMFDEFY KLPEEYHLPHELLELILSAISIDSGGLKDATETDLHAAKRVFERSQWADRDLHEQMEA VDEWLSDAKKDLDDLSVRDLLRRDWKGDLVDTPSPRTPTVSLGFASIPYSLDEQIEKT GFGELFHWFAVHAAWTASINTDIDICLNKYKVKTKDGKKKKIREIVLTVRDDVRIDKV QADNLFKAVTEALEGEKSLGLERWHRAGELGGRQMVWTHESGAGRKVIRPIVEEAVRK WDM CC84DRAFT_1050358 FFKMLASLLWAALFVVPSWAQSQFVKGQKWQIILTGVPDVSKSP LPPTDAPVWDIDLFDSDAATITALKATGKIVICYFSAGTVEDWRSDAKEFPAGDVGKV LPQWPNEKWIRTGSTKVRDIMAKRIKLAGDKGCDAIDPDNIALSEAQLTDPQQNDNGL NLQSADAISYIQWMATEAAKYSMEIGLKNALDIVDTLTPTVSFAVNEQCAQLSECDRY ASFLASGKPVFHIEYPTPLNAAAAKGVSCTGPGTAGMSSILKNLALDGPAVYCDGSVV DTPTKGGTSPPRPT CC84DRAFT_1157823 MATCDNYESAGRRIRYLDKLFAGGQEEQALKEWSEDYEGSNGLP RHDHKPEHLELGARLHALSGHPDRAREIMQELFELHPSWSPSIMKTVFRAHTSSPSVQ HHDLANGIYKKLKESMGDKLTLEDYDACFVGFLEARHLYYAKLVFRDMIKEGHLAGSD DLTDVKSVLSRLHMLYRLATDISKMTTIGLQAVKSLPSAYHPHVFAHWMRSAVVRNAP EAAGQILEMMFRRNSQPQTIHFNLLLKALMRTKVVQPEQNPYASKAENIGWRMIEEAR KVSVEKLPDVSAAEMISQWVDERKQLVPDDEVMNDQLRHVPRANVTTFAIMMKHHGDK EQWEHVDYLARQLKEGGFQPNAALMNILMDNKCRQGKYSEAWKIYKTFTDVPAGTPGV FPDGSSIRCLWKTLRLALGDQAARDDPNLPRPRELLAETVQWWERCRARPDAERFLIG LAATEHGALTSLMMHCFSYTNDIVGSLVGLHILHQKFDILPSDKSAAILQRHAAWVDM RKKGPVQRSTFQHHGHHKNNLEKMGRVYYILLERRLEKMNSASDDYALTKSGKDGLPL NILSEFIRVVLKRTHSPETIEAMISQAKEEVGVPEMATGDMDAFQVV CC84DRAFT_1169700 MPPKQAPKTGPKAVSKPSGLPKANIKPLPKAAPKTPQQALKKAG AGPPAKKPLPKANPQGQTKKALPKANPQVAKKQPPQQQSWLGKIGSQAASGIGNFTGA IVSAAGNGVAGAGRGAGSSVANASRTWGDAIREYGNSIKDVTGASGPRASSAKNPLGL SSTPEGAKSIMGSRPAITGVSKGSGSNPLGL CC84DRAFT_1105209 MDPTRLSVQPAGAGTKFRAIQQAKEMENIVAERAKRSGDEPPPY DFYELIGKGAYGRVFKGKNRNNDGLVAIKIIDIDSVDYEEMTTKNLSETLKEINILQQ LRDSKARPYVNIIEEARSVHNELWIVSEYASGGSVNTLMKPTMNLRDPGPGLPEKFII PIARELALGLKYVHEAGVLHRDLKCNNILILEDGRVQLCDFGVSGQLEPESSKRSTIV GTPYWMAPELQNEWIKDAHPQSRIRPNDILYGSEVDIWAYGCTIYEMATGFPPFHRTV QWDLPETGVPVLEGERHSDALKEFVSFILQPNPEDRPTADDILEHPFIVDSTKMFPTV ILVKLVEDYYKWEHEGGARASLFYAGGAQAPDPLAPEEDEDDDWTFSTSDEFGDRLSR AFLDPFSAAPGQSFTGINMPIDDDDRFAKLQATFREQQIKKGEERLNRLFDMDKSPYR YSGVDQADNGRPHSDLLLRDFNPGAPNRETIIDIGDFDPPMAADAPSIDLGEVPTVKA NRIKNLLREMELEDEERDRFDQDDQLTKRATRDWKFPTANEDNNRRTQDWKFPPMPEQ PNRRTQDWKFPMAEEPMPPPNRQTRDFKFPAMPPPKPNRATREWTFDAAMAEANYQNQ RNSRRATKDWKFPSTSDELPPLNNRKTRDFKFPMGGLEEHEPDYPQPFASSPTLESNF RPTLKHATTAPIGTFDDYPRVESAPESPLRTSMIDLDMAMIDDYRPGTAGSESTTTYS AATEQMNDNPFNLEDQVQLTENSNRTSYHTKSQSEPNHAIPGLLTPQTLDEQGLPTTV DPHHPGLHARGLSSVSQMQTQLKPPPHNVPSAYHRAAQRSQQLDFGWSHTAAYHHGLG SDDSPPLSVITDESADDEDIDEAWDALEKLTLARTLYPRSSRRTDSRDEQPTSSDAED GYATDATVDDIPRPIRVSVGPNGKPLVDFPVPRGPPAEALLGVQGDPTLLQNALWKSS LELRDGLRASRDLLKAMRLEDVRPLEGLSEEVGMEGTSTIRVGK CC84DRAFT_1169701 MVSLASTNRAPGVIAPSVGSSLKRKLDSSPDSKQQLASQAKRRR VTFDPEIDIHILADPNEKSLELVGEEVSRALDKHAAGDATGYDVLRGLFSARPTSSDA PSSGLLQKYVIALTNNVYLLKESRGLVHAVLDCHWVARNEHFVQSYRRLLRSLLDVAP PFTSTVLGMLVNMFVETPSPRMRQEDDPTVERAQLLSRVHDTLKYIVKGSPMASTYLQ PVLASTFPFPTDTSKAHTDYISNILKVAEYCPELKGAILSLIMDKLVKIDVQIQVDME ELDDDLEDQIIEDSVREDLDDDDYDSDNESVSSEESLDEEERRVKEIKESVTKLDNIM FLMFKYYDSIFEKGNLQQIDETYQSLLSQFSRIILPTYRSRHTQFLLFHFTQMKHDLI DRFAGCCSQLAFDQSRPQILRVAAAAYLASFIARGAHVPGSTVRDVFDLLGHHLETLR KQHEPACTGPDLRRYGTYYAIAQALLYAFCFRWRDLIVTPDGRPPTDADIVYHEGDFR WYGGVKETLYKSVFSKLNPLKICAPTIVHQFARIAHHLRFMYVASLLETNKRIRLSRV VALGARETALTMKKGEEGFLLDAYFPFDPYVLPRSKRWVEGDYVSWKAIPGMEVPKED DDEEDSEEDVEEEEGDDDDDDESTLDLPIVHVEVQEEDLDDGTDASSS CC84DRAFT_1169703 MAESDPNPVVFFDVTLGGEKLGRIKMELFKDVVPRTAENFRQFC TGETKNNRGQPQGYKGCKFHRVIKGFMIQGGDFINGNGTGSRTIYGTEKFADENFTLK HDGPGLLSMANSGPNTNGCQFFIITSPTGTSHLNGKHVVFGRVIDGMDVVTKIENTRV TQSENRPLQDVAIAQCGEM CC84DRAFT_1050737 PSQTSGGGGGGGGGGPTSSPLLFFVALGFGVVFTNLWIIVGVKY CFRYNQRNRAARAAADGEPIDLTAMPRPHRRRREKKLMTMDEVNERFPLQKYKQWKAS RENQGLPASGGIATAPQSRANSVKDVDGVVAPMQEGASSPSPATSLSKAQEGHAATSG ALQKETMSPRTSLSAGENKETGSEKKEVDEKHAELVQTETAVSHYEPSKPSQAHEHDG EDSDDDDPIRTAAAPELLTEPGDTCAICLDTLEDQDDVRGLTCGHAFHASCVDPWLTS RRACCPLCKADYYVPKPRPEGETQEQTASGRRSNATGLRSPTTPPATWNARGNPFSRS RVVAISGPGRQNGSNTRQGNSGMPMMDLFNRPRRQDRTAPTTTDATAPVSEPRPTMSS WRARLGRPVVPTGSMPGWWGRGRGADADNSASAQPTPGQLEAGNR CC84DRAFT_1181717 MAARNKGTLRHFTTLHTLKAKQGPLRASNQGKVLNGAKTSKPSA GDLARANSTRAWEVKKLENGLVDVANTSPWKIEVTERNATQSPLLRLPGELRNKIWRY ATTGNIVNIHDDDSRWDSVFCKGHTVGVVGEDNPMRWLSTRAEDKPRLPTAFHLSAVC RQIYHEVGILAYSDSIFVLSSWNDEGELMRQWVRTGLAPAHKNAIKDIAIDEENFYAY HLSGVKLRDFFPSLERLHLNADRFHGVPDYVERVLLLPGPDLMRRLKESAQEDVEYRE GTSVKLVWHENPVEDYEDPDAQSIR CC84DRAFT_413499 MHINGCRDRFRGGSSVMLIRSFVHRSSNEMTYSPTGRSICQRTS RRLWSREDGDPRAQPSGLAYRVVFKPTRIVSNIPTRCIARDVWISHLYLSLLVVHFHH ATQRRVMKQLCRQDPHRKIARPVSVIPTKHQAATQDAIYRPASKRSTKQPSLLTPTSW PTPRTLR CC84DRAFT_1181718 MHLTEAGAPTTTESLRKGIKRAKSASSATISSAPVYFSASCTQR LSVCVQRNVAAHLLQIELRYIVRPREMSAMQCFEDRGVGLELVLIAHRSTASCVTSLS ARTCITECVQRNVYLRPTIARASIDRRFGDPQEMLLTRLKGGLAVSLRRSNTAETEGR GWLTACRRPKLDSWRNSYLVDKFVTLYPTLCKGLEGQQRCCIDQRKHRCGTATIPVPQ PSTMAFWEWHESRTGRFDRNDSRRGGIFL CC84DRAFT_413525 MASRVCVVATSAHVQYVHVLFCPVGATTQPSRKARPRRNRGLQH ALLPHKLPIQERLPRLQTTFRLRCGMVPLPMAFLGLLTCMSATPSRPRDLAPSALLHP KALCVRLRGISDLLT CC84DRAFT_1169707 MSPHNDAPSPASSFDSRSEYPIHHQAVQSIYTMNQYNEFDTSSI ESGDVGLRRSLVPGIYVPTIAFFDPATDNVDVETTANHAVRLAKAGVAGITTQGSNGE AVHLSHRERNLVTSTTRKALDDAGFGYMPLIVGCGAQSTREAIELCEEAAAAGGDYAL VLPPAYYQGLFSKDTVASFFQDVATASPIPILIYNYPGAVSGMDLNSDVIINLAQHPN IVGCKLTCGNTGKLNRIAAATRAATVSDPGSGFMCMGGSVDFTLQTLIGGGSGIIGGM ANIAPKACVRLVELFEAGKYTEARRLQAIVARGDWAAIQGGIIGTKAGMMAHFGYGGY ARKPLPRPNKEETNKWREAFEELVRLENSL CC84DRAFT_1105053 MSFLGGAECSTAGNPLSQFTKHVQDDKSLQRDRLVGRGPGGLQE GMRSQQMGGGSDGMMNEFMQQNAQLPQGPGPATPFAMEQMRRELERAQHTGSPAWAAE FDPGMAAPEVMGPAMLERPAGFSPAEFAKFQQMNPNARTASPGVQSPSSMMGGYQRPM YSGGMGIGMGMGMGMGGMGMMQGNYGAQNFQQQQPQQEGKGKGRMVELDDQDWEKQFA ELEQVDQDALDKEANARIEAELNQMDRSVNADKHDAADFGDFESIWAGIKAETEHARQ LANEDNFADGMHMGDLDQWEGFDGLNTHSVGDPPMGDYLFEQNNLFKDITNPFEEGIK IMEEGGNLSLAALAFEAAVQKDSQHIAAWVKLGESQAQNEKETPAIRALEMALKLDPS NLEALMGLAVSYTNEGYESTAYRTLERWLATKYPTLINEPLSSDAEMGFTDRHLLHEK VTNLFIAAAQLSPTGEQMDPDVQVGLGVLFYGVEEYDKAVDCFGAALASTESGVSNSS SQLHLLWNRLGATLANSGRSEEAIDAYSRALALRPNFVRARYNLGVSCINIGCYTEAA QHLLGALAMHKVVEKEGKERAREVVGEGVSERDLDNMIHQNQSTNLYDTLRRVFGQMG RRDLADLVGPGVDVERFRGEFEF CC84DRAFT_1169709 MFLLRRTAARAIASTPSSAVFVTPRSFSSLTPTAFRAKKQQWTV SAFQKRFLSEDPHKVATTDAEAKKVEAEEGFAQTAAEAPVEQDLTPAQEEAQAPPTDA ENTVGADALKQAAEPRSGRGPPREKPRVARERNMVPNKVVYVGNLYYEVTADQLKRVF SRFGEIENVRLIYDNRGLSRGFGYVEFKDEAAAGAACENLDMQVFEGRNLVVQYHSPK ENSRTRTSTGDIVPNPPSKTLFIGNMSFEMSDKDLNDLFRDIRNVMDVRVAIDRRTGQ PRGFAHADFIDTASATRAKEVLQEKIIYGRQLRVDFSKSGSNNQRDGERK CC84DRAFT_1105051 NQIHATEDFQFHPCFDEFQCAKLKVPLDWFNGTHPNASASIAIA KLPAEVPVDDPRYAGPILINPGGPGGSGIALALMEAYQLRTVVAPSSGNKSKGKYYDI LGFDPRGIGFTQPVASCMPDNPSAWSWKLRETTEGILGSSDAALGRHWGMTHAFGAAC KEHSEASGEPDIKQYITTASVARDMLEIAERHAKWVVDKSNLLARKASNSASNLTVYK HGEVNLTYVGFSYGTYLGSTFAAMFPDRVGRLVLDGVVNVVDYNSGLAQGSLYDTEKD MKSFYTFCASVGPEGCPLASPTASIEDIEQRTQRIIKSLYHHPLGIHTAQGPEIFTYS DLKAVIFASLYSPTLTFPGIAYILLAIEQRTGPVLESIGNALRDAHIYTCPAVNGSKT LPLNYDTAQDAILCGDGVPITDLDISGMEAYWQLLESISPAAAGIWAMLRMKCAAWPI TPLYTFGRDDNFHGKTAHPVLFISNTADPVTPLRSARAMSKRFPGSELLVQDAQGHCA LSVPTACTVAAVKTYFQSGDLPAPDTLCVPPVSPFSMNSTDPKSPFYDPSLGQAVLVA EEGMEQEMDAARSLQGWAARHLKFGRAHLGEKVDGVVGVAMAWGKGGVEEKDEL CC84DRAFT_1169711 MKIGNFHWHGTDSVQVVVKDRDTGRSRGFGFVRFSQESEADNAM QNMNNVEFDGRTIRVDKASDRSGGGGGGGRGGYGGGRGGGGYGGGGGSYGGGGGYGGG GGASWGQGGGGGGYQPRGGYGGGQGGQGGYGGGQEGGQNGGGGQQW CC84DRAFT_413757 MLVCGQMWCCATLECQCCIGEAGCPDACVISSPPAGSAVVDTAL ERKQGQPIAAGSDDRGRLEHLGQLKLVDNTTSDSIIRHSKKSHIVF CC84DRAFT_413607 MQSPLFCIFRQGFVPVGACLTTGFASVRLARYAAFGAFCGLGCI SVAGFVGVFLDRGYSSRRWPLLALRRGLEQRAVRTTRFSSALLVRNAFRSFRADNMMH FSLSRRTRRLLRKHCLAQPLVDIHVKTLNSAGRAADRAYPATVGISRARYSSTTVC CC84DRAFT_1210451 MERRKSRCKKEASKSGNGIVHSFQAKNVPRGRLTLKPREKLGLF NKGRVSSPVKGRGLPDLVFSEMKFLQKYKDNAEVEQPPESPRKKRKKDQAHGKEDDIS AYFTSVRPALARQDPNVQIKRPSHRKLRDVNCSPQQPSPIVDQAIPTVELADQTSYLG IGVRGSRHEIGSYISLSESVRVPSLPPAHGPLEAAKSSGQLDSLHNGRTGNTITGEVL HSPPIPPITTRHLVGDICGRFQVSSMPPTNERLSRSHSLPQHTSSPRRINLADRAAGR RTPEHVASQSSMPPVLATYNGHRCHLQSHNIPEPRGHDQRVQPPDPTMHRDVILDRET EPAAHDELGQHTSPSLGRILQDCNTAFHEKRAAEALQPKEQEPYPHGPVQSNIKLTTK SYLNARRLPSVRFAGLEEVYYPSVPTVSGPEMSKHQDEELYGYTDQIFDEEDSPSPQH MQEEYLDEEAFDYAEQGWDDEAELVDDDVELDLPVAEAYLHSLDNNTIMERTHSRTDA VPKPGFWRPHKLY CC84DRAFT_1105103 MAPTYTARRIGAANTLEHRIFIEKDGVPVSPFHDIPLYANEQQT VLNMVVEVPRWTNAKMEISKEETLNPIKQDIKKGKLRYVRNCFPHKGYLWNYGAFPQT WEDPNAIHPETKAKGDNDPLDVCEIGELVNKPGEVIQVKVLGVMALLDEEETDWKIIV INVNDPLAPKLNDVEDVERHLPGLLRATNEWFRIYKIPDGKPENQFAFSGECKNKKYA MDIVRECAEAWERLITGKTAPGDVSLTNTTVQHSQARTDPSSINIPQGENQSPAPIDP SIDKWFFISGAPSA CC84DRAFT_1131748 MITRFLTDVRVRFNPFSPRAKPARIFLSLIPPNARADGMKVETK MLPRTSTEPATLDVKFKDGKELKLELDKMRIPEVMEEVDRHSRLLARKEELTGN CC84DRAFT_1191473 MPPLPPTTQTLTTRLTTLSDSNKAVQQLIQRLAKLDFQPGSQPL SAEEGDIRLELSAEIHESLKGVEEDLELLRQEVEDFVGTGSSGRRRDSVRDGERSRLA VLLARLTEDLRSSRAQYRKAQLTAKHNADRAKLKERELLFSNLQSGTGSSTPSSTHRR RGNGLNESEIVTQASSDVTAALRRTHQLMQSELSRSRFAQETLEQSTAALADLGEKYS DLNTLLANSKTLVTTLLKSQKSDTWYLETTFYILITTVIWLVFRRWLYGPISWFILLP LKILFRIVFAVIPVSAATSSVSANPSTSLIVKPSATGGIPPRQPGQNYVRVGGGGRGY AGNPPDPSPPSSLSHSVGKMAEQSQKQQGAQDVPDHPQLRKHNNPALEEEDEGPVVRG DGTVLPARGEDKPRNPKKKMWEESVENKAFEERQKEAEREILGSQDEAEKEGERKRDE L CC84DRAFT_1169715 MGTPAKVSMDDPKKEEQKINTLTQDVEKGTEEVTLQRTVSGPPY SIFTPRMKIWIIFLVSVSALISPFGATLFYPVLNVLSDELHVTPTKTNIAITTYMIAQ AIAPALIAGMSDASGRRLSFIICFVIFIAANIGLALQTNYIALLLLRCVQAFGCSAAI ALSIAVVADISTSAERGTYMGYATAGILFGPAFGPTIGGILAQYLGWRSIFWFLAIFA GVLLVIFTFFFPETCRAVVGNGSIPPTGINRSVFSYLQERRQRQECMTDEMGASPPGK RKFTFPNPLMTLRILGEKESCILLLYNGFFFTGMMVVSAALPDLFKEAYGLDELKIGL CYISIGTGCLVSSLTMGHVVDWNFRRHAALNDMPITKGKQQDIRNFPIEKVRLQVGAP GHLIGTLSLIAFGWTVKYKTHIAGPEIALFFMGFGTSTAFNQTNTLLIDLHRNQAATA TVAINFVRCLMSAAGCAAIIPMCHAMNPGWAFTLLGLVYAGLISVVFWIMKNGMRWRL KGEEKKRSTKIGQ CC84DRAFT_1223291 MAPPEARIIASEHPDKVRMLVLETDDPHPDTQEEKGSFGEVLND LLVEAGEQHDPKLAIETIIHYAVEPRGGRIPEPPEITDDIHAILITGSVYDAHSDEAW VLKLLNLIKHLWVHRPDIKFTGICFGHQILCRALGSTVEPEENGKWELAHTHIELSDV GRWLFRLDSSEKHIHLHQMHIDLVVDPPSASKTDLLDPSTEVHVWGTSDHTGVQGVYI PTRLFTTQGHMEFDDKMVKRQLEMRVQSGAVESNVAEKAAERAEWQHDGLLVAKAVLR FFHGDDDKAP CC84DRAFT_1131757 MVKIAIAGGNGQVAQEVIDVLLAANKHEIMILSRSVGAPKEGIV AKTKHHIVNYDSVDDLVSALRGVHTVLSFIQILLDVDQKSQKNLIDAAILAGVRRFAP SEYGSAGTMHMPWWEGKQKIREYLRKVNESGKALEYCLFQPGLFLNYLAYPNKTSKHL SPLGTVFDFQNQRAIVVDGHETAVVTLTTVGDFATIMAMAIEYQGSWPEIGGFPGNKV TVEQIIEIGEKVRGGQFAVEKVKLEDLEKGNLASSWGLEVPHKAVSQEEAQRMLKQVT IGMLMSAAKGAWEGSNELGQMFPTYVFTGVEEFLAGVWHD CC84DRAFT_1105254 MSAAPRRRKIRKGTQSCWECKRRKTRCIFAAPGDAACVGCRSRG TTCLSQGFHEEVSTSTKKATQFQRPAPLTVCSSPNQLGGESTVESRPKRPAVPQKEDI ALHDTYHNVYCALAEVWPNDADLDVIYNITGKDCSILYGPLNSSYSSLESQREVSPQD VLQLPPHGAHPVLVARKVLLLSAFLRQSPLRDLNSTSYSGDVADRLLNTAITLVTSND DLLLSVEGIECVALESMVHNYRGNLRRALISLRKAMVLAQLMGLHLNSHSLSLKVIDP ATRARIDFDYIWFRLVESDRYLSLMLGAPQAWSDNNFLEKKDLGICHPMEQLERLHLV VGGRILDRNGCDARDPAVTQEIDHLLQGAYSCMAPQWWVVPGPSRKNRDDLSLMRRIM IQITQYFLVAQLHFPYLVYSSDGHSVTYSKITAVNASRDILTRFLALRSDTTLKSYCR GVDIIAFFASAALCLAHIGGSRGHQGPFEYMAGTNPFSYLTHQRVSDRGLVEKTLEHM EQTARSSDDPIASRLVDLLQPLLSMESASRTGAIVNMDFTPDGYSDGHECSSQGSDGG NAVHIYIPNCGKFHVTQATVNINPPSTLDSLFNSDIDWLDSTWVSEATQPIVGGAGIQ TMKCFDLVEGYSQPETHG CC84DRAFT_1264557 MRYTLAAFAMMASAVFAFPMALDTSAGHVAARGTANTNAVNWNE WKRNGGWKDYDTWKEYAEKYCPWKDEGYGSWDDWWNNDGYQWWRKISGDKSNKWSNGN DFDSWFKKDGYKTVDPWSKGSDSSSDWYQDENGVGWHKKDGKWVEDDDDSSSSWGKTD DSWSDGEDKPSWDGEDDDDNEWQVFKDETGQGWHKDQSSGKWEKDSSEDSGEWKKGAD GKWSKGSSDSA CC84DRAFT_1264559 MICRTCLRAASRARIPPSTSISLPSRAFTTAPTLRAATPVTATP TPTAKQPPPPATSTSAAQPFSEAITPAPKQDAAPPAAEKKAPLVRSSVPAGTPLKGLN FLKDRQDPVALPDEEYPEWLWSILERQEQKGEAGGQGDLFSKSKKQRRLAAKRLRKEQ LANPGMLVPKVPIYEQTIDLPAGDGTVDGAVKAGEARGELTKAMRDKRRAAIKERNFL KAMG CC84DRAFT_1169719 MWGGKKAPDDDGESTSRADAASVDAGRSTRSVRSTPRSNYESRQ EPTERTRLLDEGRRPPNSDGYLDPDDPAVSPYNLWSVRFLRYFTILFFMISCIWWTLL LISIFISPPGMHSRGSGFFDFAFTCLTIGNLLVQIIFFIAPAKALRITTTIIAVFLII DMILILAVPRLRLEEGWVGIASVVWAVFIAIWCIITDRVVAWGKREEEERLTGRPETR RTLKEWLAVLLATILTTAFIVVAILMTGTLSVRARDSTLRFAGERILVDGDKYAVHLG CVGNISYTHGKRDPTILLEAGEEPAEYDFEHWAYNAVQNGSISRYCYWDRPGYAWSDN APSPHSAGMSADALSEALAKAGEEGPWILVSAGTGSIVSRIFSSRHLKQVTGLMLVDP MHEDLLHRIGNPGRGFVLWGWGILSPLGMSRLAGAIFKGRTRQDRVYGRNAYQGGKFI KAELQENLVADSLSKNEVVSARNIQSSETPLVVVSSAVKCRSDGEWERKQRDSTKLTE NLVSWDVVNKAPHQVWRTLEGREVMEKRLKQLVKAARKVNATVEEEE CC84DRAFT_1169720 MSDLAKAFAKTKLSALPSPEPLAEDPADAYEDDSSSASSMSSTG TVIPTSNQRPAPVLHWSSYFSQEFYLERPASPMSRHAKFHVYLTPPASPKANLLVLHH GAGSSALSFALMAKEVRKLMPDVGILAVEAREHGSVVWDAEGHVDADLSVDVLGRDLV DMIQLTASKMGWTELPTMVLIGHSLGGAVVTHVANKGVLGSKVLGFAVLDVVEGSAME ALKHMQSYLASRPKTFPSLPAAIEWHIRSRTLRNPQSARASVPSLLLQTPDGKWAWRT ELGSTEAYWENWFNGMSAKFLGGKGAKLLILAGTDRLDKELMIGQMQGKFQLQVFPAA GHFLQEDLPEKTAEAIVEFVKRNDRSTLVLPPKVSDLLAQGKKV CC84DRAFT_1169721 MKLKRAKAYRKLMHQYEVTFGFRSPYQVLVDDQILEDCWRGKIQ LLERLQGCLQGAIKPMVTQCCMRALYNAKPKNDALIKWAQDNLERRRCNHHELEEPLS ALECLSAVIDPKDSKTNKHRYVVASQDRKVRAHLRTIPGVPLIYLERAVMIMEPMNTA SEELREREERNKFRLGLKGQRNPDQPPKRKRDDEEQGAEEQNTEEARPQKKKKQKGPK QPNPLSMKKAKKEVPPGSATKPKSTEPKPPRPAAADSTDHPAADGEDAGPRKRKRKHK PKGDGDAAANVDAEAAAA CC84DRAFT_1169722 MPPAYHEDNPSADDTKQPDRAPPTNTPKPEAPPEVEYDDFGLPV RKPRAPPPPPQAESDEDDKEFRDAIAKAASTEQFAQHGKEDVKLAPAVEEEAKEPKAQ AKLEEAASSNGHVEPEPAKAAPAQDCEQPSSSAHVRTASTKSATGKRSSIVHAHNPAG ISEWSHMQVAPRASEEVKEDDDGGWQTMPAYARYDMYDDDNRLIAKENAEELEDMHGY ANVGGAAKGYTRVIMDDDVESVTSMDDNTAYLFKEKSTMLHDEDEAARDPLAQMQTTK SLLTEGQRIAYVGLVRLATVETAKRFAKLDRNRNTKKVLDMAQETTQMWSQKMMVRLY SHMEVDESEQIMIEQLSEHGVVPADLTPALMQNARVKNPAAEAPESAKEAASARPSIS SPRLDSPSDKGKSTSSLGLEDDDYMNSPPPPPYANHSGDDLPAVRTPSQLPTTSSLDI DLRWTILCDLFLVLIADSVYDARSRQLLEIVGKYLSVDWLEICRFEKRVTEALEMEEE ANKENWNEDEHMESRRKKARNRRLALMGLATVGGSLVIGLSAGLLAPLLGAGLAAGFT TIGVAGTGGFLAGAGGAAIVTTTGVVTGGTIAVRAANRRTGSVKTFEYRPLHNNKRVN LIVTVAGWMNGKLDDVRLPFSTVDPIMGDIYSVHWEPEMLRSMGDTINILATEALTQG LQQVLGATILGALMSALALPLALTKLSYLIDNPWAVSQARADMAGLILADSLIDRNLG ARPITLVGFSLGARVIFACLKELANRGAVGLVQNAYLFGTPVVAKKDEYTRVRAIIPG RLVNGFANNDWILGYLFRATSGGIMQVAGLARVDVPGVENFDVTEFVPGHMAYRASMP RLLREVGWQVESDEFSEIEDPDPENHEKRQRELINEIEEARKELEKKQEKKGFSFWRK KKVQKKDWEVYDEKSQSAIDKDQDPAKLAENPIMFDIDAIRAEVAALSYDAAYDGIEI KEIKSTLPPMKIDISQTSPNPYSTLRETKSYNDSLGVASQNPTGSSSNLSTYSGASTP HRNGDRNKSIDAYDEAGDGDISMTFDTSFKEPPSSKSPRISPLPPTHKSPEPSSSAWD PPSWNDNPPERPQLRPSMTEPSAQGANMAPAYNAWADEYDDEFGKEKELKMTFM CC84DRAFT_1169723 MGQRSSLVLGLQQTFWIPAPRFTEKELGDLEGTVTIVTGGYTGI GLQLAHILYGANGTLYIAGRSREKAEMALSSLRHASPNSKGRVEFLQLDLADLSSIKP AVQEFLGKEERLDVLVNNAGVMWPPPGSVGAQGYEAQIATNVYGPFLLTHLLHPILAR TASKKESGEVRVCWAGSIGIELAAPKGSVLFEEARDGSGERVKEGLDKMETYGMTKCA NVILGVEGARRDAADGIVHVSFNPGNLYSELQRHASWLEFFALKAILYPTKFGAYTEL FAGWSPEITSANNGCYVVPWGQIGTYNVGMERAMARKEDGGEGKAERLWEVCWRVTRE FM CC84DRAFT_1157888 MRYYFPTAVALFFAGQALAGDNDWLSPVYKEIFQNELPIPPTKE KKYSYYNSTTNRYIDYYEIEIKSFEQQVYKGLKPAQLVGYDGISPGPTFRMEKDREAI VRFVNHGEKDISVHLHGSYSRAPFDGWAEDVTSKNQYKDYYYPNRQAARTLWYHDHAI HHTAENAYYGMAGFYILHDPDEDKLDLPAGEYDLPLALAAKQYNSDGTLFSPADETVS LYGDIIHVNGQPWPYKKVEPRKYRLRFLDSSISRAFKLTFEDSKAKKLPFNVIASDAG LLTKPVQSDNLEISMAERWEVVIDFSQYKGQNVTLKNSRDVQADEDYNSTDKVMQFVV GNTVSSDQGNGNLPGSLRNVPFPPTKSGVDRKFKFERQGGEWKVNGVTFADVNNRILA KPPRGAIEIWELENGGGGWSHPVHIHLIDFQIISRTGGRPVLNYEKEALKDVVLLGTS ETVRVIARYAPWDGVYMFHCHNLIHEDHDMMAAFNVTSLADFGYPETTKFIDPMEDRW RSKDEGDGSAFTDEAVRDRCHEFYMLDAYDKVDDTEAALESYYANGAPKTTLATSTVK ASTLSSVTTKAATSTITTSAKVDDKKTTTTKTTAKTTTTKS CC84DRAFT_1105099 MKFWSTLFILSSSCLAGAFPTLSARSLEGLTAEKLQDAIETVAK YQNEKRLIVNTKKPIQVTGKYAFQAPSKYDQRGPCPGLNALANHGYIDHSGITSFAEV VTAINQVYGMHLDLALILGVMGTVWTGNPLSLSPGFSIGGPGADNLLGNVLGLIGDPR GLQGSHNWIESDSSLTRDDLYVTGNAWKMNMTLFRDVYDRADKDGIISMDLIADQAAR RWAYSIGHNPDFYYGPVSGMVSRNAGYLFTGRLLANHSSENPDGVLTQDVFKKFFAVY EDADGELEYREGHETIPQNWYRKPTEYGLISLNLDIVSWVMKHPELGSIGGNTGKVNS FSGFDMQNITGGILNSTSLLENNNLLCFSFEVLKTFLPNSLSGILKTLEGPISLVTNT LAAPILSLSCPAWKDLTVGGQPLWDAIQENFPGALKAGSSL CC84DRAFT_1169728 MTRACPTHGRAVVAPTLCRPLEGFANLAALISQSCWLTALAFSE ISRGEVLAPTQAEVRSSDHDPGHSAGLRIVVEFSTFVEVVVVILSF CC84DRAFT_1191485 MRLWTVLTIFFVFGTSANAQAALQALAKELPPCSLACFMSEIPK TTCANNLTSECLCTNEALNAAVTLCASKTCTVYELLQTKNISSTSCGAPVRSSGRKFI VLGTAGIGLAVLSFILRMAACLKGRSISWDDAAMALVVALAIPPAAFGFMLIDNGLGR DMWTLKDYQITNVLFYYFMGEIFYILALGFSKISILFFYLRVFPAREFRMQIYGVMAL CGAYTIAFFFATTFQCIPVSLAWTQWDGLHAGKCNDIHLQGWVAAAINILLDVIVMVL PLKHLAGLNMTLKRKLMVMSMFSVGAIVIFTSAMRLYSLVHFANSHNITWDYVEAGYW SLIEVDVSIICGCMPAHRMLIARAWPKIKLTFHSTKGNSMNSKDFTAASKSNALTSDK AVRVSIKPQTKDDREFVPLVDIDTRNIWTQQTATKESSRSNLSAAESCESDHSDGWPI GRSTTSREHV CC84DRAFT_1157897 MKAFTVISFLTSVAAARFIDGASHTPFSGVNVKRAVNDSASSTT LEDYEYVIVGSGAGGAPLAAKLALGGHKVLLLEAGGDETNSTQYNVPALHAVASEYEP MRWDFFVKHFDDEAEMRKDTKLTYELADGTRYTGANPPQGAQPLGILYPRVGSLGGCT SHNALITIYPYRSDWQYLQDITGDNSWAPDNMRQYFERIEKSRYLPNSIVGHGFSGWL ETSLTQLTLIAQDFKVLSLVVAAATGMGQTLLGSLITSVTGLAQILLRDINHNGAGRD SQEGLWQVPLAMKIPEYKRASPVDLLNSVVNAKNADGSRKYQLDIQLNTLVTTVRFEE GTSGKPKATGVNFLTGQSLYGADPRRQSGAATGKGTPGSVTATREVILSAGAFNTPQI LKLSGVGPKAELDKFGIEVVKDLPGVGTNLQDRYEIPMTGAAPSSLALLKGCTFLKGY DACLEKWEDQPAINKGTYATNGVAIAITKKAKNSPNGNADLFVAGWPAYFNGYYPNYF ENATAGGNHWTWLTLKAESRNNAGTVTLRSTDPQDVPDIRKRNFDVGGDEDLDALVEG LKYGRQAFKDLIPLDGKFTEVWPGSNIKTDAQLREFTKYEAWGHHASCTAPIGADNDV NAVLDTNFKVRGIDSLRVVDASSFPKIPGTYLAVPIYMIAEKASDIILADAKKSS CC84DRAFT_1210470 MSSSPDIFHGWVGHDPKSAQGNMQWEAFTPKPFAETDVDIKITH CGICGTDIHTLREGWGATHYPTCVGHEIVGHVVRRGSSPAAQRFQIGDRVGVGAQAAS CLKADCEECSTGMEQHCGKGFVGTYNGLYREHNYWSMGGYADYTRVAAHFAVKIPERV KSADAAPMMCGGITVYAPLKNNGAGPGKKVGIVGLGGLGHFGVLFAKALGCEKVVAIS RRRNKAKDAETLGADDFIATNEDDKWEKKNSRSLDLIISTVSSPDMPLSGYLRLLRTN GTFIQVGAPEGDLPPIAAFALIAKGCKIGGSQIGSPKDIEEMLQFAATKGIKPWVHQR PMREANQGVVDFEEGKARYRYVLVNEEKEQSQL CC84DRAFT_1169730 MRSILPPKKGNPDLLKVVPSYTKAIFDPHDNTFERLDCPLTASL SERYAYLQTPPSSSRRKYFFALDLYQIADILPQLMGSIMQAISFLGPHNCVLSIVEGR STDGTYEILRSLAPQLEALGVPYFLQESGLSPQRANPERIVLLAELRNLALRDLYERP DSYAPDTTIVFSNDVYMCAEDILETVHQRLFQKAHMTCGMDWANSSNPELPRLYDTWI ARSMTGDTFYKDMFDWDKGFFPDDPATLARWNKSLPVQVFSCWNGIVAITAEPFMDGT LRFRGVEGADREKKDEECYQGEPNHLTKDMWYLGYSRIATIPTITTAYTYWDARSTRE RRGSVAQHVLLLNGTAEETITWQKEPPRQFHCIPAGRDPEWVPWDQGCAAIYGTRRPA LEVS CC84DRAFT_1169731 MAEASKVKYDSLPGIDTAPDVYETPELAEDVSTIPASTAVSDSE GDDDPESSAVRHQHLQTDQARSRFQPSRVDANGVDFSDNISARRSYRTSTRARRRGDI LGDDSDEEQESFERKLMRVKQELQELEGEHQERVKSGDKSKVEERDPKEIIEFISEKV DQIYAMRRGGVRGAEPQLDRTIDKFNNYAPFEPSSRITKAIANQPPLPGSQVQRGQLE FVLDQAKQFDERLSKLETSLGLDGTTMPDLGDKAPFPVFATLERIEQTVGLVGDASQG NLEAASAQIKKMIADAEQLKELRAEGSRDGESPNSEQEAKINALYGTLPSIDKLSPVL PMMLERLRTLRLVHTSAWAADDVLTELENRQSQQEAEIKKWEQSIQEVEADVKKCEAA MQSNMHTVGDWVKTIEERVAKLPRGD CC84DRAFT_1157911 MALCGRLARRAPALSGQFRAFASPAASRSIVSRASRPLASLRPS NESTSAYRQQLRAFTKIHATWNQTQEAPNAQAYLNSGAISGARDLVDVQKVLVIGSGG LSIGQAGEFDYSGSQALKALKEAGVKSVLVNPNIATIQTSHVLADEIYYLPVTPEYVE YVIQKEKPDGIFLSFGGQTALNLGVQMNKKGIFEKYGVKVLGTSIKTLETSEDRDLFA RALDEIDIPIAKSIAVNSVEEALDAAEKVGYPIIVRAAYALGGLGSGFANNAEELRNL SARSLSLSPQILVEKSLKGWKEAEYEVVRDAADNCITVCNMENFDPLGVHTGDSIVVS PSQTFSDEEYHMLRSASIKIVRHLGVVGECNVQYCLQPDGLDYRVIEVNARLSRSSAL ASKATGYPLAYTAAKIGLGHTLPELPNAVTKTTTANFEPSLDYIVTKMPRWDLSKFQN VKRDIGSSMKSVGEVMAIGRTFEESFQKACRQVDPKFLGFQGEKYGENLDDELANPTD RRWLAVGQAMFHENYTVDRIYDLTKIDKWFLHKLMNIVDCTRELEEIGSLFGLKKEII LKAKKLGFSDKQIAKAVNSTENEVRARRKSFGIKPWVKKIDTLAAEFPADTNYLYTTY NASSHDVTFDDHGILVLGSGVYRIGSSVEFDWCAVNATRSLNALGKKTVMINYNPETY STDFDVADKLYFEELSYERVMDIYELETASGVVVSVGGQLPQNIALKLQEDGKAKVLG TDPADIDKAEDRHKFSSILDSIGVDQPAWKELTSYEDAKKFVEQVGYPVLVRPSYVLS GAAMTVIRSENELQEKLTAASNVSPDHPVVITQYIDGAEEIDCDAVASNGKVLVHAVS EHVENAGVHSGDATLVLPPVNLDDRIKERVREIADKVAKAWNITGPFNMQIIKQHVEG QEPKLKVIECNLRASRSFPFVSKVLGTNFIDVATKALVGRDVPEPTDLMAVKRDYVAT KVPQFSWTRLAGADPYVGVEMASTGEMACFGKDLIEAYWASAQSTMNFRLPVPGEGLL FGGDTESGYLVQIAKYIHHLGYKFYAANDAVKQLLEKNTEGLTVEVIEFPKEDKRALR DVFQKYDIKGVFNLAKYRASSLLDEDYVMRRNAVDFGVPLFMEPKTAVLFAQCMKEKL PKKEGIPSEVRSWSDFVGGRPL CC84DRAFT_1157912 MQWPWSGGEDDGETKRDFSNRIAKLKSDDWATALTDPATIGTSL ALTASTVAFLRFYKSYLRRIPSVNHIKPDYFRRRSLFGQVTSVGDADNFRLFHTPGGR IAGWGWVPWRKVPTKREGLANNTIHVRIAGVDAPELAHWGREAQPYSKEALDWLTAYI HNRRVRVHIFRRDQYDRVVAQVTVRRWLRNRDVGLEMLRNGLATVYEAKTGSEFGNFE QQYRDAQKKAEEQKVGMWTKPSLLGRLRGESSKKAETPREYKQRHAAADKQKKTG CC84DRAFT_1131811 MYSSLATMTDADGLDDSHYLFGDAQNGILPMPLAHDSMRDESST VMPAALENIHYTESTASSVHHGDIHDASESSGGHINGRDERDSSASSGYVNGHGYDGT HVSTLHLHHPHPLRTSETNDIAIDFHHEKITRMNGDDLHPHVKGPITDAALNQTKNIP HTPVSMAATPRPLNGTPKASSTPELPQSQEHDGTRQPRNSSPPKGKHTEFKANSSIPA TLSWGEFGRQCILAAESSRLNPFALHPGEYQLLRNHITHAQVTIYLNIRNAILRLWTK NPMVYVSLEEAAGCARDRRYFNLAKVGYTWLMRNGYINFGCIEVPNTAMAIPYTKVKR GARRTIIVVGAGMSGLGCARHLEALFAQLGDLWVNEGERPPRVILLEGRPRIGGRVYS HPFLNQTGSTLPPGHRCTAEMGAQIVTGFEHGNPLNAIIRGQLGIPYHGLRDNTILYD YDGTVVERSQDILVEKLYNDVLERASTFRNKPATFRTVEGDRNLILFGREPTDNSEAT IAELEQSEAPPPANATTTASTTEEKPSTGVEKLAGRAYQLSAGFNPNITATQAVKNMG WSVKANAPDLQSLELDSTAKASAHPTLGQTMDEGIRQYQKVLDLRPRDLRLLNWHHAN LEYANAASVNHLSLSGWDQDIGNEFEGQHTEVIGGYQQVPRGLWQCPNKLDVRFKTVL KSVHYDLDEKAIGKAVKIECTNGEVFEADQLVITTPLGVLKSGSITFQPPLPDWKQGV IERMGFGLLNKVILVYEQAFWEPDRDMFGLLNEAEHQASLNPEDYARRRGRYYLFWNC IKTSGKPTLVALMAGEAAHYAEATSNDQLVKEVTDRLDKMFAPSHVPLPTETIVTRWR RDPFARGSYSYVGPQTQAGDYDVMARPYGPIHFAGEATCGTHPATVHGAYLSGLRAAA EVVESMIGPIKVPSPLVEKKVKTECPMSSTALSTEPKRKVEQGLVDAQPREPNAKPNE DYEASIIGEILEKLGERPLKPGRAGVNPFLLYTKDYWYVCKNECDEKKRVATGDPNAK ASKTEIRTALGLRWRTDSEEIRKPYLDQTQSAKDDAAANAATFKDRVARWDKEAARIR REYIQNHPPPGGSEDGILNGRTAIELGASKRLRRL CC84DRAFT_414355 MASFFGRLKAGNGPASPASSAPPAKKDPNAPQLTPLEKLLQDAG PVRADGSDKFFGFENFGSTCYCNSIVQCLYYSVPFREQVINFPARSPPEALERPATGP LPRLNTNLLPDANAQNSTLSPKGRNSFSAPNAASPRKPVLTPGGTIIPGQPQKPEDNK DSPEYKKKQALAAGPVLSMDYENSKAYGMSESLFSALKDIFEAVIAHPSHIGVVSPHK FLEILRRENEMFRTPMHQDAHEFLNLLLNEVVENVEHFSKTRVHEIEDKDTNATISQS DAVVNGTAPSNIGWVHELFEGTLTSETRCLTCENTSQRDEAFLDLSVDLEQHSSVTSC LRKFSEEEMLCERNKFHCDKCGGLQEAEKRMKVKRLPRILALHLKRFKYTEDLQRLQK LFHRVVYPYYLRLFNTTDDAEDPDRLYELYAVVVHIGGGPYHGHYVSIIKTQDRGWLL FDDEMVEPVDKAYVRRFFGGEDQLACAYVLFYQETTEEAMMKEQEEESLAAAEQAAEV NTEPISVTTPKANGLGLANGHDHNLATTPTVEKDDQFASLNHAVTAPALHTGEHSNNL EHVATSIPILGKRQSNIASKKEKAERVKEEKERKAMEKELEKQAKAKRKELEVQRREL FRKQEEDLRAALAASRESATEENKKRGKDGGAELPELEKDKSANGLGSISKGSGLSRF RHSSMSLRHKPKFWSGSKDDRKDDPEMPSTPVVAEVEQAAQGTPGKEEKKNRFSLGRK KSTFHL CC84DRAFT_414371 MCAFRIVEKSPCTSVIGMALLGRASKPATTLRCRAVPRMNTVLP TSSALIFHIAGLLAVYCRRGSDSVSDSVGSAFSSRSPHPTDEHARLVLSRMCNALSTS FMSRALANDLRITNLAAIALR CC84DRAFT_1181748 MDLCLAFFPGPQNCPIFPIQHYSRTCRLHATPRGHHQKTLFQSP EHMIIPTPYQKKKKKKKKKKKKKKKKKKKKKKKENFSALARIRRFPYLAPAMRPPAVL ATSTKISNHIACR CC84DRAFT_1169736 MVFFGLGGLLQFSVLLINAIAILSEDRFLARIGWTSSADPGFGG QRDDGIKARLVNLVSSVRTLMRIPLMFINTAMIIYLLIFG CC84DRAFT_1105038 MMDSEAVDGGYFGLPSGLQSGPDATSPGSVQSAGALSIELPSHP SSTMVALTTLQYLPIPLLVLSADATVTLANEAMGRLLGIDWSATAAEGLTVAEALIGK AMAELGIDILQNGSPILVSWQDFLNSVVEDAAAQRKDRLSVEASQLDSGGSTPTATPG GQDFADAPPKLPRLSSQNLTGATVHDVSVDIIITPTTRTGDRTTSQNSKFSPHENALQ ATMIISVWGIEDTNYYTLTFTSAQPVDAKRNTRPSSRIVTRTTTAYQLSKSHGSNSSS SSGHRSGPSPKALTPTFSTPDFPPRGPPLKARSDLSMTASIFQKASQMKDAILNSINM PAYAMWQDEGFGIPNKAMLRLLPEDAVYNPTDQRAFLSQFSLWTEDFARKLDVDELPI MELCRSQQPFDSRRITMRHPKTGVPIAFEVAGEPILHDVTGEFLGGIVVFKDVTQYAN EIAAQVHENERQFEYITNNLPVMVWTTTPDGTHDWYSQRWYDYTGQTVEESLGEGWRD VFHPEDMPTTAERWSRSLRTGEEYNTEYRAKRYDGVWRWMLGRAVPFYNGAGKITRWF GTCTDIHDLVEARQAARQTRAQLQRVIEHAKVTLWVINRKAELLMLEGDMEGVGGARK NEQGNEQAIGKNIFDFFGDFDRWKRPIQELLEGRARDEIMERVKDDQCYRTRLVPLYK RSRAGGKEGDAYIDGVIGISMDVSELRIRENKLKEQGEENSRLLANAAAAKEASRMKS QFLANMSHEIRTPIAGVIGMSDLLLDMNLDEEQKECAENIQRSANGLLAVINDILDFS KVESGRLDIEEVQFSLAVVLRDVNKMMSFAAQRKNIAYESAVQPEIERDLRVMGDPGR LRQILTNVLTNSIKFTSEGHVRLGASIISESKETVTVRFVVEDTGIGIEEDVRKRLFQ PFSQADSSTARRFGGTGLGLTISKNLVQLMHGDIDLESVLGQGTTATFWIPFSKAPYQ DDGSPLIDLSSIPDRLQSDVSVSCGSSDDHTPPQTPKLHNVHTKMHGRGESTPSLASP SIPDYLTTLSESERKKVQVLVVEDNHINQQIALKTIKKLNFSVQAVWNGKEALDYLSQ DFSAEHPRPDLILMDVQMPIMDGYQATSKIRTDFETADFRNVPIIAMTASAIQGDKEK CQKAGMDDYLAKPVKGKLLEKMLVKWAIEGRRKLAKQPLSKNVEDRRASALTPPKSRP NAPSDQTQISHLPVTSETTAQDSSLTAELDRLHYESNAALAKSSETADDRAMRRIHAE EKASSLRDDKLLFLTGTEGHGQGSYQGEEQSQLPLTQENMQRLEKQAEPAVFRQSRER DGHSSGDMEVEPQSRSDSRAGGSSSLRPSLKATQKESEQTITAQSPR CC84DRAFT_414438 MAMAVDSRQQSQLNGMSYDPLRYPQPHFTNPWVSAAGPSQQQLY QTSIAPNVSAGLENHASSQPQAIQRPTSIAIPYHGLPVTAPSLGSGIALPDGSLPAEN LLDMSQDLLSRSYNGGYGTTTSATTNTYAPTSAPYSQVDYGNTERVPYAFSQDNARRS SHPSVPSAPYLDSVMELQRQRQSSLVDFSSLSSQPPRNSFSDALDASRGMVSLSQSDI TPRNIYGTQASSRSSTDSYGFPSTHSAHSSISGASYNGTNYYGGEGSVTDYSSASESV DLTHSRTLPRPSALMGANIPPAPQSMMGQFSSKVSSSSQKKHKCKICDKRFTRPSSLQ THMYSHTGEKPFACEVEGCGRHFSVVSNLRRHRKVHKGEAQDHPSPDDA CC84DRAFT_1049501 PDASRGMPYYERLRRDLRESLQKKRAIDHNLEQLEATILRVETQ YLEETSAGNIIKGFDNYIKGAAAVTTASSTGTATRRKAQISEADRIFSRSSSAFVRVC GSSVPTFCWLESSTPGSAQTTPSHGQTPTSSFPTRESSQPTSNGASRNGGSKKKKAPD DDDPKSAKRHKITY CC84DRAFT_1169742 MDHKEVALRGKAISKAIEAKEPSSSILKLLNELKDGIRPTEDLL RQTKIGVTVNKLRQHADPAVASLATRMVTKWREDVKNSKGVASRPKPSGASNGTSSPA PVPSKTASPAPTPAPAAAKPKHGVAPEKRNAKTDNIKYQVTGDEQRDNCVRLMYDGLA FMSDESPADILNTARAVENAAYDGAGSRVNDAYKAKIKSLFSNLKNKSSVHLRKRVQS GEITPKRFATMTHEEMKSDERKAADKKLERENMNNAMVAQVEKSISKEFQCGKCKQRM VSYSQAQTRSADEPMTTFCECMNCGNRWKFS CC84DRAFT_1157935 MVKTYNKYEHTDAFGVVATATSNIVWTAEGVSQPGSSRSAGAGR AYAAANEQVLCWDIKKGELLSRWRDASCTEQVTVICRSDVDTDLFAVGYADGSIRVWD SRTATVVISFNGHRSAVTILAFDQSGVRLASGSKDTDIVIWDLVSEVGLFKLRGHKGE VTGLHFLHPTKAAQEDGVDQGDVEMDTDQAFLLSTSKDSLVKIWDVNSQHCIETHVAQ TNGECWALGVSPDGSSCMTAGNDGELRVWTIDLAGLGQSASSVGEGVEQRYLHERGTL YRQGKDRTLGIAYHRKSDYIAVHGSERAVELWRMRSEKEVQKALSRKRKRRREKAKEE ANGEAPEAEEEISLAEAAIGDIIVPYVTVRIAPGGGKVRSISWMQGRSKKLQLLVGTD SNLLDVYEIPQKSKSKSEDEPEYNRTLSVELAGHRQDIRALALSSDDRMLASASSGKS ANGGLKIWNVRTQNCLRTLECGKALCAAFLPGDKIVVVGTKDGDIELYDIAASSLLDK IPAHEGTVWTLQVHPDGKSLITGGADKTVKFWDFEIVQEEIPGTKRTMPRLKLVQSRI LKVNDDVLSVHFSPDSRLLAVATMDNTVKVFFVDSLKLFLNLYGHKLPVLNMSISSDS KLIATSSADKNIRLWGLDFGDCHKALYAHEDSILNVAFIPHPVQADEKHILFSASKDR VLKSWDADKFELIQKLRGHHGEIWAMTMSRTGDFVVTASHDKSMRIWTRTDEPIFLEE EREKELEELYENTLATSLEDEDPLLNGAEAPEVGAASKQTTSTLTAGERIQEALDLGL EDLLLVRAWTKQKLANPNLAPPQRNPLFLALNNVSAERHVLNTLSKIPAAQLHDALLV LPFASLPALFTFIAVWVQRRWNVTLTCRVLFFMLKTHQRQIVASRELKSVLEGMRDDL RRTLSGDKDLIGFNVAAVRFVGERVDESKIVRLEDVDKAEEGAGKKKRAFVDVA CC84DRAFT_1191499 MLLLDYQNVLIESLLRERFQGGAPSSIDQVVSDFDGVTFHISTP ESKTKILVSIHVKCYAELVQYGAQAVLEREYGPYIVAPESGYDFSVQVDLENLPEDQE AKEDLVRRISLLKRNAMAAPFEQAFDEFQHLQEEASKYTSESAPQGVKEGGEVRAIHY REEEAIYIKASYDRVTVIFSTVFREETDRIFGKVFLQEFVDARRRAIQNAPQVMFRND PPLELQGIPGVDTSGSADIGYITFVLFPRHLTKQRREEVISHIQTFRDYFHYHIKASK AYIHSRMRKRTADFLQVLRRARPEAEEKERKTASGRTFKVQS CC84DRAFT_1191500 MASPDPKRVPIPKNGVDYRGKVVLAPMVRSGELPSRLLALKYGA DLVWGPETIDRALIGTTRHLNPHTQTIEFTRLPTSKLKNPTLDPDMRESVIYRIHPEL EKGKLIYQIGSANPELAVQAAKLVAPDVAGIDLNSGCPKPFSTSGGMGAALLKTPDLL CNILTSLVEEVGKPFEIGISVKIRILDKPEETEALVRRLVKTGITGLTVHCRTTPMRP RERAIRDQLKMVGEVCREAGVACLMNGDVTSRTEALKLAEEFGVDGAMIATEAEKNPS CFRPDSQGGPHEWRSQWKTVVTEYMRFALQVENRWGNTKYLLGQMIPGKDKCYAAMNR AKCYADVIQALGLQDVDDLLEQAKTVDVHLGIPPKESQASKRARVREAEKAKKQEQQP KEKRRTDAEDDQAAKRVKLPEAPAEISVLPQETSAPAALSV CC84DRAFT_414624 MMLTHHSDYFKGGAMVDHSFLPSATQIEAFYKKQLFSIIINSQW RKRKIWTTFHATNDTSDASGPNQTRYYSPTDGGVYYTYAYHESGILKGFLEAPTGLDH LNESTWDISGTDISKSSAASFRTARFNFTEPMAHDALASAVASNGTSSPWADGAGWVG TWTLPVCVLPPDYNWNTQYADTSSRYGMLPCCCGEKCKDTKDFVAAANLVGFQTLLYA CEAQLRGTEIEFASVDYGFGKKTGPAALPYFWATLGTGKKAGLAIGMVVGGLVVLVLL FVCVGSCCASCFS CC84DRAFT_414671 MASTKRGNEENGKAYNNYGSRAYVYAIIGKPVSWGYIYEHSYRY LFRDGSTQQLWGALHTASRKRSAPDGLVVGESHPWRAERRSTGPVESSLVATLKSAVR GQFVERILVGSVIAVHNTKSYEGLAEMKKRDYVEARHYRQRDGIFVFVPSKYELRAAT SLGLS CC84DRAFT_1233400 MANAVQRLAQVQEQLAPPVVAPDQTPTLQGTTKHWKPQQRPFDS APPVKAIFVGAGIAGIAASVLIPRKVPNLSYVVYERQGAVGGTWAQNRYPGVRCDIPS HSYQLTFAPNHRWSEYYSPGSEIQSYYEDVVKQYGVKKHLRLKHDVISAAWSEEIHQW VVKVKDLTTGEEFTDMAHFFITAAGRLNVPKYPDIPGLENTFKGHVVHPAQWTEELTR ALEGKRVAIIGNGASGQQLLVNIFDRVAHIDHYVRSRQWIVPSFSPNLLSARWDVLGA HLFSEEEKQRFEKDPKAYLEYRRSLEKNFHGRFGGSVNGSEENDKIRLAYEDALWEKV NGDKTWFDRLVPAFAPGCKRPTPSAGYVEAIRSPKVDYVDDARVTHATADGLVTSDGR ERKVDVIITATGFNNGFLPLFPTIGKKGLDLSKHWAEDGPIGYPQTYFGLMAPNVPNY FGVIQANSNGAGGTYPLQAEISATYIAKVIRKVQREGYLAIYPSQAATDDFNEIITGY FEDKVIGDDCDGWWKSGFGKSRPLVMWPGTGHHRFDIVREPRWEDFVFERSKEGKRNR FAYFGNGWTEREKNGDEAVLTKYLKEVGTVDLATLHENWND CC84DRAFT_414680 MSGRRSEPLCGTALLSLVCRGFCNRFADEIYVYDSVPQCYDRSP SFASCCTYFLKIAFIKATMASRLSPLAHQIPPLHLQHDHAPPPRFSLYDKHQDTTSYA SGSPVIAHEHSRHARSQSIPSPVQQSLEKSQQSGLPIRHDWRRPCLPKEPRSSPDSST NIGASISNEDSNQTPDLQKNKIPSPCGKKHYSSEAWLKRHKDTCAVCTNIKYQVEEIF DTTSASKRQPILESEDTCATNVIEEQLRGSGGVLSSVNLEASEDLEADRHWAPSQPKI DDFPDIVRAATLGLEDECNAEGAPVSNSVKLEITATELHNKVRAVLEKELTPNDSDGY IYIFSDPKRPELHKIGKSKETISRMGQLRYQCGLTLKLVKNVKVHNYSRTERLIQTYL MDLCRPYRCDVCHASHGEWFEIADGSARAAVTRWAKFMTREGPYDPETRQLHSFAEDL VRTRDHLLPDASPKIETTRNHWNRILSPTFLDRFRFKFNVIWTMVSKFCWPINSMFAW TVAFLASRHPITFLFMAVSVIGTFISISDEQYRLRNRPKRSKRRSI CC84DRAFT_1233405 MFKGSSKVCRLLQFDHNCELIASADLLLRSMPQTSMLRRFSPSW PYKTIPQLPGTTQDGAFQRLESQMTSSGFDQRSYSFQLLKTSHVPRKSASADPREFDL DSTSLWNPISHRGCYKFCMDHDGFSRIASHSQHVYLIIVAHNAANIRIPLNATFPATP QQTFNGMLHYLSVRHLRQSNVPTRLLDCLLIMMALCIGISSQLMDIRRLLPKNASSVA VDTCFIAGRAAWLCCDLPK CC84DRAFT_1169745 MATVQEVTAESAFQSGISSLPQSTLAVIYFHAPWAAPCKQMSVI LSTLASTYPADAPIKFVALDAEELPEIAEQYDVSAVPFIVLQRGGQVLETVSGSDAAK VRAAVEKYAGAGSGDAKTSLPPAQTVSKPAQTSGAKDLAGYAPNASDPNTAPEHSSGD AETSKEELNKRLGELVKAAPVMLFMKGTPSAPQCGFSRQTVALLREKGIRYGFFNILA DDEVRQGLKEYSDWPTFPQVYAEGELVGGLDIVREEFENDPEFLAEHSVNGKGGSGAA SAQTQAPVAS CC84DRAFT_1210484 MLRQVKPKNARAKRAMDKREPLVNENPKSTLFVTGPRTSQILKL CTADLRMLKKPFIETFTKKNDIHPFEDASSLEFFSLKNDTSLLIFSTHSKKRPHCLTL VRTHGHKILDMLELYVNPTSFRTLQQFKNKKPAVGLKPLIAFHGAPFEDPNQTKWTLA KSLLLDLFRGQEASEVDVEGLQYMIDISAAEAVEGEPAPELRIRFYLIKTLKSGHKLP RVEVEEMGPRIDATLGREQFPDPDMMKSALKKPKGAEPRTKKNIDMDIMGDKMGKVHL PKQNFGELQSRKMKGLKRGRTPEDVEMDDADEVIDETVPKKTRV CC84DRAFT_1264588 MSDIQQFLLEYDRNKREASQVAQKSATRLTDGDLKLLKLIEELG EYFNSEDGAIRAKSMAYLAEVLGFVPPKVLSLQQRNLLCDFVLSRTEDGDGIGSCAKA LLALEERGKWDSERAQTIMETLLANTSPLRQYKQQSERYPALLLIHALLARYRDALRT LHDSQPDFLSRFIAYFDGEKDPRNLMLVFSILRVPMTEWNIGADAQDLFDAVFNYFPI TFRPPPDDPYGITAQDLKDRLRECISSTADFAPYAFPALLDKLDSTSINTKRDVLQTI TSSVQEYGPRTISLYSITLWDALKFEILNVQEEDLAEEALNGLAAIAQTLSHGTSGPL NAYLRPIIKECNEHLEDAPTKQSQAAARIVSKIANVSPDVTNLLLAGILPTMFTLFQS ADNMAKRRGLLEVFVQLIKANIDVYGDWRATGSAGASAPKGALAQFRDQALEVLLHGL ETSPVNNVSYRLVCADGLLQLSKVRELLSEDDIGKIIQLLHAIVIHEESFGKDEIKES AINSLVEIAHQKPQVVIDKAFPAFMAQLPDTDEGSRGHVAVLEAFAKLANEEEVFDTV ILRLKNKFSAAVAHNASSGYIVALLSAILYALTKGSNKLEQDSNTLTYYQDIVLPLLR RTSSADASHPEAFNNETTLDLVGRICNIVVRSQPSEQQEAIASELYTLFSGTPQAELP PFNTSAPPEAHKTMIVSTHLLASFRKETRLPCEIEFLVSSLVNYTQHPDLSIPVRSAS LRQLSLVINKFYTATALKTCMDPLIEAPFEIFHPEKLDPPRIRVIFACLKGILLRSSP ILAKLYPQLLDFLSHPKYGAAIAHGFSTLLQPDDLITKQNHCQISGLHKQKSFALLVP NITQAFRDASAEMKPNYLIALSGILRWLPFDIVIEEVPSLILLLLQSLDLKGEDFVKE AAIGTLASTLAEKPEVVQEHAGSLISRLLANSVLDKEAPTPARVRAAACRCLMLVPDK LKLEIVLPYRRQVCRKLIAALDDAKREVRSAAVKCRARWLEVDEAGDDD CC84DRAFT_414722 MAEEKPNCKVILANNIAKKLLEEVHDGLKKVDRKPLLVGFLSSQ DPAARVYAEWTGKTANENGFAFELREIDRELLEDALIDANNDAKVDGIIVYYPIFGNR QDQYLQQIVSIDKDVEGLSHQYIFNMYQNIRFLDDANTKKSILPCTPLAVIKILEYLQ IYNSILPYGNRLHGRTITVINRSEVVGRPLAALLANDGACVHSVDISDVQTFTRGEGL RKRRHEVEEKPGWTIKDCLPISDVVISGVPGDTYKVPLEHMRAGAVCINFSSERNFTP EVKEKASIYVPAIGKVTIVVLLRNLLRMVQNKIDAAEDAAQKADKSQ CC84DRAFT_1181762 MESTATSTLSESRSTGGHPAIDTRKRQHKKTNTTRRDDSSQERQ ANVSTDRVPVHRGRGYERSAPKARTPHLLHLNPGKRGSDSRSPRWKKKTSIHIRRHPR QQCHHGDRIQASFIRLIHGTFCLDLQHEAVQWVCGEPWHLYPHDGGTILFAVIYGLAL DMMPLLAQGTPQRLLIVIVFLSLDRRHLPTASIPDPDALLMTAVSNKVLALRCTTTVK APTTSRAFHRSNVPLEITTSSGHPCVVSIKSTLCPFGPLRTTRAHSGGHTLHPHLKHK HLVSKIVPATATPLPQQPAAARKSLQLTCVRHSNRSTVAPRFSLMHLHRLERALDAWL LTQARQPAPDPQIVAALLPARPSCNVTVAL CC84DRAFT_414779 MNRRERKNGQISWSCTTCLHRHSYFSTAYSSLLLGLSRCAVVGI VRCTSRTLAMKRLFPCPLAVPTLHRWRRRRYWDLSSRIG CC84DRAFT_414978 MSWKAPKFQEPKHPGLPHEPKPLLTEEGIHGAGAGVGIGSGAGG FAMNDASVAAELGAIYTNVQKVLDIRHKYIRLSLQGNFDNPKDDPSWNIYPPHPQPVW KEKERQNASGSLQNSTVLDPNDALQKPPRKMGQNIGEDFNMDDLLPLPSVSEMSFRLD ESGVFQVYETSKSAELDTPIVAIPTLRDYYMDLDSILEISSDGPSKSFAFRRLQYLEG KFNLYYLLNEYQETADTKKVPHRDFYNVRKIDTHVHHSACMNQKHLLRFIKSKMKKSP DEVVIFRDGKELTLKEVFESINLTAYDLSIDTLDMHAHTDSFHRFDKFNLKYNPVGES RLRTIFLKTDNFIKGRYLAEITKEVISDLESSKYQFVEWRISIYGRDIDEWDKLAAWV VDNKLFSPNVRWLIQIPRLYDVYKGSGLMDNFEQVIVNVFKPLFEVTRDPNSHPKLHI FLQRVIGFDSVDDESKVERRVYKKFPYPSNWSTKQNPPYSYWMYYLFANIASLNVWRK QRGFNTFLLRPHCGEAGDTDHLAAAVLCTHSISHGLLLRKVPFLQYIFYLEQIGVAMS PLSNNALFLAYERNPFLSYFRRGLNVSLSTDDPLQFAFTKEPLIEEYSVAAQIYKLSA VDMCELAKHSVEQSGFEHVIKQRFLGQNYHLPGVDGNDVAKSNVPSIREAFRHETLMS ELAMIERYTRASQTNTNALTSSNLQPASAPPQTPVSNNTTHPGSPVASLKTHPSNANL QSDHQAFSLPPAHQSPMFPAQQARIPSGGPAGDTRTQPASPTRTKDPEFERAYRVSSM GMSQGGSAPPQPTGAAQGSGAMSPDESFGGLQRTQSVVTIDGAEPRMFPGVVSRHGRR RSSIRSNDVGAEAGVQSAIPAAVLQTPGRVAFKENSVTEEPDTDDEDL CC84DRAFT_1191513 MAAIAPLAGSFALIFGGCCSNVYCLEAIVKHEPDSGLLITLFQF IFTCLSTLHYQFDPRYRFFVKPSPVPFRKWVISAALFFTVNMMNNWAFAFNISVPVHI ILRSFGSVTTMIAGYLRGKKYTPVQIFSVAVLTFGVMVSAWADAQSKGKKLDTTSIDY TSASFEMGLLILLAAQLLSAYMGAYVEDIYLEYGNHWKANLFYSHLLSLPLFINFAPV LYKQFTRLQASQSFSVPPALAANLPPTLNKALASTSQHVIFLTANAVTQLLCITGVNI LSANTSAVTVTIVLNIRKLVSFMLSIWLFGNQMSGLMKFGAAMVFGAGALYGWETTYK IPQRKKAAAPTMSSPTGVRHRGTKDKKRPTTPNPDAIVDQVDHAVTKVKREFKANQGS EWDYKLAIAVITVLAFITRFWGINHPDQVVFDEVHFGKFASYYLQRTYFFDVHPPFGK LLFAFAGWLVGYDGSFLFENIGDSYITNKVPYVAYRAMPATMGALTVPTVFLIMWESG YSLPACITAAGLMLFDNAHIGQTRLILLDATLIFFMALSVLAYIRFYKLRHDPFSRKW WKWLLLTGVSLSCVISTKYVGAFTFFSIGVPVMIDLWDLANINRRQGALSLPELGKHF AARAIGLIIIPFLLYLFWFQVHFSILSRSGPGDDFMTPEFQETLSDNAMTLQSVGIDY YDSITIRHKETKVYLHSHTDRYPLRYDDGRISSQGQQVTGYPHNDTNNHWQILPSKPL ESTQGQRVKIGDVVRLRHIITDSMLLTHDVASPYYPTNQEFTTMGMEEANAGRYNDTL FEIKVDPKGKGDFKTMSSHFKLIHVPTKVAMWTHTTPLPEWAYKQAEINGNKNVQQSS NVWYVDDIPSLPEEDERNKKEVKQVKHLSFLRKYIELQRAMFYHNNALTSSHPYASFP VSWPFLLRGVSFWTHNDTKQQIYFLGNPLGWWLASSLLAVFAGIIGADQLALRRGVEA LDERTRSRLYNSTGFFFLTWAAHYIPFYIMGRQLFLHHYLPAHLASVLVTGALVEFIF NIEPVDTEAPVAVVKGHKRTLSKPVRERVAKQSLLGSWIATGVILAAVGWCYLFFAPL TYGSPGLSVEQVNARKWLAYDLHFAK CC84DRAFT_414773 MVEAEGNPTTWKFTQCFGDKGDVEDITEADIISTVEFDQTGNYL ATGDKGGRVVLFERNETKKTCEYKFHTEFQSHEPEFDYLKSLEIEEKINKIKWCRRQN ASHYLLSTNDKTIKLWKVFEKSLKVVAENNLSHELTPGGGVAGGGGPVRNPNVNFRSA ADLKLPRLTHHDTVVAAVPRKTYANAHAYHINSISVNSDGETFISSDDLRINLWNLNI QDQSFNIVDIKPANMEELTEVITAAEFHPQSCNWFMYASSKGTIKLADMRESALCDQH AKQFEQEEDPSSRSFFSEIISSISDVRFSHDGRYILSRDYLTVKIWDVNMERTPIKTI PIHEHLRPRLCDTYENDSIFDKFEVVFSGDAKNVMTGSYNNNFMIYPSDPEKDTEVVL QADKSAFKAKKVGIPTPMNSSTSPTSSSAKKGGSRAGSPAAGAAGQGQRMRKETDADQ IDFNKKILHMSWHPFEDSIAIAATNNLFVFSAL CC84DRAFT_1264595 MSHRKFEAPRHGSLAFLPRKRAARHRGKVKSFPKDDPKKPVHLT AAMGYKAGMSTIVRDLDRPGAKLHKKEIVEAVSIVETPPMIAVGLVGYIETPRGLRSL TTVWAEHLSDEVKRRFYKNWYKSKKKAFTKYAKKHSENSGQSITRELERIKKYCQVVR VLAHTQISKTPLKQKKAHLMEIQVNGGSIADKVQFGHGLFEKPIEITSIFEDNEMIDV IAVTKGHGYNGVTSRWGTKKLPRKTHKGLRKVACIGAWHPSHVQWTVARAGQMGYHHR TSVNHKIYRIGKGTDEGNASTDFDVSKKQITPLGGFVRYGEVKNDFLLLKGGIPGVKK RVVTLRKSMFVHTSRRALEKVELKWIDTSSKFGHGVYQTAAEKKTAVGTLKKDLAASS CC84DRAFT_1131865 MSCLKMRNLQLASQFSRSLRTHSRAFSSTRPAARIFATEHLKAK EASGFISQKYPVIDHEYDAIVVGAGGAGLRAAFGLAEAGFNTACISKLFPTRSHTVAA QGGINAALGNMHEDDWRWHMYDTVKGSDWLGDQDAIHYMTREAPQSVIELENYGCPFS RTDDGRIYQRAFGGQSQKYGKGGQAYRCCAAADRTGHALLHTLYGQSLRHNTKYFIEY FATDLIMEDGVCKGVVAYNQEDGTIHRFIAKNTVLATGGYGRAYFSCTSAHTCTGDGM AMVARAGLPNQDLEFVQFHPTGIYGAGCLITEGSRGEGGYLLNSEGERFMERYAPTAK DLASRDVVSRSMTLEIREGRGVGPDKDHIYLQLSHLPPEVLHERLPGISETAAIFSGV DVTKQPIPVLPTVHYNMGGIPTKYTGEVLTVDEQGKDKVVPGLFACGEAACVSVHGAN RLGANSLLDLIVFGRAVSHTIRDNFSPGQKADPIQADAGADSIAVIDKVRTAEGPKPT AEIRSNMQKVMQTDVSVFRTQESLDEGVRKIREVDSTFADVGIKDRSMIWNSDLVETL ELRNLLTCAVQTAEAAANRKESRGAHAREDYPDRDDENWMKHTLTWQKNPHGKVDLGY RGVVANTLDENECKAVPPFKRTY CC84DRAFT_415273 MPSRQQLTLALTFFLASTQAWPAYPFTKRQSSDSPAAQSSDSTF KEEYDFVIAGGGTAGLTLANRLTESGRFSVLVLEAGFNPEVVEAYATPGGNQFLKGSA VDWGFVTTPQEHLGGRTLQYLRGKALGGSSVTNGLYYARGSAEVYDRWVELGNPGWGW EDIYPLFRKSTKINPPNLGQLSEFSQEYKTWDESAYGGGPLELGFQGYVTDSTNDFVV ACSEAADIPIVDDLNLGVGQGVKLGTTTTNSVLRRSSSYDSFYQQARNRTNLRVLYNA PVTGIAFSSVEGGNTTYGNATRPRALGVSYIEQSAGFVRQARARKEVIVSMGAFHTPQ LLMVSGIGPSAELEKVGVSPVHINENVGQHLDDHSVFSIMARAQTNASTTSMSSSPDN LQAAQTEFFTNLTGPYTAPSGVTNGFKKLSVEELQSIGAQAVIDAGLANQSHIEFLFE TVWYPWIPTPYYTALPNESYISVTASSMVQLSRGNITLRSNSMSDAPLINPNYYADET DGIMGVHSFRYLRDILRHPSLSQYTIGDNAGEVSPGPEVADDDDDAILQYIKNNTMPN WHASGTARMRPEADGGVVDSRLKVYGVDGLRVIDCSIIPVLPDANILASVYMVAEKGA ELIKEDWEDVGYERRMKRSA CC84DRAFT_415455 MYDQTLPEVVPTIDAELYHDEPLPAYTELDGRINIDLDSKIART LASFVPEPPAYAPPENFSKPQISRRSWKIRLNIVIQVVGSRGDVQPFVALGQELQSYG HRVRIATHDCFASFVGGSNLEFFPIGGDPHDLMAYMVKNPGLIPSMSSLREGDVQRKR AMVEQMLSGCWKSCIEPAAGESRPFVADAIIANPPSFAHIHCAQALGIPLHLMFTMPW TSTRHFPHPLANLNGAKLDAGAMNYVSYTIVEWMTWQGLGDVVNSFRSSIDLERINTT VGPNLAEMLQIPFTYCWSPALVPKPADWPGHIDVCGFFFREPPQYSPPADIHRFLQSG PRPIYIGFGSIVLKDPSAMTRLILAAVRMSGTRAIISKGWSKLGSDLNPAEIDTANIL FIDDCPHEWLFQHVSVVVHHGGAGTTACGLRTACPTIIVPFFGDQSFWGSMVAAAGAG AHPMHHKLLTADKLCDAIRFCLQPTVISSVQKIAATMSAEYGVQTAAQSFHSNLPLES LHCELLDDRPATYLYSIRGNRIRLCTVAAHILVQGGRLKSKDLKLYHSKPFNITNERW DPLTGLSSSGLSTIKGIVTATGDMLASPYTAVQQAGPSDSAAKTTSKAAANFGKSFGK FSGRFLQGAVVDLPLAVADGLRSVPKLYGEDVQSHGEVTDAASGFMVGGRGFLQGMTD GVSGLVSKPMQGLKEEGALGFAKGTGKGVLGFATKTSSAAIGIVAYPGQGITKSLIAP FRSTTRKSVMAQRAAEGEHMARTEGLEHLDVLRRFDALMLHGGSSGTDATSASPYIV CC84DRAFT_1223337 MAPFGTLHTTTTFLHARVTKILAAANLNGLDVQVEPNFQYGITN KTPAYLAKFPHGKIPAFETPTGFYLAEASAIAFYIADSGPLRDQLLGRTPEDRALVQM WVGFADSGLWVDGGAILGPMLGTVGYNAEDVAFHDTQFMRCLRRLEEHLAGEGKVWLV RDEEGAASEGDGVVGEVDGGEECGERVWGAGEVGGEEACGGWE CC84DRAFT_1169754 MPVPGIHFEEDEEDEFTADDALTPLQLAAWQGESSTVAGLLQDD VDPNETPRGWYGKTALQAAAMRGHIDVVRLLLDAGAPVDAPGGNNGGRAALALAAGAG QSAVVDALLDAGADVNLPFHKYMGRSPLQAAAEGGDVAIVKRLLGAGAELNGAVASNF GRSVLQAAAENGHVDVVEFLLGRGAEVNMPACRFKGVTALQGAAARGSVELVDRLLEA GADVDAPGSYYLGGAALWLAASAGHTQVVEILLNAGADTSALSGNQGQQSTALQIANS KGREKVVELLMRVQK CC84DRAFT_415283 MSKPLALVRSLDHLVLTCRNVPATCQWYSQHLGMTVERFTSASS PGTERVALRFGTQKINLHQQGKEFEPKATTALPGTADLCFLLAEEMDLEAALKDFKGE GIEVLEGGEVVDRTGARGKIRSFYVRDPDGNLIELSKYA CC84DRAFT_1104971 MTDYAQYQQPHHGHAPTHMQNYPGAQNPGAPNPSITSPTQGQMH SYQQTSPILPSQGYPQPGAPNAQHQQMNYPQGYMPGMHQQYGMSPTQAAAMATAAAAG PAGYYDPNQMGQGQLAQDPRASPRMSGGQIKQDGRGPPRSPTAVSNAMGAGGIASQVP MNPGQQAIQRRMSTQIHSPAMQQPQPVMAHAAARPSVPPMAQQAPQQHQQSPELVAGA QAEEAPLYVNAKQFHRILKRRLARQKLEDALRLTSKGRKPYLHESRHNHAMRRPRGPG GRFLTAEEVAQMEANKGSGLEGEDGGDKENANSAIKSTASSANSGTKRKASSTNLKGA TKKKANRRTSTSEEDEDEDEDDADDDG CC84DRAFT_1169756 MRQPRPMAVSLSLPTASPHPTSLALAPALALRCLACCLGCWLSC CLGSVSEKLTASSIHAPLAFLMPQSRKGSALPMPAWTWSPAWRAG CC84DRAFT_415472 METDTLRYRAGDKFSRLRLRSESWGTLHKKVSHVTRKNEAPQRR AGLRCRHGKTAKTSSPAIRTGQDVRRFRRESLPHSVFICRQFGPGHVTLVGTQFCIYQ KQVVGTKAVRSLWRVRDAERVLGTRPNSFAGSSGTWCCSETRHAQSARRDLHAELLFR HPEMDRAGKAPEALHAAC CC84DRAFT_1169757 MPSPAAGKSETPRTPTKLGRKPQSGAPKLGKKTEEQEIRPSQSE STLRETAEDAPENVADTKDQAEGQAEEAADDAQGKVSQAGDDLEQAEPKPIDDAEEAA DSAKGKATDVKDKVAARAKQLEEEAEETGDDDDDATQKAGEEEADTQDDEDDVTDTAS KAADSGKSSGEGALSGARGLAGRAQGLAGKATEDPQGAAEDAGEDAQDAAGGAADDAQ DAAGDAAEGVEDTADQAKASVEDTADDAKDTAEGTADDAKDTAEDAKDTAQDTADQAT PDLSVLKGLEVDEEGLIHDKDGKPLGRLVEGDAEDLAGYAIGDDGEILDDDGDLVGRC ELLPEAAQDQLKQGQDEVDGKKMPPLSILKGLTADKSGQILNDDGDFVGHVVEGDPSE IQGREFDENGEIKDDDGNVIARAELHPDAADLVDQDEEGEEDEDEEEGEGAAEGIEGV ADEAKDTAEEGAADVEDELPGVDAIEGMEVNSQGDVVDDNGEVVGHLVEGSVTDAKGL TVNDKGEVVDADGNVLGKVELAEGAADKLKESAAGALDVRILEGLKVNKKGKVLDAEG EEIGELKDGEISDAVGKTINDKGEVLDKDGNVVGKVDVVPGEAAFEAMKALKERLGSD LGEGDEAEEAEEEPELIEVIPELHELEGLKVNKKGQVLDEEGEPIGELEEGDPKECAG KKINDKGEVLDKDGNVIGKVRALPQQREAPKTEEVEITPELDTLEGLKVNKKGQVLDE EGEVIGELVEGDAKDCAGKKINAQGEVLDKDGNVIGKVKTLPKMVEQQVGEAQEAAEG AEEEAEEAAEEAEEDDGRPPLSILDGLTVNKSGKIIDSNGNIVGELTEGDAKKLSKAG ITCDAEGQFWDNKGNVIGRAQTVPQEEAEEESPFAGLEGLVVVKGGFVEDENGNRVGQ VVEGDAKKLVGRAVDEDGDILDKKGSVVGHAERYEEPEEEVEEVEEADLSSLEGFTVN KQGNVIGSEGVPVARLVEGNAKELAGRKLDDQGQIWNDSGKVIGRVELIPPQEREAKP EGPFAGLQGLRVVQDGKVADEDENIVGQVVEGNPKRLVGNAVDEDGDILDKYGNVKGH AEPLEEEEEIPDDLSVLDGLTLNKQGYLVDQNGTPIGRLVEGKLDDLVGRKSDGEGQI HNDTGKVVGRCELIPENERVQRKEGPFAGLEGLRVVKDGFVEDGDGNRVGQITEGDPK KLVGNHVDEDGDIIDKYGNVKGHAEPWEEEEEPEVDLSALAGCTVNKAGNVVDASGQI LGRVAEGDPSTMIGKKVDGQGQIWDNAGNVIGRAELVQGVSSGPEGPFAGFEENTVAK DGTIQTAGGDIIGRVIEGDIKKLVGHKVDEDGDINDKNGNVIGKAERWEPEEKERRIN PMSGMHVNKEGEVRDQNGDLIGRLTAGDLGHCAGLEIDDNGYVVDNDGNKVGEVTLLE NIQEEDHEETDEEKQRREDAELADKMANICQQTLERVQPVMKQITEHIEKADRTPRDE LDEEELVNNVKPLIMEGSRILEECNGSLRALDPDGRIAAQAKGRSETKEATPEEYRLA DLLKELTTSVVTTIDNAKKKLNDMPHAKKKLNPLWALLTEPLFQIIAAVGLLLTGVLN LVGRLLNGLGLGGLVNGLLGGLGIDKLLGGLGLGSVSEMLGGGKKEKKKGGGASNLPI VGGLLGSKK CC84DRAFT_415504 MRIDSLSLRRVVRRCELKRRTMPIFRRTPGSSARNAGRFHLQIL FLIPQPARRKFTEVTASALVQPTIGIETWQSNRDASDAGTCRVEQRQSCQTEGRTVRR VVRLQRQRRCVNRGVCNRRNSEAPLRRDQHLTEPTSPSRTRCRSRECLARRIVCTIRY AIAIGHGQLRDISKETHHLQRPNAGTEPSASDAAPVNWGSWLMPSCFNVVRPRTTSGT NKLTLPRRRDATLNVLSGLAQRLLVLAVFPITTRPTGAVT CC84DRAFT_415475 MSDLEAGEQPASRHAPAQSAPGAQSPAHNGASSSNEQERAAQLI QKNYRGYRERRQLQGMGLDAGARWSEALKEGSFAPTVRVRVVEPRS CC84DRAFT_1169759 MAPPETKDAAGFPLLGLTNPLNSISDRSWGHGLDRARFKNAISD WSGTPLMLSERNMIAVMDRLTDKPEWTRKVFDEEIVAKWKKEAIEKFKDEPPQKQFSV KMWDYCLAEMREYAGLEKEHGFVPALEATATVFKSDSIIDAQLKHDLRVAASVLEDVP ESAKDWHPGSDDMVLDLVHPSLFPLLYGRSRVLKPSYGHVLGDTDGQWEGKGGTMTLD DCEQWIGKGGIMPVPGLAATQVSSFAGLSSWDRWGRANDQHFYSAKFQWLPCEVAFAD GDEVKITSYINNLQPKKHKGLYEVLEHMIAKTIPMWNATLDSTQTFHKEPRIDLQDIP WIEPAGERPREEDEGSSDEDTWELDSEWREANRILIPPQPNTYSRREGTFAMKDSREK AVNLRQDWSDQGLQVIVKLANIHLTPEKPDYDGGAWHIEGQLNEHICASALYYYDSDN ITDSYLAFRETTSQEYLADKPYEQSDHTHFELLYDIDSDGPCVQELGSTLTREGRLLT FPNVLQHRVSPFSLADKTRPGHRKIVALFLVDPHTRIPSTANVPPQQKEWWKEMVHEL DRVNKLPAELQEWVTDSAGDFPLTLEEAKKIRVELMDERRLFVADHETRIEQESFSFC EH CC84DRAFT_1200270 MSAQLNRPLKTPSGVVFRNRLVKAAMAESLAAADNDPTDAYITL YDTWSQGDWGAVITGEMEVSTTYMGHPYNINTKPAPSQTRAIKDKWTRWARAATQHGT PALVQLVHPGRQSPAACGQRSFFSKAIAPSAVAVSLGPGASDWLASKLLFGTPRAMSR DEISEAVSQFAAAAKLCHESGFSGVQIHAAHGFLLTQFLSPSTNLRTDEYGGSPAARA RIVLEVIRAVRAAVPPSFCVGIKLNSADVGGREAESLEESLEQVGLVAREGIDFLEIS GGTLENMRMAAGDAPAPMEKEMSESTRHREAFFLDYARAVRARFPEVVLMVTGGFRSR AGMREALDTGACDLIGLARPSAVWPRLPREVLLNEEVDDGDARCELSLVKGNWFVRNL APKIVGVGVDTLYYAGQIAMMAEGKGTSAPGLGA CC84DRAFT_1169760 MAPTILSRFARFLGVHSLRATGRDAYIIITLRCLRMFSAGIPSL ILALFFASLNFPDSRIGLFMTLTLLGDVVLSLVLTLVADKLGRRKTLLFGSAMMVLSG TAFAMSENFWVLLGAAVIGVISVTGGDAGPFRAVEESTLSGLTNERTRSDVLAWYVTA TTMAGAVGSEVAGRLVTWVEKSSGDVKKAYHILFWMYAAFGVVGCVLCLGLSKQCEAA NEKTKEMQERGRGVSRGDGEEEEVLLEAMTPTTDGHARSSESQRPNIRVASKEKASYF SQISKQTRSIMYKLWALLAIDSLADGMTPYSLMNYYVDQKFHLSKATLGDITSASMFL CAVSSIFAGPLSKYIGLINTMVFTHLPSSIASAFIPLPNSVGWTVGLLLFRAALNSMD QAPRAAFIAAVVKPEERTGVMGITHMLRTLAMSVGPSITGILAGNDKFFAAFVATGIC RVTYDVGLWVLFVNVKVDGSNRKEDDRRDSVDEAAWDELLGDTDSEGSSWDGRKSKDE ERGTV CC84DRAFT_1131892 MALSPRSPSKHNQMITRFIKQSKPTKIDDVKEPPTKKQKLDDSP SKRRSPRKAAKFANREVPDLDAEDDNVPVEEASEPHKTDLESALPPVKSDEEAIEEYE AFKASQEETKEETEERLKGRNWVRGKSSIYVDAFNLALDTVLDEESHLFDEAEAEVFK VWRALNYEAQYLYVRFFLRKTSAWHRIKNIGYHSDISNLDAAIATLQRSYNLPATSSP AEEHPGEQAAPAGATLASSFTFADRSEAEITTLEQASSLLKLDELKIFAKEAKVQGKN KKELVNALRRTSRRQVGLGFVGLKRSDTESSRRSSTSGLETPDSEPSEDLTSDANRDL HFTRKIMNETGPCIRLSFAPLKLFERVHLVFYRSTEWTEKSLTTIILAKIARRNFPEY IVSRSANIFGSRSLLLEFEASIRTQFRIDNILEFNGRVSEAGYQDIIDIFEEVYPRWK VLLQEEQRKEENIYHSGEGAYLRRLSPAWVYTRIIHKATTVIARRKDHKREHELITEL LQQRLFHHSRRGAWYQRKALLEEHYLGALTDAEGRSDEAQKKHWKHIALQTCEAGLQD NLVHIIYHYDLQKRITKLEKSLKTVKRAQHDFSHVRLTKPIVVTFEGIRIERQVPLLR RSDSSTFNSSGRRGAKTIWVDPREGGECSVEAMCLSHYRNEGWKGFHSEGGIVRTLFA YLFSDIMFTYVPNVFQTAYQTCPLDLHTDAFYPSRISEINARLNEISNGDAPAIIQGV HDAHHERRTCIVGLDWTYDVTDLVEIAECFDGEALATVCKVMAQEYGQRGGGVPDLFL WKVADEAKGGAKKGEVKFAEVKSENDRLSDTQRLWLHVLSGAGVQVELCAAVAKEVRA V CC84DRAFT_415587 MKIVFHQCRRVPTLWLHGILSIGCNIAAWSHDASLCTQDKISQR RRSECSCACCISDGIGFVIRDR CC84DRAFT_1233437 MQLLDYIPLLSTIFYRGTLDRRTIQGLDISHHQNNPNLTDAHGV GLSFVYIKATEGPTYADPKFTEHYRAATDASFLRGGYHVARTDLSDGAAQAQFFLSNG GGWTADGKTLPGLLSIGLEDDCAGLTPKETQEWIQRFVETYEEATKRSPVIGTTNEWW VECTGNTPKFNEKSALLLANWGDSVGMIPGGWHFATIWQYKEGGAWGGNSNIFSGNAD ALRELADG CC84DRAFT_1169762 MSHTIFIRNMSGHDQLFQVHGWNNNQDINVPANGESTVEAPDGT SGAIIAIHDGCIGEQAELTKNGFGGNDFIDLSNICGAGGNLIVQQVGKDWTRKGDPRF MQNLNDAWNRADQGVKDNLSQCVHVNDGVVRRIDAPKDFPQLEEFVRSFADGKTYIGV GAWGGSPGNANDNAQSSAAQGSCDILICYNDDDCTPRDAPEPVMTAAYEEPMSVASVD DGGPGIVLTNSSQRECEYFFYDNYWNGNGTAGANFDHPLTSVKLQPNETQFVSLPSTF KGRLQRGTELPATWVEFQLEAADDHGAHGDISLQQGCDGAATIASTDGSNVINGFTED VVSGAPEAAIRNKPNGERATDTTVGNWNGGPNQAAIDYLNNVVRQERAYIVGGSGTPD VASKNRRLAVVMY CC84DRAFT_1169764 MVIKKRSLLWDYTNTNNLAGRMDTVNFDGPISSVSNWNAWVPPE LKGRAPFRPMIHLERELNGNEWQWILDSDQPIIHFFNEPERNGISPQKAADYWHNQVI PALRNDRHKQLVSPSCASDPAGQQWIADFMNLVGDARPDYLGLHWYGVEASECQQFIS SMHDKFGLPVIVSEIASIDRNYDSVHAFTRDMCNWMDGVDWIFEYAFFGCMQNMPDDF VSPAARLMDEGGNFTDLMWKYMSDQPMF CC84DRAFT_1169765 MPFLSSQPKILIAGAGIGGLTAALSLHAAGFSDIQIFEASSTLT TLGVGINVQPSAVLILRNLGLLEALEHTGIETQELNFYNRHGDSILSEKRGKHAGYTV PQFSIHRGEFQMLLLSAVKERLGEDAVHLNHALTGFSQDSKSISAEFSQRRDGAAAEL SGISGDILIAADGINSTARRILYPDEGPPRFSGRMLWRGCLEREPYLTGASMVWAGHA DQKFIAYPISQRSADKGKSLVNWIAELRIRGKDDKDLTPPKTDWTKAVDKSIFEGPFE KWRCGGLEMKDLIDKTEKVFEFPMSDRDPVDQWSFGRLTLLGDAAHAMYPIGSNGASQ AIIDAETLAKHMKATENVTAALKDYEVERLPPTAQIVMANRANGPDHVLQMAEERAPD GFTNIYDIIPKEELEEIGAVYKKVAGFDMGNVNAKAEATKEESSRLKLKSPKDWV CC84DRAFT_1191530 MYFFSLPFLALSGSIHGAFASPTPSSSQDLSKSITKRGEPNTGA DFDENEEPKPNTLEKTETAINDALELASYVTSYIDDDNDIYPHYFDEADRAEIKRIFV DLTNGQKGNDYLDKILIQKSDDDNLCDDQTLAYSNDEDTENPYIVLCPNSFKKKAVTA LPSKDIDDEDALDFYTVCAKDGGDLGDTVSYRMNTLGMTLLHEYMHMDSMIKASFGSI VDNPNGNDGYGPVNVYDKIPKDQARLNADSYAYYASHVLWTALCQQDFGAPRPGTDDQ DPDCGATVCKP CC84DRAFT_1264612 MMASASEESPRITVEIVFDRLSHSFSQPSPPILTLTLTSHAKTP LTLFTWGTPFSLPRALTSNGFVITDTSSGQNVKTSLMQVQRTPLKRTRGSPDEQYFLT LQPESPVHLSTGFGRGGGGVKPQPKSVVERGLEVDANGNEVNLRRSKSATGVDGLEPG RKYEIGLNTDLLDIIRWAPAEKEDILVEGTGEGSYVQDYEWNKGSLNFSVRQSTLSVE T CC84DRAFT_1131896 MDLFKIFASAAVFYFLYVSLRLYRNYMRAKTTGFKLRIFPFEIG TPLFTVIAGPFLSLALRVFPQSFARTYDLGVYGVEYRDRVAKRERETPAYLVVTPANA LELFVEDGEIANAILARRREFEQDNVSRKIMNNFGDSLAGSVGEGWSRQRRLIAPMLN ERIMETVWSESQQQTHEMMSHFTNLENGTTNGTVTGLRTIAFNILSTIGYGMPAKWTA DVKQARKGEKMDFMETLLHVVDGLILLVVFPPWLLRQFWMPKSLRQIGEAYYQFYEHS SDMLQKERRSLRSSGTPRNTFLSSLASVNDHEEDAYEKEGRVNKPAFTEEQITGNLYT FTLAGYDTTANTMAYAIAMLAAYPQWQDWIIEEIDQIHKEVSNPNSYQNVLPRLERCL AVMFETLRMFTPVAHIVRECPVEKVVTSRGKSYRIPANTRCTLSLDGVGAHRDVWGDD VFEFRPSRWGAKATKLTGANSIAQVPKLEAAGAKEHFLPWSSGPRACPGMKMAQTEFL SVIYTIFSEFRAEPALEAEESLEQGLSRIAAIVADSQPKLTLQMNRPNDLKLKWVKR CC84DRAFT_1233442 MARILWLALSALLTTALCDYTITLTPSSALLVTDGNTTLVNNTA VLAGGQNTTAEPLKNATEGLAYAFLTPTIAKITLNTSNAFHGARFSASESTRFYGVWE YPFNNRIDNANISFELKGVGNNVGVNWSNARAPFFLSSAGYGVYADTLKMGSYDFTTP GGAQFIFNSSSLVYYIILPKGDGGLKSVIEQYTELSARSEIPPTSGLGPTFWSDDFTQ DFHGNVSNAQENIQDLVNHLYDNRIRATSIFADRPYGSGNRSWGNFDFDPAQYPDPAS FIQNLTEVSGIDFQVWIANRAQYGTKLYNASIQNHWLFPDDHPVGGLGEALNLSIPAA YNYFDESLKYFPAVGVKGYKIDRGEEGEMPDYVQNEQMALFLDLAYDSMVGTWGKSRF YNFARSAVDRSRAKTHVWNGDSHANFTGLAYSVASGIRSGLISFGIWGSDTGGYTREG ALTPSPEVWARWMWFSAFSPVYELMLGTNHTPWYPPYDNTSTLAVMKQTANLHADLLP YIQSYTNDVSKTGLPIIRALFLEAEKDEKTWDVNDSYFFGSEFLVAPIVNAGGSRSVY FPNGPSKSYLEYFNKTRVYSAGTTANISSPLTSMPVFVKQGAIIPRGDVYQGNAKWIQ GWTPDLRLELYPSFDVPESKFTYYGGGAETEIVLTTNKTDRSATVDTGGLEFNGWGVK IVWFLKGAEEGRVMDMKVHGDGGSLKVTNIETLF CC84DRAFT_1210508 MAAQAQGVPTGPTLPIVACLRCREQKLKCGREQPSCERCRKQDA ACTYPPPPDRKRIAAEKNRSKASQSNNSSHTREHESSSSEANAAKRRRISHDTTGPFN CLAEPEAADLPSTEIGLLLQEVYYKRIYNAHLMFHKTVAFQVYMLNKTPDYLQRAIFA HGALFLQEVDPQYQKYVKAYPMQTLYERSWSWAHAASVQVLSHVDEPSVLKVQTLLIL QQFYWARGEIVRAKVHATLAWRLSELLGYGKLCEMEEDPTLMNPSLVFDREIRRRSFW ASWATMCLHSEEMHRIVESVYCLPLPATFGPGGSIQGVDLIHGKKLIDVWKLYESPIR DDPDDCKPSSLMAELMKLLGIWAQIRAWASIVDDGRWDSQAMQEIERIEKCLNQVEPY MQFPVGDIFAKAKSYDESPEFLVCVCSVYYISRIFLESHKMLVQSTRLQSLGAGPEFY VDAIGRIIAESMQFIRLLKQLLDNGQDITRLWHVTGYTAFIVGRIMAYLLGLQRSKSF NLMSPSQALEFRSEGTKTCQTVLEILSIYWKPLKSLLANLDAITKLADDGTHTGVSYP WEYINFLPDCEPKPDIGIREQAFNHNLLALSLQKSKPQFG CC84DRAFT_1158006 MHLRGPMNVSQIAVYKLPDLSISKRDSTRRTGGRANRRRKMQRP PSSSPCAHSASETSSPSPLPPVKRDEPSPTAIDTPQPAGPSNKPSYDNNVKVTGASTW SRVAYYASAAPAAASGFAFLANLGDPQRSGTFDYAFGNSLGYVIGDGSRVAADSTPFD GTLETSEREIAVFTEKECDDNCEYARPDATAHYGWDGPSKAFFIEFQMDHYDNYGSDQ GMLSDAPAWWFLNAAIPRILQYGNDRKNIPCSCWSTGCGEFDAFEILGRGEVRAKSTI HRQGNLEGGDSNYFLRPVGRAIKFAVVFHNWNITARVLDDGFDFGASLTQAQIDDILA YDASDYSHSLFSIGD CC84DRAFT_1264616 MGRLHSNGKGISSSAIPYSRTAPAWLKTTPEQVVEQICKLARKG ATPSQIGEVLRDSHGVAQTKIVTGNKVLRILKSNGLAPEIPEDLYMLIKKAVAVRKHL QTNRKDRDGKFRLILIESRIHRLSRYYKTVGVLPPTWRYESATASTLVA CC84DRAFT_1169768 MADPAQQTPQTAPAVAPIPNGTLAEDVEMAESAPAPATEQSAST EASAVAPESAPAPTSEPQAQPPPVQHVQPALSAPSPAPAPVSSRNSPHPSGPAQIPVH ATLHGAPTRQYLNQHVTPHLLEAMKHLVTEEPEKPLEYLSKWLAQKSAELEG CC84DRAFT_1233456 MFYPVEVLLHFPAMVTVGSGQEARRFTEARTNQIIDLQTYERKA AVDEKRWTTSHTKFEAQNPPPAYYHASPMMRLPTEIRLQILAEVLFDPDEITFNFNVP DPSALFLDALKQHAVQLPSTYAKSYSEYSFQALLILRLAPQWKNQIFVELQQNDPGTP RKRSNARKLYTDFHGDSLHRREACLDCYVHCRCWENHSAQCTRNRANGQLLRVCKAIW APCCTPWGPAGSSSATSTCAASCSTAACCGSTCFRVA CC84DRAFT_1264619 MSHRSVCPSVGTIGRPLSQRVATSSRCARGFHASARRLEDQPGA PPTKTLKDAMTAPREPRRLRNANAAQQVGNLSFNRPPPGTVLARRSGTSPPDGAKIIA PRKPLVRREAVGPAGPPGTMVRAPSTLRVTRDGGPPARRPQGGPNLRARGGGPPGATG ARGPGGARGPGGARGPGKKDGGKGGSAKRERGGGAAVDSAPEIDKEGITPGAIEGSMM QTLYRQQRSQWDRKPYEPKYAPGSFAANELIHAGRELFRGEAPPVKIWGKLERTLNIV GMHGAAAHLKVRRVPDGDAAPFGEEEENLLKSKQKGAAEQKTITIA CC84DRAFT_1169770 MLRRPATTITLTSTDLDAYEANRQRKVWEKQQQQAQAAHASNGA DTRSERSQQGSVRPQQRSQKDRIMGGQTRHGH CC84DRAFT_1048496 DPTGGFVQYVTKEVAGSTPLIGNSSDLIYIGVDDANAYTPGGVG RPSVRLQSKLTFTEGLFVIDLTHIPVGCGTWPALWTTGLNDWPADGEIDIIENVNDAR ANNAAIHATGDCLVDAATSQTGTWKSTDCSVGHDDNQGCGTVFTEPFNYGAEFNANGG GVYAMEWTSDTIKIWFFPPTNVPLTLLNGGVPDSATFGTPSSVFNGPCSGSFSEKFFN HSIIIDTTFCGGWAGGTFGTGSSSCPIKEGLSPQDSCIDFVANNPEAFKDAYWGIRSV KVWE CC84DRAFT_1264622 MGEVVEAAPKRIVLCCDGTWQSSVSGKHNLPSNITRIARTIAKA GRGDDGKVWQQVVYYDSGVGSGSLSDLESKRQGATGDGLVVNVLEAYNFVVNNYSPGD KIYCFGFSRGAFTARAIAGMITDLGVMKPDNMQSFASLFAAYQKNTSKYNFRKTKEYF EWKMGKAPFVPESQKETCNVKIPWYDMGTWGDMEYENSRSIELVGVFDTVGSLGLADT YIRSHASSRQVFEWLNVKWNPYIKHAFHALAIDDRRQPFLPTLYYIPNEDVLAAENEI LAKDEVDWPELTEHRQRIDEELEQQKADHKPDLVQVWFTGVHINIGGGTDDAKTDFEG LANITFAWMVEQCRPYLAFDQYTNATLSRYLQTMIEDDAEQSLRKKETPSTSMVGKTV ATITEDLSATKKWLGSFFSSSSGEKATPKEKMVRTYCFSPSVVPPYKPDHWTFFRNQD SYTRMYQVISSAQARTPGACDDRSTEAHTPLRQLGETHEWMHPSVRWRQEMSKAHDKE EFRYNSEPLAALKYDQKDGVWGWKHRENANVWIPEWPIEAALKEEDPSTTHENAEMAL VEACLDKNEVRQFLRDHAIAWNKAHSSAK CC84DRAFT_415703 MLDVPYATLQATQTSASMQRNAFCREAVALTHCRGTVTRYFDTC PPPSRSTSRMQFHQPTHEAKKQLSHELSGSRTCVRSSQLVRRTPHPRSNFVLIEHHTP PFPSTSHANPPSHKHQTESYPALAQRTSATVSTRCTCTRAHTLDMPTQPSTQPAHERL RGLPLAFPVILVRPHISSSPLRAQSATPPTYRFGTRSGSPRWHGRCRHRGPRGACLGR RVLLGDVEGHGLGDVYLRLVLVAQAGEWDVVLGAGGAR CC84DRAFT_1105232 MANSTLSSPLRGNCPAPFFDAAQFGFGGSVEGRFCAPIPNLGDC CLPCPATDYIYPDDFKKWYRAAEALNLAGLVCMVFLLVSFICLPAEQTRRHYLSYCLI VAAMFLALGFVIPFGARPEQCYDEITPNDMYSSLTCAFSGAFIIAGGMSIAVWIFIRA LSMHLQICWDVMPGKRFFYWAQGLGWTVAAAFFTATITVTGVSFRFGDVCHVNAAHSL ADFWGPLLAIAGAATLVQLATFGYCIKVYLQSMWNDDKTETQSSMGLPSYTNSVKTRS PRAIYRRVRKVVYLQWRGITIVVFILVDVVFFSIVFIWLNSITAHATDDLQLAMPFLV CLISNPADHEECSPLAEALFVNKSTVIAVLLMLSLAGLQVFILLVRGSMFTGWLDLVR CKFGSKREFVSLDAHKYAVGEHQFELSQVQPSIAAYPGTHSADVSPISPSKEKNDIEP YRRSVTATPEHFSREATREYTTPNLSFSAPRAPSQAVTRAEWDPRATHARGGLGFHPP VYEEEYRADNKI CC84DRAFT_415707 MVCISFACKHHQITNQNQTPKTFQTETHSLHVFTPPACSRRLPA YAYILSSDLTSTVDLCLSSHAAPPSGAIHCANKILRINCARCLYLSHAQFSGPAGCDP PEQGWHTKRKGDAHAHPRTLPPCQFSAWRDSEPGSQADGFSLVRNQRRMVRACRLPLR GWGWDWGRIRELQ CC84DRAFT_1169775 MGGGEITKRGSGLVLLAVIPFPEDAAKDTIAEIKEEFPDLEYNY IFQKFEIKKNGLPGGDVDVPADLLKRVNVLVTLSWLPAKESAVPNIKFIQFISAGTNH VAKHPIYTDTKIPLCSANGVHGPQIAEWVVMMDLIHNHNYIDLYKKQEKKEWKHNGEL SSKDNVGRTVGILGYGSIGRQVARVAKAMGMNVLAYTASPRKTPESKRDDGFIVPGTG DAEGEFPSAWYSGLDKESLHAFLKLKIDLLVLAVPLTKQTTHFLSSAEFALLHASNPS GTYIANIARGAVIDQPALIAALKSNQISGAALDVTDPEPLPADDPLWDAPNVLITPHV SGVTQTTGERCFQVVRENLRRVRDGRGLVNQVDRERGY CC84DRAFT_1158022 METAQQTPAPGSLSWRLSSHPITLLTYLFFRISSLLTYLFGLRL FTSNFVLIFIITILLLATDFYYLKNIAGRRLVGLRWWNEVDTASGDSRWVFESADPES REQNATDKRFFWIALYAQPVLWVLLAVVALLSFEPIWLTLVAIALVLTLTNALAYSRC DKFSHATGFASNAMYGSGLARNLASGMVSNWFSRGGR CC84DRAFT_1131921 MATSRNIIRRALMYVPGSSKKMLDKTASMRVDTVAYDLEDSVAP HMKQEARRNLVSILSKPRPSTIKEMCVRINSVDTGLALDDLSSVLKGEHLDAIMLPKC ESAADLHFVTDVIRHVLPERHPADGVQREGARPPISIIALIESAKSIQNLAEICKASP YLSGLVFAAEDFAKDMSITRTPSLTEFLYARSAIATHARAAGLPSTIDLVCTAYKGPE GLKTLEEECLNGKGMGFNGKQCIHPSQIEVCQRAFAPGEAEVDWSARVVIADEKASKA GRGAWTLEGKMIDVPVVKKARAVLERAELCEINVDAVRERWKNQEPE CC84DRAFT_1105140 MDSPQVTQLFRQLFSHRASQCLVRGARPVLAQAARTPQIQHRGR ATKAAPRTTTRLGEGETKRESRWQPRRNAFPHERTEEFERYPLVTSDMLRSRRERPRR VKMLLRDFIEDSLYNPHYGYFSKQVVIFSPGEPFDFPSLPSEHAFFQSLRHRYTAFED ALDFQEPNDLRQLWHTPTELFSPYYGEAIARYLVEDYKYSSYPYHDLNIYEMGAGNGT MMLNILDYIRDCHPEVYERTKYNIIEITTQLADLQQQGLGHSAYARGHSDKVEIVNKS VFDWDQYVSSPCYFLALEVFDNFAHDALKYDWQTGTPYQSHVVIDPRGEMFEYYSRAL DPLAAQFLERRHAACKSYADPLSGSRLMNSMRSLLPFRDSLTMPEYIPTRLMQFFYML YQKFPNHKLISSDFHKLSDGVEGLNAPVVQTRYKRQMIPVSTPLVHQGYFDILFPTDF EVIEPMYTAITGRFARTYSHEVFMSGRADIEETQTRNGENPLLSWYKNASVMITV CC84DRAFT_1131925 MSDHSKYYITGAFVLGAVVAVGLQSLQQHSREAAGHQQEQHQIA LRRLSKINDLDTLKKNLSDIEIASGNIKEGIEGCIGDTPLIKIKSLSEATGCEILAKA EFLNGAGNSPKDRVALSIIQMAEERGLLVPHSGDTIYEGTVGSTGISLAAICRARGYK AHICMPNDMAIEKSDLLLKLGAEVERVKPAPIVDQNQFVNLARTRAKEHTDSNEKPGR GLFADQFENEANWRAHFTGTGPEIYEQTGGAVDAFVSGAGTGGTISGVALFLKSKLPD FKVVLADPQGSGLFNKVKYGVMFDPKEREGTRRRHQVDTIVEGIGINRVTHNFEVGRE LIDDAIRVTDEQATSMARWLVEHDGIFVGSSSAVNCVAATKLAKQLGPGHRIVTLLCD SGARHLSKFWANKDVGGAENDVSLDKILAS CC84DRAFT_1169781 MAAYYSQNNPDPSPTSLTFAYPQTMDWNRELLRNEPGMLAEEKL SDVERLKVKIRMFARFIGMKGAETPRWEYERGVEILARRIERRVRLEEETEMRRKVFM GPRAWQA CC84DRAFT_1169782 MTIRANKFTPEVLLSAPRRSEGIPNSNGSKVLYSTSTYSFEHHA KTSEIRVLDVKSGEASLISGEGASEPQWLDDDTVLLLNEGKDGVTSVKVGSVNSFDNS SYNAGTVKGSVGNVKLVLLRDGAYGIAVTGKATPSGNLYNEADEKKPQSSGRLYTSLF VRHWDHWITENRNAIWLGMLKKDGDKYKLSELKNALKGSKLESPIDPFGGTNNFDISK HGLVFTAKDPELNPATHTKTNIYTVTGKDFWDDLSKGLPEPFKVPIHGFEGASTNPTW DNEGKAIGFLSMRTDGYESDKNQVFIIPDWTNPGWVQHLWATEDGKGSWDRSPQSISW GANEEIYFTAEEAGRISLFAASSDFNKSTRAPKLIVKGGAISSAILLKDGSLFLSSSS LIENSLYSILPASAFLEITANGNSYSLPRNDSAPGHYPSDRATKFVSSNTRSGSTFGL SRDQIEEIWFEGAKKKTQVHAWVFKPSNFKKSHKYPLAYFIHGGPQGAWEDAWSTRWN PAIFAEQGYVVVAPNPTGSTGYGQDFTDAIKGQWGGLPYQDLVNGFEYIKNNLEYVDI DRSVALGASYGGYMMNWIQGHDLGRQFKALVTHDGVFSMTAQMASEELYFPFHDIGGK LWENPEGWAQWDPSRFAGNWATPHLIIHSEKDYRLTMNEGLAAFNVLQTRGVPSQFLT FPDENHWVLKPENSLLWHETVLDWINEHVGLEKYTEWRANNGAARK CC84DRAFT_1158040 MIRLLKCLPGDDGFELASFDDDLAPPYAILSHTWIEGQEVTYSE LLAGSGVDKGGYKKIRFCGEQATADDLEYFWIDTCCIDKSNSVELSTAINSMFRWYQH ASKCYVYLIDVSVPQHIDDAQASRAWEPAFRQSRWFTRGWTLQELLAPTTIKFFSVDG KLLGNKVSLEQEIHDITSIPINALRGQNLADFGVSERMNWVAKRTTKWKEDKVYCLLG IFGVFLPLNYGEGEEYATQRLRDEIQKRQHRQTQTHLQDLPVSLSLPFTRNELFVGRE SQLQAIEQTLLSRSTHQRMTIYGLGGCGKSALAIEFAYRALATNAIHQIFWVPAMSRE SVELAFREIAIRLNIPGISDGNANLKQLVRDTLSSRVSGDWLMIVDNADDPSILLESD SEDPRSTPLIDYIPHSDEGAILFTTRSRKAAIELSQNYVLPLDDMGGAEARQLLLHRT TNNALLDDEPAINELLEMLTYLPLAIVQAAAFMNQNDVSVARYVSLLQHASTEAELFS ERFEDPSRYQRTDSTVARTWYISFEHIRRQDPLAADYLSFIACIDRTDIPQSLLPPGN SRVQHTKALGTLTGYAFLTERQQTIQGSGKESYFHMHRLVHMALSWWLEAHSQRKAWV DIAVLRVTELLLFSEWVIWGAYLPHAIHVVGLEGARNSLNGAALLGYIGRSQQSIGQY AAAVIAYRESWCLMKEMLGHEHPDTLQCAGNLGWALEMDGKYDDAVAIHYRTLESREK VLGRQHPNTLIGISQLGSVLSKQAKFKEAEKMLRRALAGKEKVIGREHPETIASVGQL GTLLERQGKYKDAEKMIRRALEGGDKILGRDHPETLTCLSRLGSVLERQGKYENAEAI QRQALEIRERILGQEHPATLSSAGQLGMALMGQKNFEEAEVVVRRALEGFEKIFGREH PDTLLNVYSLALILEQQHRNDESLALYQWACAGFSATLGDTHQTTKVCHEDYADLKGK LELSKKKGIWQLREKARLRWRRSTVIY CC84DRAFT_1169786 MVFLGIYRAIYDYVPQSGNEIALAEGDLLMVLEKSSDDDWWKAK KKGSDEDEEEPEGLIPNNYIEEATPIYNAKALYDYARQTDEELSFKEDADLDVYDVSD PDWTLVGLDGDYGFAPANYIEIKEVPAAAGSLASPSLPPRPPAVPAAPDSDDGHGPPT PDSPAHPNPAEALAGIIQKRSQQSAPPPEDYASPPKAQRRVQFTPEESDEEAPPPRLP QRRPSGQMSPPPTHTQYAQYTAQRSPDTDSFRSPPARSVTFDPYDPPNDHNQPNTPLS GSGYHLYNIYEYLTQPGKNKKMPITLGINIAKGMIMIAPEKSRDGPQQEWTADKLEYY SQEGKHVFLTLKQPSKDVDFHCGAKDTASEICSALGELSGAAKGAGLREVLAAGSRNS NVQRKGVMLFDFMAQGDDEVTVGLGDEILVLDDSASDEWWKVRRLKNGKEGVVPANYV EITESVPMSPPAAAIARSGTNAGRSIVDQNRLEEEELTRQVAKGRKRDSEVRNDQVGP GLQLPARHSSLLRTKVDHPRTSQRSKRDSTAKDSKTASKPNTAKLRTWTDRSGSFKVE AEFLTIKDGKIHLHKVNGVKIAVPVPKMSVEDLEYVERATGISLDDDKPLSDIKRRST QRAKESSAPRSSGISVEQKPSYDWFDFFLQCGVNPQICERYAQAFTRDQMGEENMPDI TEKLLRNLGVKEGDILRVMKFLDKKYNRSGDGEKRSVSFAENGEEGGLFSGPGGTLRN NTRKGRPAPPVQTNDVVDPKAFEQKTDDAVKKPAPAEATPTPLASAPVPEKKSDGFED NAWDIKPSRQAPSSTTAAPTPPPATAAAPAPAPAPAPPALTGSMGELSLLDMPALKPE VPAPPPAQAAPASTAPTQPAQPAQPQGFNQSLFDQVAAVKAVPPPQLAPPRVRPQAPQ VQGQGGLIAPPPQRASSAPQNPQQAYPPPLQPQLTGFNPNMHTQISPPGQSLQDLNMQ RMQQQATGFQPQSQFGQFQQQQNGMNGMNGMNGMMAQPTGFQQPQQTGYMPMQAQPTG FQPGMQQSFQTGFQPGFQQNFPGQQMPLQAQPTGFNSFSPSPLNPQATGINQFLPPAL QPQPTGFGQNAPPLPPMPPMPSMPTAAPLVPQKTGPPPPVRFGTQPAKKLVPQPTGRA NLAKATPENPFGF CC84DRAFT_1131940 MPKSPSTQESGALVTDVQDVVENEQQELEERIIDRLARERSIRL KVDFRLCSIAGILCSLNLLDSGVISSASVTSMLSDLGLDQGNRYSVSIFIFTIASIAF QLPSTIAVRTFGPRIWFSFITFCFGIITLCTAFVQTWKQMIALRILLGMAMSGIYPGL TYLISTWYTRREQQLRFALMQSGEVIVLATGGIVNFGLNKLNGSSGIKGWQWMFIVQG AITAFIGIVAYFWMVDFPENAHKSFMFLDKEESEIAANRIEKDRGDVEPDEFSWAKVL VHAKDPKIYGFCVLYFLQNLVSTSLSYFLPIILQGGMGFSSDKAILLSAPPYYYSVIP AILSSLIGDKYQLRGPIITFNSVCLIVGFCMLGFSDQVTVRYIGTYLATGAYVANWAG MATYQANNIVGQWKRVFTAAAVTAFNGAGGIAGSFIVRQPEAPRYMTAIWVSIGSHVM IIGCIAAFSLYFYIANQRQRTGKQVLEATQGFRFTY CC84DRAFT_1233506 MPATNPEWGFNTTAQTVAAAFPDAIKNRTILITGVNRSGLGYAT AAALASQSPSTIIITGRSQAKLDESLTSLRPNYPSVTFKPLLVDLSSQASVRTAAATV QSWADTPALDVVINNAGIMNLPTRTLSTDGIEMHFATNHIGHFLLTNLLMPKILASAA PRIVNVSSVGTFVSPVRFSDLNWDTRHADIPELEKPNVGMLAAAKLPVTDDTTYIPFG AYGVSKTANILFSVGLNKKVGGKGVKSFALHPGEIMTELHRSTDPVWLAKALEGRKKA GLKGNKSVEEGAATSVVAAVDSGLGGDGEGVFLEDCQISVKVPAYAVDEKEAERLWEV SEALVGENFAW CC84DRAFT_1105123 MAATMPPRQFNVEPIETTTKSSKEMRENVDGLKRPRFTPKPVET TTRTNRKEPQPRRKFAPEPVETTEKTNRRFAPQPIEHSEKRSRKKKFAVESVEVSLRS SRKKFADDWESETTKPRRKFAPEPVETSTKTNRGSRKKFAEEWDNQTSIKKHEKKPRK FAPELIGTASRSRRAPEERPSLRRLEKLKTTPQHDIPGAPPNTPFDFSQNPLFLEIQR ATSPLNQRRMPRSRPSHHCFHVPDLDPIESSESEGETPSPFNYPCRAYCYEKHSYKEP TRMRESVDEQTSGYLLELAAKAVEKQLREQEMAAFPNGNYYEHVDHFVDADDESTDSS KAGSTTFNEVNWDLKAMRQYREEQENQEPTSGISPKSHFKPSPWANVATGIKIEKDII GYQKNEEIERMRRDARPPMLGKDIKFPRCASPEPARFDTTQGCDAVKIAMCYLSEQAQ QAEKSEKGESLWCGQGNGKNLSRVSSLWSVASSNHEKKEKEGLWAGCCVKDEAPKGPT GILTPRIEREDLLSPCPTPSRGSLLPPTPPASNADFACIDEKLTFALSIDEDYGDDFV TQVYNYLSLGYPSMAQPFDDELSRISNIPISDLRQDDHLAESRGYIRLGADGNLTDAE ITEESCMRWRALRVYIREWAKQHPNMAGDGLGGAGIAVRKGSWAV CC84DRAFT_1210526 MYDLNEGQQYPDPGLCNRSASRPPHLNQTVCGCRCGRASGHSRG SDDDDRQNDDTLTLVGSDTSDNEFGFRSEATLDEAVDTSRILASQSPFQEGFSRVSSP GMPAGHSLYGSPGSESDYSDTADSMDNEPSSDAHSEMTADSRSVHPMSPGPGQGAWAD LEYHFLARPLRLTPQAQYCRGVVASHLILDDDQCLGL CC84DRAFT_416147 MQVSLPLPLRHSKNTQEADHWPRKRHSPGTKFPEYVKLVDVKFT SIPASQRPHSYAFGSQPSTSTQHHINISLQVYVRRPVISWRPPTRRSHTHFTSSLPTA STRLRHRTLAPCLPPLVCQTASRHQRLAEQCRCSLIQLLQCCVYICFCGEVRPGVSAA EGKRRRAERSPVWGWG CC84DRAFT_1169790 MADHFRPSLLYSAPSRLSSEAPPHREKDPNKRKHHLHRHHHHHR SSRHHAKEIVQSAFPSQPPTSFGDLLKQAKESISSSPADSRRASVAVTDGAADKTGQA DVSGGLDVPPLRRLGRPEDLVREQRRVELREDALRSSLQTLNEQSMKTSRRLDDTYYS LLERVATCRQTIGSLQELSNLANELHHNFEADTQELAEDVEGQFEGFNNFETQQGQVA ALERRIQAGKEKAEALNGRLADARSRVDKRMKLEAELELRNTRHVRILWGIIGALLSL VLLSILFQQLRPVHPDTGHTHALDFASREQILNAPIPDMAKEAIMRPNKAKEQLDVRI ESPSARAEAGVPEDDRLRVFDEL CC84DRAFT_1264637 MSTAVETQAAPVAEVSAVSAVKGMRKNGKQWHDNKKAFRPRANQ SSFEKRAAERKALDATKAKIKEMKDEKEAERQRRVEAIRTKRAAKEERERFAKMEEKM HKKRVERLKRREKRNKMLAS CC84DRAFT_1191549 MVHEEPSAALDHTQGQEALKDVVCGSVAGVVGKYIEYPFDTVKV RLQSQPNRLPLKYTGPIDCFKKSLKQDGFVGIYRGISAPLVGAAVETSCLFFSYRLAG DALRTSGFYPELKGHPEKDLPYAGTLYCGMAAGAITSVFLTPIELVKCKMQVPLETPS ATVARPGILSVIASIYRHQGLMGYWHGQFGTLIRETGGGAAWFGGYEGTKMLFKRASA VPDDDMPIWQRMASGSIAGMLYNFAFYPADTVKSRMQTEDVKQLTGQKSTFSAVWKAH WKQHGLKGMYRGCGITVARSIPSSAFIFTVYEELKLRWPAPRGQDL CC84DRAFT_1158056 MDRLQVLEKAIEKVILDPQYHDILALVKGIRNGIVYGTKVRFPH ALVMIFLFRSGSLRSKCWLVFKATRQHARNLGLFALVYKGAMLLLRHTAPDGKERHYD SFLAGLLGGYTVFGRTIHNSVSQQIVIYVFARVALALAKLAVQPRHVGDVKGGGSGWG LLDERSGLRKELVKNGWVGFASLSWAMVMYVWRWHPEAVQPSLRSSMNYIYGQSDEWD SLRTFIWHNK CC84DRAFT_1264640 MRFPPSFALFLFSLVSAQDLGAIPSCVNSCGADFSSNTSCDALD GACICRHANTVWDNISPCVASACSASDIQSFRDFIRSLCAQYGVSVDLPAPASSTSIV DTFSTSISTSSSSSTSISISPVASTKSSATDSSSTPSSATTTSPPTSPSVTFSSTTSS AATTETTSQSGSGGGDSKAPVGAIIGGVIGGLALLCIAGIAVFYIKRRHPRPALAGTP AQDTSVPPKYEHGNAPEVAPEYSTAPELRPEFLNAPEVAQPGQAAPYYAQVASQSAPR PGYGASELGGQTVGGHAAAHELPTVRK CC84DRAFT_1223382 MVSSAGLPHRHHSEDSWVEVASCPSSSSLSSAADEIITTGLRVQ HDSNLHPRRRRARTVGPFEIGTGYRVASAGGNSSQEEYDESESESDRVMTSSNEGIGP SPLQNELRRSSSVASSEPLSEREGDDEGESDDENATAVNYPRSSRRQFAPRPNAFSHP SEQPSIRSQSGTVYTPRRPSARPSSQRHSYPQHTPYNAVSPNAQADHDEALRASLSTL LTAAAAVRGLPKPGQPRPISSHPSGHPTSLRLVPESVALGDIAEDDSTGAPLSPRTVS SSPSEKSKRKASPANNSTTRSSSKERRAVKKVRQTGPLVEEISPTLLTWVVGAGAVVL VSALSFSAGYVVGKEVGHTEAMGQLGSVGGDAGRCGKEAASGLRGAGMGLRRLRWTGG SGVRV CC84DRAFT_1191552 MSRSPSPRPGGWSSPGLNTPYDSGGRTSPFANGGSSSSSNNVTW ASAQARSANVKGYTAFAPRNQGFFGKHFRRLSTSLPFSYGEKEKLGRGRGYQGNNKLL QMLNRIGWNLWRLRKPLGTILTILLLYILFYATPLHRVYRRSSWFGGGSKFVVILAAN QGGGVMEWKGPREWAIERDSVKNKKKYTQKWGYDLEIVDMSTKKRYAHEWRESWEKVD TIRNAMRKYPRAEWFWWLDTNTFIMEPNYSLQSHIFKQLKDITYRDINHYNPLNISHP LTDEYLDPETRSPVGDGKVDSINMIVPQDCGGFNLGSFFVRRSVWTDRLMDIWWDPVG YEQKHMEWEHKEQDALEYMYKNQPWIRPHLAFIHQRKINAFPPGACGEKGDDPHIHYH EKERDFLVNMAGCEWGRDCWGEMYKYRQLSNRLNRNPWEKFKDAISDFFKARRDAKKK KEEQQKKTN CC84DRAFT_1105134 MSTRQEYGQQSHETALQTTTTGRVYPAPSAGSSGQDEDGHILVH FNGHFNGQFNGAFVLPNNMQQQAPAESDAQIAARRAMAKRNSRSFAARITRNRSRKME FARTAKPGITVDTSFARHRGNVPHQVYPQDNERRSGGSIRKQGWFGLGRSDTKNKGLG IVKGMTPQPGRSSEDRERSNKEPKTADSLTAGSKSWQEISPWDRPIPIGITVPSDSVT DFSPYQAARARSDSDATLATPNIIVTPAAAMKSVWSPDTASDYTASSAYSRATHMPPW ASDVPPVPTLPADVHNMHVQKAGIEVQRDWNFNYPNRARGDTLESSDTAFEEEQDDIR KDRIMSTGTVFEEDDTPLHEKKLKTLSVDTSMVPTPRRSQGWWNVITTPFVMSRTNSV WTQGGRNGEKTPDIPMVPAGFGTYRNSPSPSTYIWSATDRSPSALGESPMYPAQAKFL APTTAESASPPVGTIANAGLAVGLSPHPQQPQPQPQLQPINVNIAFHDGRVLANSHSH PSGTSAQTQPGHVIVAPLPAYANSTTSRSNTPPQAAPFFFPPPPNASSKDKQFSFGNF SRASSPGNSVHEAKQRQAKQHRKMNKFLSKLALCGRKKDDKKNEKKKKRSRRCMVCCC CLILLILLAVLIPIIVIFTRKHNNSTTPIAGTPDTNSDGPSQWLNNTGYPPMKTGLLT IAQPEAVEEQSGCVAPTTLWSCALPKEQQKDIQPNKPDQPNFKVEITFQNGTAADLSK PTRRAANPVSAGAFMRSLLRRATPSPSPAPPSTDDMKFLGQTTDGVSAPFEGETTPFF MTFQDPSASTSSSAPRSAKRADDPTNITLVIPPPSLNSDGTAAAANLLPLPSAQPLRL FNRGKSDEHYGFYTYFDRSIFLKDISSSTGRGGNPADTNGGSTRDAATLRCTFTQTRF LVQIWTRSNQPLLNPPQNTTDNAFKRPGTFPYPVTFTLDRHGGDVTKKNLFCYKMEKD GTIVNKDANKFFQFEDRGFGGNLVNGTQGRPNAKGPIDGGSGGCGCKWQNWVD CC84DRAFT_416286 MPPLIMALQCVCRGAWFPMHNPILEEDERQPKLPPHPLHPWPSP CSCKLAQAHSRTLRLCGFTLGEMLPFGSARRVLFHKGVCRGGYP CC84DRAFT_1191554 MTSQEQDVFHAILHPDDMYDENGTYWADMPLGQRLKFVNKVDSQ ETKKEASSIWTMFKTDPLAPVGYYLKNMVLPGAGLGLEGYVLFSIGNVRPLLQAAFPT CWKTNEVCDKRWTEAVDYLEIIGIIVGQILVGYLGDYLGRRWGLIQDAMIMFIGLLML TASWGVTLEGWVICYAWSLFFYGIGVGGEYPMTATSGMENAVGSGKVSTKDDRLHRGR KVTSAFLMQGWGQFFNQVILIVLLLIFHHGSGNPPYSKVAAQWTYRVSFAIPAVGTLW LVYYRYYKMRSASKQLMISKKKGNVTGYDTKSLKMTLTYFGPRLIATAGAWFANDVFF YGNKLFQNEFIKVITPNGSTVMTGWLYNLINVGVSLCGYYLASFLIDNKLYGRKWMMI VGFMADFILFVVPAFNFEFFTTPEHVHAFQAMYFLSSFFNQFGPNSVTFLVAAEVFPT PIRATAHGFSAAIGKAGALLASVLYNYIDTQTKFYVVPWFGLAGALLTWLFLPDTTGL DLKEQERRWSYIRAGKEQDYHGIAIHWKHLSVWERFRGIHKAYDARLDYEAKINEMRT EWVEHQQNKFADDLKGHEDFDDNEGEFEKGVHDYFSRTAPDSLKGHRRVENNNGVLGE KIDRESESGGSTVADADRISEKRA CC84DRAFT_1169800 MTTYIPSLTLPSFLFSNPAAAILLPVVCGTAVGFSISPSDTQRQ YRTLKQPPLHPPGYVFGPVWTVLYTTMGYTAYRAWTTGTTSFNPETVALAKQGATLYS LQLALNLIWTPLYFSLHQPIAATVDILALGGTVGYLAYIWGQVDPVCGWLLAPYLGWL SFATYLCVGSGVLNNWDFKQSLSKKE CC84DRAFT_417020 MSHGPPSVTFTTQRRLSWQNVFDATPHTHTHGSHRVPQAQATPG APPTRAVERPARPLGPQRSRHLERAHCFIQVRLHSGVQRQLARLVCNPRTRDATSIRS VPLRRAAFRRAGLHVTPRTFCSWGAHLKILRYRQVQARRAVDLSARGNALGAYALLHL HQTQTSEASPREGSGGGPVLQSGGRWRRAGRGSCGGVSRAFSGRDSRGVVLACWRERF DAVEETCLDAGRACLENEHCAARCVVRGQRCGVTDAPSRMCSGQRGHTKLI CC84DRAFT_1223387 MRFSTSAIFAAVAIGAQALPQDVQPITQISDGQIQAPPATSAPA GSYEVPSAPAATEVLPSVTPVPLPSVVPSSAPAPVKPSSAYNTPVAPSVPAGTGASSA PYPISSGAVYTNGTVLPTGAPSTSAPAGESSSGSPQPTQGAGSGAATTYVSMGALFAG LFAFLA CC84DRAFT_1233650 MAATVTQPAPNSAAPCLPNTAPAAARDDHAADSDCAPVRGGAGR ALPGLHIAIKLPPTSTAGATLRYERPTSVRRGTRGTGAHSPMAGTVWIAIAIAIGLSL QRLPAAGALPASCQPRDRWRRASSRPAAKMHRRHQPLLNIASMQHRRRVGDRLPHHVK LFKPAALYRKITPSVP CC84DRAFT_1181819 MIPGTQGIRAAHPKTFFFAPFPDTQFDPHAAAARPQHTYYCGIR LRDRPRADSKYDVRAIYPASHPLCKPPTENKALAKVAELSIDDYFLKAQVPEDDGFLK PLWEKANKKKSGWEARGSDEETSPSPSIFNFQAISILLFLVLTLFGMFGSSSSSLPCP LPLTGTLNYALLCAAYTLFPPTLWVRDGVLQVSLRAGWYGR CC84DRAFT_1264647 MSALEPTGPSQPSLNQAYTTPGNAATKEPAEQIQTDSNARSIAL DNSEPVDRRVPNEQVASGTEGQAKAPGGGIHGAPPGEEAKGLTEEDVGRHNELDGAQM AAPGEGDIAAAVANNNTFGAGGAGKEQDFASDLDRKKAEQQEARDQMKSQRQAGASMG GALGQTGGPANPVDQGGYPNGGV CC84DRAFT_1210538 MSTNDVYLALLRPAVLDILRAAGFNGAKPSVVDTVVDLTARYLT LLASRTAYNAYSNHNDLEPDVTDVRMAMQDCGLLVPTLTTAEEVWKEILRKPLEEYED AGARAEEERRRDRQDTADVTAFIDWVQGKQNEDIMRIAGTLKQKDAPTTALTAQEERD TQEMEDYLTTLMKKHSKTGVDSRYQGTLIGKPAELRPIKIEGGAEESIEEWCHKVRER AAKATVTPRENVEMEDLSDAIDSRENSQDIAMEL CC84DRAFT_1200301 MKLKLKTSSQAADGAPADAPLPAPGAGPKLALKFKPVAAPAGDS ADGPGDAPKQKRKYTKKPKLDENGQPLPASRPGPKPKKRALEDGEERDPSKRKVKPTM KSLSSAYVDESDEDDEVAPARAPVALTQAARANSIKISLKKNTTGAGRPPAAILKVKG HGRPPMRPPGVGYDSEAEEAERDPAIENQFVLRMVPGPDNDLLRKAIEEKTIGKSISN GGPGVQFRFFDREGRKAMVTIQGRHYAATMVELPCVIESLKSWNKKDWVKSADVCQML LVLGRVQSEEEAKKYTRPREIEPDSHRYPHGLTPPMRWVRKRRFRPRKSYLDVERIET SVEELLALDEGAEDVQFGQIDSDDESSEAESDNEDAQGEDEDMPDADYAETPMELADE AELMAMFHDELEGDQIEVEANGDVNVDDLFNGNNEIEVETPVTAGAREVAMHALSQNG NIVIEPGSAASTPAAATSPDDDDEDDDDDDDDDDDEDDPEAAERQREREEILEQIRLL DTAIQQNITQRDATNNMLFKKRVISRLDGLIGDRRVKLGRLGISEEEWTGE CC84DRAFT_1210539 MENRKLSVPSGWQAPARLQYKHLTATILNEEDVQEDLVAVNSSR DLIRRTRGGSWPATELTLDENLHDLKWHAQEARDNSSFAYAIRNTQGEYVGCFYLYPF GMKTKWTEELGGYDVDANWWVTTEAYSKGDYEVLYQGLKGWMEKELAAVGRTWWSNAE IPA CC84DRAFT_1264651 MADRKGNKDASQQNNLAQFKYAAMSNLVLQADRRFTSRRGDEAT GDPESLAGRINIKDMGGRTARDNAPTQKKKPKSNFEVERGGITEGGDVLEREQRKRKR DGSGGFGAGNVAEQSLDIEDLRYKPRTQATRSTFELITTIVSKHLGDVPTHFTRSAAD AVLEFLKDENLKDFDKKKEVDDLLGSSMGAKEFNELVNLGKKITDYDAQDEDEEMGDD GAGDGPDNDETQGVAVVFDEDEEDEDAPQTFEVRDQDTSDEEDEEEQPVEEIGGEGAE TDGVIAGEEEMIIQADAVATDSKADGERIIPAHEIDAYWLQRQIGQVYEDAHTQQEKT QDALNILSGISEDGEEKPLREIENDLMELFDYEHHELVAKLVLNRDRVVWVTRWRRAA EDNDERADVEREMKAAGQQQILLELRARETGQKGDASGPKKMKFDLKDINLPDASNDV DMADAARPEGVVGGLQPSSRLVNLDNIVFDQGNHLMTNPSVKLPQGSTRRQFKGYEEI HVPAPKAKRDPNEPALKPTSELPDWARVGFGNSKSLNRIQTKCYPTAFEDDGNMLICA PTGSGKTNVAMLTMLREIGKHRDPRTGEIMLDDFKIIYIAPLKALVQEQVGNFGKRLE PYGIRVSELTGDRQLTKQQIAETQVIVTTPEKYDVITRKATDTSYINLVRLICIDEIH LLHDDRGPVIESIVSRTIRRTEQTGDPVRIVGLSATLPNYKDVASFLRVDPDKGLFHF DATFRPCPLKQEFIGVTDKKAIKQLKAMNDVCYTKTLEQVGEHRNQMLIFVHSRKETA KTAKYIRDKALELETIGQILRSDNATREILRTESESVTNADLKDILPYGFGIHHAGMT REDRTTVEDLFSDGAIQVLVCTATLAWGVNLPAHTVIIKGTQIYSPEKGSWVELSPQD VLQMLGRAGRPQFDTYGEGIIITTQTEIQYYLSLLNQQLPIESQLISKLADNLNAEIV LGNVRTRDEGVDWLGYSYLFVRMLRSPGLYRVGPEYEHDTVLEQRRVDLIHAAAHLLE KGNLIKYDRKLGTLQPTELGRIASHYYISHNSMATYNMHIQPGITAIELFRIFALSEE FKYIPVRQDEKLELAKLLGRVPIPVKEGVEEPQAKINVLLQAYISRLKLEGLALMADL VYVTQSAGRILRAIFEICLKKGWSQVAKLALDLCKMAEKRMWPTMTPLRQFPVCPREV IGKAERIDVPWSNYFGLDPPSMGELLGMPKAGKIVCSLVNKFPRLELEATPRPVTRSM LRLELTLRPTFEWDEQLHGASEAFWILVEDCDGEQILFHDTFILRKDYAEGEVNEHLV ELTVPIDDPMPPNYFVTVLSDRWMGSETKLAVSFQKLILPGKFPPHTPVLDLQPLPVS ALKKKEYMALYEDVGRFNKVQTQVFNSLYTTDDNVLVGAAAGIGKTLCAEFAILRHWS SESEGRIIYLAPFQELVDNQYKNWNNRLSGLGGGKDVVKLTGETTADLRLLEKGDLIL ATPSQWDSLSRQWQRRKNVQSVALLIADELHMLGGSGGYVYEVVVSRMQAMAAQLESG MRIVGLSVSLSNARDIGEWIGANKNTIYNFSPGVRAVPLELKIQSFTIPHFPSLMLAM AKPTYKAITQLSPDKPAMVFVPSRKQARATAVDLLAACVADDDEDRFLNASVDEIEPI LAKVNEKALAESLAHGIGYYHEALNPFDKKAVQHLYKVGAIQVLIVSRNSCWEIECTA HLVVVMNTQFFEGREHRYVDYPISELLQMFGKAGKVGQDKSAKGVLMVPAVKRDYYKK FLNEALPVESYLHDYLHDAFVAEISAKTIESTQEAVDWSTYTYFYRRLLSNPSYYSLH DTSHEGLSAHLSELVEQTLKELVEAQLIEHDEEEDAITPLNPCMIAAYYNISFITMQT LMMSLNGKTSLKGVLEIVTAATEFEDVQIRRHEEHILSRIYDRVPYKMQDVNFETPHF KAFVLLQAHFSRMQLPIDLAKDQELVVKKVLNILSASVDVLSSEAHLNALSAMELSQM VVQAMWQKDSPLKQIPHFDADTIKAAQKFDINDVDGFIDAMDPDENPDYKKLIKALDL DGRQLQEAATFTNEYYPNLELEHELVDPEDIATNSPAHIKVRITRSNLEDDEQPKTDV HAPFYPAEKTESYWLVVGDQREHTLLAIKKVTIGRKIETMLEFTLEKPGEHELTLFLV SDSYLGVDQAPTITLTAAEGMEEDEDEEEDEE CC84DRAFT_1181825 MSPRQPRTPRSPSLRLARRSMPKRSTSPSPLAQQFMTKRLDAVS CVASLSQHMLAGIMRLKVHLANDCSITSRRRGCTTIDVLNAAGWAKTYVHRGFYAVHH KLLELKHLRVVDHGVTSDNAACQADRFVENGIVGTGSLPPRWSESKRVEIRDWGTEVE CVYADVADGDGVRQLEVKATEGGVR CC84DRAFT_417123 MDREWRPDVNTAPWGPFVGKGFKNESITHTDVAITCVVWLATLA NLIIAGWLGYKQCQSSRSPLRSVYIWMIWLEMAASFIMGLMCFLYVMKCLRPSFYFFC TILTCWCIQVQLLLQIIINRIRLIVPDRRRSKMIMIATAAVVTGINISVFNIWIPARM QINHTYIFVNEIWDRIEKVLYLGIDAALNWYFLRVVKANLINNGLQKYNKLLRFNQRI IMLSLLMDVMIIAAMSIPNSFIYIQFHPLAYLVKLNIEMTMANLIKRIAVSSSRKAGR DNRARIASEFDSGNLSTSGHKSSATRAGTHARRSSLMELSNFGIKSASEREDRTVSFM PTGDQIKVTKDIHIQSDPIDGSEENVGEIVHAKGLQPGETSVVHSKSVDDLTEGGSVK SDYMRKEGDSDDETSLVIHQTKGTWTRLG CC84DRAFT_417184 MPTLKDLHCFIELSNGKIQLREFGTTYGDGHVETFVAVPEKPQS FAIRLSSSRFIAPGLAMYVFIDGVYQCNRNRQNLKLRKSPDRKSLVDFVVRQKEERQD DGTMIARDWNFEKLDVGKLRTIPYTTSAYTM CC84DRAFT_1169811 MSDDPHAMFAPPINRAMKVLDRSFFHKTVNTSAARIFSPKDISR VRADLDRSRDTLRTRLDGIRPDIDAARAAKGGKCLVLKPEVVHNDRNTWSPALQQLEE AGTVGVIPYQLNLDYDFFTYSEITNAIIPPPEKKNDDEIPTGFTLAGHVAHLNLRERY LPYKNLIAAVLADKNPIVTTVINKLDNVGTESAYRTFQYEVLVGDDDLNVEVHEQGCQ FNFDFAKVYWNTRLHTEHERLCALFREGEAICDAMAGVGPFAVPAAKKKCFVWANDLN PDSYKYLAENIKTNKVDAYVRAFNTDGAAFIRSSAAALLNDDRSIPIFPKKKFSRSAP KPTEPPKPLRTLVQPRIFSHYVMNLPASAITFLPAFIGLYSNVPGMPASEIRKLLSPH TDVKLPMVHVHCFSTKSKDDVAETKSICEEISRQLNFEITPETPELEVFHVRDVAPKK TMFCASFRLPEEVAFREV CC84DRAFT_1131985 MAPGGGNIKVVVRCRPFNGREKDRNAQCIVRMEGNQTILSPPAN SGAVGKAAGKAALEGPKNFAFDRSYWSFDRAAPNYAGQDNLHEDLGIPLLKNAFDGYN NCIFAYGQTGSGKSYSMMGYGKEYGIIPKICQDMFERIGSMTDNNTSCTVEVSYLEIY NEKVRDLLNPSNKGNLKVREHPSTGPYVEDLAKLVVRSFAEIENLMDEGNKARTVAAT NMNETSSRSHAVFTLILTQKQKDVEMNMSGEKVAKISLVDLAGSERANSTGATGARLK EGAEINRSLSTLGRVIAALADASSGKKKVQVPYRDSILTWLLKDSLGGNSLTAMIAAI SPADINFEETLSTLRYADSAKRIKNHAVVNEDPNARMIRELKEELAQLRSKLSGGGPA GMPLEEQYAPDTPLEKQIVSITQPDGSIKKVSKAEIVEQLNQSEKLYQDLNQTWEEKL VKTEAIQKEREAALEELGITIEKGSVGLSTPKKMPHLVNLSDDPLTSECLVYNLKPGA TTVGNVDTENQTADIRLTGAQILHDHCTFENVDSAVTVIPNGNASVMVNGIRIDKPKR LRSGFRIILGDFHIFRFNNPQEARAERAEIGTSLLRHTVTASALNSPSPRPSHERSFS TVSLAASDVDSDSPRASSPAFFQRERVSDWSLARREAVTALLGPDQKIASMPDEEFDA LFDDLQRLRATRRSRPESRIYDVNSDPEDMESVSSYPVREKYASNGTIDNFSLDTVLT MPSTPQPGEEDESFRQVRESMQERLEKQKEDYQAKLRSSGDENVEIEEIKAEKARMEE NLRAAKEEMQKQLKEQKAAFHRQLKELGHEPPPEPPQPPEENAAEIEEPSEQHTVLVK SVFDQWRKRKYVTMAETILQNAATLKEAQVMSQQMDKNVVFQFCIVDVGHNIASSYDL VLNGIPGEDDEYLENTPKPCVGVRVVDFKNEVVHLWSLQKLRFRVRVMHQMHQYMNRP EYLQHFSLENPFSEPCMPEFSRIGDADVPLAAVFESRVQDFSLDVISPYTTNVVGIIR LALEPSSAEAPSTTLKFNVVMHDMVGFPEREGTQVHAQLFLPGISDESGATTTQMIAD FDEGPVRFESVHSMSIPYPSPRTTFLRVSIFAKVTSMHLDKLLSWDDMRDSAPRPTQK RRKARLAESEFYTEDTHDIFSRIQVQEISEDGTYKPVDVIQSGAMDAGVYQLHQGLQR RVVVNLTHTSGDTLQWEDVTSMRVGRLRLVDTEGNSPSLDSSPVREVPIKLVSPPVVK SNADGTANVTFIGQWDSSVHNSLLLDRATPDKYRVQASLLFNVTSTKLAEPMVFSLDL ALQVRSRTYMRQVSLFSFSNFFAQQRVIHSTVGVFSIAIRPTSVKRATDLWRMNTNGD YIKGEEHLSGWTPRGVSLVRDYIALNKRRQRIAEIETARSVLSSRALTTFPSSTSTTS LDTRQKDLLQRVIGLWKNGRAPAEVILNSTNLEPPTNGAAFAPRSPDHSPSRAPSLVA TVRFVPKNPDLLKSSYLLTPDPTNTRWVRRFVELRRPYLHIYSAEGDELNAINLTNAR VDHSPQIARLLTGASAGPGEGRGAGGVGDMVWAVFAKSNTYVFRARSEREKIEWILRI DQSYFSSENSDESSN CC84DRAFT_1264655 MLRNKIKRYQRQHAHNLAREEMIDIVLKWQCSRGSTQPCRMLPE KELAYVNIAHNCRYKYLDWNLEHSEDKEEDGTKYVPGEVRKPRGANRSIRYPPATHEG GFYTCVIQDLLPFLEKLSEGWTTSVQVVVRPRLMTKDEQMEMDAYHAARRQAEDALRL GKALETIKKERAAVNNEEMKWMKARHDYLREELIERETENLLRQDPVNYISIGIYW CC84DRAFT_1223399 MAARKQKLNLDKMTVIQHSNAQANRNNRPFLRLPGEIRNLLYGY ALGGHELRQTRTGPTGEAAYGSH CC84DRAFT_1264656 MANAGDPQTAKTYPRVRFLPNGLLDVDRKKGKYFKMVQQNQLSS PLLQLPGEIRSTIYEYVLGDETFTINPAARLLGNSERKKREYLALLQVSRQTYVETVL MPFKLNVFHSDCPQTIRNWMDEANIPIAAQQTITRLQFTLQMHWHAYIKRSVGPFKFE KVVPGWSAHSGAFDQFPALRQIYICTALTQCYCDKPKAQQDALIKYVEKSEEHLKTLI KRSHKATTSNDLDIIFERDLNFALAPAPLDILDVEGDNWSGEM CC84DRAFT_1104851 MPIGPSLPPHLAKRARDDDDENKGSTSPESGEKRRRILGPAPPP AQPSLPRTAGPSLPPQSRSRSSSEDSHVGPAPPPPPKPARVLGPAPPPAPLDERPPNP PSDDSDSSDDDFGPAPPPAGASYTSYDAPSSRPAKSAFDTDPQYSEENKKVQRDSWMT MPPTQDDLAARMDPTKMRARKFNTGKSAGAGGAGGMDIWTETPEQKLKRLQDEAMGIS AHSNSLTSKKETRRNRDEEEKTMRMREKLEASRGKSLVEAHEAKTGKEKEDDPSKRAF DYEKDMALGGKVNHKQKREMLNKAKGFGDRFSSGSFL CC84DRAFT_1200307 MSSDSGESQASAHHDDADEVDLGTPPAALPLGAGEAVGDNENAP SSQGEDIASGGNEMTFATRRRSEGEQEDDDSASDLPMRQALQRPQSPESTSTPDDTPS IQGSMLSSPSSSVPASHSSLRPHRPTSLQPFERRFSARLSPSPSASPRAVSSPVFLSA HSRQSSVSSNFLFQPQADGANTPQSPWEVVRWTKLKKITGQVFSEVGKRNFGRPTCLA VAASLVIGTSKGYILVFDYQQVLKSIIGPGTKAIECGPVTALAISADHSTVAGGHATG HIFTWELNRPAKPFLHIPPLDRNTLDERKSDGHISGVAILHIGFLGTRHTALVSADDA GMSFSHLATRGLGAIARTVKITRVLGRYPVSDKSAERPRKPSSVLAFSPLPLGNVEQP TDTMGLTALLTPYLLVIVSTTPIAQTQHKASRPKEIEPHSALSGCLAWFPAVKLKNPS NDPNKAVSKTKLVYCWSNILTVLEVDCVVAAPNEREKPTELTFRPRSRWKSEEAIVAV QWLSRSVLGVLTISQRLIILEDHTLRPTDSFDLLQKQIYHSDLFSRQLRPVIEQLDES DTSLHGVVADAFYMSFRAYKGRLFLLGVNDVSIGTLSNWADRLMALMEDGDFIAAIGL ATSYYVGDADKLTVGLPEDDKARHALVQEKLLEMISASLKYTFARDGRDTKSNDKSEK DLAEEHDFMFEEVYDAFEEASAESIFFETLEPYILDEEITDVPPNILKDLITFYASAG RATRLEEMICRLSTDTMDINQVTTLCQQYNLYDALIHVWTQAIGDFITPLVNILSLIK IIDFSIFPYLAYTFTGRAYSAKKDMYRFLFSGKNLAWPPGSGDIVLTRTDKGPEPPFP YLQLILEFDASSFMSMLNEAFEDSFLNGEQDGINGTQINGAKHSTVPTPTRQYIINIL LGIMTPESFDPEDIAYFYMFHMMLPGTSLHQILLGLCNYPTEIIKEDCQLSAEYLLSI YHPPNLQSLVPLFEKAGFHRVLKTVYLGSQQYAKLLNTYLDDTEDNEAVFGCIADCLR PSKGLTKKQVGEVKSVIVSRAKDVVRIDPAHLLKPVLDALEEESDAQYQYLNALIEPT DPTTGESRTISEDLPLGFIEQYVQLMCNVLKSGDLRLGPVLPALEKSGVVDAAVVLMA RDGLVRDAMERLVRHVASETPDVANTEEAVHDLLEDIQKYVKVGIWLCQGQTRSTDRM SHSLIDRRKSAYGELLWLNLVDAVVRLIQNISAATTDLQAALASSITTTLRSSVQQTF SALLASTTVPAKRQTQSTSQSHPSRPPLTTRRSQPQSNPSFLRILRTFLTRASTTSPS LSHLRSVLAEIFSAYTFEETILSLANRFLDKEGFEHVREINELRQRGWRPRRQVCEGC RKRAWGPGAGGTIWDAWERSENDRLLRRIESVAEENGAKGKGKSARVDVDEGGIGAGG SGALVVFACRHVWHRGWDQWKAHVVHGPRMKALRRRVAFAYPLWRHRGS CC84DRAFT_417256 MICTTTDKTSHTDLPRTNLKAFLSLSRRKLARSSRSFSMIGFRQ FHKDGSAKSRGGHRARGSSNILSAYLPCSLWSQALVPFAITSVGQLRAALSRQQIECR CT CC84DRAFT_418965 MVYNGSSSMSSRKILVASVALLSSVVASPLSGQRPAGGVYKRST HSYSRPPPLVGSWSSSGPIRRQAEDICETEACKSFADSVIAGRASNYTDLDPCDDFAT YTCAGWWASHDFRADQSNIGVLSVMSDVNTALMRSILESPYPSNTTINSTYSTGDAKS LDEQNFEKMIAAYDSCMDTDAVNKAGAKPLQDILSAFDALASGNGTDLTEKLIWVQRY GGAGIVQATTTADDKNPNITTVALTSGLLGLDAKEYYTDNEAIANYTTAIVGMFDNLY GDDKHDANEKIAAEIVTFEKALANASPDASQAGDVEYYYNPTPIAEADDLLPEISFSQ LIKAFAPSNYTVGDVIVYTPDYFPAVSSILKNTTTEAVNAYLDWVLIQTWATRLSNNV SAPYRRLQNELQGKDPEATAERWRTCFSDVDVNLPWIESAFFVREAFSPEAKTFGERI ITDVEDVFKAKLKTYEWMSDEVKELAIEKILNMVEKIGYPDISPNVQDPKALADFYAK LNVTDSFFENGLAFSNFTLAGTWGDLNHPTDKNRWFMTAPTVNAYFNPPSNEIAFPAG IMQQPLFNLDLPEYVSYGAFGAVAGHELTHAFDSSGSHYDENGAYRDWWDNATLAAFE NKTQCFINQFSEYSITTPAGEQLHINGELTQGENVADTGGLSAAFAAWQKRNEAEPNQ LLPGLEEYTREQLFYLSYGGVWCGERRPAEAVRRIYSDPHSPPNVRILGTVANQRGFK EAFKCANKEPVCELW CC84DRAFT_417324 MSHECTATPSLLLGIQIHRRVPSLEARDLFRSIEVRPSFDGIPQ FLLVIDRQIFFSQTLPVHRLSVYRHDVLLAILCSWVELVSHISAVSGSVLEHLCSGLG LRNGKRRCGVELRVEGLDDLVEHGWTFVAIVEALGGVEEILDGVIVGVVEREDFEGGH CVGCVKSCVALVG CC84DRAFT_1105109 MWSIPLIAGVAFFSVYLFKSVKTYLELRDFGGPWSAGWTRLWLL RCHLSGSINTQFTEVNDKYGSTARVAPKMLVTSDPELVRRMNAVRSSFTRGPWYTSLK LHPESENIACYTNEDKHNDMRSRMSHGYSGKENVHLEQDIDDLILQMLDLIEKEYVAK PEEGLLRRMDLARVTSYFTLDIISKVAFGEPFGFLKANDDPFGYAANMKQFLPAIGWF GVYHELTNILRIPFIKASLPGSMDKRGLGRVMGFARERVLERFGDEAIVRQDMLNSFI KNGLTQLELEGETMLQITAGSDSTASALRITAHFISTSPPILERLLTEAKEAIAAGRV SRPIIKNSEALQLPYLQACIKEGLRVYPPITGMLAKMVPKGGAKIDVDGVEKYAPSGT QIAWNSWGLMRNKEIFGLDADIFRPERWLASEGTEEMQNHMLRMNETLSLVFGYGRFG CLGKVVANMELNKALIEVCADAFREGKLTNNSSFCYASTSNHVTLKNLLRSGVLGFTF TTI CC84DRAFT_418596 MPAIHTLPRNPTGPLGIDSPMAPELTPAPNGWARPNAHAASQAS KTSQYIDKITSENEKLKRELKAERLAREEEAKRVTAARSKAEDSRAEHQHLQLLVDTN ARALERKDRKLEEMKVQLEQEAKRRRAAEQRAEEALKMLGDTRSETQRQLALAYEQKH LSETNADTAREGYKRMTDGYEKKIKYITEQMNELRQKQYEDADKIKRQAIISDQLQHE LSRRQRTETKMVDMMGQYKREHQKEMEDLTREAEQLRVAVPKKEEEAARLVQELQETG DKMKWVMAQHQRQL CC84DRAFT_417516 MTRTSQLSISKPKRSTKHGIAPRTESPNRHRETVFDNIGRGHST PALYAVHQLLVCIPIAMPVLPLPIYPGNYSPICTPPLPTPFFPNPNALFSASLFSFSL FSYSSLSTSVASSLTDAEEASVSSSLPDAEVENERRLALSLMLERAARMLGLEDFPFS DADAFSPSWATCSSVCAGSVACARSMAWPCPRSWARWKMLSLRHLIRCGRHQQSPGVN SGGDVAGAAWVEVQKFGERRGSDVFDGQDGGVSASSVVTCRSCCLGRICIGRNYPVEV RTRSCRDLFVEGSEGGKPTAGIKCGLRNCRQLMVSRLQRVRS CC84DRAFT_1105370 MQALRRTAATAARKGRTAVPRQQRRYAHDEHHHAHSEPVNESMG LGFWIPIGAIPVFSGLYWISQSDPNAPPIMTRLINKYTETTERYAERNALHVSMVEQA GNDRVLFMKTRPQEHVNMRFPEIMNQGSPYNVPAGQVNNMEKVIEKFKREAYEDNERK HQALADDNIKSEQPWERFPVAPGPAGRGVGAV CC84DRAFT_1169820 MAAPPPTDAKAASPAKDPKLGVPKAEKAELQAGGGSAAEAQKAR TVESLNSTLSTYLKTLYEEVEKKYKLGSKQGLAEWLADEQQAPAVDAEILKDGSFSHF ANYFTSGTGNAMKPAEAVDGSWPISNYFISSSHNTYLTGNQLASAASVDAYKNVLKRG CRCVEVDVWDGEPPSDSESSSDEEAQKTSGSGVKEKVKKEKKSLRKRLELRFGRKASP PPKETPKAASPPPPAAEQRIAPWRSNSSSRAEPRVLHGYTLTKEMSFREVCQTIRDYA FASSNLPLIVSLEVHTSPAQQEIMVELMKEYWRGMLVDLPLDPSEASEQIKLPTLKDL ENKILVKVKRVSHKPIAAAAKPATLQAPAQLTPQQTNESAQSEISSDSEDAGVAPEKP PAPKPKVIDALSKLGVYFGGYHYKGLDVPEANIPTHVFSLSEKTLMEVHETSPAALFK HNKNFFMRAYPKGLRVTSSNLNPAIFWRQGVQIVALNWQRWDGGMMQNEAMFAGTAGW VLKPEGYRGASSGTLPKDAIEHHNLDLSIEFLAGQDIPLPPEEDDPKDFKPYIKVELH VEKPEEGEAEAIPGGGASNKGDYKKKTRTAKTTNPDFGREIVEFKGVKRVTEELTFVR FKVMDDERISDDLAAWACFRLDRLQPGIRMLHLYDSNGVVSKGVLLVRIKKTVSKA CC84DRAFT_1223410 MPSRNDAESKVRSWGFGHVFTWTDGPNAHYPPHRHNGLTTHLIL SGELTITYPEDKEPKKETFGPGARLDVDAKRLHEVWMGEGGCKYVIGE CC84DRAFT_1169824 MFILTTLEDLIQIAPQDFSKESAQAIKDAINIKYANKVIHKVGL CITMWDMLKASEGLIGHGTGLVNVNVEFRLVVFRPFRGEILHGRIKSANEQGMVIDLD FTHEVFVPQANLFEPSHYDPGENAWVWSPPDGEEFFFDKGETVLLRVEREEWFDQKPS IVQKDEHGNIVERRGVPWRIIASMNQSGLGPCMWWEGQEGEEEEGEQQLLESGDVEMN EA CC84DRAFT_1223412 MAPFKFPPPPPPPPPKASNDAPTAYGSQRGGPSGRGDRGRGRGG APRGRGQNQVAGNPRGGSQRGGHRGGQQHQGGRGGSQNHGALFQQQQQQQQSYTVTQP YFNTNLSPAHPNPAHCNPPTNYVPPLDPGAFAQAMAFMATPAGMQTMAAFAANMVNGS ANPTCDQPVPPQQPTLHGQSSNPQPSPRKRQRNERVERWQPQQPPKHNHPPIQKAPAQ SAPSQKPPRAKAKAPPSIPGFGFSLPPVPQHTDTLKAGGAHHHHQKRVKLGLTSLNCD EESSSEDDDNNVDEEALLAAKWDGKGMTFEHNGETISLQTAAEFAEYIKDRKTNFPTQ QRAAEKAHLAAERRANEIEFLRRVKGTPGKGRPGGEAKSKDQRKGKAANKYGSLKPRP EELREKARNSMASKHAAAKPPSDSSAQQSIDLGLGYRTDTESDDASSILSESSVVSSD ESSEGAASEAEADEASDSDALPEAQSSKIIAAPRVPQPPPRLPAPRAETSSKSQAGVC QQWKMTGRCKYKYCRNKHGEEEPKSMGLYERMVEQELDKADRLALEAIKYLGRNGFLG CC84DRAFT_1169826 MDSIAQRREEILAKKAKLAELKKQRELRRAEGSNRQSLSGSPAR EILSPTPRRNDVEQRRDLDKYLDDILGDSRPSSVQPGSPAGGRATRPTSVISASQLSS DNEAQAGTGAQYQIPTSMVDQGIQTLSIGNLTTVYESEGVDVGPPPKRDTEVITYSKG VQADIDWSPRKTRGSGESDIERDESPTRSPRTPKRMSRRQKERDEEIRLQLKKEIEEE IKAAQKAAEAGEVQTVSGENYPFKTLSNEELTAVENSDDFIDFVERSTKVLERALDQQ LDYDILADYALGGASVDDEDEGYGSSGGKKGRRIKEIAQFWDERWSKKRMISDLGFST KFPELVLASYTKNPSAPHDPDGLIQVWNLHMHDRPEYIFHAQSDILTAKFSPFHPNLI IGGAYSGQVLLWDTRAKSSPVQKTPLTGSGHTHPVYSIDIVGTQNANNIISASTDGVV CGWTVDMLSQPQEFLELTSPPPAKTDDMAVTCIAFPQSDPTYFLAGTEEGSIYPCHRY DRAGAKAGVDGRVKYGGHAAPVMSLDFHPAKGQIDLGDLVLSSSVDWSVKLWKVRPPA ATGPSNTAAPGAAQQVTPILDIAREDLVYDARWSPVKPSVFGLVDGAGSLEIWDLNVD VEVPVQKVTPSENKRGALSGGMGFMKRSLNKLAWEKNEGRRVAVAGMDGVVTVFEVGS DLGGVETKGEEWSGVKRLVGRLEGSHEGVNGR CC84DRAFT_1169827 MSTSVGVNVLRWGALATGVFYGLYNQVSISSRERLQAKQREWDH HESLIQQAKAEWAKTHPSEQPKPASSGAKADSQDPNADINVVLGIADEK CC84DRAFT_1181844 MASLGEELLNVVNRLQDLVFNTIGNDSLDLPQIVVVGSQSSGKS SVLENIVGRDFLPRGSGIVTRRPLILQLINLPSEREDKPAHDEIHIPHTPASVAGQQE WGEFLHHPGRRFYDFAEVRREIEAETARIAGNNKGINRQPINLKIYSPHVLSLTLVDL PGLTKVPIGDQPTDIEKQTRNLITEYIAKPNSVILAVSPANVDLVNSEALKLARHVDP MGKRTIGVLTKLDLMDHGTNALDILSGRVYPLKLGFIGIVNRSQQDIQTGKPLGDALE AERDFFRHHPAYRNMANRCGTQFLAKSLNQTLMSHIRERLPDIKARLNTLMGQTQQEL ASYGDAAFTGKEHRGSLILQLMTRFASSFIASIDGTSAEISTKELCGGARIYYIFNSV FGNSLEQVDPTQNLSVLDIRTAIRNSTGPRPSLFVPELAFDLLVKPQIKLLEIPSQRC VELVYEELIKICHTCGSTELTRYPRLQGKLIEVVSDLLRERLGPCSTYVGSLIDIQRA YINTNHPNFLGAAAAMSSVINDKEQREKKLAMDAERRKRERRRLKELNNGANGEIAEG EEEGEATNEKILPLRKHQTQASRSMSPAVGRLLNGSHNSVSSALNGHRARSPPPIGGS GARDSFLNYFFGKDGAPQTNAALESRPGSRHVSQNIEPSISQSFRRGDTRQPAHVLEM VPDDDDMNSPLKDTFDGGFPTDAEAAPAMTEREALETELIRRLISSYFNIVRETIADQ VPKAVMHLLVNHSKDVVQNRLVSELYKEDLFQELLYEDDTIKAEREKCEKLLTTYKEA AKIVGEVL CC84DRAFT_1223416 MEGDGLTPKQILDACVTILDNLAHDLYRFLPAVNPLRLNSILTR LIAHFQHGIDENPAGTKTKRKVTATDHPTIQGDPTLAFPRFLELAEELMPTLQLGPIS IQGLTNARTWLKRRVSMGRGYLDKMKRNMRFHFFDHVHFFLEKHGHAELGYDAPVPRG YQAPPKVTAGD CC84DRAFT_1210559 MKYAVIPLVFAVGSSALAIRQSQQCVQLRASGGASGTLGQLSDG QNRVGGGHEAACYCLDGSGGFTDSNGRGCILTPPTTQFQCDAGASPTNGFSINGGSVA YNGNSKFYACPVNDNGEYNVYTQPAPGQEKCVEITLGAGQSCGAGNGGGASQSASQPA QSQPPNASQPAASQPGYSVPPRESQTPKESQPPAPSQPGYSVPPQQPQPPKESQPPPP SQPTPQPPKESQPPKESQPAPSKPAASQPAQSEPAPSQPGYSAPAQSQPAPSKPAPSQ PAQSKPPSNDNENGNGCPADLNGEYQYPHLIVPVSASQPSNAPGTSYNGKANGDVCSV FNFDIPKSYSAKSCSLIFLFPEQMDLETSSYTISGSGGLEFSKCETNANNKTSWDNKG TCTAVKTVENVTPGNSYTIVSESCPAGETVTFQMCATGGLSLDYFQDYNPSPIGAYVR EC CC84DRAFT_1158131 MRTSKLMALAASLGAALALPTELLQQPLGDATPSSFLKVQQELR KAEIIPTVIDDFLPSLTLTVSWKKDAADLGNTIKPKRLQKQPTLLLYDEAGPEGLSSS DLSYVVTLTDPDAPSRDNPEWSEMCHWIAANVSVSQKTVSILPMPIFGMEEVDEASAP DDVIEYKPPGPPEKTGKHRYVFLVFAPRNGTTEPLHLSKPEDRQHWGTGEERGGVRDW ARENDLVPVAANFMYSQHKKQ CC84DRAFT_1223419 MNTPAAPASAPASPIFRYPVGTASPMYTTAVFDPVALNLSPDVV RAVSTPVPAIVVPLPVGRSSDTVKAKSLVGSVGAAAGAVSDAVELGTRVSVEGEKEVR LVREAEEVVDCADAVVLEDFVVRPRRENRVVLRREGRRIVVETKPELWFKDD CC84DRAFT_1223420 MRFFEVVLSGAALISAAFAVEFNSWPDSVKAGQTVTLTYSPKDA ATTIILRKGPSTNLDTLQTLTTTSTGGSFEWAVPSSLENGDDYAFEIRQAGAEPNYSG QFALSGGVASAVSSSAYSTKASSAAASSTAHSTEVSTTVKTSVVTSAASASASGSIVP SAGANSTISTGSPSATKSQASGSPTGSSPPVENTGAASSFGVNAAALFGAIGAFAYFA CC84DRAFT_1051184 AANDANSGGGGGKVVSEDTVAVLLMAMGSTSISKAQYNIMSSLD GTRTASSFEHSFRSIIKKAKELQARVDAGETFEAVAPAKKR CC84DRAFT_1223422 MSQDGYYIRDSIIGGQAAGKGFFSNRDLTSGESIVMIERPLMAS LESERLKDTCANCYVWTEGTSIGSRLYVKEGVTVQMCAGCKRFRYCSKACQKEAWKRG HKYECKNLKPVAEKELPKAVLGCMEILVRRKHGLIDDQTWQLLCHLGSHIDDFKANGN YEGIELMAMGTSQFSATQDTFNKDFVAQMYARILSNSMTLVTPTFEPLGILIDALLCH LNHSCDPNTYIVMDGPQMQLRALRDIKRDEELFISYIDPTMPYLRRQSELGQRWFFEC KCSKCLQGLDFEQDGWSRKLGSLTTHYRNLANEEVKRAQGQSAEEPSDEQRVATLQMK AFNMYEEAQQQSDPAEAIRSLEEAIAFCTQSNLWSVQQQPLPALRDELIVNMLAVGNY EGAWLECAKRHRHVIPKLYPEIHHPIRVVQTWRMAMLAQYLASTGAEVASGADLALIA AMLMNTINVTSTMSHGTGNAFTKSVQQKFSEVESELLGKFGSKDAMNAAIQRQAKLLS EMGK CC84DRAFT_419315 MFLSVSVLNVPFRPENFSTALPSYANNPRELELRGHAARTRDNQ GEGFQQWRSQGQTTDFSRLKSLRKSTVISTVWFNPSRDKPRGFWWNGDSRLGTYKLVP YSLEKLTMEFEYLMYTFPVGDMYYDTFVFWSPNQQQKGFGWILGISQHSPRYPTLKSL NLTEAKRLSIPGTLVPSRLWPQSRTLAEQDSHQYHQICL CC84DRAFT_1105152 MLMRSLRIPPTLLLFLIFCGFLGWHFSHSPLPTPAPAPRPPPPP AERIPAPAPLRVALVTFVTDQNSYIHISLKSKDHYVRRHGYDLIVDYEAHTRDKGTVW WKFDMIRRLVKKEQWDWIWWLDFDTLITNTDIKVTDIIEETLKNATNPDDLDFLFSND CNNLNLGSFIVRSGERSLKFLDDVYAVEAAGKKVDPEAQLSEQDAITKHMEQDPVSKA RTTSIPQWMVNSFPDEIPCYEEPARPWRHGHFLVHFAGAWAHVKGEDPTGQLMKKYKN EIIWGNWKDFY CC84DRAFT_419324 MSNLRGRGSNARGGRGGAWHGQSSALKGTFLNGVWHCDCTPPLP AVHFQTKKAGPNNGKWFRTCQKPQEQRCKFFLWDTEAHPREAAALASNSRSEPDHGTT TTPRAQRAVSPPPTYAPDAGPSRSGRKRDRATAQEEQDEFDLGEGNADIRDELDQVMA AAETPSKRPRTDAFATPSRPARRRLPWQTDPEPLPSALPTPQTESRTSNELFPTRFTA PGGSLLTPSRYHSSEEFGTVTPSSSPNETPTPARFKNASEHDLVRDAFDVLREANVEL SEQTRRNLAAILSRHATIAEGVKKGRNVARASITAKTAKVTELTYRVSTLEAELEAEK AKVSHLQWTVEHGQQSD CC84DRAFT_1181852 MAPAHPRTNPGQPRPQSAQDIVTTTLFITNLHCPSCIEVIQDSL NGFSPAPEFISYSIVSHSVVLRHTTSLTALDIAATLEAAGFELHSIFQDNKTVFAPVE ICHFDDRSKEWETSLEHAVAKWFQKGAPEDSRENMHKREKHAEQCEQCKAETGGVQLR IDGEESSWPSKPQTVVTSQALGLADKFDGTASQSLENVSIGNSSATLTCRATIAISGM TCSSCVSSITHTVEGLPFVRSVNVNLLTNSGTVLFEGRERLNEIIESIEDAGFDANAE NIEVLDTWRATYAIGGMTCSACVGNVTRALETHRWVEKVDVNLVTNSATIVFCGKDNL TQITETIEDAGYDATLDKVEPENTSKSESSERAVGICIDGMFCHHCPADIMRAIGERH GEQHGVEFEGQNFSEHSPILNIKYKPDPPNFTIRDVFRSIEDLNPNFKPSIYHPPTIE DRAREMHALERKRVLFRLLLSVVIAIPTFIFGIVFMSLVKETHPIRHYVMQPMWAGNA TRLNWALFILATPVYFLAADTFHRRAMKEVKAMWRPGSRTPFLHRFIRFGSMNMLMSL GTSIAYFASVVELALAAANKSSGSMNDSYFDAVVFLTMFILIGRFLEAYSKAKTGDAV TSLGKLRPEEAILVDSEKGDLKVAADLLEVGDIVRVHHGTSPPFDGTILDSATTFDES SLTGESRPVRKQVGDIVYSGTVNKGSPVKIKVTTIAGTSMLDQIINAVREGQTHRAPV ERVADTITSHFVPFVVLVAITTWLIWLILGTSGGIPKDWMNEGSGGWALWSLRFAIAV FVIACPCGIGLAAPTALFVGGGLAAQHGILVKGGGEAFQEASTLDCIVFDKTGTLTQG GDPAVTDHSINEECGESAAVVFGLVKALEENSNHPIAKALVGFCKTRSTDTLDTVTVD EVPGKGLKGTFKLADRQITIIAGNEAFMADHSVSIPTAQASKLHAWKARGESVALIAL VSSHDNAKAPEQPWNFACAFAIADPLRPEAINVITQLKKRGIDVWMLSGDNQITANAV GLQVGIPASNIIAGVLPDQKAEKITYLQKTLTKTHKSALSRLSFGSKSMPKRATVAMV GDGINDAPALSTADLSIAIGSGSDIALSSSSFILINSHLASLLTLLDLSRVVFRRVYF NFGWALIYNIIAMPIAAGVLYPITTGTQTMDMHHNGIGMEAGMGMGDSGVKHVRLDPV WASLAMALSSVSVVCSSLLLRSSLPGVGFRVKETPARKPSARRASFALRVIWPVRPTY PAMPPQRLRIAAYLYQRLLSTYNLSKLNGQVLHIWHCHRPHGQLGAISARAWSSRKVV VFPATGAVASAAEGLVVEAVGHFGALLVAVD CC84DRAFT_419433 MCVRLPLPCAVAKTHPLDGPSTRRQRRHSGPASTWLCSGPNVPA ERSTAPAAARARAPPPPPAQWRPRPRRADHVVDRHKRAAGGCRPPSSFKCTRRFAELA RGLIEPRPLGRFHACIACRVHEPRLPSPCSFPRALARLSLSDRSFLRFRLHSHSFRVL ASPPADVPRSPSSPFPRSLLPFTPGRILSPVCVLARLGRQPFCLSFAAP CC84DRAFT_1181854 MRTSFALAALAASCANAVAAPAPQDVEALATPEETVDGLIGLSK NGTCAHDPNNSKEVVVMLDKNEIPQAKSLLAKLGRQADDDGVFDVMDNSQWSAIVMHA TPECITYLEDLTEVKLVDETAQIQSFVTQKTGAPWGLQRISNEAGASGDPSGQDFTYS FDDANLGAGVDVYVVDTGVRVSHAVFGGRAKEGFSFTSTAADGDGHGTHVAGSAAGAK FGVAQNANIIAVKVLGDDGSGSSSSTIAGMNWVLNNHEKRKTEQGFVGSIMSMSWGLQ GTANSVDQAILSAVSAGIHVSVAAGNDGADACGTTPAHLGGANSDVVTVGSVNIQNKV SSFSNIGKCVDIFAPGEQILSAWSTGDNVINFLSGTSMATPQNSGVMAYLMAQNPTEF GQNPKALKAELLKLARQDVITGSLGGSANLLLSNGVNGAVQKRSVTGSPASWAKNMVD NELSTRWVVTDDSSPLRF CC84DRAFT_1132043 MKLLKGIWLPALAVLAPFAAAKKDGPLVAETDFGSELLNIFYFD DSNVAMLVELESEKVFRSSNAGKSWDKVKADGKDMRTIGIVKNPFDKNVAVVLGEKEH YITYDQGEKWSKFKTDEAPSLGNPLSFHASDNKKILFHGGGNDCFFGACLGTTYVTKD GFESLKLARESRKMCLWAKGTERFALDDDSLDDRILCIVAGKYSERSKDYKIVISDDY FKNEEEPVMSSGRTVQGMTNMAAVKGYFVAAAKAEHSDELSMYVTDDTKIWHHAEFGG GKIEEDAYTMLESTNYSIQVDVVTNKYAFMGSLYTSNSNGTYFTKNIDHTNRNEFGYV DFEKVSNIQGIVLVNIVDNAEDTNPMAIKKIKSQISFDDGRTFADLKIKGKDDTLHLH SVTELRNSGRVFSSPAPGIVIGVGNTGKYLGGKYNNGDTWVSDDAGLTWERALENAHK YEFGGQGAVLVAVDERETNKIMYSLKHGRKDSWKEIKLDYKIRAQELTTVADSTSLKF LLYATRIKDGEGRKPTIIHLDFAELHERKCGDDDLEDWSPLKDENGDVMCVMGHKQTF KRRKWDAECFLEENFKEAQPKFEPCKCDEVRDYECDYNFVPEGKGEDKKCNPAGSLKI PEGACKGDAKTYKGSSGWRKIPGNQCEGTTDRDKEVERDCDSASEPPVSGDIKNEITP FPGSKFKEYYYLERPTQGRDENKDKDETVVMLTDENEAYISHDHGKQWKKAVDDNIVA IYPHQYNNDHVFFLTATKKFYYSKDRGLKDSIHSSEAPQMPTLEAQIMQFHPDESDWL IWIGGEHCEKSNDRECHTVASISQKNGAKDTWETLMPYVEKCAFVWREAGRKVDKKEV FCEQHTNEEMSAPVELISSEDWFKTKDVKFKSVVEFATMSEFIIVATKGDDGKSLKLD ASLDAKTFAEAKFPPKFEVDHQTAYTVLDSSTHSVFLHVTVNSRLDQEYGSIIKSNSN GTSYVMSLNGVNRNTAGYVDFEKMQGIEGVAIANIVANADKVDQGEKKKKQTRITHND GADWGALIPPEKDSDDKKYECSGKSLDKCALHLHGYTERPDPRESYSSPSAVGIMMGV GNVGEQLTTIGEASTFMTTDAGLTWKEVKKGNYAWEFGDQGSVIVIVRRNEDTNHLYY SLDNGETPFKEHKFSDSKHRIDAITTVPSDTSLNFLLWGRDGRKLVAINVDFSGLFSK KCELNENDPEKGDYELWTPQHPMQGEDDQCLFGHVAQYHRKRRGANCYNGERIDHLHN IARNCSCTRRDFECDFNYERMPGGECKLIQGLEKPDPLAVCQAGAVEYWDPTGYRKIP ASTCEGGQEFDRTSQMHPCPGHEEEYEKKHGVSGFVIFLAVILPFAAAGGIGYYVWRN WDGKFGRIRLGEGGGGFDSDSPWIQWPVAAISGLVAVVAAIPLVVGAAWRAISNRFGG YDGRTYTSRSSFARGRGDYAVVDPDEGELLGEDSDEDV CC84DRAFT_1169840 MIDMRCVVAFAALICEYTTVTSPSFVHVSVRPPLRQSAMSTAAT ITTTTNASDQVPPVQPVTSTGQPSIMLIDRTETPSTPSLRPSLSWQSVRSSLSLSTKT SANLGWAIALLSVVFTVVALSPTFRSQTMSEKSLQLAEWTALKDYIEECREEVAAGIQ SQACLKAMKAQLPPPPYVNPGILDKRRRSVVYHRFEQNESNVGPQVEHTGPQPTQAPQ ALQADVNMPEVSYWAVWLGVILFVYCSISMFRYFIPRLGRRPIYARQMSASREEKLVQ SDHVTLEVEVATTAIRHPPSPIESSLRRRAVRTHPIYRHANLEEAIHHQDMVEIRLRL TNGEDVNQHWPYLIYKLAISPPSVETPKHLEIARLCLDFGADVNALKGWNGQSALMIA IHFGNLDVAKLLIVNGAMVSYSPPDSNLTALHRCVRLAVTGSATDALEIMELLFQHGA NPNQADRTGETPLHKLLIDAWLKRENLSCMKKLAPIAVSLVEHGAYMPRTLKEKYIVG NPVWQIVQSSILKMSCFERAKDATVAKETPIVEPGPR CC84DRAFT_419576 MGVSKKSTGALAPADHKAFDPSLASLFASSLGPVKPPPKSRYQE LVKQHTGEDSSEDEDDNDATLSSASEDMDDSEQDGSHVDIEEPSTDDDGEPENEAALA QVHESAANGAHERSNKKRKRGAKDDDDVEDVYMQKIAREEAKDEEERKKKRKTKAVNV HGSDKDDESDQETFTIPKHETLAGADGTDDEVEKAARTVFLGNVSNEAITSKSAEKQL KQHLSSFIADLADNKPPHKVESIRFRSVAFAGALPKRAAFAKKEIMDSTTKSTNAYVV YSTKIAAREAARRLNGTVILGRHLRVDSIAHPAKVDHRRCVFVGNLGFVDDESKLPTS DDKPKKSKPPGDVEEGLWVQFSKAGKVESVRVPRDAKTRVSKGFAYVQFEDENAVEAA LQFNDQKYPPLLPRKLRVTRARAQKKKDKKPAGPPPSKADDAKPKGAYNAKISAEEKS QRGRASAMLNKAAKFKTAESFVFEGHRASSKQGKSGLKLGGKTSSKKGPNGRKSRSKA WKATGGKK CC84DRAFT_1191586 MLSTLAAPGLGLSESQAAESSNAPYDNHGDDRSSSLSELGDASD DQDEPTPRPAATLDLGDNDSEAETERLDNTPQKPARTALDASLASEHTYQKSPSKLAH SKSIDQNETAHFDSTDGPRLGKPNDGNAALHALSLAASSEAASFTEGVARKRKRPSDE ASTPQEQAEEPARKRSGTAKDFVQHESRGDAVESVEQVDPEDEMQTAEERISQLAQEE IELEERQADIAAEAITELATVAKHTKPRKGGRRGKRKLEEIPSTHEALASIEGPEGEG DDDDEEDDSGAVDEEVVKKKHAIDELGKIQKKFKIFREKLCDEQIAQCELELEMLKQP HCEHPEYLAMIKAVDDRRAEKIAYERQLLHYKQKNLEIITTAERHQLHSQYFQTVRDK REDILSLCNQKIFELQRGRRQLGVDEVEYMMRLPEKRSDQIRHQTAYNLEVSILSGVA KYVGFPAAPEIRPARASEIDDDLRAMKIVTRPTAAPVVASAPTYVRPSYGRTSTTDEA AAEEQFIERTPWANPQHPAHQQSHQQSHYGITSGAPRVSSYHTPIGQRRMEVPNGSAS TIEAHSNPPSGGLQNHHGRIGESESPVLQMKRHPGEHQLYAEIPGPVPRNMAGMGREP YGMSGPAAPHMDAPPDDQPPRWGSMRPGTSIPGRPDSARVPLTQRSALGAVSVGSGNG LFGR CC84DRAFT_419607 MHCNDLPSKDILNSNLTGVSTPALSLSLKYAPVEAEWVSIKRSE IAAEKDTPRSHFDALSRDMQRNCTIVSVKGVGYFKNGPGTSRPTAAKLSKETGSPPSV ISLIHPPPGGFHGPILASSVVLAGESCGAYITFHGQTFAPVPIPAGATFLNPQADLAS CLPSWNENREFDIWSESALYVKPGFPVDAIWPSNPPREELYCGASFFAHPMVSPCTTK SWVDSPPLWFGVGQERLADSAMVVAQTAASDGVCAFCGISTKQCHIVGHSSC CC84DRAFT_1105411 YCTANQIAQPQFQDYSDPRGIRTAWSSGVVVQGREFRAHLWRDY RYLEQSREEAAEIAWKTLNGIPVTNAPQFTYGRGYTTMSR CC84DRAFT_419704 MLQLGRRRSMLHVPRRAFLLHTASCEPSINFLQITPDPPIISTT LCLRMQTRNLERKELSRRGIGISRLNAQRDYVAARGVAAPHRLTPSAPRWLVCSPSYQ RCAITLPPVPVPGEAFRVTGTARTLWLHARCHSFRILDQHCRILFSRSIFERVGPRVR ERSSAPANPADCSCECEKCKVNLEEVAQAVRHCNWGFPAALSWAYGLITIVPTRIYV CC84DRAFT_1169847 MAAPKTIRWGILATGGIATTFTKDLLVDPATRGVRHLKHTVVAA ASSSSPARARDFLKATGAPADAKAYGSYQELVDDANVDIVYVATPHSHHYQNAMLALE AGKPVLCEKAFTVNAAQLRALADKAREKGLFLMEAVWTRYFPLSVYVRDQITAGRIGP VARVFADNSMACDPEVQWKDGTNRMVNPDLAGGALLDLGIYSLTWVFQTLYHTQPPGA RQAPKVLAAMKNYGPTKDADETTTIVLSFPRADDAGGEAHAIATTSIRVATSPAGNQD VPGIRIQGPNGEIQVFPPAFRPTRTRIVLKDGTVEDKTWPQPGPGEGSGWHNGFGATT NAEGEGHGMFWEADEAADALINGRKEGRYEGLDESILIMDVMDETRKQGGLTYPDKIE TTKYPTQL CC84DRAFT_1169848 MSTRTVPYKLPPSHLSKPIIVCGIIMALSASRRFSAFHGQLLSQ SPTLANAAQWLQTGIFWFLYGAHTIESAIFAKKLKDHGVSILSAAWWKWMVECFIGGK FCFEHFEGIVKSKIL CC84DRAFT_1233716 MRNILTIVFGVLTVHATATCTREQLTAATDDLLVVQATGKLNGA ASFASTIKYTENRKSMDLAKGILSQALTIAHNRSQHDVPQCAAFSELIVTTAAKPYVI ATQLRLDNTTQKISQIDNIVTTKGDWLFNVTGTYYWASREKWDPIPTDKRDTREAIQA AADAYCNIFNDKSIKVPWGQPCARLEGGSYTGKGQATDRCDVGIPSGVKLINRQYVID EMYGTVDVQMDFAGTGGFGQGGLPDSHEFRVEGGKLRYVHTLSSCGGKSCM CC84DRAFT_1223434 MSLPTLQTPLSKLLGIQYPIILAGMARTSGGPLAAAVSNAGGLG VIGGLGYTPAQLQSIIDELKGNLSDKSLPFGVDLALPQVGGSARKTNHDYTHGQLDEL IEVTIKNGAKLFVSAVGVPPARTIKRLHEAGVVIMNMVGHPRHAVKALEAGVDIVCAQ GGEGGGHTGDIANSILIPAVVDVARKYTSPLTGQPAMVVAAGGIYNGRSLASSLMQGA QGVWVGTRFVASTEAGCSQQHKENVVSARFEDTDRTLVVSGRPLRVKLNDYIRDWHAR PEEIKALTEAGVVPLAKDMEDEREFDIPFLMGQVAGEIRDIKPAKQIVEDMVIEAVEM LSLGQTYIARPSSKL CC84DRAFT_1223435 MAPAQPAPPMAKKGKAKKSPDPSEQQKQIQAKIAQLEQDAAGDK EQELEIEREVKKANRELSSLLNSMDGPLTRVEVVQKRYTELLSEMKRTEREHQKSKKR GDQLQKEKDAQRSELNKVTTMRDKLDKLSRDFAKENKKLKDELHKMESTESAAREELH HRLEELVYDVDSCIAQQHHPEPQNQADVELDELFRQKFKSFIDQYELRELQFHSLLRT KELEIQYQMARLEQQRKQQEAESSKSHQLTRQVSTFSQTETELRTQLNIYVEKFKQVE ETLNNSNDLFLTFRKEMEEMSKKTKRLEKENQNLQRNKEVTNRNIAEMVQEREKMQDD LARKTKEVEEQRKKIARLETLCRGMQAQGRGQVPMTELEEDEEVTESEYEYEDDDEEG SEQYDDDTEDDAIETVPERRPFGPVPPPPPPPQNPASTKVNGHRQANGQINGVKR CC84DRAFT_1223436 MLRSARCQLRNRWSPSTSTSASAPPHAPSTRLLRRGHAAAATDL SSFPRVGEQLHGFTLKRVKQVPELELTALELQHNKTGAEYLHIARDDANNVFSIGFKT NPPDDTGVPHILEHTTLCGSVKYPVRDPFFKMLPRSLSNFMNAFTSSDHTTYPFATTN AQDFRNLMSVYLDATLHPLLHETDFTQEGWRIGPENPLAAQEEGPDDAKRLVFKGVVY NEMKGQMSDASYLFYVRFQDHLFPAINNSGGDPQKITDLTWEQLRKFHADHYHPSNAK FLTYGNMPLTEHLKEINQRLRAFNQISVDHDVKSPIKLNGPRHVTVPGPLDPLVPADR QHKTSVTWLMGDTADVLENFSLGVLSSLLMDGYGSPLYRNLIESGLGADFSPNTGYDS AGKLGVFSVGLNAVKEDDVPKVRETIATTLLEARKNGFDRIKIDGILHQLELSLKHKT AHFGMNMMQRLKPGWFNGVDPMAALAWQDTVKAFQERLAQGDYLENLLDKYLLNDRTL TFTMTPSERFAQDLVDEENERLAEKISEVTKRFSSQEEAREYLEKRELELLELQENAR NQDLSCLPTVHVKDIPREKERKPLRYSEVDGVKVQWREAPTNGLTYFRAVHHLENLPD ELREMIPLFTSAIMRLGTRNKSMEQLEELIKLKTGGITVGHHASPSPLALQQFEEGFS FSGFALDRNIPDMYTLLRTIIMETNFDGPDTEKKIRELLQSSASGVINSIAESGHSFA RTFAEAGLTPVGRLAEQTGGLSQVKLTAALAARSMTESLSDVIAKLKAIQSFTIAHGN QLRVALTCGPESSTSNQEALQRFLRSLPKNATLPPASKHAEYPRNAKSFFPLPYQVYY SARAVPTVPYTDASSAALETLAQLLTHKHLHHEIREKGGAYGGGAYSRSLGGIFGMYS YRDPNPQNTMKIMAEAGQWARDRVWTAQDLEEAKLSVFQGYDAPQSVSQEGMRLFLSG ITDDMLQTRRERLLDVTAEQIQRVADEFLVKQVSESSVAILGDKKDWATEKTGWDVRD LHMAEKLEELAQQTPDEPIAAP CC84DRAFT_1169851 MSPSKSQASPTATPIWMMKSKATPAQGSFDSAGAGVTGGYTGDS LDLKIIIAFLIGLALYNAVELIVLILVTFNNYRGLYFWSLIFSAIGILPYALGFLIKF FQLLDPNKSVGYVAVVMIVVGWYMMVTGQSVVLWSRLHLITNSHRVIRYCLYMICIDV VILGFPTSVLTFGSNSNSLSTEALSHFVKGYNVMEKIQMVGFFLQELVLSLIYIKETL RILKLSKNTQGDLMSIADDSEFMHPFARKIMYQLLAINTIIITMDVALLAVEFANLYL IETTLKGVVYSVKLKLEFAVLGKLVQIVRSKSNSSKHQGSVDGRTIATSMEHHYIQTA RCGSGNVFTRGTWRGDTIVRMQSFPDFVDPARVSCDLTHAPTSMPPNRTPGGPSVSEN EEELGWEVGGQHRKRRYRAERTSWIDEEMDKHNIG CC84DRAFT_1169853 MAIGKEGNVKWVDGLRGFASALVVLTHISRAWAGNLFWATTAEN TTPKLLQLPFLRVLIQGRLGVSIFALVTGYVCALKPLKLYRQDKHEAAFISISKSALR RFPRLFLPSAAATVLSFLICELGLFSVAEHADSGWISQIPPRKGSLFPAIGNLVYEIG AIWIKRNNEYDRNQWTMLPLLQESIKIYAFLLAVAYIRPRYRMLASFAMFAYYWMGND PVFGMLGFWGVLIAELQFEPATARFIDQQRILAPVLSAVLVFSGFYVASYPESHAEWM PWSDNLTHFLKPNLPENPDLPRFSSGIGLMLAAVGIVLSPRLQEILSSKILLFFGKMG FAVYLLHGTLMKTILSWMVYGISVPADHKDDKGQPQMTRMKYPGHQTLFVCLLFWMPI LYGSAYAWTQYVDPWCERMTNKLVEKIKFEETKGNGYIPMSGVQGQGHGPGHHAA CC84DRAFT_420120 MLPQLPNAATVRLACLSAQGSVQSRCRVSVVWYWQLGFRARRLL ARLASWPVATSFSTVEAARFPPPAMCAKARRAAKRHQKGGIYAGTWIGEKAVAERGES GATVRFCLLTAGASPVHIVASADGRQGSQAHPKQQARRRVSSLVARRGLAGLAGCSPW PAVGTIACTSRRISSRLIRATMACEARSSQRCTRRRLLCCVAGPSPVALRARRRERLL VRADQQAERRPSTLLCTILCCSSGRRVPCTRVLPPRRARTRPAPSSV CC84DRAFT_419781 MTRPIRRNALEKLAKLSAQAAPSAGPDHDLQRLYKRCPSGLLAQ DGAPTSDVTSVYMKLPELDAVLALCKAAPFVESPAVADQLLKRLAPYLPESFAQRLAP SPQLRDIDPSPYEVLTHHLTSAILSLGARHTHLRPRVLEIVTYYVKGWSTAAVHASSE QFDHDHHVDFAADGELGRVMTQSMSLLGFLNAIANHSSFWSPHDQLRFVESVQTALSE NFLIAFETALSIVRNARSHQHSLRYWKQFAKHYAAIGRPLGATVLHDSFLKVIVACAS GLVQAPHRKLDTDVLEWIRISHPSRDVSRDASTDSLAEGLTRIAVEEMDRLDNDLDYL QRVGSAWQQRQASAVKAKVITTCVCCAVYDEDIADSDLLMSWLDNALNDPAQVGDLNL ASTVLQSMSILAKLSPAIASSLGRSLPRVIVQGGFDHETASVAAQSLAAVLSLLPQDA VITTLYSLGNVISTGPVGDRTSTATVTTNDHGKTSRATDLYSHQHEGSAISLIPSDVE APNHVHTTVIETIVSVATQSKDEKITALALSMLVQKVGWISKVVDAKIVTDSALLGIH SGPGEFRSLLKLYSKLCHEALLKDDRFTLEAIKHARLHLSREIDEESDAFELYLTHLL DTIISKGDLPETHLRQVRDTELAAQEIAQLLPPLALLLSRKATLTDPSQLDDHILSLQ RDAWFNIVIHGFDLSSSLTQEHVAELRTLARFSRSLITEERASLAESDIELNTVLRRG KSPEHVVEQKRRLAKLLPACEADIRSLSYSEAVFLNAAYLVEDLRASAGDCTKALAYF LDPKLRSGGVGNCMLAIAAATTRTYVTKTLSGKLHSFSTPYLAKQLSTIFAGCCHRIA RVQQAAFTCADIIVRDVPSTLCQKSALFALLELLSIMWSSCLEGETDEYGWTSTFASE KSNIVVELSDDYNFRRETLNSLHKRAVAWVKGVLDIAPLDIKGLLQTYLSEYDDEGAY GHISLGRSFALEMGSVIPTTDQRLGAIERQGININTASDFIAQYTTRQEYKFVDGQNE QDDEWLKLDGSGHAQSAFHRRIDDATNLLIDLESRTLNNKHVTIADLRDNLRRASALL CRVKSDQTPIVHHLVGIPFNVFTKQSIKLGISLWMSVIKENPRMESRILVSIAECWEN TIIQRKGIFSHSLKHPDPFYGKQEFAPTDKPHLGKRQQHIYNIIAPHYRLLQFLSSHF NATRLGNPDIELVYSRLMHVTLDALSSGCAQPLAREAYFHTVLLGLRIVRHCTTLSPA IKWRLKDRILSAGLAWFAKAPEWSFGGNRLQIKAETHVLADVQAYLEVVGKTGTIAEG SMRSLKAKQDLLSLLISNEQTRLMVWLFPLDYGKKHHFTSGQHSKTLADVTVSSHLKT AWEENPSIAVHLPKRFQNQRLNTEVRFQILNFPQRVLGEPDAIEILLGRQLPSDVTFQ LKYLMYWAALNPISAVTYFLPAYGNHPFIIQYAMRALESHSVDVTFFYVSQIVQTLRY DVLGYVERYIIETAKFSQLFAHQIIWNIKANAYKDEQSQVPDPAKPTFDKVMTSLESS FSDIDREFYEREFDFFGKVTGISGTLRTILERPKEEKKQMIEEELRKIQVDVGVYLPS NPDGVVVGIDRKSGKPLQSHAKTPFMATFRIRKTRTDVLEGTTADGLASSASSAKQNS YEVWQSAIFKVGDDCRQDVLALQMIAAFRGIFNNVGLDVWVFPYRVTATAPGCGVIDV LPNSISRDMLGREAVNRLDDYFVSKYGNEDSIRFQEARSNFVKSMAAYSVISFLLQFK DRHNGNIMIDDAGHIIHIDFGFCFDIAPGGVKFERAPFKLTGEMIAVMGGSQTSQSFR WFEELTIKAFLASRQHCDHLCQIVEVMLDSGLPCFVPQTIQHFRERFVLEKSEREAAD YMRELIRRSASSKSTGVYDQFQLVTNGIPF CC84DRAFT_1191595 MTSIGTGYDLSNSVFSPDGRNFQVEYAVKAVENGGTAVGIRCKD GIVLALEKLVTSKLLKSGANKRIATVDRNMGIVSSGLLPDGRHFVSRARDEASSWRNL YKAPIPTSSLADRMGSYVQAYTLYSSVRPFGVTAIIGGWDSEAELPVDGQVGSGPNVG SGGKKDGAKHGGPYLYMIEPSGLYWGYYGAATGKGRQIAKSELEKLHLDEGELSLEEG VKEAARIIYIAHDDNKDKEFELEMTWISSTTGPTKGRHEEVPKELREEAERLAKKALE GDDEDEEEEKMQE CC84DRAFT_420053 MPPTLLLIRHAQALHNVASDWSIHDPPLSELGKEQCKELQTSLQ NNKIGDQVELIVVSSMRRTLQTASIGLEWLIKEKTIEVRPDAGWQENADKPCDTGSPI GTMVQEFPDFDFSTVDPLYPDKTSSLSSNPYAFTRKAILARGQSCLRSLYSRPEKCIA VVSHSGFLRAAVCNRRFFNADWRVFDYDEERMRESQERGEGMDGQGLYLLQEWKETEE KGGGMGRSDVGIFGPETADFPPEVEEEETTEIPTQIAM CC84DRAFT_420401 MVPACSSAAFLAFVHLIVGVIGESCYYPDGSTDSGHFACSTGGT SVCCAEGFECLSNGLCNDYRYENYTRVLRGGCTDKDWGEGCPQTCTSLWPQGDEIVYV CSNDKFCCGRSEACCDDNNAKFFDFGNPQIIATAGKTQATSTPQGGNEQATASSQPTK VGEQNVPSQTQSQQQDDAASATKASSTGSLEAPSETPANTRTDTSGDNTAKAEATQES ESNTTGAPGRTNTGSSVAGSGSGSAATDTADKHAATPPSDTNHTVAIAAGVGVGVGVL AFLLAVAGCWHIRRKRQRPGLRSRNVLEIGESSTPAIEVADSNFGVVEKGTGNNRVFE LDGHAMEVELPVGHEAEEVHGESAEGKKWPDMFR CC84DRAFT_420026 MDATSSAAPARDEPDSRNSLRHILADPTATDDSKPTPPSLRNTP GPDESQGDSEDAPKSQRPYYTANPTTMRRNANGSVSSVYSGNKIRHLKKDDGIPLWRK DIQFDFLKHVFDDEQKVFSKMDGDKGFTFADIYLDAMAKSSKCSKILKDKLLTERPAA VNMAMVCLLVNVGRMNTTLNFFPEMRAQLRTYHSIPSLQAHQDPNAYKQLQDAPRLKS ILKGATEDTEQPSTIEDIMDKPVPRTNPVNLIFVLSQYAPKISEVHFFPPRDFFDLVM RPNLSSRSRATAFLWLMWWYLESDFSKDDALRNPFGPGQYGPPEETVTNSLPIKCPAF EFLTPEQEALENVDTPEEQEFGEQKRKERTVILASDMAPVVTGPKRTNKKTFSQNPVF SVVAEDGASTPGRDRQSPSHGSARGRGSKLARSLIERDYGSDTDRTRSASPPGSVYNT GKKATPNMRINTLLNEDAPASSPASKGPGRGNWSRKTASAARGFRSKLDAANSQDGQS PSMNVHTFSGPHGFYLPLNGSDPTHKRTRPLTQHQLAVEQYRRRRVDVILDRGIRKEY KLAAQRRRTQPPLLRAWVRCKGIKDGYDSEEEGNGSYQQDGDVTTSRVEPPLLAGLVP IDYGGEENDYGEECYHRAKMLSRVMRRMGRWEENKGVVRTKARGSRTHVENGDGRANG EDDFADEDEEMQDAEDMERRDDSDDDEDDDERVYDSHPLLPLHME CC84DRAFT_1181872 MADQLDIGRLSMHDSQHAPSGFQPERSAYIPPHMRRQQQSGPPP AMDAAPPMMNGGGAMNGSAWGPNGGGYAGAPPSQQQPPQQQRMNGGGNWANANAQSFT PRGSDAPSPRGGNGGWNGAAPGKFDPNAYAKPGAGGSGGASARGSGDGQWRDGKHVPG PSNPRVERELFGVPNDPSKQQTGINFEKYDDIPVEASGQGVPEPVTTFTNPPLDDHLI ANIELAGYKVPTPVQKYSIPIVMGGRDLMACAQTGSGKTGGFLFPILSQAFQTGPSAA PAGQSGGYGYGRQRKAYPTSLILAPTRELVSQIYDESRKFAYRSWVRPCVVYGGADIG SQLRQIERGCDLLVATPGRLVDLIERGRISLQNIKYLVLDEADRMLDMGFEPQIRRIV EGEDMPPTSARQTLMFSATFPRDIQMLARDFLKEYIFLSVGRVGSTSENITQKVEYVE DQDKRSVLLDILHTHGAGLTLIFVETKRMADSLSDFLINQGFPATSIHGDRTQREREK ALEMFRTGRCPILVATAVAARGLDIPNVTHVVNYDLPTDIDDYVHRIGRTGRAGNTGI STAFFNRGNRGVVRDLIDLLKEANQEVPTFLESIAREGSYGGGGGRGGRGGGRGRGAT ATRDVRRFAGNGGNSGFGGGGWGGAPQGGFSGGAGYAPPSNFGPPAGGFGGGSGGSYG GGYGNPSGPGGNSWW CC84DRAFT_1169859 MSSLQRIVVSALLILTITFAFFAQSSEAAKGPKITHKVYFDIEH GDEKLGRIVMGLYGKTVPKTAENFRALATGEKGFGYEGSTFHRVIKSFMIQGGDFTNG DGTGGKSIYGQKFADENFKLKHSKKGLLSMANSGKDTNGSQFFITTVVTSWLDGRHVV FGEVLEGYDVVDAIENVPKGGGDKPSKTVKIAKSGELEVPPEGIQLENDKDEL CC84DRAFT_420467 MPFISNINFTEGTLVKRSLETFFGIGPKVSQSIMARFHIQPWAK VGSLKASTLLDLTAELSNMKIENDLRREIQDSIIRLKDMGSYRGRRHAMGLPVRGQRT RTQIATARKLNRTLRGGDGRLGM CC84DRAFT_1233753 MLQRRAHHAGHSLSQPLSPTPRPARSPQQQGSRTPSPSPSPSAT LRPHPAPPSSAACPGQRLAAASPPHPTAAVAHDTAPTGSLRELRAHAPRLLAARPLYH DGPRSPRDRLDALLADEDLRTPPPPSTPRTSPRGGTQPARPSAYAQLRSASSPVPSSA GTSPPASPVAMPPASRSTRPEQRPAPPRNPSIDSATSSMSSASHSLRGAANHGPKPSQ DASTANPPDMAALIAAAGSPEAALLSLWKEKQSASSHSTQLWRLVEKQRAMIIGLQKD LERALKDKDRYRKKLKDYTNQVPPIPGAPQRSDTFDSVVERDESQSPAPSETPDDFAK PNAAAKPAEHKASPLTQETSAAQLLHDSQLAQSPSHSSDAHTASSPPSAVNSPTDFSV KPLTIANKGLGLGTVVVESDPIVESPLQSPRATTLLRDVSAAEGGLQAPQLSLTQATP VIGGDGFEAPASKPAQALRKAPPAPLNLSKPVKTSAHLLQADPGEHDDSDYDDVLEVD EIPILERGRRKTREEDDRMREAIALKEEETRSKSKKKKSESKSTVSSPEATEDAEQPP TASLSPRQFSPVQTGLPLSPRHPPANSLNALLSPANSDSSMVAQRSIVSSPLMSPGLP TSPRPGDRPLGSPLPRNPKLAPASPPMSPRSAPNGMPPTRAPRQPVPLPPNTPQSYTS PQTARPEAPAQAKQQTLGLDLLKPSSAQLSPDVGDPSSSEHVYRGLVSDQYPDLLLPP NALPSIEVKVSSSRLRPSRMSFLAPKPQEEDPVFHLAIYARSDGKQLWRMEKTIVALP ALDAQLKSLCDFTGKLPDRALFSGHAPARVDARRVALNQYFDTILETPMNEQAALVVC DFFSTDVMGAQNGDALAPEPAAPQAAPAPKGRQIKEGYLTKRGKNFGGWKARHFVLDG PEFRYYEMAGGAHLGTIKLLNAQIGKQSQQQSNQSPQRRDDSEDNQYRHAFLILEPKR KDSSSLVRHVLCAESDEERDAWVEALLQHVDWQEESSPVEGQVPVPAKPAVLTKAQGK DASRSRRKESPDQDRSTRVQALSYDDTVAAEAPMRGPSHREAKDGHGQSPKAGSFSHE ALRQYPSISGPTNGAPIQNLENWGNKPVAVPTAIKDKKRSIFGFGSSRPRGPDPMHEP SLHQQRAPDRVIQQNRNVFGIPLAEAVEYTQPIGLNDPIPSVVYRSLEYLRAKNAINE EGIFRLSGSNIVIRALRERFNTEGDVKLLDGQYYDIHAVASLLKLFLRELPASILTRE LHLDFLKVLDLDERSKKIQAFNVLVHKLPRVNFELLRHMSSFLIEIVDNAGVNKMTVR NVGIVFAPTLNIPAPLISFFLTDYQDIFGMAVDDASLQDQETRINGHLDDENRSPRQQ KFSDAPTPAYHDSSIQPQVALNQQQWNGRPPSSFPMSNAPTYPPPQPPQQHQHYAQHA SYDTGFVPGRPSYDSPNYEQQYHGAEGYGNLTSARQSGGVREQKQKRRESSMLLMNVG MGQRKGSNGSNPPRHKEDYRSNPMLIREETAFD CC84DRAFT_420643 MAKASIGQVPIVHFSTWKQCTSRQICAIAGDFYLLEEHGFHTTT ERVGTARTTPDEFIRNPSQLVSARTHHNHNLDYSHYHKQRCYHDSEKSCLSKKTLSNP VSWLRFLYELSIMDNHVFTFPLLRPETGSNKQPYLRLLIFINKRKSISQEAFHVWWRS VHADLAVAVEGFGGVCSRYVQMHTTPEHKDRLVKCGMQPLPFDGMGEMHVRSLDDWVA FQRSAAFVKAMGDDAANFMEGGVSVMLGYDCLIYGKSVGAEGMDGILPVITKAKAKL CC84DRAFT_420647 MAFNWDKLCKAQRDYPRPKFALDAVGKLLKKRPTDPYLLAWKAS TLLRLDRRADEALSLWINLCQQQPPITDLNLLTFIYYGILKASRQINPSFSTLSSVGA EGLKAWQNAAKSLSNRKAKLKLWSELFIVAMKEECWEDARLATMQANKEGIDPKYRKN LYYSLILVYQLAGERKADVSHKTGKIDQGVQIQLTLAQRQMKDAYDNAPKSTDEVIQV ATMSDLRFMAAIYERQNRCGELFQLWANPPPAVKKIIDGASWDFALLGIEVAHQQKEW QLVETRCYQLIDEIWQSGNPMTGDPSVVSVKLFNICTMCWTMWKSLLNATAGLYPSAE GRFKIEKLYSSVWNSLPPLDPRTYRAIGLTRLTLESYLGHPTLDTITQFYIICFRNPS CFKDLHRFVTLLSAEEQRTFQSSISKHAQKLGAMMKENEDGSSEEDIRNIRLWHEAET TVLKFELLMIMSPPKEPDLTLLENFVENALRLWRLQLAVEATDAVCGSDAFLLAVEGL VYLFESTSLLEYLYQAAVLTRHAISLDRQQYGRGLALLSTRLHLRLGLGTIAFEHYNR VQVKEMLHDNVAWVPLSRISQSHPFGASGPRGFSADNELEKVISTLSRMENKIDDLLY GDLQHFMYDKAFDLLELKRKLRTSLTKHFCIIERRRIARLAGAPVDTNLDLPLRNYED ISDNCHWDAVPALRNTRPVGLKNTDLISPITKLWVHSFRSLIDVVNGIAFKEFPTGHQ AFSSCEPFAQTINSSNAGIEEPDNGARFSKTETGLNEFYWKPIACILQKIFPAEKLPI WSEMGSLEEAFQQIFSQLEIDTSELHPNLLHDDNADFVGAPNLTEDGLNAVYGRLEVL RTLDRLLDFMRPISKARTHALHKDITVARCDLLHTYITEFFRLHKIRIEMLMGRLHVS GGAHIRALFRCKKTGAAVNGMIAEETLNRYSKEYADSAIEALKGVLKVNLG CC84DRAFT_1132093 MRARLAHATAAAPRSAWPPQSRPQASWPRAWTCSSCARRPFASQ QQQQQQQHMAAGDRKPYYITTPIFYVNAAPHVGHLYTLVLTDILKRWRVLKGETALMC TGTDEHGLKVQRASAKAGIDPQLFCDQGAALFKELAARALVSHDHFVRTTDADHKDAV AYAWFLLHEKGLIYTKKHEGWYSVADEAFYPPSAVQPHLDPPTGRKIMVSVETRSEVE WSSEENYHFRLSHFRGPLLQFYRENPEWITPAHRMKDVVHAVESGLEDLSISRPSERL AWGIRVPQDSSQTIYVWLDALINYVTKAGYPWAPGRDHAGGWPADCHVIGKDIVRFHC IYWPAFLMALGLPLPKRILTHAHWTLGGSKMSKSTGRVVDPFLALDRFGPDVMRCYLA HDGGIQDDGNYDNTQIIKLYNNLLWAQLGNLLSRVTRGKKWSVRGAIQRIGPLPASDW ANDQGSEFHSTLLKFPSNVAESLDAHDPRRAIRIIADLIRSSNQYVQVSAPWQKILDY GPGEPGEEVDRIVYLAAEALRMAGILLQPYMPNKAKTMLDQLGVDDARRTFEYCAVGR DLHYGAPTVELGVKYEGALFPPLSSEE CC84DRAFT_1223450 MKFTTVIVAVIFGTAVYGAALPDPNCRFPGMPCSRDAEANAGWG SGFKRLPGQPIGKREAEAEAEPVADPDADPNCRFPGMPCS CC84DRAFT_1223451 MFERAKSQSLFVGAVSARTSLERPSSHISEAYTDIEDDSSDFEE YSLRSSNDNRKSQTTISTFEEVQTPRDEIRPQFAEWYLPKPVEGPRGPHLFRSSQSSS DFDFALQLSPLFPKEPLSRIQTSFRGATPETVVPRREYNNIASAVAHLDSTEVRAWTS QEVATWMYQNGFEDDIIDRFEAHDITGAVLLDLQFDDLKELEIQSFGKRHELWNQIDS LRTSEGLISPVAAAPTPFEDIERPCTEARQRSKSKNRERRHRDKSRGVECDGEDAKTP ITPGGGRRRRGRRHRNPGDDIITPAESVSIVAIEQLLPKPHKCAKGERCAKWRKQQRQ LARLQEEHGFPISPENGGQIFIAGDPGNADIAARAVDAVYRPTSDAIPSVVASSDLLG PGQLPEFDLKEDALQAVQSRDPQDNVRQFLTLQGVQKPYTTATPIEAPPTPPVDLFPH RPSTAQPYHYYQQHSAFSASTSAHTSASFDVSTPALHPPEFTPAPHFNLKKLPKLSIP PPRSMSAGPQCNGARSAVHASPQVPPDTAFSPCRTASPSGVYRFGTPFSEMDAPVTAI PAGPVSRDTSQSVPPNMQYRDPVQRVGSRIDWRRPSLPLPALNEDQVFSPTGPSDGDS DTLNETQFSGEDSPVSDPTLTALPGRGTLKGGFVYNGVNHHGWMKKRRTKLLRHEWQD HHFRLQGTTLAMHANELPSSSALQTIDVDDYAVACSSLASNKLSAKLRALKLSSGHKE KNGVPAAFEFQLVPTAPGKGEIRKVLPNGKVHHFAVKSRDGRIDWMRELMLAKALKAK SDGYAVVINGAAV CC84DRAFT_1191605 MVGTTNAANAAKQAAAKMHRRSRTGCFTCRLRRKKCDEAKPSCR ACKHLGLQCEYKRPMWWSSSETRKGQKERIKNIIKRTKLQEKSQQGIAMSATTPPGLC HSLPTSDTFSDGIGCTRAPSVDSQNSLDYNFNAVSTPGLYDSISMPPPMFAPSFHPAG QFAPYEVDIKTESQLFIDDIPTRRDSTISTFSTYQTPPVTGHPFPTENWIQQDYFESR RESFAEEPLDFQVFDFPHGSFSPSHQAVIPVDECDQYLLNHFIENVVRLVFPILEVNQ HGSARSDIILPALATNKTYLHSCLSVAAEHLKATERIQGEQIDRDIMNHRYEAIKELC EAFQRDTDHAQTLEATLGMILFQCSVGRADDGPAAEAMGDAIDVKWHAHFLATTQLAQ RLELPAQLVALNGQPHAQPPFNMTLTSWIDILGATMLGRTPVFADTYREKLIADSPSG LAELMGCDDRIMYLISEIACLEALKMDNMDEVQLCAHIKHVGDQISLSEPPPGTVRNP YSSTGAIRPKQLSTNLTAVFRLAARIYLCSLVPNFDRTQGSVIANMVNALSDTMEYIP AGPDGFDTSLVWPLLIAGSVSLPNSPFRTMFSERANRLGEASDFGSFGRMKELLKEVW RVNDAALANGDKQCVHWRDTMRQKGWDFLLI CC84DRAFT_1169867 MSTPTAYPPFPAVDTATRPGALTDEVESPGISSTNALARFEFAA GHGREGTKILMVEWEDDDSTRGVRGEWHISWDGKGKTTVLPAEDQPSSDVNRIYFMLA PGVAVPSSLTLTHRPHDGDRKPVVWHTNPLPAIFPPELGASARATGRKGILHTIWAKK RLQVLQKEIEAESQSNVEGIGFLMAVQEKEWIEQTFGVNAKPAGLSIQLAEPTVAPLG PASPRSPGGGRLMEKLRGLKLGTSEKDLTAKTDPSDAAFANPLSPESSDVAVSSFAAF KGNNPATLAAKPPQAPQQQQQPARKVAARIEPPAAVAQQQHAGMASLNAFAAPDAPTF QSRGAPLADDDHDDGLFALPISPRSPEMGKSPFSFAGSETASYVSGGRAS CC84DRAFT_1158205 MFVNGRGSIAHVHYTGDEAAAEIKKLLDAVMENEDEFERWEALV TRATELEGGVTRNSSPSSIELLRNAYNCFLAKFPLFFGYWKKYADLEFAIGGTETAEM VYERGVSCIPSSVDLWAHYCAFKMDTSHDNDIIRDLFERGAHFVGLDFQGHPFWDKYI EFEDRNNEPSRVAQLYRRVSQIPMYQFSRYYEKFRGLINSNTPVDDLAEPETLETLQA AVAAENQGFERSSLELDRQLRGKLDQWYYEAYTRTQADVTARWPFEEKIERGYFHVTD LKDTEIDNWRKYLDFEEAQGDFHRTCFLYERCLVACALYEEFWLRYARWMFSQENKEE DCRQIYIRASCIFVPISQPTVRLNWARFEEKLGRTSLAHDIHEAILDQNPDHVETIIS LAGVDRRHAGADAAVSTLEKYIGQRNAHVGGVLAAEQARILWQSKRAVDEARQLFKDK ADKFLESKEFWLKYMDFEIAQLLSEEDDAHKRVKEIHETIRAKGRFSEDASKALSHHY MEYLMDHGGKNAADEFMKLDREVNGYTSSKNIDLPHAKPPAKKRKNKNKNAATTAAS CC84DRAFT_1132107 MTLSLIRALTGLLMLHNVVASPQYSTPPPLDQGQLGAVASESSI CSRIGTDLLKQGGNAADALVGTVACVGVIGMYHSGIGGGGFMIVRSPNGTYEFIDFRE TAPKSAFEDMYKDNEDASLNGGLAAGVPGELRGLQHLHEKYGKLPWSTVLLPAVKVAR EGWTVNQDLVNYINSAIGSTGNATSNFLVKDPAFAIDFAPHGRLLRLNETITRKRYAN TLETIAYEGPDAFYSGPIAESTIRTIQARNGTMTLEDLKNYTVAIRKPSTITYRDYKL TACSAPSGGEVTLAILKILEGYDDLGDPSTVNLTTHRLDEAMKFAYGMRSELGDPSYV SGIDAYQEAMISAATAAEIRAKISDNHTFDTSYYDPKGLESLETPGTSHVVVADHTGL SISLTTTINTLFGAKLADNETGIYLNNEMNDFSIPNVTNAFGYIPSPANFVRAGKRPL SSISPVIVEHLNATSLASALYVAIGAAGGSRIITATVQNLLHILDGGLSTAAALAQPR IHDQLIPAQISFEYAFSNETTAFLKELGANITWVAPGQSTAQGLRRLANGTFEAAGEP RQKNSGGIVV CC84DRAFT_1105770 MPATTAVSVSRHRRKFILCFDGTGNKFSGTDADSNILKIYRLLD RNEDDQFHYYQPGIGTYIVTSSRKDVSHSGKLDRLKSWYIKAKDSAIGTSFAEHVMGG YKFLMRYYSPGDDIFFFGFSRGAYTARFLAEMLDHVGLLSAGNEEMCHFAWKTFQRWQ CRQERNEMEKRQKRYLLDFMCAFRETFSRPVKPIRFLGLFDTVNSVPSFENAWMQRSK FPYTARSSARVIRHAVSIDERRAKFRQDLISQVRPNSSNYYKRRRREPASTLHTLDGL DEQRRGRSPVKPSGRRETLAVPGGTRDFSQVSAGMRNAGVAHTGLNSAATSLSNLSLD VIQNREKYDSDDEGEQDIKEVWFAGCHADIGGGWPLLPGEDAALSHVPLVWMVREAQR AGMQFDEIKLRALNCGHPESIPASPGHHETVAPVPTIALDTASPSPTGAGDRDLSPFG RPDSLTASGHYHTKFHQHLHAAATRGKIHDVLQFGNGATRLGTMSWKCLEWCPIRRMD LQEDGSWKAISWPLPKGEVRDIPDDALIHGSVIKRMETDDAYRPGNLIVGGGGRGVRR APANMGMGKWVAAHEEGHAVGELFIRKEKPARKKTEEQAKSLALTPSGPQGTYCPAIK LVYAAYSARGA CC84DRAFT_1169870 MLARSALRSARPVGAAARNAASKTASRSVSTSSSTAEGASSWKT NALPVGATAFAIGTVAWYAYIAGRDVDAMTPAEEGLHPTQYPWEHAKWNKTFDSQALR RGFQVYREVCASCHSLSRIPYRSLVGKIMTVDEAKALAEENEYDTEPNDEGEIEKRPG KLSDYLPGPYKNDEAARAANNGALPPDLSLMVKARHGGCNYIFSLLTGYPDEPPAGAV VPEGLNFNPYFPGTGIAMARVLYDDLVEYEDETPATTSQMAKDVTEFLNWAAEPEADD RKKMGWKVLAVTSVLTALSIWVKRYKWASVKSRKIVYNPPIKSPILSKLPKPTNKS CC84DRAFT_1169873 MIQAFLQRLARWLDRPLFPWKKLVIGFSLAEFALENWLLFRQYR VLQRDRVPKALDHEIDRPTFDKSQAYGRAKAKFSFVSGLFNQLKSIAVLYFNVYPFVW GVAGTILARYAPARFAGDISQSLLFVYLFGLIDLVAGLPFSYYHSFVLEDKFGFNKMT VKLWLTDMIKGQALAIAFGIPIGSAFLAIIKKTGQSFFYYLWVFMLVVQISAMTIYPI LIVPMFNKLEPLKPGKLKDSVEALASRLQFPLSELQVIDGSKRSAHSNAYFTGLPWIG KKKIVIYDTLLEKSTEKEVEAVLAHELGHWKMNHTSRLLFISQAHMFYIFALFSVFIN NRSLYADFGFHRQRPVMIGFLLFNEILSPTDSIIKLLLNIWTRSMEYEADAFAVKLGY AGELGASLIKLQIQNLSSMDADWFYSSFHHSHPILTERLKAMGWKGDRKVTAGNSADE EKPLKAADREL CC84DRAFT_1169874 MAALRMPTLRHLARQAPASPRACQRRWARVQDVRFHATHGAQER ILAKYRDKLESKAREEGLPGVPELKEAYKDRIQELRKEASVPGATAPLAASAAPSPPL QSPSPSSRTAASASASPWPAPPPPPTPRSASSASSKPPPGVKTLDSFLALDKITSLPA KEIQVLWRLRHASNPQSVHFSLPSATFTALLATAQRHPSFVLPLPREVPAEQLPEADL DVAQSQTAAELHYLQFAHPHANTTTLLFTSLAEYKLRGEFASPHTTITFHSELARSHD LVLGQGTVVEGRGVTVDEARFLLMCMQKFYVVNEEGTARGELLDMFTRGDGGFKVERL LEEAEKIV CC84DRAFT_1223459 MAPTTVLVTGGTGFLGSEIVQALVEAKNFAVTALDINPPSLGTV SYPSVTYVRCDIMDLERLREVFKETRPTVVTILEGPAFVEPMLIWLAYKLHTVAVNLL GESRYSTQGRDTLFSINVDGTKNVVQVSQECGVKAFVYTSSVTVLLDQLEENFRNADE TWSTGRATTLYGQSKTMAEGIVLSSNTPGFRTCALRVAPIFGPRDPVTIPTIYGCIAN GETPFILGSGTNLQDYVYVANVADAHVLALHNLLDLGTAAGEAFFITNGEPITVRDLC LAVWKEFGHYPRFQLRIPEELAWWMGWGLEWASWLTNRKGTFSRGVVLDATKTRYVNI TKARRVLGYVPRVSLPEALKISCQHLQQQINAQAKA CC84DRAFT_1132122 MKINEHTALLTPKVLLVPYATHHVPTYHNWMQDPDLQTATASEP LTLREEYEMQESWRRDADKLTFIVCTPPLTEREHITAGQEDAPGSMVGDVNMFLDPDA EDERAGNGDGAQALIGEIEIMIADRAQQGKGLGCEILLAFMWYILQTRTLGMKEYHCS NPNGRENSSLRYLRAKIHKDNVRSTKLFEGVGFSKVSETPNYFGEIELRWTIGEDPLK DVETRMDAIPRVLAFKS CC84DRAFT_1132125 MSGSSDPERDHALEEYKGKLLESREWEAKLKALRLEIKGLQHDF DVSEDNIKALQSVGQIIGEVLKQLDEERFIVKASSGPRYVVGCRSKVDKLKLKQGTRV ALDMTTLTIMRMLPREVDPLVYNMSLEDPGQVSFGGIGGLNEQIRELREVIELPLKNP ELFLRVGIKPPKGVLLYGPPGTGKTLLARAVASSLETNFLKVVSSAIVDKYIGESARL IREMFGYAKEHEPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDYLGQ TKIIMATNRPDTLDPALLRAGRLDRKIEIPLPNEAGRLEVLKIHASGVQTEGEIDFES IVKMSDQFNGADLRNVVTEAGLFAIKDYRDAINQDDFNKAVRKMAESKKLEGKLEYQK L CC84DRAFT_421134 MSSFFTVPASQRKRKRSDPAPAGSKKRSTAAAHSHAHSAARRSE RDESISGSDQDSDGERDAGFFDEPEGSEASDDDDDDETAAEKRLRLAERYLANIRNEV EEDGGFDAADVDRDLIAERLKEDVAESKGKIYRHIAEELDFGHASHVFSKSGLQKAVT GCALRLPYAWTVSKDLVVEKWEIADPAIYNALEPNQPTNIARRRNPKRLCWRKGNKNM KGDKDYLGHTGEIISIAVSDSGRFLATGDKHARLIIWDADTLTPQKLFTRHRDAVTSL SFRRGTEQLFSGGADRAVLVWAAAESAYIETLHGHEDVVIGVAGGLEVNQETCVSVGS RDRSARLWKVVEENQLVFRSGTNTRQKGLDKLRKGRFGGTTDDGPTANGERQEEEDDD VVYAEGSVDCVALLDAGLFVTGSDNGALSLWSVNRKKPLQTIRLAHGRDPPLPPEEMS ANSDAKSSARPGPKLPRYITAIATVPFADLILTGSWDGWIRAWRVGTDKRSIEPVGKV GRIPPEEVDGTTVNAQSSDTMAIMRGIVNGLSVQERGERGKDGLCVVAAVGKEPRLAR WMTAKAPNSLHIFEVSKKALENGISGVPRDEED CC84DRAFT_1105703 MLSPSVKRSRDEMEAADRTHGTTKAATAAEDDDTSSDDDFGPAL PSSAPKKKKRKLPYEKLYVAALPTASRYFKSLMHREQLCYTTFTPLTDFLVTSSIDGV VKFWKKDFGGVEFVKEFRAHDGEIRSVSVSADGRSFATCGTDKSVKIFDVVTFDLLAM LSLDYSPKTVCWVHGRGASFPQLAVSSEESSWIRIYDGRGENLDPLHTLKSIHKAPVA LMVYNNHFDCVVSVDQGGMVEYWRPSGNFEKPDNVFSIKSSTNLFEFKKAKSVPVSLT MSPTGKQFAALSFPDRKIRIFDFATGKLHRTYDESIQTIQEMQQAGTAVQHLEDIEFG RRLGIERDLDQPSVRSRMNVVFDETGNFILYGSMYGVKVLNMTTNRIVKIYGQEEPFR PLWLSLYQGQPEKKGVVTVEMAASENPLLQEAEARDAMLVSTGSGKVRFYMFTNDNTV AKSERDVQNEKPRNVGSSKKAEEKVAATGTSAVIHTTYGDIAVRLFPEAAPKSVENFV THAKNGYYNNIIFHRVIRKFMIQTGDPLGDGTGGESIWGREFEDEFSSLKHDKPYTLS MANAGPNTNGSQFFITTEKTPWLDNKHTIFGRAVQGLDVVHKIENTKTYKEKPEDDIK IISISVS CC84DRAFT_421542 MSLQTVLEPPKHVNMPFPTSMILWATNIPFCTGIHSLRTRHNLC SPKRLLGIGVKPKTLPELSPGNATSPLSYPRTHFTRLHMLCIELFPLSLAKFRLRDSQ AQIHDLDKPTP CC84DRAFT_1132135 MDSESEHDHRDDESLSEPEEVEETKPRPALKKPRDVLPPVERPE LPEQPEPSTVDFSTLSPLSPEIISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKN ELERNITIKLGYANAKIYQCDNPECPRPTCYRSYKSEKEVDPPCEREGCGGKYRLKRH VSFVDCPGHDILMSTMLSGAAVMDAALLLIAGNETCPQPQTSEHLAAIEIMKLNHIII LQNKVDLMREDNAAAHYDSILKFIRGTVADGSPIIPISAQLKFNIDAINEQLITKIPV PVRDFSAKPHMIVIRSFDVNKPGAEIDELKGGVAGGSILTGVLKLGDEIEIRPGIVSK DSAGKIQCKPIFSRVVSLFAEHNDLKFAVPGGLIGVGTRVDPTLCRADRLVGFVLGLR GHLPNIYTEIEVNYFLLRRLLGVKTADGKQAKVAKLAKNEVLMVNIGSTATGAKVIAV RADAARLSLTSPACTEIGEKVALSRRIEKHWRLIGWANIVAGNILEPLKD CC84DRAFT_421687 MVYFSYSCLQLRHLSVAWASQSSAAEPVDPWPASLTCARLHQHP LSRPHTGSDTSGRPSHSHGNSGHELNNDMHKWTAHGSRQPSRVRAPAPGTASPTSCNR RFVCMSAQALQAGARLLCSAVLCKTRAGQRRRGHLSQLNGAGYGTCTADHCALSHSSK AGRNRTQDQASLLSTTRTVFVLMEPRTARGQRVSRTENAFL CC84DRAFT_1223465 MAPGAIQHEAPAVGNGTALKPTARSFHPTGTPDPTKYHAESSDA AISAEAKFAAHNYHPLPIVFSRASGCSVWDPEGKHYLDFLSAYSAVNQGHCHPELVKA LTEQASRLTLSSRAFYNDVFPRFAEFVTRTFGFDMVLPMNTGAEAVETAVKIARKWGY KVKGIPQNEALVFSVTENFHGRTFAAISMSNDPESRDNYGPYLPNIGSFNPSTNKPIR YNHVDDVREVLEEHGKNTAAFLVEPIQGEAGIVVPDDSYLQEVKALCEKHNVLLICDE IQTGIARTGKMLCHEWSGIKPDLVLLGKAISGGMYPVSCVLGSKDVMLTVEPGTHGST YGGNPLGSAVAIRALELIQEENMVERAETLGKRFREGLQGLNNPMITTIRGKGLLNAI VIDEEKTNGHSAWDLCMLFKEKGLLAKPTHQNIIRLAPPLVITEEEIDSALAIIKDAI EELPKLQGKREQEVLPPGEKNVHIGVDN CC84DRAFT_421577 MSGSGTSSFNEKEVDWRIQDQEAAAVDSKQYESIVNKPLETAKV EKDLEAHVDKQSVRGGRLLSRLHSAQSGASEWSSELSDTKSSASGRKKWYKRMNPLKW GNKPPVPETRLPSREYSAGFFSRLTFQWMAPLMTVGYKRPLEKNDLWTVNPDRSADVM VERLQASFKRRREAGEEKLLLGALFDTFKWEFIIGGACQLFASIIQSVAPFVLRYLIS FALKAYIAQHNGGPAPPIGEGIGLVIGITAMQFFQSMATNHFMYRGMMIGGEARAVLI SVIFDKAMKLSGRAKAGGKAVLEKPPPDVKAGSEAERRWYHKMLKKKQGKLAQGPQGV SGDGQGWANGRIINLMSTDTYRVDQASGFFHMIWCSPIAILITVALLLINLTYSALPG IGLLIVTMPLLGRAVRSLFRRRMAINKITDQRVSLTQEILQAVRFVKYFGWETSFLER VDAIRRKEIKGIQILLAIRNGIMAVGMSMPVFASMLAFITYSLTDHGLNPARIFSSLA LFNSLRIPLNFLPLVIGQVIDANASVKRIQEFLLAEEAQEDTEWNYDAKEAVVIKDAD FTWERHPTREDEDGPPGKGAPGKKIKENKDKRKSVQSTASSGSGSATNSAEKAGEEDL PFQLKELNLSIGRKELIAVIGGVGSGKSSFLAALAGDMRRTKGEVMIGASRAFCPQYA WIQNATVRENIVFGKDFRQDWYNKVVDACALRPDLDMLPNHDKTEIGERGITVSGGQK QRMNIARAIYFDADIVLMDDPLSAVDAHVGRHIMDNAICGLLQDKCRILATHQLHVLD RCDRIVWIEEGRIQAVDTFPNLMANNRDFRQLMTMTATEETKDEQEHAIEDEIEDEKK MAQKKKKKKPAALMQEEDRATKAVDWDVWLAYLRAGGGLWVGPIVVALLILSQGANIA TSLWLSWWTSNKFGYSEGAYIGVYAALGASQALLMFAFSIAVSVFGTEAGKVMLHRAI HRVLRAPMSFFDTTPLGRITNRFSKDIDVMDNTLTDAIRMYFMTLAMIISVFILIISY YYYYAIALGPLFLVFMFSAAYYRASAREVKRHESVLRSNVFARFSEAVMGTSTIRAYG LEHQFSRSVRAAIDDMNSAYYLTFANQRWLSVRLDVIGILLVFTTGILVVTSRFSVNP SIAGLVLSYILTIVQMIQFTVRQLAEVENNMNATERIHHYGTMLEEEAPLKMGEVRKT WPEHGEIVFQNVEMRYRDGLPLVLKGLDMHVAAGERIGVVGRTGAGKSSIMSTLFRLT ELSGGSIIIDGVDISTIGLHDLRSKLAIIPQDPTLFKGTIRSNLDPFNEHSDLALWGA LRQADLVSNEATLDDKSGRIHLDSIVEEEGLNFSLGQRQLMALARALVRGSQIIVCDE ATSSVDFETDQKIQKTIVDRFRGKTLLCIAHRLKTIIGYDRICVMDAGTIAELDKPIH LYDRGGIFKSMCDRSGIRREDFFTA CC84DRAFT_1158246 MAANTQHPVTFGATVAGLDAPQQTLRSPPSADSTMSATADEKDT GLDSSNPFSAFYKHPDARRSMDAPPQSKTLLDVNVYDRDLESGVPLSAATTQQPKVSV DGRVKECTMWPSRQAIMEKKKLSQRAKGCSFFHSLSNKQRLWAKIVIALFLVAAAAGT GVGISKAVGGGVWKKPGEHGPIQ CC84DRAFT_1169879 MAPPSKKRKIAAIPEISFDPAAREEYLTGFHKRKLARQKLAEEE NAKKERAEKLRFRAEVRKQRKEDLEKHVEEVNRLVRQANGDLSEAGEEDEDSTNEEWN GIVEPPAPIPSAMDINEEEEFIDEDKYTTVTIESVGISKHGFERRGGKEEAEEVESKE KRVWTKERPKSNKPKKKKIKFRYETKTERKVERVKQGLKKKKMAAKRMGD CC84DRAFT_1169880 MAPGLTRDAEESRLNYAAHTRYWRRNLKTFLPRQYTGNDCNRMT LAAFILSALDILGDLPAALSREERAGYIDWVYSCQLPEGGFRPAPATDLGAARTPKNQ IWDPFHVAGTFFALLILITLGDGLENVKRREILQWLTKLQRPDGGFGETLGEQDRVEG GNDSRFGFMVAAVRWMLRGNLEGPVDGVPDIDVDAFVRCVQAAESYDGGLSEAPYHEA HGGFTSCAISALFFLDRLPTKNPDGRQRGLRNLPMTLHWLASRQTLTIDEEDAAETYS DETDSAATCDDSHSFMKLHNYPSMRGKLSFERQPPVHAEIKWVGMNGRENKIGDTCYA YWVCAPLKLLGHLDIIDPKPIRRWLLDRTQHLVGGFGKLPGDHPDPQHSFLGLMVLSM FGEAGLQNVDTALCITERAKDHLESLPWRRKLLGSDSIGEA CC84DRAFT_1169881 MASGFAGSFWSSDYAGGLGVLFGKLQQGVQENQQILTVARMRAD AEEVYGNSLASITPATERVSGGFSRDEGASVRKAYEGVRTEMETAAANHRKIGSNIRE LVVSPFSRWCEAHAARVQNSQDDLQSRIKLHDRQAEAVRKFRSQYYNKCRLVEDLEEE DKLAFQDPQSEAAQSPKVKVPTIKMSEPEDDEEEPIDLGDETYQPDQVKKILSHMLDH IKLGEVKVPILGTYQNCSNGADITDYIQKHMAATSTSYAERIGQDLVNNGFLRLVGNV GNTFANSSRMSYQWKPKVFQITGVPEKKQPLARAATNLSTDSFTVDSPVGTVQEYLSG WNPLNNQYPNETPSDRLRREAREADDRYKQSVKKLDSLRCNLEEAMIDHLKFMERCEL DRLKAIKAVILDFSGAVSNVIPSLQSTVDKMMLFQETVQPLGDLRYMLENYRTGPFVP KVTTYENYYNSVDEQTFGVDLEARARSDRKRVPIIVTTILTFLDNHYPDLEGDEARRG IWLVDVPLAQTHNLRASINTGKIFPQEVLEKYDIPIVANVLKLYLLELPDSLVSSHVY EIVKTIYSTTAAETSEETRINVIQSTLGQLRLANIATLDAICTHFTRLIELTSADETY VTALANALAPCILRPRQESSLTMNERYSYRLIRDLFAHKDAIFGELKRASSLTHSSSG AQRPRAISTDESNRRANYEERHRAIAAQRSPRATSPAPGPRGGSHRRDRSQTRFPVNT SSPTETRRGTRNSLEVPGSVDNSPVNEPSDANDTAEAATAAPTEHPAPSSTRVPLPRK HAGSLARSNRDSTGSLRAVASEDAAPRGVQLEDKPMDD CC84DRAFT_1191625 MDQIKQRMNALRIEADESASKAEEYKAKLKTLETENTQKEQEIT SLTHKNQVLEAEVEKLESSVKTYKDEATGGAAASSQVEAMQRKIQVLEEEAEESDRTI RELNEKLRQTDVKSGHFERKVQALEQARDQWETKYEEMAKKYADTKKDLDEFAAELGN I CC84DRAFT_1132158 MDMLAAKDQENFVHGLQTAAASKPLNAGLKGLAAKTPATRAPKT PFKLPLNDENAVARGGKSLLQTKGTAKEQHGKLDSNAFVTPAGPRTRAPLGMKTTNAK ARAFQTPALLSGSAKTQKASPRLRRPKVKVQPDVQHADEHGVPEVEYMPPKEVPLPDD MDDYLPRDWKIPKLENGGVDDVYHNPLEDDGRTKLQRQFEETLERDIRKRDEKFDRIL DQQIEKNHVDAARHFGVALPKQIVPKPTLTAGEHLRQGPTGPSTLKARSAAASLSSSR RPVYAAPTTAIKSRLPSKQVADKKSIINSSTARHAAAVAASKSTIGYAQGRENAMSRL QPRKPLSNVMRTAPFSVTTKRTTTLPSTHNRNTSTSSATGKSRRAFSRSSSTSTNATL VAPPRDASTYCTAEEIERELELMLLRDDDNDDEESWAHSFSNLLGGGDPFDEDVNDFQ FQLPEDM CC84DRAFT_1105666 PAELPPDIAEQYSAIIDSILEEADLNTISAKRIRKGLQERLGHD ISGEKQAVTSLIMARFDKFNAEQNGGPEPEEPVPSVEAPTNGHASKRTPDDDSALSEL DDSPPKKKQKKHRSTEDDDAAYAARLQAEENMASRARSTRGGNTKKRAPVQKKKKEKK KSATKVKDDDDSDIASGSGGEKKSPKRTGGFHKPMNLSAALQELLGETQLSRPQTVKK IWEYVKARDLQDPADKRQIRCDDAMRAVFKQDSVHMFTMNKILNTNLYPVEED CC84DRAFT_1264724 MAARSNFKRAVPAGQQNVLSSLRTTQLVADSKAVLPAELINTIL DYLPVADMFRFAQTSRRMQEMVYDDTRWIQRLKSMGCWNEPEARQRFDEAMRRKADAQ KASEARRPGLPPQAAVDSPSGGGVHRKVSTTLFDAGLEEELQRKSLEQRVRPRASTLD KGFDDTTLSPGGASQPAPAYTTEPSLALKVLSRVRSTRGMARHEYGKVYGALAPYYFD LARSKSHSDPVLFRTYRDPEQQAHMLAQLKVFAKSDFAQGWNRREEKLDTMMGIFENA VLREFEQGSEARDFDGRMKKYAQVLVALNGGTAGIDSFIHNHPIMLDKEKLGNPADCL RLAGPGQISLQPSHDFFRGLAIALNEEAAIIDRVFPASVDVFEPFLERIVEDVVAEYV TSLFDEAHDVSVEIYLKAVAGVFDQALQLAMSLTATKGSKSDFSDNVMLMISRCFEQH VDLYLQEELDFFKRQSEAEVEAWEKRLTEEEQTAESFLMSNVSRQAAKRDFLTSFKKV VMAPVNVLPTFGSSGAPKPATNAVAVNGQTLDPASGSTTPNPERSASPRPEAPTSELA AKAAIMNSRLEGIRSLFSIEVALNLTHTAKASLERAARFVRLGGQSGEEAKEQCESIF IKMLQILGGRHMKPGFDKAVSHLSDYKPREVVDHSKEGVAPLVAFLELVNVGDLIQQM IDVFYLQELVAAKLTDQDDFLSAACKEKKRFEQMLDERVAAGLNKGIDVLMDEVEYLC GTTQLPTDFNPPVGPNGSVQVLDIGPSYTARKIVEVVSSHTNMLVGSTDKTVLDVFNQ EVGLRLFTALCKHLKRQRVSVDGAIKLISDMNAYNTYIVSLRNKSITQYFAALRELSQ IYLIDPNHAKEIATIIADTDRYHGIFRAEEVYEYAERRADWYQIKGAVEKQMYGVGCL AM CC84DRAFT_1169885 MRFSNVAILFALSSCAVAQDILGSLPRCGQNCFGNNFQGCQQFD YKCICGNKDLIAELSCCVSKNCNSNDQNTIITLASGLCKAYGVDVPTQASCAASASST ASTASSVSSGSASSAGGSSVTTAPATTSASESQSAAAQTSTGSPGAAGPVATAGAGLG LAVGVLLAAL CC84DRAFT_1200364 MGAVNSRPEENALFLRDQNRFSIAALSIANSRGRSLLNIAPNAF PATRYSAKRELGDDSVIDYILDPESGLAGGPPSFLLRLSNEDELTFNFTFIIRQVQAA PSIYNGNNTASTIASTGLLDTAINGLTFVFGSSARELDNLVTREFHANPNLHKDPHVD LVGDYNTGGSSSVQFQWSWKWKPPKSTEDRGGGWRTSCCFVEYDQRAHKLETLATFTF WVHNVRPLQSPKSPSPRMELGVPPRLRVPSAQSIESRVSDSDNDRDPLDVPPRSPTFE PIPEYGLGLVSSQAATLTNSAVKVDVSCSRPGEDLSQTEDGPLFRATMKSLEQKTGNM RTKWKKVLKRAESALEAQVACNNAMADLMEALREASTSNANAVQPAIDHYFDKIAKEI LVYERMNSSNIQKLIIDPIYKLYNIDIKQAETKRKDFEEESKEYYGYLSRYLGQRSDS MKEKKRAETDSKYQSKRRNFELKRFDYSSFMQDLHGGRKDQEVLSHLTRYADTQARSY LDTAKKIETMIPQLEALFVEVKEADKEFQLQRTEREEKRRALEKSKKQYDEPPSQAPP TTPANGNIARTGATSETALPGRKPSVTPIPSNTLNPSQTSSSLASIPTIASPQSPEVG HATLGGSPQPNKFKGIRDLEDKDHSIPSNHDVGIGAHRKEGLLWALSRPGSHVDPKGL NKTAWHKFWIVLDQGKLSEYTNWKQSLELHMEPIDLRMASVREARNQERRFCFEVITP QFTRVYQATNEDDMKSWIAAVNNALQSAVESAGKQDRPSTDSLPGQTRRDIASVLTGK SPSMSSHKNHYSSKTPARHATVGDRPGYRREESIGDDGKLLKQVRDADASNRVCADCG SDSKVDWVSINLGIIICIECSGIHRSLGTHISKIRSLTLDTNSFTPDIVDILLKIGNS NSNAVWEARLLGSLKPAPTSTREQRLHFITSKYADRAFVAPISPALSHYATPEETLLA SIKKNDIQNVLYALALKADPNSKDRSRGTHAVFLALAAADPASPSASASPASSPLPGA RPTTPHAPVRKSFPIAELLLQNGAELPNQSAPIPLSSSARLYLEHKADQRAGRRTMPM AGHQANTSGDILTALPSIMAGNGSTPAERAKDREARLQKRVSAGGRLVKNLSDHSEGR RGP CC84DRAFT_1169890 MATTYENLPMDDEEFDESQIDFTDIEDQYQVRLDEGLDAFVVID GLPVVPGDSKAKLVKFVLRKLNSVGKVKEDGVHMPVGDDGKTEGYAFVEYNAPSEAVA AVKQLHLTPLDKKHTMQVNKLTDIDRFGKEGRVDEEYHEPHIEPFQQKEHLRSWLGDA EGRDQMVMFRNEKVGVFWNNKEDGPEPVVDRENWTESFVQWSPQGTYLTSMHAQGVQL WGGKAWSRQKRFAHPGVNLVDFSPSERYVTTWSHRPLQVDENHPVPSLSLEEDGKNYI IWDIATGKPLRSFATLDVPTSTDAEGNPVKKKMQWPTFKWSADDKYVARMTPNQSISV YELPRMNLLDKQSIKIEGVVDFEWAPATPKRDGIKTYEQLFCYWTPELGSNPAKVGLM SVPSKEIVRTRNLFNVSDAKLHWQSEGAYVCVKVDRHSKSKKSMATNLEIFRVKEKGV PVEVVDSLKDAVINFAWEPKGNRFVIITAGEIPAGAAVPPKTAVSFFAPEKLKGGAPG NFRHIRTVDKKNSNAIYWSPKGRFVVVATLQSQQSFDLDFWDLSFDKPKDEAEKGDKE LNANLQLMATAEHYGMTDIEWDPSGRYLATVASAFRHHMENGYHMYDFKGTLLREEHI DRFKQLIWRPRPATLLSKEEQAEIRKNLRNYSKIFDEQDQAKKNTANKAVIEERQRML TEWLEFRKSMREMLEEEGAEIELNNGKVIREEDGEVEEIEEIVEEIIEESEEVVQ CC84DRAFT_422850 MGEMALDPSTVPPDLIPRQSLCFSQPTPGMVVHAGDHGFNDELL RHYSPVESNAAEQFVRLKDALRNADAETFWHLLTEGLANIAGAQYAFVSKRILQHDRD VAVELPPIGEPGACLMGAAFYVNDGHGNTAHMNNFKYHAYQCPCAYMKHDKIFIIPEK LNDFIINNPNELVVPGEAYLGIPLFAHGKCFAHFGVMWSPEGAAARRLSWGYLEMLFH GLEDLILQRVLEGKSFAKSAEVLKKETRVVPHEAVSMAQSLKPYARSLSHELRTPMQG VVGMLDVMMANIKEVAEDTHHDPRIRKLLDSLKQNIEAVQDSSRRAVEAADNVVHAYD MNMGVPETPTTPLDADFSQVNVFLRNSRPEIPVTGDTLPFAQYRGIKRKREEVLAWSG QVRVARSARRRVRHSISEESLSGTARAGRVSKRLSPVETVEEICSHGVVGTRGEVDPG LSPMFASEQSIAPGLRYTNLREVIQYVINDALKVGGRPDSAIAEETDRGEKIEVRTRS SNGEARSKMIEWTVSPDVPDTIVIDEKDLAKMISCLALNAIKFTEHGTISLNASLSVN ARYIVINVKDTGSGIPAAFLPNLFKPFSREDDSTTRASEGLGLGLMVAKGLARKLGGD LFCLRSHVSGPQRGSEFEMRVPMTPGEVCSRPGSPFGSPSLSARSRLSMEADVMPANV TRQHSTPPPAEPLQLKALTSNSPAPVKSSLGPQHLGLPSPRSASPARSRAISKGRKSH RSSHDKKLAEAYPLNFLVVDDNRINRSVLRHMLKNLGYTNVYEAYDGNDAVQQMRNNV QRPCHEAINVILMDLWMPRLDGFQATESILKIDAIVEKPIVLAVSADVTDAAVDRAGK VGMKGFLTKPFVIRDVEKLIKQHCAPAMGPL CC84DRAFT_1181897 MENAFTKTPAEALKHFGVTEQQGLSQQQVQSARQKYGRNALPED PPTPIWELILEQFKDQLVIILLGSAAVSFVLALFEGGDDWTAFVDPAVILTILVLNAV VGVSQETSAEKAIAALQEYSANEAKVVRDGKITRIKADDLVPGDVVSVAVGDRIPADC RILSVQSNSFNIDQSILTGESESVSKDTRSIKDEHAVKQDQVNMLFSGTTVVTGHANA LVVLTGANTAIGDIHESITSQISQPTPLKEKLNDFGDVLAKVITAICVLVWLINIRNF SDPSHGGFAKGAIYYLKIAVSLGVAAIPEGLAVVITTCLALGTRKMAAKNAVVRSLPS VETLGSCSVICSDKTGTLTTNQMSVNKIVFITEDGKGLEEFDVEGTSFAPEGRITLQG QIRDHLAASSDTVRQICEVTALCNDSALSLDPKSGQHALIGEPTEGALRVLVEKVGTP DREYNDIRASVSPSERLHRASKYYEDYSPRQATYEFSRDRKSMSVLVKNSHSQRLLVK GAPESILDRCTHVIVGEHGKKVQLNKQMAGLLAKEVVEYGKKGLRILALASVDDIGSN PLLKRAKTTQDYAKLEQNMTLIGLVGMLDPPRPEVRASIAKCRSAGIRVIVITGDNQN TAETICRQIGVFGPNEDTTGKSYTGREFDDLSETEKMEAAKRASLFSRVEPTHKQKLV DLLQQAGEVVAMTGDGVNDAPALKKADIGVAMGSGTDVAKLAADMVLVDDNFATIEGA VEEGRSIYNNTQQFIRYLISSNIGEVVSIFLTAAAGMPEALIPVQLLWVNLVTDGLPA TALSFNPPDHDVMKRRPRKRDEALVDGWLFFRYMVIGTYVGAATVFGYAWWFMFNSAG PQISFYQLTHFHRCSSQFPEIGCEMFANESSRAASTVSLSILVVIEMLNAMNALSSSE SLLTLPLWKNMMLVYAICLSMALHFVLLYVPFLQGLFSVVPLDWSEWKAVLIISGPII IIDEGLKFLERQFYMHKTSDDASRYVQNGSARPKAE CC84DRAFT_1264730 MRLTVKVLPLTAANAPGPYQAEALAAFQQRVFALPVDAADTFEL VWRKIEDRYKNNYLAPQHVALFAIKKLQDGRDCDLDLTDTVGSIFADEAVADRVVKVV PSFANRLFSMPPDTNLRPNPHKRSRFPSDAPANKRPRQHPPSAQVDMDAVADGPVPSV ESDGARAHRSHTGGSVTLLSAARRGQPQFTTHIKSESPELGAITSPGALKKPAQQSYD MPVNTPDRPSSQAVSTIPRPSPFPKLKRRQQRHSNQKSSESRTTQSTSASTPYGKLKK SELRELLLTRGLKTQENGKRNKDDLVQRLLAYDAQVDDTTIQETPQGKGRPVERKHVS PKSTEKIAIETLRGPPNHEVNASSSNRPDWDKPSSNKPNSKLPSPSKPSSKSPSSSRP SPSKPNSASSSPTRLNSTSPSPTRPDSNRSSPLAAREPAQWLAKSPSPHRLESDADSG ESRASSCSQSPVDNLNGDTAMDQDTSDSESNTKSDEDGEVNGDGKVDEEDEVDEEGGV EEKAEVDKLDVNRSPSPADLGAPPSAQLLRSSVVPDTPSSSTQLPASQPPPRSVQSNG GINAWFTSASQPLVRSGQARSQPPSASQPVLPRRGPRNTGIFSSLKEMLKQTREQPNE VSPVHQPKRMELKATDLGNTSQKKLPSALEQDSEDDKSQDSRSSSSDEDS CC84DRAFT_422478 MAPKSSPFPPPALSQKTKQQSISAFFSTKPLAAKPAAAANSAVS APQDSATTLSDDHVSTPAAPQASSIRKRAVDQAEESAENDAAASPKRARLDETRHTLA QASASGLNKSRLLKRTSKYCFSPSPAVADENENANEEGDDNDDSPAAHMRRVELHRKF VQKLGRPDSFAELRRRHRADPSDEEAAEGAEDDGSDHETSQSAAKPRKKGSKKPGKLT PMETQYLEIKRKHLDTIILYEVGYKFQIYGEDARIAAKELSIMCIPGKFRYDEHTTEA HLSRFASASFPVHRLQVHVKRLVQANHKVGVVRQLETAALKAVGDNRNAPFVRKLTNL YTKGTYVDDVEGLDPGNGAGQSTGYLLCITETNAKGWGNDEKVHIGLVAVQPATGDIV YDDFEDGFMRSELETRLLHIAPTELLIVGPLSKASEKLVEHLSASKTNVFGDKARVER VKKPKTMAAQSYSHISNFYAEKMSIQRGASTDQSTILDKAHQLSEHVTICLSAMITYL TDYGLEHVFDLTKYFQPFSARSYMLLNGNTLTSLEIYRNQTDNTSRGSLFWTMDRTKT RFGQRLLRKWIGRPLVHRTSLEERIAAVEELKEGQNTRHIDKLKFVLGKIKTDLEKVL LRIYYQKSSRAEVLVALQILQDISSEYASVKTAGDTGFKSSVLSDAVSSIPRIYEDVK VFLEKVNAQAARDDDKYSFFREEYEAEDINDYKLSIASVEDDLNNYRKEAAAKIGTGQ VTYVTVSGVEYLIEVKRKSPQEKRVPASWQQISSTKAVVRFHTPEVKRMIQQRDQYKE SLAAACDMAFKRFLDDISAKYQDLRDCIQALATLDALLSLAALASQTGYVKPTFSDDI ELSITEGRHPMVEQLLLDNYVPNDVHLSHKSTRGLLVTGPNMGGKSSYVRSVALICIM GQIGSYVPAAEARLGILDAVFLRMGAFDNMMKGESTFMVELNETSDILKSATPRSLVI LDELGRGTSTFDGVAIAEAVLDYVIRDLQSLTMFITHYQHLAKLQDRFDARELKNVHM RFEERDGGKEVVFLYEAAEGTSHRSYGLNVARLAKIPEKIIEVAGEKSRELEEVMAAC KLGNMSRMVKVLLRDGDEAVLEKLVEGVGQL CC84DRAFT_422443 MPATVLGKRTRSSTVTTSFDAPSTVSTRAKRRAQFVILDEGENE NPFVTPRKQSCCAGDAIDVKQPFGKRVTRAATTAPAKHATHNVRIPLSPTKANSPKKA PAASSEKPPSTPSTPRHQDVLASKIAVTPRHRLVGGGRPLTPRTPHTPTAAAAAAAAA PRNAALTVYNDARQLFVRGSAPAALFGRESERDELQSFISLRIGSHTSGCMYISGPPG TGKSAFVNEACREIITSKPIKSGYVNCMSVKTPVDLYRTLLEEFVDITQIAEGEEVAT LEKIFMQRDTPYLVTLDEVDHLLELDTDLLYRIFEWSLHDASSLVLVGIANALDFTDR FLPRLRAWGMRPRLLPFLPYTAAQISSVITSKLKSLVPDQTDFVPVLHPNAIMFLSKK VASQTGDLRKAFDICRRAIDLVEADTRNHLARKIDDITPAPTPSPARTPLVENMNLSS PVIRTPTKAKAKGALATSLSHLTALDAPRATIAYIARITATVFSNGATHRLKDLNLQQ KAVLCSLSALEKTRRTSSEENVFDSSSKAHSTAPTVKELFETYTAMCKSEQKLHPLTS TEFRDIVGGLETLSLISAVEGKAGSLVAANAPSSKCKLGFGGASTEDRSIASCLDATE LLGGLVGPAGDILKGILGGVEATCTY CC84DRAFT_1223483 MCLVKVRQDEDVVVPYRVPRDRSPRRRESVRRVSRMSQEVVRES PRSSYLAVPAPRPMPIPAPQPVPVFVEPPPAPLPPPPPSLSHHSAHYVEVSPARSSRS SVSSSSMDRSEYVVRERQYRRREHSPASSSPRYEHFRYVEPPPSDSDHFERYDRRRSR SRPRERSLSRGRGDYYGRGSRDYGGERVTRERITISERERDGRRGEYRR CC84DRAFT_422445 MSIRVLIKDYPHRAIALSTPTHALVLRHSPTSTEHNASASTASL GSSGAGAARCMVEFARLDGMDLGDYRALNSVNAHGTLGLITVNGDVFLVVVNGSRKVA DVRPGETVQRIHSVGFYCLNSASYDALLNDEVNPYPTDTIDDEGFEMGFGRGKSENPM EHPCLALKKLLSSGTFYYSSDFDLTRRLQERSSDAATVSIDSLDAGFLWNSYMIQPLV DFRSRLAPREKQALDASGILTSAIRGFALTVTVPSSSSPVRAPKSGLPSQMTLISRLS CRRAGTRFNARGIDDDGNVANFVETETIFCAPTGLCFSYTQVRGSVPLFWEQATGLLP GQQKITITRSPEATQPAFDKHFENLELSYGAIHVVNLLSNEKPNEIELTHRYRHHIRQ SPMNYAHEQSKEHELIKLTEYDFHAETRGPGGYEMASMIQQWIRDSADGFAYYLSEDI EEHARSGGKECVVRRPTTILQQEGVFRTNCLDCLDRTNLVQTIISKMALEILLNHKNS GAGQDFWARHSSMWADNGDALSRIYAGTGALKSSFTRHGKMSLAGALADARKSATRMY INNFADKGRQNTIDMLLGRLMGQVPVHLYDPINDFVIAELNRRSAEFTETEVIHILAG TFNLNGKTHGLHEDLTPWLFPEVVSSQQCPEIVAVGFQEIVELSPQQIMSTDPTRRQA WETAVRNCLNENASNHGQEEYVLLRGGQLVGASLSVFVRAGSLKSIKNVEGSLKKTGM SGMAGNKGAVAIRMEYANTSICFVTAHLAAGFANYEERNRDYKTISHGLRFQRNRSIE DHDTVIWLGDFNYRIGLSNEKVQKLCHVGDLETLYENDQLNLQMVAGLTFPYYSEARI TFLPTYKYDIGTDVYDTSEKARIPAWCDRILRKGDNIRQINYDCAPLRFSDHRPVYAT FQCLINKIDEKRKEKLSQELYGKRKEVVGDTRASTGAAMEDTDDEDLLGYESVEPGLP PASSDRRKWWLDNGLPARSRVQPPSDDHFPNHKRPSNPFTPSPEPDWVEVRTTGKPEP PPARGTQRAHTVDFHDVRTSVPNEVANPATSFQPSPTARKLIAPPFNLNAPSFANASK NPSVAHLDRSYDLRRTPSAASTRSLPALPHRTAQPTPASHSTRHVSRKAAPPIPTKKP SLHGTPTVPPQRYRDDPDSGAMSDSNPSRPLPPPPRRSMAERKPVEAGNGVGNLVDAG DERPALPPRTGTELSARGRSLMDDEPEDMENLRSWEVLKPVR CC84DRAFT_423455 MDVTALLRERVWSASIPLEISLHTADCASYNAVPYLVHVPRLSY LAFLLPKLHAFFHTSLIYPSVLPTDAWLEYDHVPLKWHYPLGLLYDLYSGAEPASSHD ASAEHAHVLSLDEGTPPWKLTLHFSNYPKDQLVQLDVQEKHIHDLFINNVKEADFLRN GTGKTVMSLSKEDSEQLWEGVKQRDFRRFARINNKFLNPQGATLRHIPMRLYLPHAAS PQAEQLISPSNRVQEVGTDEKGVMTGSVRVVQGLVPLSSSSRQRQTVGTALHTLLPNL FRNTRGPCLIAAPVLHGAILPLDAGLEELIRTTTYVDGWLHIAFIML CC84DRAFT_1105468 MDAAISRKRSRLALDNDNDDADWTPPLPSPAADSAGDTLKRSRT QSELEELAVIPPDEAWTVDVAGILASNTLAAPPGSRLRPHSNADRHCSGSILVLCVQG NVQLHYDLLCSMLPQLYSLSPSLQALVLCRDPSSHVPSAAATLSLPLVQAVGHGYNHF VRLGLLHPLGGGEHPLDALVVVDGRARRRLVLPFGWGAGKHAVTPAGRIVQAHLASLL TSCVETLARE CC84DRAFT_1105773 MDFVNKFTGGDQNSAQQQAAGSSEQKQEGGGFFSGLGNKANEAA GGGAAGEKNEDMLDKGVDFVQEKFLGQGAQDNESALEQAKDEKISDFIRGQYKNTTGS DLPIKDKPTTFD CC84DRAFT_1105642 MAPIPIPITIITGFLGSGKTTLILNLIPQLPKTYKLALLKNEFG DVAVDSELASSSAISGVQELLNGCICCNLVGQLSDALATLAADIAPDRIVIETSGSAF PATLAMEVNRLAHSTAKYVLDGVMSVIDVENWKGYEDTSYTAKMQAKYTDVVVLNKWE LVGERRLEDVEDAVLALEVDPPIPRTKSDRGWVSKDIVFGLDPKLVKAAGSKSEYHHD HDHSSEVEVLSVALTSNGNNASVDLGKLEKLLREPTKDSVYRIKGVLYASSAPTSSQS DSQSTLSVAGDRTRYILNWAFGRWTFTPVPPLSANNTALASGTTIEEPELRLTVITAK YESNQFQKVIESSGFIALDGNSEGKLTIERVS CC84DRAFT_1105576 MSILLPLYVYPWPGVWDPLYWAAGNNTNLKFNVILNPCSGPCMG SLPEPAYIDEIPKLKNHPNIRTLGYVATNYTDKVLDSVLSEIRQWASWPMLMNDTRMS VDGIFFDEIPGLYHWQKHDYLKATTNAVQASEHLGEKLIIHNPGTLPDPVWNYLDLAN TTVIFEDTFANFIEATKFNALKNFHTATNLPTSAFSIMLHSIGSIPDELVTWTVMQMK HMAEWNFATNVATAGEYWHSFPTIFELFIKRYAQLNEVDEDNLEAE CC84DRAFT_1169896 MTSRSFPFASILQYIVLVIIGYILAGAPLLSFVIGPTPDNQKAR AGTGRPSPLSLDKAAGLVIPERNLSCTEHMYKGLHVLSRDPLVVYVEGFLREDEVQHV VDVSQMHFSPSTVWSSGHERLDPTVRLSEKAPVPRSPAVRCIEERARAFQGWRPYVFV EKLWAQKYGPGGHYAYHYDWSNALRGAGRVSSFMVWLGDQCEGGGTRFPRLERPKDEA WCRFIDCEGDASEDKGDADEDEMGMGVTFKPIRGNAVYWENMRTDGTGYEESWHAGLP VTSGTKLGLNIWSWLQEGYQPLPPEGAV CC84DRAFT_1105538 MGSIVVSDFGGNHFHNVFGGGGHQQTQEPPAVHHTPARPSPQTS AHPPLHAGPLADDVAMTNPGAPPASSQQTTSEDSIMAPHQAAQPPPSPRFAPQHQTPA LATAPPAPASAAVPSAQPSPVPSALDGSGTSPRRIKVKNLSHIQSFATDEASPFSQTR SLSRRQRRDPGDVGPQYEISEMPVSDIIEMVAGLLTKITTTNDRQHEHLHRQIPPPEG ASGLSPQTTSVLAFHGKNVPSISILSYLSRIHKYCPTTYEVFLSLLVYFDRMTEKVNA GPMLSLRQANQKSLERANADTDTASSSANPIDAQNQTRPTVQAATPPPSGSLGKPAKT PIRESQPPSPPHQDLESDPYNLSHFFVVDSFNIHRLVIAGVTCASKFFSDVFYTNSRY AKVGGLPLVELNHLELQFLLLNDFRLAVPLEEMEAYGTMLVEFYAREVAEQHERQSGR A CC84DRAFT_1169898 MATGMLGEDGIHVDMNHLKKGEVNLGTSIMAINFKDGVILGADS RTTTGAYIANRVTDKLTQVHDTIWCCRSGSAADTQAVADIVHYHLGMYGITNDEAPTT QTAAAIFQELCYANKDSLSAGMIIAGYDHRHGGQVYSIPLGGSLHKQAYAIGGSGSTY IYGYCDANWQENMTEADGVKFVKGALEEAIKWDGSSGGVIRMVVLTARGAQRHLYLPD QRYEGPGAQ CC84DRAFT_1158307 MGGALDSFLSYLRVPLIASSGIAALLSGVLYFKQNEIIYARNFP PDARTNVPRPAQFGIPDFEELFIPTPDGESLSAFYIRANRAQSRNVTLLMFHGNAGNI GYRLPIAKVLAEEMGCNVFMLQYRGYGLSTGTPNEKGINIDAQTGLDYIRNRHELRST RVVLYGQSLGGAVSIGLGARNQKQGDIAGIILENTFTSMRKMIPSALPPAKYLAPFCH QVWPSEDTLPQIINIPILFLSGLRDEIVPPSHMSKLYQVCRSTPKVWRELPNGTHNDS VSEPGYFAYIDEFLSEHVGK CC84DRAFT_1223494 MAFVWVQQWFALPVVIEEPMGDLPLGSGPQALDITATGIAHDDI QSHAQHPLGARSPTSSTPFYSQLSSIPQSQLRRDLRSPAHPSSPHYPQDHGATSLNMS AMAGSLPEYASADGAHLQHAQRQLSGASTSALVYQLQQNLQVHGPASNTLPVHPGYGA SFGPGQFQQAYIPAQASSHSNYTFPPNQQRGPGPNSIQPPYQNYPQQSPYLYYPAPYG THHFVPGFSAQNAQTHALYGRRPSLASTQAPLPGQSLDMSPRDGVFPHGHHTNSSAVQ VDPASMGWIPGGQLAQSGGIVRPDLVSSIPRGPPRKPKQSGHALWVGNLPPGTTVISL KDHFSRDATKDIESLFLISKSNCAFVNYRTETSCTAAMHRFHDSRFNGVRLVCRLRRS SAPASGVPTGPSAMVGAQATNPSPPTPLHDDQDEGLQPATEAKLALHKSDGNVQGTSG APDRYFIVKSLTLQDLELSLRNGIWATQSHNEDALNSAFETAENVYLIFSANKSGEYF GYARMTSRILDDDVQLIGSAPKQDNILEATDVPKSIPTPATEFAPKGRIIDDSARGTI FWEAELTDSEGEEDQPDKEDAQTEGEAPAVAQSWGKPFRIEWISTTRLPFYRTRGLRN PWNANREVKIARDGTELEPSVGERLLQMFRGPISMPLAQPPVGAHMRPF CC84DRAFT_424184 MQWFLTISSCSGLAQIPHENEVNNHPAPQVIAEPTKTPMLNKIE AETCTATVIRSGLRTVLHGQCGICMLWGGHKKMRKAIRVRSPGRRGPRGPQGHKEHLE KAVAAEWLGTERHRQAQRHVDTRCASSVW CC84DRAFT_1223495 MYSRQNNQYPVPAPQSQGALAPPKPDALPPLPPVQDQPAMNHLP PHQPPSQVPVYRNDHLQPFTGSKNGKMYRLVVEQQPIRARMCGFGDKDRRPITPPPCV RLIVCDAATGKPIDANDIDSTFFVLTVDLWDADGAREVNLVRHSSGAPTVSISSSTTT SYPPPVERPAMYMPQVMPGMPQVDAYGRHMPQTAYPPANMPAYNAYPAPAQGYPYPGT AYPTSSMPMAPLPAPTSTNGMFTRNLIGSLSVNAFKLTDTENQLGFWFVLQDLSVRTE GTFRLKMNFVDVGSGSGNNTLNTGRAPVLATCFSDQFQVYSAKKFPGVIESTPLSKTF ASQGIKIPIRKDGPKNLSNQAEYDNDD CC84DRAFT_1105658 MTQAPKITINVARVATIQAWFHKTAVAHSIKDLEKALPQVASIS GMQVKDYLQALQDDNKICVEKIGSGNWYWSFPSDEKKTREAALERAQEDLIKADTAAT ELQRKVDDAGAVRGEDGETFAYTGMDRDSLTTKHATLIKELEQLRQELAAYSEQDPIE VDKKKHEAQQYQGEVDKYTDQILSMEGWLKERLRGDAEQVMQTKRLLYGDDFDEEEGG LRESHSIIVSNIASRVTLSHESFRVIVSPSGVDIESSLSMPRWLCLHVRLRRASCTQE TPRMDSTSANLPTPGLNPASPIRGGRARGRGRGFYSGGSEQNHEHTGGRGRGRGGTQA GRGRGRADRDGGASRGARSLDKGKAPATSAPDNHGVLAAPARPVVLGSDVEADTDAEE GEVCFICASPVQHTAVAPCNHRSCHICSLRLRALYKTKACAHCRTESDHVIFTDDPNK TFQAFAPADFFKSDDNLGIHFENLETYDDTRLLLQYNCPDSVCDVACLGWPDLHRHVK TAHGKVMCDLCTRNKKVFTHEHELFTFAELRKHQKFGDDNPGAIDQSGFKGHPECGFC RQRFYGDDELYTHCREKHERCHLCDRRNEGRKPQYYLDYDDLENHFRKDHFLCPDREC LEKKFVVFDSEMDLKAHQLETHPNGLSKDALRDARRVDMSGFSIRSHEQEQDGRRGRA GRDGRGDRGGRAGRGRDPNSEPLPPSSAQPMTRAELAYQRQMALQHASSTSGTPSGGR PSAGPTPTEAFAARPRPSASDPPTVSVPRPVRTLANELSNRVGQVQLDAPAPNVMPQT PQEQARLLRHSAVTERASNMLRNDQTKLQEFRSSISAFRSSGITATQLIEGFFALFDT GSAELGKLVKELAEIFENQQKREALLKAWSDWKAINEDYPSLPGSATGQSLNGGPSAH GGSRVLKLKSSTVQSSRSAVNRHASWNNAASSSTFPALPAASSNRIGAKPGQTPWATS SATPRISPMPSRATSSVALNKSSLADAFPALPAAPKPTSTFFSPGYMGAGVRRDNSGR STPASAWGAAGGMASSSTAPAATAGEDAGGGKKKGNKNKKQTLFHFG CC84DRAFT_424178 MPSETVRSSRSTVYDAVAGRVTQSGVYEPTATEQKRATLRLRPD EVLFKQRRAPPRYEEADYYFAHRKLPSSQALPSSDLLSALHEYIAQLYDCNFSQDRKE SGSWKSMDETALIAFGVLLEEAMKATLGERGHLALVEAEGSQEDETFVKNARVKEEED GRGRRGRGRDIC CC84DRAFT_424204 MNAQQIANRAYGISPTHVIKRALVAHLVYALQPSSVSFSARLPS PHEPNERHRAHIAGVNALTIDRFDGRYLLSGGADSSVALWDLEARPTVVDGDATYAPL STMRKTAEEHKLGITQVSFYPFDSLAFLTSSYDHTVKIYSSETLMPSASFDLGSVVYS IALSPIASHLLVACATQHPTVRLVDLRSGASSHSLAGHSGAILSTAWSPTREHILASG ATDGSVRFWDVRRSVSELGILDMEDSVGLLGRPASLFMRNSVQGKAHRGPVNGIVWTE DGHHLVTCGHDQRIRVWDTNTGANTLANFGPMVKNSDLAPCIPLLPPAKHLQSGKDVL FYPNEHEILGYELFDGKLFRRLRRTEQQKQMYGIRGQGQRNAKDKITSLAWRAHDVEM YSAHSDGSISVWLPRTEEDAALDEEAHEEQESKDESKKRKRGVLDEIYQDLTKRSLTF GGM CC84DRAFT_1105554 MYRRGDPRWNRTLQNISDTVEHANESAQEGLYSFAHAYITPCLS SLTQCANACTAPCFPSHNDRRRHRARSRGRAEQSFDFYDDWDGDEDDGLLGWGHDNLD RLWGATANYGTTSQPSRPRAMSYGDRNRNRDPRFTRPRRKSAVPPHDGGPDPTIIPSS SYFGFLGRLPFRLGGKVLRYRPSAADLTEHPGQARRSYDEAQPLIEGSDDDGAEAQHG SDIKTHGRKRSQTNASGHTTDSFSSRGDIFPSEDELDDAVPLDDEFAVALERRTTGQW YDDASSGKDRAPSGSKSKRPSASRLSTKSTSSRNIQDPGRNSHEANVTRLPLEPTDEA TTLTDLQHEEEQLRLQEEAEVHRRRQEAQQLAVRRGLTAAHQHLPIPRRLSPSPSSTA PPGQMGTPSTTLSPFFMRTTGSETCPDSEPALSPFPVVDTQTMPDSSSFHVDESDYSS LATTPNRPLSLKDEARDKQVDNKNSNFVPARLPHFDAQPS CC84DRAFT_1169906 MRYIRFLKPPRVVHDKHRPSAHVACLVTITSDLGDSFLPYSLTL SAELIQDEQYASETGLEPDSDSDAQLPAPDTLLSYDNVKAWKTFQWKEGMRSLPITLP LSRNYKQDGVLVVRVGAEPKADSDDFNRMLLEDSPGVVSVWSAPFNLRRSASVARTVE RRFKIGSRTHRIFEETGESIARHVWDAGVALSNQLPCLLGHSGIINSMVADSRRRRGH ADALLSSACFEPPLRVIELGSGCGMVSIVLSHLVANVEISVTDLAEAQAIAVRNIGFA SRCRTNKAVLAFHELDWEHPLRYGGSLERAVDLVVAADCTYNADSSPAFVNTIQHIAL QFPYVTVAVAMKKRHASEDVFFSLMSDAKFQMTSSILFPLPGDQSSGEETISLYLFTY LYT CC84DRAFT_1210623 MATITALLVLIPHEHEHSKTPHDPQGRTGAPLYGPRDCSAHVKS RWSFGRTTAVSPKYMRLQRRRFASSARLNPRAEVQASISRAAALYLSLYPSYHIVFPF NEPARARLRLGLGTGAGGLEKIEDVVGLIRSFVRSAERTTAGFGARGRRVGWIDERRG PRESWHCSQSRVGLAMFRALPEAGGAAVLSREPCRAAEHESALAVAGSRYSIPWAKLW TRSSPSG CC84DRAFT_1210624 MPRLPVSPYWSANPNFPIFLDPKDDYRVQGQTPPHSRHAPEYIC PTCETSSRDTPIHCVSKPPAHSPTPPSYSLLAPPPQSSRHLAVPILTVETPRHRSKPQ APNRSLQFDPKGRPHLRFRRNAFSPHSPTVTESDKIDWRRKSIAGLGIEEAPFRPDTS PITPGIAEKRGEENAADVQQASNFAQRIEQRLWRYSASRNVVKRWLLEIISWTLSAAC MAGVIVMLYVYQNRSIPRWPLGLTLNAYISVLAKIASAALLLPVSEALGQLKWSWFQG DNSKKMWDFEIFDNASRGPWGSLLLIIRTKGRSLAALGACVTIMALCLDPFFQQVVEY PEKWRLQDGKGQIPRAIGYEPFYSSMSFQQGFQTMETDQSMVGVGYRFFFGNGTPPMT FGKGMRAEVPLGCPNSNCTWPDYETFGISNECRDAIDRMEFRCSHGPMDWIQMPDADP DAVEDYTFPNGTSCGWWLKADKPLLMTGYNVDRRSNHSGEILLQRAQPLYDLFSREFM PGYTPLLNNSRNPLAHVIIVSGEEAESVHRNATPSAQECILSWSAQTMQSTYTAGGYI EKITRAFVNTSVGESHWLTTVIPNDNNQMILYDYVYLENITLITENNTYFIDNNTHNA MVALFDDVFPSTYTILSDDKDAQPMMRWKWWKTITPYTRRMIYNPWLYRNVTMHMDRM ASAMTNMMRSAPSDTETVEGMAYDLESFVDVRWVWLSLPLGLLAFTGIFLLATIFRSS REHDRGQVGVWKTSAIATLLYGLPDDMSKKMTSTKADETPRAKAKEVKVKWVPIGGWR FSGNSIPPSFKGSPSSS CC84DRAFT_1169908 MRSALIIAIFALTVLTAPISNLKLNDAPDIAVETRLVQARSVEK VPFLLRSEEDEQAEDEETDARSDRGKESRSVRDKSTRSAGEKEGEEDNIETRSFRGKS TRSVRGKATRSTEEDIDDEEEKEDIREVEARSVRGKASRSVRGKATRDAEAEPSVRGK ASRSVRGKETRNAEHSTRTRSERSTWASGLIEALRTRGFSSATLINERQPN CC84DRAFT_1181917 MDFQLPDGDSIRPLAPFADMLNHSSEVKQCHAYDALSRNLSVLA GKNYESGDQVFINYGPIPNNRLLRLYGFIVPGNPNDSYDLVLMTDPMAPFFEQKHKLW LSAGLDSTSTISLTLNDPLPRSVLRYLHIQRLHESDLAIIAPHQSDAAVEKTSDSNEV EVLQFLVESISSLLDGFGTQLEKLEEQLAEGFYSPGGNAWSAAHASLGEQRVLRLTRT IAGDLLAALESGSGNGRGLLSAPAQCAKCEKAYV CC84DRAFT_1105539 SSREAQKLSRSLHHILIQNELLHIEVEGLSKALLSKKKHEKKSK PLDLQQR CC84DRAFT_1169909 MDPINEAIEEINSLGPGETFTYTAIAKKYGVLPPTREMVQNFAS AIAKEPVSESWVTRFLTRHGISITPRWSTGMDRDRHHADLEDKYQLFFQLLIEVIEKY DIEPRHTYNMDEKGFLIRVIRRSKRIFSKAI CC84DRAFT_1200384 MVDILASMKALPLFAACVLAAASYPPIPSDKTTPVQQRLAVKGP NAVSVGWNTYQKLAQPCVQYGTSKTSLTSMQCSTSSITYPTSRTYSNAVTLTGLTPAT TYYYKIVSTNSSVEHFLSPRVPGDKTPFSINAIIDLGVYGADGFTIQGDQTKRDIIPT IDPSLNHTTIDRLARTVDDYEFIIHPGDLAYADDWFEKPKNLLDGKNAYEAILEQFYT QLAPIAGRKPYMASPGNHEVACQEVPVLTLACPEGQKNFTDFMNRFGQTMPSSFASTS ANQAAKVNANKAAALAKPPFWFSFEYGMVHVAMIDTETDFANAPDQPGGSSGYLNASP FGTANQQLDWLAADLASVDRTITPWVIVGGHRPWYTTKGSSPCDSCQKAFEPLLYKYG VDLAIFGHVHNSQRFLPVNNSVADPAKLNNPKAPMYIVAGGAGNIEGLSSVGGNYSTN VFAYADDFSYASVSFQSANNLKVDFIRSSTGDVLDSSVLYKKHDQQFVVQ CC84DRAFT_1105571 MPGKRIAQVAEQLCRRCTENQAVLVVRRDALCRDCFVRYVHTKV IKHMESFRVRHADPNRQRKLLLALSLGASSVTLLHILDHLLETQTSKSGRTGFGLSVL FVETAGDASHQVRLSKVRQAYPDYDIASLPLHDVFRLVPDQESLSGLLPGLATVQELS PADKLAKLFGSLTSATARADVAATLRTRLVVEYARSSGCEVVLWGDSTTKLAEKTLAE TAKGRGFSLPWHVADGETPFGLAFNYPLRDLLKKELVAYVEIVGSPLPSLVLDSAPTQ ASMSSKNTTIDDLMKQYFESVEENFPSIVSNVVRTTGKLTATSNTNDASVCDLCSMPV SDGRFGIHGWGGDQHGIADPVRASSGRRLCYGCTRSIPSTTNPSNVVA CC84DRAFT_1158339 MKPWSRKAALLLLSTLTAVAAVDVVLTQDDSQKCSGMYSKKSWG GPVDPFIEVKFIDTDKNKELKDPTVSVIVWEWKDSTLLGKQTGDELVYICDDQSIKAN LCNETHKAEWILADDYEAKSKNLIRTEAMHLDKKKPINYPNKDNAEKVPLKTGYYCVA TLPFTEGVKYEAIVTFRNAYGELPAAQIPKLPFYGGITIAYFVVSSFWGFLYFQHRHD ILAVQNYITAILVFLVLEMLMTWGFYDYQNRHGNNLGAKVLMIVVAVLNAFRNSFSFF LLLIVCMGYGVVKHTLGKTMVIVRWLAVAHFVFGVVYAIASLTIRPDDAGPLVLLVIL PLSATLTAFYIWTLNSLNLTMKDLMERKQHVKADMYKKLWWCILTSIVVIFGFFFLNS FTFAGVSSPDFAPSHWQTRWFVLDGWLNLVYFADVCFVAYMWRPTANNRRFAMSDEIA QDDEGFEIASLRDSLDEEEGNGPAAYDPAPRHRSPPPFNRNVSPLPAPKPQKPVVPPR ESLDGDTIFAVGEDDKWSDDEDMEPGSGDDERERLTTNTRKND CC84DRAFT_1049351 AAGCARLSHRRLIALSGPDAPKFLQGLITNNVDPSRDTPFYAAF LDARGRMLWDVFIWTYPGLKEQMGVAGDWACYIEVDAGEVDALAKHLKRHKLRSKITI KVVDENADHEDGKENVQVWASWGSEASLGESHRSLATIPDPRFIGDKIAMCRSLVRPL DTTPPKRNAPLEEYHRLRHTYGVPEGPAEIPREAALPMEYNIDLAQGIDFKKGCYLGQ ELTIRTKHTGVVRKRILPVELSTADAPHTEPDTHLQIPTYTGDVLKVDPAQFDSADIK QLAEDGGIKKGRAAGKLVSVVGNVGLALCRLEMMTSMKVSAEGGTWMPGMEFGVADRE GRVVKVRPFLRDEWVTRVRDIWDK CC84DRAFT_424906 MAVSTPTSTLSNLISRLRSKRKSKSKSKSKSKEHIESDTRPPLI PTPLPLVLPHHQHLLPTLGWTTLTLPTNPAHPLQAAAQALFLAAQQFFDSPDAEKAQW KHRLGSEEGWSKIPGEKEFITLRTAAYCPAVLREPAARYWGLMGGHLDSTLGRISASL GLPSDDGAGLRRFVGPCKAMGAAEADKTASMLRIFRYEGWDAKVVAEPHADLGLLSAV VGDVPGLEVWGGEGWVGVERGYEAGGRQATYTVGRTLERLSNGRFPAGGHRVVSYGAT PTEQQEAGEVKKRYRHSIVFVLRAHEPVVVESKELETAITGPWAVPVGGVTAGKMYEE IRGQHFNINIGHEEREKQRRNVREGKAEGKTQAAG CC84DRAFT_1169913 MTSPNQSLLDWLDDLCVRFIVNLPHEELQSVERICFQIEEAQWF YEDFIRPLDPRLPSMNLRMFSQRMFQHCPLFQGFSEDHFLEAFQHFLQYKTRVPVRGA IMLNQDMTHAVLVKGWKKGAKWSFPRGKINKDEPDLECAIREVYEETGYDLKDAGLVR PESEMKSIPVNMREQSMLLYVFRGVPMDTYFEPRTRKEISKIDWYKLSDLPTLKRKNQ VQQGPGQDLIKDNSFYMVAPFLGPLKAWIKLQRKQDRHRAPAGSHPVPPPAAGITDTE GLEADLGETTADEAAMPETLSEEQSFAALVANLGRSQRASDALPEVSTHAQTQGVADP AAELKRLLSVGMGFPSQPQPVEAPQSVQEQPPNPLLAMLHGNNGPHPDSLPRTPFDQI MPTPQPPQSPHGQHHPRQLQLGQMPPPPRFPFHQQQHQHQHQHQGGPFQGQHHHHMPP QHHNGFPPHPPSHFMSPPASQHANPVFSAHAPNVQHTFNDSAPPPYQRTGDPQFTQPP QFPGAHGPAIPPASKLPPPKLTPHSLGLLNAFKISEKPAISSPISQAQQSSQATPIAT RPPPLQRQSDAFVSPPPAQSTRSFSPSPPTFQSPPPLANFEPAQPKPRNAHQDSLLNL FRSPSISAASPVPAKTPELPVELSAYPSTPGYAKLNTAAEAGPPMPDMHAKPNLLHAF GGQEKPGLTTATVRGPVNAPDFDTVKKNAQHILNGNSRGPSPSTMKPEPKMFVPQQIL RRDSSVPRKNAPPAEQDRSSNASPAAAPPAAPFKPQILKRPQQPPSQEPVPAPAPSAH TQNLLSMFKSPSPQPQQPLKQEPVSVASAHTQNLLSMFKSPSPQPQAVPAPAPPSRSP APPAVDPSSFGRKDGIPADQKTALLSLFGKPAPLTASPTQTAGSPLAPSVTVPIPPAR SPQPPTPKTFMSGLISPVSPLPGSQTDSPAQLNSRSRISSIGDTMPPSIVIPPTSAPT SYPQIPLAQQSNGFGTSMDGSFMSATSVSASELGTGVDKGKGKAGTDSAGKSPVDKNF LLGFLNDVARKGR CC84DRAFT_1169914 MTQVIAKYAARKMLNSSMEKYKDKAPADEYDPFYEYIDHPRKPG KKKKVKKQIPAWIPEHDANILAKARKSAYRLDCCLFNFLGVRFGWSSVIGFVPVAGDA ADFALAGNLVKNMSKVDGGLPRGTFLMMLLNILMDFFVGLIPFVGDLIDAAFRANSKN VRLLEEHLDKKYKPQELVDDDRRQKRHPRPATVYEDFSDDEYERTGVIRDGRDDRDAV RQPARAHSARRDRIADEEMGYDRSDRRDDRPSRNNTRSSRR CC84DRAFT_425113 MSRRNDTFISLGEKKQLCHAQDANEDWTGKASAKERRKLQNRLH QRAWRRRKAQEATHHPPDPHPSNEPQSLTDAFIRASAAFLPPPPKDSTPTSFTNSIQD LMRSGVYATPPFLSLLHYNLNKPSLPWPTCAEPHKPMLFTPIIPYLDASGKDISFTTD KEAYPPFHPSLFPLTPDHYLITLIQYNTLRGVLTNLLLVRIHLDPECQNALRIPLLHT PPSTPPPTFRLTPIQKSIKHDGWIDSVPCPTLRDNLILASASSPPTLNQDEMCDDICG GLYDGFDHVESRGLLVWGDPWLVRSWEISPGFAKKWAFLLAGCGEMVSATNTWRESRG EEPLVVEV CC84DRAFT_1181924 MKNIQARADFDPVKEVPSLEGKVLFITGGTAGIGAETARTLAPH GPSHIYITGRNRKAADTLIGDIQKSYASVGMTFIEVDFTSLSSVKDNVQKGFKHERLD VLMCTAGIMASPPVLSKDGYETPTDHETPEIQFATNHLAHAMLIDVLLPTLLRTARTP ASDVRILSTTSMGYGFHPGNGISFAELDSRSSMPRFMLGGWVRYGHSKLANILYPAEL ARRYPEITSLSIHPGVVATDLMYKQDWQTRWFIHITCWLQGLKYLTPHQGCWNQVYCA AVAKKEELVNGGFYYPVGLHAPDKLDKAATDANLAGRLWEWTQGVLQKF CC84DRAFT_1181925 MATTTTAEKNEVLMLEQQQTNEPDYVKPVPIVDKQDQFGAHEKT DPREIALVKKLDRWIMPMLWSMYWLNYLDRNAIALARINDLEEDLNLTSAQYQTCVSI LFVGYLLGQIPSNMFLTRTRPSRYMGTAMMLWAIVSGLTAVSKNFTGLLLTRFFLGLT EAPYYPGAVYLLSIFYTRKEVATRIAILYTGNILATAFAGLIAAGIFHGMDDVAGLSG WRWLFILQGAVTFVIAIVGFFLLPDFPHDTKWLTPEERLLATNRMELDTVGNEGETST RKGFMQAAKDPMVWIFAFMAHMHLAANGFKNFFPTVVETLGFEETITLVLTCPPYLIA GAVTIAVSWSSGKFNERTWHITISKAVATIGFVSACATMDVAGRYVSMVIFTIGTYGV NSLILGWCGSTCGQTKEKKAVAIGIVTTIMNASFIWTPYLWPKTDEPRYAIALGSSAG FSVATAVLAWVAKIIMKRRNKALREMNDESGNFYVY CC84DRAFT_1200393 MTTRDEVTERTYGNSLRERWSSPIVIISLFFGTLLIALDTTIIG TAIPAITSSFQTLEDLAWYGSGYLLTVTALQPSFGKLYMSLDIKSLYMLCIIIFEVGS ILCAAAPSSAVFIAGRAIAGMGAAGLLQGALIIITNSVSLERRPLYMGIVISVFGICA GIGPVLGGTFTGSISWRWCFWINVPIGFVVLFLIFVFLNIKKDSTRRSPQAASNPKQL LTSLDPCGAILVIAAICCLLLALQWGGQTLPWKSAKVIGLCVASGLLFVAFFIVQWKL GNDGTLPLSIIKQRSLLSGVLFLFFMGMPMTAYTYYVPIYFQSIQGMSPLASGVNFLA FALPQIGLTVATGALATVFGYYVPYMIFGTAISIVGSGLVMTLDVGTSTAKWAGFLVV CGFGTGMSINHPYTAIQAIMTALSLSISQTLFVNRLTAEIKMKTPEIPPAVVISAGAS NLPLIAPTPKALLLLREAYANAVQHVFIYALSAACVGLLATFGFEHYNLKKVAEGRKA AKEASHDLHVQENRTSLDQASEQC CC84DRAFT_1158367 MLVGDSTNHSAQIFGAVAAGWLGWYTWKFFISPLLYRDEPKELP YLIPCKIRISLLDLSLYANNTLRKRFGNDPWAVTIMGESLYVIPSAEDVLAVYRAPKT LDFDPFIKEMLSKYGITAETASKMFDTLPGQTKNWMETMIENFKTQMHPGEKLDHVQT RLLGFIDHSLVWGRLHGRMVLEDGGNERVVSLYNWSEVVIVDAQTRAFFDDALYKECP DLLAQFQIYEDEAWKLPMDLPDFATKALRSSKINIESGLRRYLKLSRDERPNDSWLID ILCKDMDKLGLEEDQKVYVLFSFYRVMNANAYKLVFWIISYLLFHPQLMEELKVEIEP AFRDGNHSTPDLSFLFDSCPLLASICEEVLRLTNWPIGTRTVQTDTIIGGKKLRQGRK LLMPYRAMHFDPAVFGADATAFNPKRFIMKKTLVTHKSYRPFGGAAHYCPGRYIARRE VQMFTAVMLMRFEITLLEGEGPGNRSHFPKMDDTLPSGGIQVPSKGEDLIVRVRPSKA V CC84DRAFT_1210636 MTSLGIVEQVVNMKYSLFHVMANRDHISTLFRSSKTLTSKTGTI FALTRILNTPKDVIPFYAADDSGMATKPRKESKIRHEDRIHYWQAHTSQKWLSGASLQ LMSETYLSFLDEELSHLNINEEWVEIPDLYRFLQIHVSTAAIKAMMGTKILEMYPNLV KDFWAFDRNVGNYSRLIPRWWMPAAYSVRDRLLKNIGKWTDYAHSMSDCSRTGPDDTH WDPYFGTKLMKAREHAYLKMPAMNLDARASETLGLLFASNGNAVPATFWYITEALKDL TLQDRLMSEVMTCRKETGCLDVTELATKPLLQSTYAEVLRLRIAITMIRSNEYESTHL GPYNVPKQTPMAIFSRLAALNRDAWAIRPRTLARPLEEFWPERFLVGSEEDRGGSDVK VSQEPSIPTIEVPKFSLDGLAGCWIPFGGGQRMCPGRHFAKHEILGTFSVLMSKYHVE AVSMVEAVDLQPDLSWAPYGGLPPAGQLRVRMRKRTSTD CC84DRAFT_1264765 MSDTGTNGDFDPSKLDPALLATFPALTPPSGVEPNFNNPRSIAY QCQVAVYVLLPIMLVHVVLRIYTRLQVKNSLGIDDYSCAVSSLMMTAYCSIILRSPHQ WDVRLIDLTESFVKMSTTQFIMYSFTATFIKLSILLLYRRLFWIRDSARYMICIGMVV TALFYLACFISSLIHCVPRHGEGWLSQESKQRCAQPELEVSVVQGVFGVISDFYLLLI PMLQVTRLRVTTSQKIGLAGLFLTGLLACICSVTLCIYRFRQRGTDDFTYMSVPVYTF AVAELNVGIICSCMPVIFVVVKSFSKKVTSTIRGRSSGSRSGELPTDGVPPNCSSEAK PLKPLPGVPRGHLSTLMSFIRSGRRNNTEAGSLVNGDLILTNMSDFDPRKDTYHAHIQ SIEDRA CC84DRAFT_1158375 MLKAIVILGLATGGFGIPTTTHLTERGNHNGLNIDISVTKGLLK SPTDGRVVLMFAPNGTDPLDDTDVTSSPNYIFGKNVFDFKTGRTVSLSGGSNQSTETG VYGWPNISIDAVPPGTYSVQAFLTKYEKVTRSDGSVVSVRFPCGDGAPNVNGFGSLTT KLADYTVSGGQQTLKLVFDNVTATEPFKGREIGGCNQANYKDTELLKYVKIRSKTLSA FWGRDMYVGANVVLPAGYKASDKNTRYPVIYSQGHWPADSGAFDYPSDTEFADAWNNG TIPATNTTEARPAPKMILVTFRHEAPFYDDSYAVNTANLGPYGDAINDELIPHLDKTF NTIRAPYARIQEGGSTGGWESIANVIYRPDLFGVCFSSYPDSLDFHRHQDIELYTAAN AYQRADGSFVPSIRTHRNGTEVVLASTALENHWELTFGTASRSFNQWDVWNAVFGVQG YNNYPLEPWDKVTGEIYHDAVEYWKPFDLSNYVTSNWNNARNLGKTLAGRIYIYVGTW DNYYLNEGVQEFQKRTDAVGGAGWANITILPEKPHGGNYQDREIWDYLTLVDSWIKDH APDGPSPLSSSATAPSTRGNEWKEVIKRGGRQAAVARQAPPTIKSKTVKVGQEVKASV GHWDPGVVLKAQWTLNGKPTCDKFNVKQLATVSYKPDAKGKLQLLVTGSKRNYNTETR KSGNIMVR CC84DRAFT_1158376 MASAALDPQILRSSRAHEVTAHLRGVLQNSSEEVITREILHLIG LDSIAPAAFAQWLGIAQSPETLRTALTQTASIQVRRFAFKKLKSSLSGSRWQETWDAL GGASGWLTLLNEFSVQDVKEACRVIAWNAKGAEVEAKRKRFTELFMALLPDILPDEPS NIHQTPDHRQLRVTYQRLIPTCTSDAVSHILNKHWDAFKSCRRPLLLSHADTLQGLAI RSVFENHPAGEKWLSPLLSRYPNTTTAVPGVSASMEFSLSLLERLTNEGVESSLDANI VVKELSEPLLKRALRKKADWSFIQCIVDLFLLYLDRHSSVAETLDMRQGSFVYLVGRC WSIKPDMFADQFEHILALPYAKMEKRQMFSMLVQLGLSGVWKSRRYALLQFYCSSLYQ CDLENEADIKTAKLPPLKNTLLNKMLPPEDALSLFTRIRSAKGDYKLVDRSAYDYGEP GADTIIDADMWQALLLFRASHYAEAENFARQCFEARKKKTVSSAGEQRRATSARSAID FATLSGSLDVYMEAQQWARRFIRDPLTTRELYQTTTREGITLLSGIPRTLSRDTPLSE LQNRVEYANKIMLFMFETTCIALREPSFNRVHFRGVLALFASVVQQRIKQSGRLEEHS SMSHEDVYRILWADTLKMLLIVEERGLSPGHENLSLNTVRGVLNYQGTAYIDLKDDRP ATLRFFDELAKARDELWRKHRLFTHPATDILPAPFPKGLPVQHLTEPYVLYLRKEHHE TPYIASRINAAVFPEQKAALVPFPEDGEMRKAIGTFLDDYLFALNMFAPKWLNKEDKT RRMHEAWAYASGPLSEGRFNLEEADRYWTTGESGGRIFPKYWRKAFDTSLSNWPLVPE AEHPDKIQEWNPIPTALNYATERKLDTMTYIDVSKQLLGRSKNATVFTTFQLVDPVIP ATSRVDAFSTMRTRQAKANPAIREGQIMLALLYLDNLFPKLRFLNTRFPVGAPQSISR YPAVHLDSDYLSRTSSKNVRVADAIRFLSAVRTNVPSSLLAKAAHGAYTALSTTSEQE SGYVDLERRTFQLVRMLVFCDRPYLASDLVVRIVLDQPQNSSWHRQLLSKSFLHRLPA AAAQECVSTFAKEITTRTKQQAAISTHSSTRSKSDQSADRSTETMDYRQGLVKVTTAK LLAELLGDTTCLPEEFAVSILSELISNATHMDIRCAALSSLLGLLKFGSAGKVDIILT ALQNIIPIVGNLRERRPITDAEWAHAENTLELPELEDQVSLDESAPMLWSLFTFLNKN PPGCSQFLYQTELVTRIVLPIITSLKYQTAKWASLFLRKVGFDFSAQQELDVPQIPRH QQVLYELLRCAAPILPISFLDEYSAHCTFNIHPPKDIAKLNKRVKEDVTAINDHAIRA WVSRYAVGMDVSGRSFQVTSLLDKYANNTTSSCLTGEITIKAVQAAYLKLYTLLLLHD TEHLKGIHREQRLLWPYSPSSIIDTSWETNYKPLVEAIILYVESMHTREWERDPNRHP PVLPNTFHLRMHLLQYAAQHSDHPSNSEEHCRAFATRVAKLVDQISNGLYHHKFLELK ASLEYVRGDNRLRVACLLGDISKTRLSWLTVRDHLRVELAATMLYDGTRETNQNNSLS GEVEALRQSWRASESEEVRKLGFRQWLEAV CC84DRAFT_1158379 MSRVSSSSKLLTQFVLSIAICQSLISVSNAQYAGNNGGRFGIYG FKNGTLPHGNSYRVRGCVEQPNYRGSFDILWVSLVSIGISTYTMLYLNVPAPTDTWIQ LVGRRVLWMILGIIRPEFPLNYAAGQWTRAKHSVKAFRDLGYKHTSSHTNEEMAGKQD WDLNPLDFVDENGSGYSANLQAFMKMPVIPPTCPIQRIPNDRFLTNPYGS CC84DRAFT_1169920 MLKPLFGTVVGLLGIYLSFISLLTIPKLQDHVIYLHKVTLTWFQ DVNIPEQWGFLRNQVTPFHLKTSDGETLHAWHVLPLDVYQQHEAELIEEPTGLCQNVE NSLSFELLRDDPTAKLVIYFHGAAGTLGSGWRPQSYRALSAAVPNLHVLAIDYRGYGS SSGWPSESGMLTDALTLTEFAMGTAQIPAERIVVFAQSLGTAVALSLSHKLALEAPPI LFAGTVLVAPMADVETSTQTYKIAGTIPLLSPMAVYPPLLTFFNRFIISKWPSKAKIA DFIRHLDSADKDGRRNNYDITLIHAEDDWDMPWIHSEILFAHAVRSMRGNAGFTKDVF EEEKAKRKLQLGAGGWVVEWWGGNGIVREQIVKHGLHDRIMSYPVVGLAIARAFTNTG TYQLGGKES CC84DRAFT_1264769 MLYPSFSVVLLSALSRPVFGQADPAPSSLPDDVLPAANLTSDPL DRNITTVEIPVAAVPSLTNTSSSDETTTVSYFEIDGLREPLAVVYGDVILSTVPDLLN SASNSTESKVKRALSIRSTDNVWPGGVVNYKWKSEDAKGAGRLEAWTDATKRWTNMLP WLQFKEFPPSDTPEADILTLVDTTGQFACFSPIGKQWGGQMMLDDSCGGAGTYTHELG HTLGLLHEHQRPDRDDYVTIHCENVWDSADGSPADCSQACSGYGCNFVKVDPQWYDWS GPYDSLSLMHYSPYEFAKSSGPAIEARPGVPTPQAHAFPTILDANRICELYSEQCTGV CGNGKLEPGEDCDDGNNLDGDGCAANCKTQAPCNVDYCDPWNANSCHITTTCTALGGA TEGGQGKHLCACRHGYKATRSNDTSVQVRLPWVEQGGRVFVDAGLACDTLCDDWQLGK DGCKEVKEEPVCY CC84DRAFT_1132278 MASNNTMTNTTTNTTTNTTINTTINSNYALVLTELVDAVNHIFD PKFDVPATHIKFLDFPPEVRAKIIDYSLLGDLESGTLTKAMHHDSFYNPCCVWNWPDQ LIVCDKAKESDLPNTMFPKWLPHLSLTNHQMRGEVLVHMLKTTDCITLKYNKDLAIKI APRFIKFLRTFPANEGFEADRNLNFPHIHWYNYNNDGKTLASNPDVDLMLACPKLERV GLTWHYKRINFLHPYEEYWTPMTLDRFLSWWKLSPMLGCDNLKHVYIGGIHAR CC84DRAFT_1169923 MLPRIGSHRWRSTKYQILGVILLGLLLEVLLHQYHYHVPRPSED LDAVFQVGCAEPDTRAPRENAAIIMLARNEDIESALTSLQSLEDHFNRWFHYPVVFMN NEPFSADFKNRVSDVVSGETVFELLSSDFFGFPSWMDNAQGSELVRANGALGVHKGDM ESYHHMCRFYSGKFYDVPALKQYKWYWRVEPHVQFSCAITYDPFRLMRERGKKYGYTI ALWELDNTVPELFRAVSEFKAMKRIATSNLWKGFIRPTWMPWGLRWMKAAQPLHDASG DSWNLCHFWSNFEIGDLDFFRSEAHREFFEFLDKKGGFYTERWGDASVHALAAALLLK PEELHHFEDFGYFHDPWVVAPANALGKQLPESEVLGPVGESVVEAEEGGTGCRCERKQ IRNFQNWCMNRVQRPVKPEAWPNRMWGDWKG CC84DRAFT_1223526 MSTITLTAQQLDEKPPVDMSMLSDQDKKELVIADTESVVSGITI DGAEAAFTAARSYHIHAKGVPVLRLPAPPSELVTTVSNLDGSIAYQSTRERRSSGNCV LTDAEGHPSIATQYFFGPGKDPVLRRVDVEDGEDNVIKTVSKWTSRAQKFLLRDGRTF DWEYKKEKGFGGQGTKGTALVLTMNGRRIAALIRNEETRTPGSKSCSAGNGGELVLGQ EVGGKEGISEELVIATVLLMLKKEIDRRRTVQFMMIAAAVSG CC84DRAFT_425556 MPPRILTVRRQARSCTHFLAAASTGVARVSASSLSLSISVKVPV LDAMKGRHFIGRVLHVLLVLSLIYTLLRLLYWSFSRLADDAQQGNFAPHPASDVDSAE PEKLSPQKIAESLNTLWLSYDLDHCRNVCEASRVACRLRDCWYVQGVKSWD CC84DRAFT_1169927 MPIIQNERSSFQSIVSALTPTSEHHTLYPLPPEIRHMIYASAYA PNTRSRCTSIALNLANPSHWLPSICSLNRATYIDAGLWYISAACFNIPLPSASQSLKQ FSRFLSTFPGSSGFDAVRRLEVEYFDLDLRRHDRVQRAALWKFLGECGRLRELTLQFR VMNLLEANVSCYDVVMSDLRQEDVDGMSHLRSLKDVVEACGVDRLFEVELGELRTMYL EVWPTTLAEGVEEVTGRSAVVLMAMPLIEELVGYLREGFVARGREVEIVIQDLFASGM RRRES CC84DRAFT_1264775 MGTGSKVASVILRFGAFASGVIVVACLGRFFYYLNLANAHANSR LIYAEVISVLEVIFALLLIVPAKYSFYAFPIDIIFFICSIIAFALLANLNSSCSSAWY YNYWGFYWGRFWTVPNVTVATIGSAGCRTWRTILAFLFIGAMCWMLSAILGAFVFSEI RKGREVSETHRTTAMKEKILHHKKHDSTSTTDPESHPQPVPQV CC84DRAFT_1050719 ALTAAQRTYNVTSALAPGQLEKYKCLTTERWLKDFGIPECMHEC TREANSKDGCAYDDFACHNINYQVYSDIIEPCAFPPQLGGSGTCTLEELGAIRPKVAD AGNFFNATLYAAYADKHCEVRLSILKTLKIIADEATII CC84DRAFT_1181940 MPNSRWISAVLPTGSRTWSGVNVYGKCSESIRDTLLVVRAANLL ATCIEMGQVSERLTLRVTDVVKVFRNIDDPWLGCLRSLSHVECFNFGIIYRHSPMIST PLIDLTVDYPFGYPQPNCDHFYPSGLEFIMGPTEPNPSSTTSIDRDDIFLPRRSQMEQ HRSERESVATAPPPKRLARRDLQLRNQ CC84DRAFT_1181941 MSSTHCSPMRVTGPARVQSDSEAEQMSPYGAQRPTSPSTSPFLS LPNELLIAIISYLPDRVTLIALAQTCQMLQTLAESQLFKNIYIRDGPSVTRLAQLLEQ PPERLLAVENLEATPWAFGWRGIKRMPELAGKMVRLKRLKVESPLVNTGTRDAWWTEE CMREYMDLFDRSNRGMKGAWRCLTSFTLHSHGTHHRYYSIKSVLPVFLSPTLKYLHLS CLDISRANETFAALGASCERTPLETLILERCTEPSSSLFELQAILSRPRALRSFTLLL DVIFAQYKEPLNAQSLSRELVKVRQTSHSSLSRAQMPGLLEALQQQSDSLEYLRYTHH PTSRIVPGSPEYFSTDMTSSLSVLRQSSGGLSTFKRLHALDVGYRTNLAELLLDASLA PPNLLTLGLSGIALDYGRGDTWQHLASYVPAISATTNFSHLRLYTTPSCSEGHLQGMS WQFEYNAHRQIWPRSGVALLEIVKRLHGKASVELVGTRHLPGVFTPFLHGERLSSEEV IFDSRKECNEGGDRRFKRQAYVPDGASGWVGPFLALGNAKWTE CC84DRAFT_1223532 MPVPPLRRVLAFILLASVDIECQCSSRNSTKLLQPCFESACTYD ETFATLRAQASVCNRPHDSLSNSIRITAWVSGIAPIIVTAMRFASRHLGGNNFWWDDW VHLASVILVIPMTVFLLLNVEAGIGHHIWDLTYARVVAVGRWTYITTIFWGLEMILLK YSILCLYLRIFPNVWLKRFVFAFMAFTAAFTLPLIGLAAFQCTPIHAIWDLQAQKTAK CIDWIAVLRLTVVYEIIAEIVLFSLPIPIVLKLQMKTAKKIQLMVFFGMGICVIAMAI ARVPFLPGVVDTDDQTYTVTPTSILGFAGSGVAHVCAAVPTVKNFTRFCSNGFKQERK FSSTYAKESTLDSSGKRSYKSLQDNKNNATASQTIRSAQQVSRGTSRDTLAHLQLSSI MDAEDGSAVMELHPVLTAAEGGLHPHGGRDREQEKNAWTERIPEQPPKADSASDEAIL HNDPYR CC84DRAFT_1223533 MPQTFHGCAERVGQEQAPLFSTENYQAQFARTYSVSEHHSSLPY DWNLWYYESRVLSAFDMDRFLKIRKNATLCEEGFLGNADLYGLGIRLGLYLQWMSSFL ANNFLSSTRQEIQRVYLVFSLAICLATLIASTRKSCVFSVEIEILYWMYWGGYVCVFG SAPCSIRLGTVSRWVMTDWTGAILFTTHWIMTYHGIWFITWGYDQAFSRMPCGTYHFF LIPVLDPSVGFWVLRDLLTLTAAPWFPHLLTAFPLVALLLLPEAKHSMQGSAAYQLFL SKLGAPEHQRANSTISEPEPSIWRIIRSLIKRALGALRRFCALPTERRGGIRLVTPVD LKHRRLLRIRWATTGVLACILSVIAIELSLRWNRVLGVYSITSTGQYIPLIIGIASFI SVCWDIIRQESERRRRLACHRAVGEMGEEYELRSLSNGISHAFAQPDEEFLMSGALPV SQDEAEADIADAGFLQQN CC84DRAFT_1169931 MAASECPVKKANIGGGGTRNRDWWPNDLRLNILRQHTGVTNPQN KEFEYAAAFKSLDYDAIKKDLTDLMTNSQEWWPADHGHYGGLFIRMAWHSAGTYRVTD GRGGGGEGQQRFAPLNSWPDNVSLDKARRLLWPIKQKYGSKISWADLYLLTGNVALES MGCPTFGFAGGRADTYEADESVYWGGETTWLGNDIRYDSGREGPRGGGGGVVDGDEGP KKDHADIHSRNLEAPLAAAHMGLIYVNPEGPDGIPDPVASARDIRTTFGRMAMNDEET AALIVGGHTFGKTHGAASSDNIGPEPNAAPLHQQGFGWKNKHGSGKGPDTITSGLEVI WTSEPNKWTNKYLEYLYKYEWELTKSPAGANQWVAKTDDEIIPDAFDPNKKHKPRMLT SDLALRFDPTYEKITRRWLDHPDELHDAFTRAWFKLLHRDMGPRSRWLGPEIPKEVLI WEDPVPDVDHPLINDTDITELKKEILATDVEPTKFIRAAWASASTFRGGDKRGGANGA RIRLDPQINWKVNNPSDLKSVLSALEGVQKKFNGSAPGGKKVSLADLIVLGGVAALEK ASGLPVPFTPGRTDASQEQTDAQSFTHLEPVVDGFRNYGVGTNRVRTEAFIVDKAHQL TLSAPQLTTLIGGLRALNANWDGSSYGILTKQPGKLTNDFFVELLDMSTEWTPTDGSE EFFEGKDRKSGSKKWTATRTDLIIGHHPELRAVAEVYAAADAEEKFKKDFIAAWDKVM NLDRFDVRSTAGVEKARL CC84DRAFT_1264778 MCNKINNTDVSTDGTYTSPPRTSPAPYLPNANAIDKPPAVIPAP QFEPVEVLPAASIPPAPTHAPVVIGIVADEVTFLQGYCSEPAYTIIDGPTAVWMPVVG CMSTKGDCCPTPPVGNAVGSEEGHTQDMKKGGQFLASQLPSQGTLTGCPRDYHTVDGT ACCPSNYWLWSTNLGGQVPCYSSLAAAMTPPPIPDTMVNQLDATDSPRETLTRDRVIT TTASDGRVFTTTIASSRVPALTMSQKPTSAIVNIVYTMQYPMVPPKSKMNTGAKIGIG VGASGAAILIGFVLWFFIRTTSHRGSKKKLQSPEQVSVSRRFGSGVDMSRVAHQPAGV ERVYNGKQYAGASSSATAY CC84DRAFT_1233936 MAEKTTSAESSQTLPRQRHTGVPLKRTKSDDTDFDDYFQKVGIN QLLLTVLGFVVGLALSFRSSTAYERYNEGRKYWAQLAFVSQHLARLIWVHAEERHTKD PVVGKKDLLGKISCLNMIVAFAMALKHKLRFEPYAHYEDLQHIVGHLDTYAKAADQPT PPRKQNIFKTAGQFLGLPFAESNPRKLLKKSNVPLGNLPLEILTHLSAYMKSIYDNET LKVSVYQTQSLAALQTMNDVLSGTDRILSTPLPIAYTIAISQITWVYILLLPFQLFKS LGWVTIPASVFAAYIILGIALIGREIENPFGSDVNDLPLDAFCGQIRADIDLIMSKPA PTVDEFLMNDANEMLYPLSNLGGRALAEQSVEEIREKLAQKPRVHYKHTESEEGEMVR NSDNV CC84DRAFT_1233950 MKREPAPPGMSKRDGDQPDRRLAQPFSGWSHQQMTESVDKFIAV SGLEDYDKYIRRGAFLAQSKAAFPIGRPRRDGLALKDEERDYLNLEDSPRRIDKFKQP WRLYALVGVCSLGAAVQGWDETAVNGAQVYYREALGLMDSPGWLGLVNSAPYMCCAFS CWLNYPLNRLLDRRGVIFVTCLISSLTCLGQAFPQTWQQLFAARFLLGLGIGPKSATI PIYAAEAAPANIRGALVMMWQMWTAFGIMCGYIAGVALAGCSLNWRLMLASPMILPLF VVAYVYTLPESPRWLLMRARQGNRKKYEEAFNSLCKLRYTKLQAGRDLFLIDHLLEAE DRIMQQEKPFSELFTRGRNRRALTASIITMFLQQFCGVNVTAYYSSTILADPQHASYQ PRDALLISMGFGIINFLFAIPAIWTIDSFGRRNLLLSTFPFMALFQIIMVIAFALPGR SSAQHVLVILGMYLFGVAYSPGEGPVPFVYSAESMPLYNRDFGMGIVTSVNWFWNFFI SITWPKFSSAFGTSGAFGWYAAWCVIGFFMILFFVPETKDMTLEELDQVFDHRTMDYV NYGMKELKWLVGRWLLMRKSMKKPPPFLQRKDPDEIYDTRGESYDPRGMIYEEIQEDK RTST CC84DRAFT_425836 MDASREELEDAIAHIVPDKPFPKYIDETVFLELQTLLNQHPDSV FKVWAHENPRLFLLLRMLGCDDDALYQRLDSENIGDFWLPLGSATLNQLSSYTNISPQ DWRRAQLHVLSQSDQMSEHKLTSPIHAHRHIQHGDAHFEELEKIGKGGSAEVARVRHK LSAREYACKRIKRAAEVKTQRNQLIEFKAEVGVLKRVSHHHLVSFVASFTDLSSFSLI LNPVAKDVLKSMLERQSREQPLTEQDLSVLRRSFGCLATALAYLHEEGVRHKDIKPGN ILLSDGLVYLCDFGISRDWSKAEHSTTEDEVLKLTPRYCAPEVFGREPRNTKSDVWSL GCVFFEIISVVKGYPMEELNSFLLDASEDQSSRGLWCALDAMNAWMEKIRSEKNDSAD DIPLGWITTMIRIEKEHRVKASELVEMIMKASSELETPDSYIGPCCTRSDSVALPNHV DSPTLHDLGFQGLGIQNAPTSPPMTNRLRLPHRPSTNSSRGRSSTTHSSRHGSEDRTR SVSPRTKSIGPRDSTETASFHSDSLHSRSRDPSISKPLQHIASRTDSVRTSSHFSPPR PDSEATSVSTFRPRTPVAPPAQYEVKCSCAAQPQERHILNIPYVSTPNTVLFDPGVPT VQTCAKCEIGEDRIQLYETPRVGPEHASTSAMPMLWWTTRRLVLSYVSGTPKMRHCSA FWLPLADMQFALRDSTVTLKWSDCNHMTERRAGNYSTHYDWVYAPGTPNNAVTVRFPR PAEAQKFIDVLRLPWEDGESITNKRTIDVAENTELTIFDIGRPGVRNYRAATLTVVAD ALATSKLYIQWPEADLDIHIRDSFDARSAAATGYEMVVEMKNVSTPTYHSDTRGEPAA DLQRVARFGEARQVKTSLKVAFGIGVRHGLPRPPEGVTDMLSALTGWTLRYFAIVTKF RSKNKRFGSKRYGAADVLLWEKEVDEPATGIKRRGAKVVFRLHEGEELWVSGSVTAST SISTSAGQEATVSVENKSRGRLLDVSRMVAISSEAARPAASHVRGHSDAYGDMAELVL SFENEHYRLAFVNLVTRFKAAAATAAPLSRSATGVDSLRRVSTRASSEVHMNPPMRGT CC84DRAFT_1169934 MSDIKKIFAKDAMPPAGPYSHAVVAGPNIYVSGCIPADATGALV TGSIATQTQACIDNIKAILAAAGSDISRVVKVNVFLTDMADFAEMNGVYAEAFAHKPA RSCVAVKQLPKGVPVEIECVAFNG CC84DRAFT_1264783 MSGVQPDNTPVPANSSSAEHPAPPHKASTSSHPPASDPDPTLTS NHHASQRGQPAAGAAAAAELSSLTLRLKSSLRQFPDFPSPGILFEDIMPLFADPGLHE DFVRALVLHVSQNYDTPDVVVGLDARGFLFGPSLALRLGAGFAPVRKQGKLPGPCETA SYEKEYGSDFFQMQADAIKPGQKVLVVDDIIATGGSAAAAGSLVKKLGGTLLGYVFIL ELDFLKGRDKLDAPVYTLLHGQE CC84DRAFT_425862 MATIIRVPCSSANIGPGFDVIGLALSMYLELHVTVSKQESSSHS LNCRITYEGVNAESVPLVAEDNLITRTAVYVLRCHGIRAFPAETHVHVKNPIPLGRGL GSSASAIVAGVSLANEVGNLQLSKARMLDFCLMEERHPDNVAAALYGGFVGTYLNELS PEDLDRMEIPLSEVLPEPAGGKDTGLQPPQPPKDIGHYTKYKWSSEIKCICIIPQFEV STAKAREVLPDSYSRKDIVFNMQRLAVLTTALGQSPPDPSMIYTAMQDKIHQPYRRGL IPGLTEILQSVTPNSHPGLLGICLSGAGPTILALATSNFESIADHLLAEFKKEGITCD WKLLELAEEGTTVERPSAGASTQAPAQVEEALTYASSGVSIDAGNDLVKGIKALTAAT RRPGADGKIGGFGGLLDLEAAGYTEPPILVGAIDGIGTKVKIAFEMGKHDTVGIDLVA MNVNDLVVQGAEPLMFLDYYACSKLDVQSAVKFVEGVANGCRLSGAALVGGETAEMPG IYQQGEYDAGGCAIGAIKKGATILPDTAAMAPGDILLGLSSSGVHSNGFSLVRKIIEK KGLSFHDTAPWSANETVGGSLLTPTRIYVKPLLAATRRGLIKGMAHITGGGLLENIPR MLPDSLTAELDAKSWPVLPVFKWLKEAGRLEDAEFCRTFNTGLGMVLVVSEDKVRTAT EILQEHGEQVYRIGALTKRTDEECIVQNMDVWR CC84DRAFT_1223542 MPARARSSSASSASSTDSSTTAEKPVKANGVKSTSDAINGNGVK EGDAAKSEEPEEPEEPEDPCDPGMKTGVKDLYSGKEDKKGRYQWQETIPDDLGVAVEN DKTAKWALLVRHVKVYGDASKVLAVHSIVVQSPLLKKLLGAVLKGYPGITAGLNRLEF SGKFEPLIHRWAELQTAISKIGDKTEEAKTTKEHANLLLDIVVKEFKDLIDTSQDMIN KRVMTYEHLWTLFQPGSILFTRQDGQETAVQLQSTRYGQDAGGNPVFWVVGKYVDWDG TAFGTGLLNVSISYYTGTRPITQLRAFPLDYHPKVEEVKERLIERGAKVEELAGVNYK AYDGLAWHFGEFGNKDKYHVKGRIVIDTYGWNRFDPGHAIYTTRFSNKNLVDSDSEEE DDEDPDHESEPEDDDDFIEPGYSDGMPLDGHFADEEDAAKRPPLTDQQKLICTPLLRG YSLKTKVWLNFFVNCVKEIEWQKDAFDRLVLPKNQKELILGFTESQQKHRAAFDDVIE GKGRGMIILLCGPPGVGKTLTAESVAEEMKVPLYMMSAGDLGLDPRRIESKLHDILEM CTRWHSVLLLDEADVFLEERSLHELERNKLVSIFLRVLEYFEGTMFLTTNRVNTFDPA FASRIHISLNYKELSIASRRTVWKNFLDNSAQEHVIDTKQLDELSRLDMNGRQIKNIL KIARLLATRQDAKLNREHIMTTLEVTQHLHNESQLTERTKGTLYG CC84DRAFT_1158411 MRIKHTFFSFALLKLSSLVRCEPPFNNPPGVDVWCGKAYRETNS SFDPGGWLEPPARNNGSRPLQLRLNVYPRYNFYVEGEKQGSFIVDTRVSDQEQYGGSY MNETHGDGNGTAAFTKLVLNISIGDTVVVDNLQIPVNTTGIEIPFDLDLAKLTDADGT GVFLARGSSPDGKQLYEDLAHVVLFPERSDGGSMARLDRLNGGIHVSSSLTNGAWKSV LPMGFYTRWDWISNETKSLQQYKDRGYNLIHPVPPGGWASFDTGTFEKFLTICDELEL YVMYDMRHTYLNLSSISYQLPRLQSHPSLLLYYTGDEPDGWTDPLNGTLLAYKHIKSI DPYHPTSLVLNCYNFYFEDYTSGADIILEDTYNLIKSSTFSPVYNTVCNRTYGDCGCD FCHANDTAYPMFVERPFEDIVERTRKMYQYQRWLGQKETKPVWGVPQWFYDADSFWSR WATREEAVVLALLRINHGAMGIVGWLFPTSPEVESATTDLAKVLARDDVTRLLVDCTG QRVMPEPPLEDSNLDVAAWVGGDEILVVIVWPQYEPGDGAILKLPTGGKRVTDWEVLY GAKWMANGRTISKEGTASLEVSILKGRIRGY CC84DRAFT_1223544 MAPPGQRIWDFSPLQAQRHIISMSEQNSPAHSKNLCEGTNKSPF RGGQGIGRYFATPADLERQFALISQRNIPSNPHEAAGHMLDYQGQLHTSSSVHESPYT VLRPGQPRMLSPPPGLNEGPSTPYQTFSSAIDAYRAGSQGRGQSPSAPSFQGGSQHGP PEQCMAQQAHHPELAELPVDSRKRYADRLEENDTMNHFLSATAIPAPLPKNQRRKSNK PPATTTAQATGEFSTVDLASTHEKRTSAPKQPCVATAGQNGDHPELLAKLPPTTPAPD SPIYRLSDPLQGLRKVLGEPRWQQFLQLVEQYVGETIDEPRLIHGQRRIFHGQNPMVE KKCRRLTEKMVREAREAQGGM CC84DRAFT_1233972 MDAPSHHRTPAMGAISATPHQPSMRRPSRNMQQYQGHVTAQQAL LAARHAQERQRHLETIQNATYQPSVTPRPFISRNGRPATPRALALTPTVAPHSSPAQP NRVPLLRYTSALNAQIAHQWNPCWRPSSGAAGVPLTDQEQIPHVIRLFTAFLDTSLVL DSMDAAQHFVAGGAWMRNSADVELVSWNLVQACMSLHVVGAVTLRARRMPSALPPADS SNSVIPFGLRLFFLEVLVRHFKQYAHQLMCGTADVEQQLMWMHSCLFKKEQFTKVMQR VFTPEQREKLVAEFQRRKAEASAASEAAGRSVQTTRFVREEAGRSPMLRQGRGAEAGE PPQQQPSQLLMPRAATTVKTTQFVQEQPAHPPTTQGPRPLTPPQPDHSPATIRAPTPS PPQQPPSDPQQSAPAQPTESARQPPLMEFDFDDWVMFPATG CC84DRAFT_1233973 MPPSTRHKNYGAARKTRGTATPHMKRGSCRVSEQARKPAVPRVT PFVRKRSTQAKASVRDFIDHGDHEDQKPVEIVDTTLPKDDKERETWARKLYIALLNGP RLSPETAGTTAWEVLESTENLFRDGPSVGLIRDNAASAIVEQLKTVTFGQYFEDLLRF VDEQRVKSDVIGYSAEGLLMKIARVKRSNAAATAPEVDAIPQSRKAQETTAKYIDLTM ELYEDETSDDTDASEDDVGSDQERREGHGKSLTVAPVFTRVIKLKEAEVNMLEDVPTH TKSLTGLPTRTVPHNAAAIDLTEISESEGRADMESHNAMKDGKSARSSANEADVHRAS YFDPDPFWMVHLETMRNKRRSAAARKG CC84DRAFT_1233975 MYLVVIIGINGRQGSSVAEAFMDVPGARIRGLTSSPKCAASERW KQMGVEIREETFGDMEHIKKSFEGATFIFAMTSYHQLLQDRRSKLACEVGSVFSVYDF AMRREDNVGRMLLDAAAATPGLQRLVMSTLPVVNPGNKYASHTAGATYHAKRHHIRYM ASCLPALAAKTILVKPCMRMEDYRATLRMVSSACV CC84DRAFT_1233982 MRFYGITTALAILSTGVAAVVDGSQPDLGYAELYKLTQQFYDTF QYPNNVKEAEKINSTIWAKNVQGRVSDTRNFEGRELNTEYIFGLFVPSESVSIIGKPG PFEIIQFAANQNIASATTRVNFTFPSFGNITLPIVIGTWMTYNEAKEITQYDVVFKWF GNVLQLLLSTVDKDPVKAQAKGAIALSKSVCASHTQFCTGKNIQYESEEECIRFMTKD IRFGQSFELGANTLLCRNVHQKMLPFRPDVHCPHIGKSGGGQCADDITYVQKVTEDYF KNSAWISTLEPAPPSPPYRGH CC84DRAFT_1169939 MDRFLTRSQASQASQSTQSTQVASSSSGSYHYAGSRTAAVMAMT DSFGSVDDSLSDADTAEVSADPHVWPGDLRANRAQFPIQHAAAVAGSLGSISHNLWQE EELQRS CC84DRAFT_1181957 MFARRGASPVITLCSVANVPHHLPHRGHVRTCGPHSKLSSTVAG EITRRSWHREVIHGHLVYDWETFLADAHPPAVWVLHQFRHVWRRGGSQLVLVEAVEIL IKLRCMLLPLEAPLAYEEVIVYKHYTESLVVASVRPRHLACAWELWQLIIIVVSGALS GHILGKGLSDRLLGGLVP CC84DRAFT_1181958 MCCAWISPSRFGLRKVPKGNSSMRLILVSILTDICGRYLVGFQG QHLIRKGKLPVRFLLTCKLVRQKTTSKFYGAIQFRFSGLNGTSIICAFLGTTCVWNDR YLKTITIPFWSVAHYSIYCLHAKVLPLILAERLIYLTPRTLTHKGLRDFRTAAVHLLH GIKGTDISRLQLVLPEWYEYAARSHQAKIWTALEQLAASMRSKQSPTSFVIIEISHLC HEDQRGDIDGNVRISASNANLLEQLHCVLPEAALSIAYYKLNGAWEVDRRVGFDTFLH LVSNRHGLCLPDILPE CC84DRAFT_1233988 MSLHLDTSGLIQHDVALEMSPEEIKTPRFRQFQENFEKHMPSAR KPKEYDHVGVLLLSFDPTSELGGMGNMDVSEEIESLRDVFLEYEFDVTSKVIPCDETA NAYASSCLYAFELEHAKKEHHLSIIYYAGHGWRNRDHNPARAAYAMDLMPEAAQRDDT KLPEGTYIMWDRAEHILQEFKHDHLVIFDCCDGGFLSTRGSRHAFEYLVACEGRKRTH KPGPHSFTTALIWALKELKPVAPFTMLELRDQIKRYKDFPTKQRPLVFARPDHVAGPI SMAPIDKSTNKGIGPSSKATSHVGPVERSFVDLRFFFTKDITAEDAKQVAEMVKSAVQ GRSFKFNATHVSVLDQGRTANLMKIRDHWRRARDSLGFLSRSRKRMRTGQSETPSRKS SRLEAGDVLGRPVTPISDDPRSDVEAQVVLPPVRIETGAATTLDVRVPLVINHDSSLT QES CC84DRAFT_1223551 MNPDDEISRMERGEAGTSFSTAATETSEKSYENASTSKTLSFHS PNARRKNLRTPRWIRTARTRLRKGWNGPSRQASNPPQNVSNPTIRTLSASPQGYPRLA AFLDSDESFMIYRRFGFIQSRLILDKQDELRELEKRLDKLDRVEAKIDERWPRTRDLP DEHLERRTELLKEVEETFCSYVNLLNAARKMVAMNHPSKVDYNSVRNWMRLRNPLMER EASWIDHEEDMVTLRAGREHAWLDSSIETFLRWFHCPLLEQVFGDERSRTKSSGTKSP GTKSSDIEVYYSRQQVTRLANCIITAMILILLIVPIYILYHLINDVKTDKAYAICIGV LVVATLAFSAVLSLFTKAKRHEILAAAAA CC84DRAFT_1200407 MSAQLDPNMLTAPFQLTKAMHRDVYPAVDPKRLAPLASDKVILI TGSGGGLGYAVAKTWTLANAKGVVLVGRDEQKLDSVAKELKGNILVASGDITKEDDVK AIFEKAIAKFGAVDVVLNAAGIVNYGTIGEMEPSQWWAEHEINVKATYILAHYLHNFN KDRTTTFINLVSLGASMTNPGLSSLSTSQLAATKLGEHLDLELSNVRVFSIHPGIVEA KDGRGTVIDAMTPFAKDQPELTGAFTLYLLKPEADVLRGGYVSVNWDVEEIEKHGDEI KAGRLLRLGHINAKVGPDGHPWAQ CC84DRAFT_1210659 MVLVRSIHPPYGLSLSFLACLLFSRNAFCLSRKPSFRLLPHGNF ISSTAIRHLLRHIRQPPTITYIGALLSQSRNLTRIYAMSVPLEGLALYDMDERVHRAR VMAEYRRQALEELKHIQIFQKQHPLHQNAETEGEPARPTTPKTPATIQAPEAGTEKRV GKGVTDKPLKRVAARATPNKTTQTPRKPGKKIKGMFTWTPKKKTQEDISDIRRAMGPE SYTPLTPGRPRTTDPSAGGQLPGEDSWEWYQRNMTALPPMASLPPVPALPCPRSTKHD VVHPSLRKDPAEED CC84DRAFT_1169940 MPKPLSAATAAVHADDHINVLTDVAPAIHTSTTFRYPRNHADLQ PVETGSSHSFPALQTPLVYSRLASANINRLETVLAPLIYPNTPESELENLANHVVSYS SGLSAFHALLVNVVPKVIAISEGYHGCHGVIGLHKKMHGVRTVDLHADEKAWDDAGLG KGDIVHLETPLNPTGEAFSIQKYADRAHKRGALLSVDATFGPPGLQDPFAWGADVVMH SGTKYIGGHSDILCGILAVKKGEEGYKMAGKLREERLFMGSVLGSLEGWLGVRSLRTL ELRVQRQSSNATKLVEWLSEALKGNGEGSDVVSEVVSEVTHASLQTSDFPWLKKQMPN GFGPVFSFYTKTPDLARQLPSHLRLFHHATSLGGVESLIEWRRMSDSGVDERLLRVSV GVESWEDLRDDLVQAFEKLAAGEKVENGVSLDVQTRGRGAKMEGGDGAV CC84DRAFT_1169942 MPHHALRTSTSLLSAFRSSQSSPLRAPLNKRNFSPSTANMVIKT YFDVSWYGPEVQVNSNGDVTSKGAEKDQSGRINFELFDDVVPKTAENFRALCTGEKGF GYSGSKFHRVIPQFMLQGGDFTRGNGTGGKSIYGEKFSDENFKLKHDKPFLLSMANAG PNTNGSQFFVTTVVTSWLDGKHVVFGRVPAGDVESMKIVRAIEAVGSNSGAVKYSSKP PTITGSGQA CC84DRAFT_1169943 MDFASLKDQVSNLTLYDLKAGVRKVQNAVMNFTEMEAKVREATN NEPWGASSTLMQEIANATFNYQLLNEIMPMIYKRFTEKAAEEWRQIYKALQLLEFLIK NGSERVIDDARSHVSLLKMLRQFHFIDQNGKDQGINVRNRAKELAELLSDVDRIRSER KKARANRNKFGGVEGGMGMGGFSSGGGSGSRYGGFGSESANADYGGYSGGVYGDGGGF GGNESGFQDTQQRRDKFEEYDEGDEAESSAPPPRRSQPSATQVRKETKKEEPKPKAPE PVVDLLAWDDEPAPASSSAGKQPVAANDDFGDDDDFDDFQSAAPSAPAAKSTAASFIA PPASTSTIGASTQFAAPQPQSAAQNNNLNDLFATVSPPPASNNFASPAVATPASATSS MNQPYRPAGYQATGPNYFTSVPKPSIASTPSVASPGIGGKIGSGAPKQAGGDAFASLL GGAGPKKAATPTQRVTMADMAKQKTSQGLWGAPASGQSTPTSQPQGGAKPGGALGDLL G CC84DRAFT_1169944 MQLSRLFFLLALVLAPIALLGTTWLYLYPLFDAGCAFPSPPGST VPAPFRLLALGDPQLEGNTALRKARAKVFRSFDNIVDHVRDAETILEKWELVRDAVKD IPKDILRALEGYVRKPIDIWGNDRYLAHIVRTLRRWTAPSHVAVLGDLLGSQWINDEE FARRSRRYWDVVFSGLERVPERIMTGYEEEELDEKEVVGEEQEGEERQEREKRWGGTV EVLGQDASWANRAINIAGNHDIGYAGDIDINRIERFERTYGKVNWDIVFTLPNTTLPD ADAPALRLVILNTMNLDTPAFDTDLQRETYDFINHVVTTARSVNDKTHATVLLTHIPL HKEPGICVDSPFFDFFDGGSGVKEQNMLSEHASKIILESTFGLSSNQYADGQGFGRRG IIVNGHDHAGCDVLHYTTQPGVDSGCPEDVKERGEHLTSAISNTSTLQSPEEAVLEDS ITFNDTLAHDNVDLPPQDHDSPSDSEPEPDATLPEDEQRTWHAQRFPTPRHVHHQDGT CTSLTAVPSLREVTLRSMMGEFSGYAGFLSAWFDTSAGEKGEWIIEVNTCGVGVQHWW WAVHIVDVILVVAVVLGCVLAVGQKKMGGEKVKTGGVGAGEVRGSKKSDEKVGRGISG TAVGHGKGEDGKIP CC84DRAFT_1181967 MSGHRIRWDLGPGDRQAHSAATSRAAPSPLGAPALDGAPEADPH VSERSSRPGSVRVDFPAPTPDDFAPSVPQEFPGLFWGRNFPLAERPSVLDAIRRLPHE SIVGQFARGACNLLPSPAGAANTEATVDKPWHEANARWNQNRHTTVQLEWMDERSNHI VTFGRAQLHEDQLETFIRHDTGRTMTRRTGLVTDADVGREVWRRLNCMPSLVTPAGSG AAGGALTLPAPPPSLSARPADPPPAAPPQVGAYAPAPDLAPAPSPAEAARAVRGRSMR RNQLDRAVDRTDQQDFY CC84DRAFT_426292 MRPHSREELDNKWKIIQHAALLSALVVCIVTIRIASSLLQWKRL SVPEYLLLFATAGSLALNGASIFVVDSGGLGHHIGNVSRAQLVYLFKFYFAAALLIPT CFATAKLSLLWLLHRIFAHSWFRNVVRVLAGVVVAWWVASIVQEVRICYPVETRWKGT CKGKHSLRTYLILPIPWIVTDWLILLTPLPVLWKNIDTRRSRATQVGLLTLFGLGIVT CCISIKRFIVQLKTNVDDLTFTLAEPTLWQMIDLSTTICCAALPGSTHTLKRMLPVDL LEQAVDWVERKWHATKQSSCTSRSVTAEDAEANLPPVSTLRHTQDPMSDPTSNQV CC84DRAFT_1169946 MASAPAKTFEGWVAHDPSAAQGNMKWGDFEPKPFEETDIEMEIT HCGVCGSDLHTLSSGWGPSDYPLVVGHEIIGKVTRVGSKVTDIKEGDRVGVGAQSNSC QECKRCKNGQETQCKKMTGTYNSRYHTEKGGKSFGGYAKMWRGAGAFAVPIPESIPSE IAAPMLCGGVTVYNPLVANGAGPGKRVGVIGIGGLGHFALLFAKALKCDKVVAISRSS SKKEEAMKMGADEFIATGEDENWSANNTDCVDILISTVSGPGFQVDKYLNLLDVGGIL VQVGAPEDALPSFKAFSLILKNLKLGGSLIGTRQHIKDMFELAAKEDVKSWVQVRPMS EANQVLIDFEDGKPRYRYVLQN CC84DRAFT_426371 MQSTSAVAPVLKAFAELMVLGSLFRAKIVQILGRHISGGEIPGK LCVHVHKRHEVLCNRTLIATDMSGFRWFRSPAFTTNIIIDLPSCGQCQHRFRILYPRK IVQPSAYNPCWLLLDGLLRSCHGLLDLAPQYEMLFDRGYGFRSGHNPGRIHESRTTRS INHIQRSHLRCCGTVQSHYACQIWYCHRLPDLETSLLVQVA CC84DRAFT_1210666 MVKAAYGQVNFFSCRLLRESGVSNNSHFDLFANNFQMRTDWGHV ATYLAAVISKKHRYRILHSGYQSLLEAQRYPEDFNGRYATEPALNETGVTTYAITSNV CAVLLDKTNFTQAVTVNDVQVTEEAVLGACGDLGGVEDGVIGFLRSYHFKLDNLACSA PQPTNSACLSATALRAAELLYTDSISSITNQQLNVKGVLPGSESSWPGTYVATTEGGK LGYYAFALRILSHYAIIMTQDMSDISVAPNFALDLYRRSEKLCGATTIGEWFRFFEIP GVTHGRGSVDAETFFELDYLVKWVEEGEAPNTIVIRLQMPRSRVGGNFDPSYRV CC84DRAFT_426374 MSASPFSLKIMSSPSWVSRVLPASIFIILSVLCFFLMDMISLIV KFPSPTASGFIKWGNGTLPILDKFHWLRPLDPIIREITPGFAPSSFGYDDVSRWQMMN FIISDPGMFYAIWGFESLRKGVRDGPAFYPGVFGLIAQFGGGGVIIPIYYFSHLAFTP PIRSRPLSERKIDIIGASVWSILIVLFHVVPVVGIMFDTTLKARHWWTWFWQLYTVRI TMAWYIIKSIGNFVNVPRLHCPMSYRTKTALVLAPFIAIAVGLWTYTILCCPHSLSTV FYPHSLTEDTWVLRMRRILQFDQLFIWGSSVLWVAMDMRRNRISSGVEILLAGVLLAC ISGTGGSFGLVWLWRECKLTSNIEKEVFKDE CC84DRAFT_1264795 MATFLKVFLSVGTLATTLLPYVNAAVTVNSTLLILARDKNATLP GTLVLQGYSIPYQVVDLSLSAAGIPQLNSTPDAGNFGGIVAVSARDYKNGDDWKTILN EKQWQEIYKYQEAFGVRLVRLNAWPSADFGVQSSGGVITVDQPIAVTDTSSFTTANLR ANAQTSTLNLTKYPAKITNTSLATEIAQFSSGSSKTTAAVNNRFPSGREQQVWFSAFD PNLTSSTLLSHAWIHWITRGLFMGYRRVYLNTQVDDVFVETELYQTNKPYRILPADFQ EHVAWTKELNSKLPAGSNYIIELGHNGNGNIEAAVESDYNNEPAQCDPQEGVEYETQI DGPPEYVKPIGTGKDLWNKKFKSYSWSLKCSQLDPLEVYFANKTNMHSFFHVSHTFTH EDETNATYADVTKEISWNQAWFKAIGFTDSESKPYFSNMGLIPPGITGLHNGDALRAW SEYGIRYAVGDNSRPILMNVPSRKSEYHPVISNVKENGYDGIYIVGRYGSSIYYNCDT PSCVNSEWKAIAGGSGDFNAQLEYEKTVNTKYLLGLRWDPYMFHQANLRVSDTKATTL LGKNKKWSLLMAWTEAVVYEFARLVEWPVITLKHDDTAKAIIARKLRDECRPSLVYRL SSDRKSITGISLSTLQPECINDIPVTVPTDVKDSADANREQRGKDPLTLWITAGINSP RLFDLSTALKF CC84DRAFT_1105486 MNKPTATDHLGPFLVANILLPLLQSISVASRDSDVRVINVSRTA IDLVPSGHSFSLLEAWNNDSGGECSPLRFLHRYGHSKVANVLCTTELQRQLDQESSRI LVAAVQPGVVATPRSEKSLG CC84DRAFT_1264796 MPEPITLALGAGAIGLGYKAIRKAKKHHREKEARKQNALTAAET QSINSDVRQVTRHFTRSTVGGTLAASLSHVLPHMVLPAGMNVYLFKRAKDDWRKLNAY MKEKGATVRKRDVARGIGRALVEKAILVPITLGHDDFLLAVPQGTMSTAVAAHAALLE VPGIGEANKLIQAPVEIFQQDHHIPTADERLEDMKQGLVDMGGWNAPAKDLAANVGIA GTLAAATEYLVDRPLEYREGKLQSVQ CC84DRAFT_1210670 MALTRARKKRELGSPAPSSDKGQAAKKTKKSTKTNNSKAGSTAP EEKKPKGLMDMPPEIRNRIYHFASEREDFFEGWDFAVPLVDPYAGHSCSQSQWPMSIL DRSLSARNFLGLTQTCKLIRKEYRPIWLRNSSIRVRPRYLPLYIHTFYGCATDYSTNM PKSIQISHNQDAPGQDVIDLTLMLRMRAASPDIKFEFIPHELTQDEGLWTLDWSECDI CQDLSDTGSDDEDSEMFICPHNDIHREGYADYLLDEEYPYLGALNKFIAHDNLKWLKD IRDRQVTRVKLDLSDGNRYAPEIAIRLSNGSDVVATELSKKSMLGAASAYVEDRDLRS ANTHEASLHFMVQVCGGAQ CC84DRAFT_1181975 MRALSYLAHAIALRTSPFTPDTAKCSPTLGVPGGGMSSPSSILP TPTEFPCTAYICPIPSACTWHPPSSSPACITLETSVSGPPTLIGPDAGGQCLLYSSPA CAPESVLGVPEDAAGAGQTDETKGSVVCPRIGGAVVPGGVRGVRCFATGAGKVEAKEG EDVKRPLGVPVTHGTPGVGHYWGRGYGGGRDIDAAIST CC84DRAFT_426423 MRLEYIYPSALLAPLALGCGPHPDHAASLDIPNLITANNLARLN GTTLHKKIALNNVRVFDGTCILPPSTIVIDGPFIGTDATGAEHVDGKGGVLLPGLIDA HCHPTNITHMQELAKWGVTSAFVMACFSQELCSSLSNHTGLPSVLRSSVPASAPGSAH GNISIAATRDPSILINGTGAVPGWINRQLAGQDLDYFKLVAEAPGLDQATLNLLVSEA HHHGKQSVTHAATFEAYTQATLSRTDHVHHAPLDTVIPLALAHKMLQQGQMSTPTLTM MRAIALAGTSTAGANFTAALATTSLLHKSGVTMLAGTDANLQPGVPAMVPFGSSLHDE LQNLVDAGMSPLEALLAATVKPARAFGLWDRGTIQAGMRADLVLVGGDPLADIGNTRD IKRVWIGGVEVGRGQ CC84DRAFT_1181977 MSRPIWSTGCHACRKMKKKCDGAKPGCVRCKKAGRECPGYRSAQ QVMFRSMNESAMARANKPTMLATISGGYNPFFIQPSTDWAQFAISHFVYHYVEPPSEY GLPGYLEFLPTMLNSADSSLQTSLLAASLANLANVSGMEQLHTESRIQYGRALRSLHT ALSDKATATDDHTLLAVVLLQKYETITGNVDLEKDPHEKATTAMMRLRRPDHESLSNR SSSLSRVVLIRKEIKAMTDRTDSNMEVGERYVDTKQTVGSGLRMLLRDVFIASRGLEV CTEAQDIATGSHMTAFTEAWKFAVEVYNHLILWPSTVPPAWKMKAYVFPMTPSHIDGL TNREGQVYAFSSLQHGAVYGAYQCSRINICQSLRKAYRFIHQQPRQLFDSNFEPSQGE VLETLNSAVDDIFGTSPFMLGDVTSNGQLNATNERRALGAFFLLRSVYVALSVDTLTQ PQSRKLLHLLGRIGSEFGIKTASNRRDKWLAQHPDRL CC84DRAFT_1264800 MEPAPREVCQWYETQLPTTCRKLEMPIGRMWSMGPDFGGTCSPY STLGCDAGSEVAVGEGAGEKSIACPEKGLTPNPALDRTFAMKFFASTAVYDPAVRAGK TTTINTVVVTPSPVKRLMPEHAAKFPSTRGD CC84DRAFT_1169950 MLSLNLAVILFAAALAAQANDPATLGASIKFEKGYSLLPACINQ CVWDIGDNDTPDIGGDVAIHLSCSAPWINGCYCRPQSATVAHAFISSCATYLCSTPQQ SDVDAGTQVYDSYCSQALGAAYTPEGVEQAPATLTAASEVISTGTVATSRPTSTIAKQ TSTNAAASQPSPIESSSSQEKPSDDKIAGLSKGAFIGVVVSASCSVLGLVFGIWFKFH KHREQMKLAQQNPGVIFGQK CC84DRAFT_1200415 MGKGRKASTPFRFFALPSELRIRVLELLLTQTKTVDLDPANSRT LLPALRLFFVSRRMHDEASHVFYATNTFRVFAISGRFFHTKKQLLTRLPQHYIARMRS LELRLGPGFNKPPKGWVVDGRLQLHAATNVRTLKVFVELDPASHPSFEAFRKETCAEA EQFFTVFSAGLLGCLFGELSQLRKVEFDAYPGISRSSPLMRALVEQTRVGGKEVAWGP ERGWDKIVDTDLGGMLQKLGIGM CC84DRAFT_426518 MYGFAFASLVALAACAPQRGGRQRATAQQQAAQIPQGISQATDG SMILDATVNINQLPIRFKIAAPADQFTAASGVPGAAAASGANGTMGVNVLLHGDGGQS FFDFPNQNLQANTMGVALLAPNANLFWGGGSGLDRTDGVAHAQAVSDFVTKAMPQLVA FDAANVKFTGVSGGSLLMSGFFIPAQMQNFANSAVELNCGAMPPQVNFANAAAVMSTL RIHYQSTQSELTLLQDAIPQAVVAYEQLAANAGLGAAQINALQTVDNTPAGGHCEFDG KDFVSGVQTMLTSFSNVVQGGDGVVAGLGAPSNGNVLTGVVGNEKLQFAAGRRKRGVE SMVVKRWAEALVPKPRRRRQDVVVEEEGVAMLACDRMRCN CC84DRAFT_1158443 MASKRGRGSSGNKLKMTLGLPVGAVMNCCDNSGARNLYIISVKG IGARLNRLPAGGVGDMVMATVKKGKPELRKKVMPAVIVRQSKPWRRGDGVFLYFEDNA GVIVNPKGEMKGSAITGPVGKEAAELWPRIASNSGVVM CC84DRAFT_426627 MKWPFGLESLTVYEIYWYPNRGYFHDFRSILYRHRETLRFLHIG NVPEAGPLDLSEFTSLESLHLFSRTMSHKWEPEELCNKILAAPRLRNLVWDFHQWDEQ IGHCTAWEDFGPAEVEWLQRVAELAKQRNASLREIQIAFRLGECFELDPTKERSPWDW MEDARVGLERFNISLLIN CC84DRAFT_1210676 MPSNNKRRKRRFRQLRLHVTDGNELILERVFKKSPRPAVPYEAD PELFNQLPFYELSEFELAIALRPPFPVLRLPEELVLKILTNTVDIGYQRAQRPPSGKM YSVPLSLCAVCRAFRRLAYPLLFYYMGIKLSGEDISSVSGGSGLKASTPPLWVSHTSQ MSIV CC84DRAFT_1132340 MQTQKVPLSPPTLREIAVALQFPLAANYSHSTVDVVQCPDLRKA PFYLATAGLSGAEKISDVGGQPNLFPTPRLDCKWNLLDIARAMEMAPHGGGLLGAGAG PFHIVGHNCELVPNIAWNDGFENATNSTYLAQIRQGEPSVEKSPSLDCALMINLYGSL GHSGPVIKITARGRVGDEKSITELMRKALANAYGDRMVSLGGVFAVKKGTSKYHIMPD FPPEEELPFKNAKQLNDWLTYHEFEAPVVCLSVLHSADPGKKLGLRMEHTHCASMDGR DVGGHYHGDINGEEVEYEGYFNVAKTLYRIEKPEVTLERDLHE CC84DRAFT_1105757 MVEKEVENDQAVAIEEDGRTVQGDVVDWAGEDDVEKPLNWTNKR KAKQIVVICYNTFLTPLGSTMFAPAIQQVMTTFQSSNPLLASFVVSVWVLGYFFGPLV IGPLSELYGRLPIYAICSVLFVLANVATALAPSLGSLIFFRFLAGTFGASPITIGAGT FGDLIKPQHRGGIIAIWSLGPLLGPILGPIAGGYLGEDAGWRWICWVLAIAAGVGSVA TIVFQEETYPVVLLERKAARLRKETGNENLTSALAGAKMKPREVFARGIIRPMRLLIF SPIVASLSIYQGLVYGFMYLLFTTFPIVFQYQYGFSTGTIGLTYLGMGVGSLIGLTWG GVWSDALYKKKSKEGAGRWKPEYRLLPLVPGCLFIPIGLFWYGWSAQAKVHWIVPIVG TMFMGVGVNMVMMCIVTYIIDSYPLYEASASGALTAVRSLIGALVPLFGRSMYQALNL GWGNSLLGFLALAMCPLPWLFLMYGERIRTNPRFQVKM CC84DRAFT_1223573 MRLHVLTLLATLTAVLALPTEVLQAKPVSAKRDPITGLDPRQNY CFGFGNICFNDDDCKAVGCRGCISAPDVDPQHDICY CC84DRAFT_426654 MPRLGHKKSRTGCRQCKARHVKCDELKPCSNCARHGVLCSLIDP NAPPPVPTLASSSSSSSSALKKTAKPKTELEDGSVLSAIPSKNVDQSTVTEPSDGDSP RSGSDHFPFITNFVKRREISEADLWLYDLEAMHHWMTDGVETLSLSPHSTHIWRTVVS KEGLKQTFLLHEILALSSFQLAHNKPERRADYYAAGTHHQDHALRGMRKLLQNITEEN APSAFAASTLIPISVFASRGLDAVDPDAQPHNALDDLADIFNLIQGIGRVMAAAQMGI MMSGPFQHLFRDPVHETAPQPLFQKILERIPILTALFDEDESLDEDSSRDLLGFVTGM RENLLRCSKPCMENRDMRFLFYWPFHLSPNFMFGLRQRSPGALVIIMHWTIIVAFAAR TYWFLRGWADRIVKAVTDELTEEPWREAIEWPLMHIDAQRVVPPPAIPEVENLEI CC84DRAFT_1105737 MFARKRAETVNSTAAEPFAPLVVSTTGKWRGNDGSWSTFYINVG DGDNNGSGQNFEILPSTHFGVTVLPYPSSWCSTDCAENRGIGTVNGNQTNGLDTDDTT TWKTRGTYDLDLPYWWQGNNTAGAGPAGYYGLDYVGIGPASSKSYKAPQMYVAATTSK DFYIGQFGLGPGASNLGGGTGTPVDSIITFLKQHRIIPSVSYGYTAGAKYSNVGNGIL GSFVLGGYDESRINMDNRVTISMLGDKNTSLVVAVQSISYKPDVHVEQNVEGITSTNG KGFRATIDSTFPYLWLPHDICDKFAEKFHLRYDNATNFYYVENASGAQNNDQQNAEVT FNIGSDPSGSDANFASIRLPYSAFELEQFNPGNRDNTIKYFPIRNSTNGMFVLGRTFL QEAYIVTDYGRRNFTVAQVPALDSLPEAKIVPIYDRDYVPPSPTPIPTGGGSKGLSGG AIAGIVIGVLAVALGVAFGIFIWWKKRRARKNTPPTYKEATEIDTSAAGNEVKHRRIS ELDTQVPGAPKSPLGGFYGEGTERKDLSPFPPISEMDSPPAELYSPPAVAATPHSEGS GPDYFTAGAKLGRRGATRESSAANTPGTPPPFTPIAELPAGDVSPIPSAKGTPKLASG SLSKRDPSATRSQSNIDEVMKREPSPVPPPEDVPTSEGADKKEGDAVAEGERRPSHTR GASDATVQSDTTVVSQPTPEELESWRHADDEHPRRPLSE CC84DRAFT_426741 MRNVWSLLAVAGLVVAQGEYGGSSSTIASSPDGYVVPSSTPSAS ATPSPTSQPPPNVSYSEGGYATEATTPGYVASPPPGYETCAPVTIITTTTRTPKPVTI TSTTTRTPKPVTNTVTTTQTPKPVTITTTKTKPVLSTLTQTKWSTTTFFDTVTFWRNS TTTSTETTTCTETETTTVDHTTVDLATSVFTSFSYITSTSTSYITSWYSTTFSETITS WISTVITVPVTIVETLSIPYTVTDVHTTSVPYTVETTVPGPTLTVPGPTETVVGPTQT VPGPTSFVTDVETSIITDVVTSIVTDVSTETVTSTTISTILSTITLPPETVTTTFTLP GTTETITLPGTTETITLPPTTETVTTTLPAVTTVSTIFSTVTFTSLQPTTVLVPTTFV TTSPTTIITTVPTTIDRTITPSSSSPGSVLCSRQCLIDVTASLVAYPFAVTTQTSLVT LTTVNYYTDEGGSLVGSSTTIGQLGPTSELTWTHKGNTLTYPTTYAAYETFSHLEVTA IASTCFTQTSILSGIETTANPTLLVPIAQITDSSSVPPIVVDYLNSNTAVASQIGQTI DTGACDPIVGGPATPTPTVTGTITTYASRDSLETEVNTVPAFSQIVTSTASQAPPTTI ISTAPAPPQSTVVSVEQPTVTVTPTVVTSTAEPTTVTAPVPSSSVSSFSEAPSESPSE TPESSTQAPSTSEVIPSSTEEISSPPAYTPPQYTTSFSKTTTAANTTSYGTAPQSSAS QPAYFPGAAAKISNGLGSVAAGLLGAAGVGLGLI CC84DRAFT_1191691 MESPHPDERPLKKRRFFEEDSSPAAIAAPSALHDSFDSAPSLPD VSLGNADQGSGLEGFDVAMLQAVVGELPHASLLRLKDASGSDVQRAINLYLDGSWSSA PSYAAPAAPQPRAPAVQQTLAAALRRNDSEASVASTPDSGSSTPIAPILEQMPGKRYV GSFGVTGWATKSGSGLLKHEEQVSIERQKDMPKVKKGIKRVVGLKKVDVIVRFVNSRG EEVGRLENESATWISALLDQKVCTFQGTVVYTPDRLRTGDNVFLQLRAFFLRSAFDSR KFVKPEDNREVNLFEEKESSDERDLRRRQVALVKLFEAINLEPTRQNATTAKHKRQGL LQAAEREEEKEKPKPKNGSAPEPGSSPPSEEAEDGEELEQDQLDALYRKAQSFDFNTP EMQPADTFVMDLRKYQKQALHWMVGKERDEARNDTEESMHPLWEEYAWPTQDADNQAM PVIEDQNMFYVNPYSGQLSLEFPKQEQNCLGGVLADEMGLGKTIEMLSLIHTNRNQIP KSDPSVPKSLPRLPKSSTVVEPAPYTTLVIAPMSLLAQWQSEAEKASKEGTLKVMLYY GTEKAVNLQKLCSASNGANAPNVIITSYGTVLAEYGQVAKQDGNVGSHGGIFSLDYFR IILDEAHYIKNRQSKTAKACYELTAKHRWVLTGTPIVNRLEDLFSLVRFLKVEPWANF SFWKTFITVPFESGEFIRALDVVQTVLEPLVLRRTKDMKTPDGEALVPLPKRTIEVEE ITLSEDERNVYDHIYLHARSVFNANAEKGTLLKQYTTIFAQILRLRQSCCHPVLTRKA HLVADEEDAALASDVANGLADDMDLDSLIERFTSEGDEDVNRYGATVLKQIQDEAESE CPICSEEPMNEQAVTSCWHSACKECLVNYINHQRDKGDLPRCFNCREPVNTRDVFEVV RHDHDSSDAPAPVQTPKVSLRRIGLSGSAKTQALLTHLKGIRKLDKGMKSVVFSQFTS FLDLIEPALARDHIPFLRFDGSMNQKLRATTLTEFNTSPKPYVLLLSLRAGGVGLNLT CAKRVFMMDPWWSFAVEAQAIDRVHRMGQDSEVKVTRFVVKGSIEEKMLRIQERKKFL ASSLGMMSEEEKKANRIEDIKELLS CC84DRAFT_1191692 MPAASAPQRRCLESQCFTPSASQPSADEVAKARAYCAHLVRTHD APSHVLQTFIPPAARDAHLALRAFNIDVAQVADTTSTPTVGMMRMQFWRDAIAKALAG TPPKEPVAILLANAAADLHARSHGKAKLSKNWFHRVINTREQHLGNPPYPTLSSLESY AENTYSTLMYLTLSALPQASLTADHIASHIGKAAGIAAVLRGIPFVAFPPQQPTISGT AGQAQGAVLLPLDVMADAGVKEEAVFREGAAAPGLRDAVFAVATRANDHLITAREMLS KLRSEGSVGHDFEHGDDAERGFEYSSEATGQEKQLAEVEQAFGVFMPAIATQSWLDRL QKADFDLFDPELRKVDWKLPWKAYWAYSRRKL CC84DRAFT_1223580 MFRSIILTLGLVAVLVAGHEGHDDGHSAVVYTTVMVMDGMTTTM EMTATASEHSSATAAAGSSTSASGLAHPTSVPTQIGVAGIFVAALAGIGL CC84DRAFT_1181990 MGANNSTVSSTSMGGMIGTFSTSTRVTLFFSDWTTDTPAKYFGT LIFLFVVTLLNRFLGAWRSQLGHKWANDAADARRKVEQRRAYKHAFSRAKVERQSSET CFDEEGAESSTEKAPLSPYPLGVDVEAGEKKRDGTPMYMAVFGGRWRAGNPWRVNIDV PRALLEGIRALIGYLLMLAVMTFNLGFLLVVILGIVAGELILGRYISGTGWEEGGCHD G CC84DRAFT_1169963 MANALTQEHIALITWHSLVSRSIKAWDSLHLSALATKPQPAAIL QMCTTLDDFLDQSSGVGLHFWFVQLRSAFLRQSKIPKWSRDRLNEYVLLPASPGFVSR QDVFFASHYWTRPDDPDPDRTYLQFVQHELAQQQWSYIWVDWTCAPQAPRTPSEEKYF GKALGMMSGLIRNCGFMYYYEGWRPRLWVLYEIAEYLLTATDSYGAETEDIQVFAQHV CEMKEGSVKDLIEKYGYACEVPGDRVFLLAWLEFLVLLHKLRLGLVKIRSIMDLLTWQ PTVEAHKFAMPGGWLELRKFEGVLVYRGHEYCFTPFPHWGMHRPLRKYPQGVE CC84DRAFT_1169964 MSSPADHKPLDKPPKTPPMPVPSASVLVISPTNQVLLLHRVRTA SAFSSAHVFPGGAISPEHDGPIPNITDTNPNQPAYRLAAIRETFEESGILLAKKNGKL FTDIGDAEREEGRKAVHAGTTLFADLLSKWGCEPDVEGLIPFTRWITPPNVKKRFSTQ MYIYFLPLGSTLPGNSKSQASGDAARLDDVEAADIVIPHPTHDGGIEHTAARFLPPNK WINLANQNRIILFPPQYFLAWHLAPFLAPTVTSPSSPSPSIAELQAERDRLTAFLQSE AGDEFSKAIISPVALGKGDYGEDKQDGVGGVTKDTAVLRLDYPGPELDKAGVKGTMTK WVVTTKFKKEGPRDVRVVERGRILGKDGKASRL CC84DRAFT_1105493 MPITNGDAMAKSASDALDFTTALEVLKNEYPERDGIDVKTLIDS KKNGGLTYNDFLMLPGYIGMSGFPAADVTLDTPITKRITLKTPFVSSPMDTVTEHNMA IHIALLGGLGIIHHNCSQEDQAEMVRKVKRFENGFILDPVVISPSTTVREAKALKESW GFGGFPVTEDGKLRSKLVGIITPRDVQFHDKLDDPVSAVMSTELVTANLGIDLRQAND ILSKSKKGKLPIVDEEGNLIALLSRSDLMKNLHYPLASKLPHSKQLIAAAAIGTRPED KNRLQKLVDAGLDIVVLDSSQGNSMYMIEMVKHIKEKYPGLDVIAGNVVTREQAAALI AAGADGLRIGMGSGSACITQEVMAVGRPQATSVYNVTQFARRFGVPCIADGGIQNVGH IVKGLALGATTVMMGGLLAGTTESPGQYYVSNDGQLVKAYRGMGSIDAMEDKKAGAGS KDAKASNAGTARYFSEGDRVLVAQGVSGSVRDRGSVTKFVPYLMAGVQHSLQDIGVKS LKELQEGVVNGTVRFELRTASAQAEGNVHGLHSFDKKLYS CC84DRAFT_1181994 MSYSYSPRTRLGHQAALPSPLRQTSIASNGSSASSRDSDRFPPS RSSTLSSNGSTPSQPVTLGHRRGKSDGTALTRSQTDYFATEVKESNALDYTSMRKALR PLQQVPQSPQSSPIPEKPPRVTNSLTNSPTNSPTNSPARTHTRAQSVDPARFTSYDGS VSPPAKLRLNSFDGSVSPPAKPRPLSMAVSRADSIRAPARDRPLSTAHLDRPELQRFQ KSSTGHLRTLSKFAESASEDDFTIKSPDQEVVGLHGRRRLQRTDSARGKKETSSWSSR NWMDQQRQFLQAYEYLCHIGEAKEWIEDIIHKDIPPIVELEEALRDGVTLAEVVESIQ GRALRIFRDPKLQWRHSNNYAHFFKFLSDIELPDLFRFELVDLYEKKNIPKVIHCIHA LSWLLFRKGMVDFRIGNLVGKLQFEDHELEAMQKGLDKSGVSMPNFSGMSAKFAVEPE PEPEPVESEEDRIDRELSENEAIILDLQAQMRGALVRIRLGNMMQHLWDNEELIADLQ SRIRGDWARQIAYYRLDMRRFAITLQSAARGFLIRSRLRGEEEYWRDKQPQVLLVQNL FRGRKARAEVQYTKSKMQRHENGIREFQAAIRGALQRLRVCDRYEQTRDAEPAVLHIQ SMIRGALVRHQVDRDYTELKENESHIIGLQALARATFIRNSMHATQESLRQQESMITL LQAAARGAISRKQNAEVQEKLVSTDVNWSDLQSQARGNFLRSLLTQQRDALQAELPQI IDFQAVARGGQLRSEMANTLAQLNDQEPTVISLQSYMRGFLSRQGHFSNLKALQAQTP TILDLQSACRGFMQRQRTYETLCALNNEEAEITQMQACARAVNLRMHIGLILAQVEEH EDAIIELQSLARGMIIRQKFVQKKKFYKENMEKVIKIQSFVRGRQQGEAYKSLTTGKN PPVSTVKNFVHLLNDSDIDFDEEIEFERLRKTVVQHVRQNELAEQYIDQLDIKIALLV KNKITLDEVVKHQKHFGGHVGTLLSSKDISSKDPFDLKALNKNSRRKLEHYQELFFVL QTQPQYMARLFKRLREQGLADKDTKRIEQLTMSLFGLAQKRREEYYLLKLMSRSLKEE VDGCATVQEFVRGNFFWSKLFNSYVRSPRDRKFLKDLFGPLIKENIIDNEHLDLESDP MQIYRSSINNEELSTGQRSRRDPNVSRDQAIKDPETREMFIHHLQDLRDIADQFFAIT EEVLHRMPFGIRYMAQQMFEELRQKFPYEPQEQLLQIAGHWVWRSYMQPALLQPQHWG VVDRGLSPLMNRNLGEVAKVLGQVAAGRLFGGENIYLQPLNSYITEAIDRLQDPAVIN VRDAEAQFEIDEFNDLFARVKPTLYIKLSDVFAIHNLVIDDLPTLCPSQDDPLREVIR ELGSAKNNENELLHVSSTEITLTLNPKFHEQEDPEADIKALFMETKRYILYIIRIQTG ANLTEIMCKPVTPDDEDRWETLIRDEIAAQMKDRNRKLRSSAASTYTTDMGSTTVHDL DYPSLKRCALENILQLQRAGRISPHNHYQDLLNAIAVDIRTKHRRRIQRAKELEGVKS TLGALDDKAHFLESQLKSYNDYIEQAMITLQNKRGKKKFLLPFTRQYNHMRELQRSGR EYRFGSFKYSARNLADKGILVSWNGFPERSWDRLDITISSNSTGIFEIQGSQGSMMIP GAFAEVPLDGLLQAQFDNHQFMNLFCGENAGAPGVHGRKKSEATGGEGVARVNVNLFL HLIFKKFYRDE CC84DRAFT_1210689 MAASSSACAQPGQAEDNRERVRAYEVAAYLSAASFPFEIADGPP LNPLPSRDLTLNALVQHGVHRMDCDRAFLSLIDNRNQFVCAEMTKSQPLDGGDLTQPL LLGASRIPLEWGVCPYTMSVFRGMPVDIPETPYIVADPSFFCIKDFRQIPLFARRPYV AGYPHMISYIEIPLRSLSGHIIGSYCVVDDKARDFLRPDALKTLREVTSAIGSYLNMK RMEGSRTRSERMMEGLRQFIEAKQEIASGRDTIEAIGYRTGPFDLGISKKTFPTSLPS SQTNILDSNQSLECPPSLIPLALQDVSLDTHGAMPNLSNATSLASPQGSSNHATGGRL EPQSPHQRDASRSLTSDLATRINNLFVAAANTAGHAMDLDGLAFFDAITTGDHSPGDS YLRPNADEEEPLATPLAEYRGDDTTRVQSAQQPRQSVIRRLTAEYPRGHLFVVDEHGV LDCESNRTANTDKHSREDLAASAQWDSLLNCIPNARIAIFLPLWHYQREVCFATCLAW VNVTAKTLDTGDLNSLTAFGNSLMAEVFRLEALTNTQAKSDFVSSISHELRSPLHGIQ ATTELIQGSIKDPVLLSLADMIESCSNTLLETFDHLLEFSNINSRARDAPLAEETTPT RGESTNVHKKKEAIDMRALVEDVVDAGSLRHLSDVGLNHGLTKKRREATGGREEPFPC DSVMITTNIENNHDWVICTDTESWKRILFNVVGNALKFTTSGHIEVALKMLEQTHADS PCISLSVTDTGIGMSPEFLKYHLFAPFMQENNLSSGTGLGLSIVKSIVDSLSGRIYVE SRLHQGTRITVNVPFERESDSLSRLHVGRTLAQQDRLQGLSLGLLSIALPYSPVTEPI LRIVSPPMVLLRSIRNICEGRIGMTVTDVSENVSPNVEVLVIDAHALLSADRLDYEIN PSNFAPEATPRAIVFLGVPVKAIARRFGKGHAVCVNPPITGQKVLAGLLSALNEATPR GPKSAISSSIPVEEMTSPGLDQVYIEDLLGGNRYAMPQLKETNRSWSGELDQNTLGVT ATTPQQPGSPKMTTHQTESTRQATSDSATSCRFKRLLLVDDNPINLKVLAAFATRLGL TFSTAADGAEAVRLYRTAVLEDADPYDCIFMDISMPIMNGFQAVRAIRDFEIQQGNLY SHEPGPERSKGTSPFRAYVFALTGLGSDASRNSARAAGFDKFLLKPVKFRDVVPLLSA IPAG CC84DRAFT_1076664 SIWLDECLHSHNHGSSTGLSRPSRLVAVGTADGTEPIKIVDDSD PGHEYLTLSYCWGRTGNLCTTAETYETFCTYIPWAKLPKTFQDAIQIARNLGVGHIWI DSLCIVQGDLHDWETESAKMADIYSGSLMTIMAAAASDSHGGCFQDRFAIQNTYSPLF QRGWVFQERLMSKRKLIFGDDQTYWECNTIVLSESDIRRRDKFAKYNRVRNDAFRIFS DPSYRLNCPRSRARLWMDLVADYSRCLLTYYTDRLPSLSGLSRSFAQQSGESYVAGLW REQMPHSLCWRVYYRMSEESCEQDYCAPSWSWASVPGQVTF CC84DRAFT_1223588 MIAVEQPQSPSLLKRSTSMFRRFSKRESRPVLPVSPGPSPLPSP RKLQRRPTNKDPTAKMITHLQTIEFSEKAPAVGSPRREVELRRRVLASDMRTMPFPAS PCVQTVAWNVFLTPEQAYSLVMGFRPRETDDKWFIYSEGPDHSGKLKVHFHRSWTGMK IAELFVVIDLKGEGAGKIVGIKWDGSDQTNGLEQEEVKYMVSTACAFVLEISLENGVL CC84DRAFT_1210692 MHAIEHKREKVDPVPSNERCCICLRDFDDPPDLADNEPPCKPMQ LHPCGHLVGDRCHAKLDSHHQGDCLLSTSLVTYTTPVLKMLSIICKMDHLSHVSPVGL LSDAPRFSYSWLYFRRDDFLAIHEHLIANGVSDMQAQLFNRGAYTKREAFSLWFTHLK FLFMYLTTVTLPCPELHDYVLGCLVGGATGIAATVLGYWKNSRILAPHLERAVQPCVL ICGMAFELWLTANAKAMHVESGIKASIYFLLTPFVLHAVLYAFVAGWLIHLGWRHRMP TLVWYHRGWEHLGDGESCQFERLAVWASRFGAKLQSPSRTEACHQETGFLSISVPGHS GTKQLAIVPEDVLWTQWPVVVNVEAPTISNAQSIGGPTTVQQRDHACGDELSGDPTST GNW CC84DRAFT_427548 MHSVVALTLLATSAIAFPSNGYSTGHGSSKLNIQVGDRPYYLIN NMDDGPLKTKLQSCSEGPFKPSDFVVSHRGASLQFPEHTTEGLAAARRQGAGILECDV AFTKDKKLVCRHAQCDLHTTTNILNTTLASKCTTPFQPAANGQPAKAKCCTSDITQAE FKTLCAKMDGFNPNGTTVASYMDGTPYFRTDLYATCGTLMTLNEYISIVDSYGLKFTP ELKTPEIPMPYDGYTQTQYAQDMIDAFKKAKIDPERVYAQSFLPDDIFYWIKHEPEFG KQAVYLDSRVDTPAGYANATASIPDLAKKGVKIMAPAFFALTKVDPVTKKVVPSEYAI AVKKAGMDIITWSFERSGWLNRGGDYYYQYVKDVINNDGDMYTVLDVLAQQVKIRGMF ADWPASVTYYANCFGL CC84DRAFT_1223590 MVLGHGHRRHSLQSNRSSTDETRGDEVTKEDETVVDADQGNMLT HIISQLRPGADLSRVTLPTFILEPRSMLERITNFMAHPETLLPMTQIEDPIDRFVAVT KFYLSGWHIKPPGVKKPLNPILGEIFTGYWDYADGTRGYYISEQTSHHPPKSSYFFMA PEHHIRIDGTLKPRSKFLGNSAASMMEGIAVMRFLNTGERFFVTQPNMYARGILFGKM KYELGDHSYIRCPETGMEADIEFKTKGYFGGTYNAIGGFIKDKSGKQLFELSGLWNEE MWIKDLTTGKKQLLFDASYAKPSYPKARPLEEQDERESQKLWHLVTEAVKQKDQDTAT TEKARIEDRQREEAAKRMNEGVDWHPQLFRRVQGGHGGSEEGEEDLDWIINAKVDAKT PEEQVKQILDIAAILPGQAPHRKFSIPALSRANTNDPAAAGNHIIQPSHPVQGNANLA VSNSNPDTQPKQETFGDLIDLSDPTPNKDADVIAAKPRQAPLDKATSQFEHLHLGKKS QEEFPEDLPPSKLLNSVKGEPGQGHALRRLDSETEEEEQFHDAIS CC84DRAFT_1191698 MSSRFKPALVLVDVQEDFCPPNGSLAVQGGRDIVPLLNELLDRP FAIKVATKDFHPPDHISFASNHDAPNNKPFESTITIANPLNPSETQETRLWPDHCVQG TKGAELLPELNVTKVHEIVEKGQDTRVEMYSAFADPFKSPCVSKSRLAKLLHDAEITD VFVAGLAADYCVRYTALDAAREGFKTRVIGEATRAVDPSSMDSVLKEYDEAGVTVIEK DDESLSW CC84DRAFT_1264823 MFVQRSAFAVARRAAPRALARRTFTTSFIRRDANKEAGHSADAP SVVEGYKKLDQIKTEADLLPPGAKPGTVPTDLEQATGLERLEILGKMQGIDIFDMRPL DASRVGTPEDPIVVNSAGNEQYVGCTGCPADSHNVLWITLTREEPQARCMECGSTYKM HYVGPAEDPHAHGHDDHHPVNLHPRPKDMSDFIKPEYLHS CC84DRAFT_1049169 AHIHTLTLPHYPTHPVHIALFCSVTNAPHLRSQLLSSNSAFDYA FLDATVLLSPTHLLLAAQLALHAHLTGRGRTRTPHSELVFRLHPNNNIGEAYRKVGMG DESGHVLVVKFGGLEEDVTAESVAKHLGMVVEGESVEIAEEMGEVGMFADVGKIRKIY KLGEGGAKGKGKKGTDVNGDGTARDERKDMESVILGTMALKGS CC84DRAFT_427600 MAPACLAPSPRNSSPATAHECPTVRRPTPRRCSSKDACELLPQA PRQVASSLNPPLRQIRRPSRRARRWKDSRTRVSGDHLRPVTSATAATASSPSSRIWES AQGGTCCTTGRSSRGFHAHKADSGQPSWSLN CC84DRAFT_1200430 MASTSKRMPDASPDVALSRAAKTAKVEPGLAQSPQAFPRPSPND FSSHVKKRLAVSSRTGQACDRCKVRKIRCDPRPEGCSPCAQNRTPCKTTDRITGRATT RGQVEALESENSYLRSQVAELQAQLKELGVEPRATPSYSSTAQSTAASDWGDAPNRRA STNASTTASTSPPAGYTPASSTLETNRPLPQYKHQDFGDNYLGVSSADSLLSNISGTS LSVFGHDIDITDFVDDKDYDNSYMSYTYVMRVALSHTQPDPVPLPPYQTLKEYCTWWF RSMHPYMMLLDKTTLMKLVWRIGNEPGFKPSPSETVCVHMVIASLNYQISVRNRQEDM MEESHRHYRYALSFFKHLWLSHTWRDVQALTLIVHHLRNFPKPGAAWIMACTTFQLAM ELGYHRSSKAWANNGHMDPLEVEVRKRTFWALHALTVNISGKLGRPMPIHMDDIDVEF PEPSNDCLPGEEVGQDDFHKCSFQVGIQVAKYTVPLSQLYRSLYSINADPGAYEETLQ RLEAGIKQWKEDMPPQLRDPATASQEDYIFAVYLDYWYREYQLQMYHPAMCRSEDPTV QAASLAKCLVACQKLLRCSTEMRKLRSLDNIWINIVTYIAAIFTTLFGHHQKRETLTS ADMDTLRSDMGEWIELISESGQLLGFGDKLKDAISQIIDRSLSSINASIVKRTASQSL AQVALHAPPEQTAAFTTHTNSNHRPPYSNAAGTAAAVAVAGSTQSQSYATGPANVGYT YSNGQAAPTLQQVAPHHGQQPYIPAQESPMAASHAVALQQAAATPRTDDAYVFGNSHL TTNGHSHQTSYTNDIPPVDWHQWTRAAIAYKHPGPQGEYLNSMRTHGSVSYEGGQGGQ MSAVGEENVLQTLGSNNWPEMQFVPPNNAFGSQQYSGQQ CC84DRAFT_1182004 MRSSLSLKALAFAAAALNGVEGIDLAKLRRYGEMAESGMYPDGS PMHSPEIMASILSAPAASAASAASAEPETIVPEYVELPLNHFGKKGSSDGTYLNRFWV STEAYKPGAPVFLYDVGEADGAPYVATRLGRVNGTTTNSFRQMVDKYQGVGIVWEHRY YGNSTPGNVPITADTKPEVFKWLNTEQSLKDIVAFADKFSRPNINYTLTPAKTPWIMI GGSYPAMRTAFMRDQYPDTIFAGFASSAPTEAKVDMSVYFEPVARGMERYGAGNCSKD IHAAIGYIDKELGKGGKTAANLKQQFLGGGAEKNSHATFADALSTIFWLWQSYGMDGS PWSLGEFCNYLETDPVSTKVADKDGWAKTKGAKFVVDRWASWPKFAGVVSDSMDTVCS GDKNKTAECNLDLVFQDPSSVSWTWQYCTQWGYFQSANLGPNQLVSKYNSLQHQHDIC HRQFPTAKAPLFPDWPQTDRTNAVFGGWDIRPSQLYWSGGEFDPWRTLSPLSAEPWAP HPKAFTNPPKCGQGQDEDEIFGYVLKNAQHCYDFRTTVALGETSRGFFYKALDGWLKC FKPKKGGY CC84DRAFT_1234085 MMINPSCRSVLTWNVYKGNPASTIIPRRPSVRKSWYFDDSILPE FISTCKRDHAVCNISQDHRMPTYLLKIPEDTAPEASIQLVHHAPCVGYAALSYCWGGD QRIKLTVETVAEFTTSGIPCSDLPMTIQDAITTTRKLGLQYVWIDALCIIQNDPVHTR REIDLMPSIYQNAQVTIYAARSSTVEQGFLSDIFVPGRDSHSFQIRIRGPDSLSRPGA PVCPSDLRGAVVCFSDDNGSNVNNPIEKRGWTFQELLLSPRLLEFGAYHTSYKCLELE LCDANFAQLYDGRSSEAFELVRVYFHKQQMGGDLKIWETAVRMYTMRQLTNPIDRPLA ISGIAAVLGRMPDLQGKYLAGLWKDELPLQLLWEIIDRRKPRSAEYRGPSWSWTAVDG TVAFLDHIPNGSPDRDLQILYTAIQLEDSIVPHGAVSQGSSITVRGWIIPKIVAEGGK SLIDIGHVGDATDGCQDEPSSVTRSKPWRPVGRYGPMPLIHPDAMDELTDGATVYCLE VYPFDEKTDIAPYGLILAAAVGCEVGFRRIGTFRFFPRVAGARFVSCRRHLSGLRGFC TGFDA CC84DRAFT_1050765 KPANPNSLTLEAWSSGYLGGALVIMACITVANMRKGVLLHKLVL LELFLAIWRSFWLFLPPPTYSWWLSVSGMLLDASWSLHNVIAWMKVTPFLPKRGSQLF IGTLILAQPFWVLEVYANFAYFHGGSVLFLKTRPWEALCRDPWWLFAAGVLFWKIKTQ YEMSFKEIVGISPRFGIMMLAAFLSVVFFVLDIVSATNKLNLGLPSGINPFWKVSSVF KCLMDCVILDDFRTAMERLRAYKISHIGSFSQD CC84DRAFT_1105681 TPSLAAAIIFAVLSALTTFLHNYQMLRTRAWFLIWFILGGLSYI ACAYSAYENLGKTCV CC84DRAFT_1191704 MYNTNPTLGLSIVLALRTAVSVHVVWQWRRLSHIPRPFSASLSK WSMLKESLKGEQSNSFQVLNDKYGSLVRVGPNELITRSRRSAKDDGGLFSMRDEIAHN QLRIKMAAGSIDGQIEEFVHLLNEICLSTDEKCRPKDFAEKKSFLTLDVMSKLAFGEA FGYLDQDRDVYDYLNTTATPTAGSRRDMLGSFIRHGLTQEEASGEALLHVVASSDTSA ARLQAVMLGILTYPSTYRRLQSEIDDGIKTGTVPPAGDIIDGKFIQGGTQIGSSPLGF AEMVSTVDLVFHFVKFQCPGKNFALMEYLLPRFDFSITRPDRPVKVSNAGIWIMKDFW LRIEKRV CC84DRAFT_1200432 MSTDTQPKILIIGGGVGGLTLAQGLLKHNIRFHVFERDESQSFR AQGYRIRLDGDAVYALKEVLPQHTWEKLEASAATERETSGITKLDALSGEEIMLGPGG GPPGGPNGSKGIQGRRHVNERPMAVDRTVMREVLLSNLGESISFGKQFERYEIHESSV TAHFTDGTSFKGCFLVGADGVNSRVRKQYLPQLSIVDTTGRCIYGKTILTPSLCSSIH PKTQSGMVLIQQSDPDGTPLTLFLESMRFSNPDVVLIPNSRTGVKETVQDYIYWVLLS QEHIFKNKTADVVSLSPIQAAEVSNELTKDWHQIVRPIITQQDKASASAVRIVTALPD MPPWIPSSLITVLGDAAHPMPPTAGLGAVSALKDVQSLLAVINRGISEESIAIYEEAM RKWASEAIRGSRAGGVKLFGQAEFSQARPIEF CC84DRAFT_1132384 MCFPAKCKVCNKASWQGCGQHVPRVMKQIPSEEWCTCEPQVERE GEKYPPKAQ CC84DRAFT_1105555 NSETNVTATHESTEEVVERSPAQQHIGNIERRTGETVKRDAGRW SRFWGEWGSVELENKGSVARYYLALERTFLAWLRTSLSLASIGVAITQLFRLNCSLEF DTSKKPTNATADSRTHSQYEMRRLGKSLGTAFIGVSILMLFVGFHRYFEAQHYVIQGK FPASRGSIIVGSALVVGLIVGSLAAIFAAGAA CC84DRAFT_427898 MSNTPEIYPCFEPKTSTWQYIVADPQTREAVIIDSVLDFDPASN SLSTATADSLLSIIDEQKYSVTRILETHVHADHLTASRYLQQQLINRGQPRADVCIGK RIQLVQHTLAARYSISSPELESAFDYLFDDDERFSIGSIEAYVLHLPGHTPDHVGYVI GESVFTGDSIFNPDVGSARCDFPGGSAHALFCSMQKLLSLPPDYRLYTGHDYPPEARA LSEHGQKWKAYTTVKEQNLTNKHVRNGVSEDQFVTWRTERDVALSEPRLLHQSLQVNI RGGRLPRRNTEGYRFLIIPLKVPESLD CC84DRAFT_1132389 MAATGSTRLISHFQTKEQEQYGAGWSELWEKGESNLWDRGFPSP ALTDIIAERRDILSPLNVDGSRKRVLVPGCGKGYDPVMLALHGFDVLGLEISKTAVAV AERYSFEQMQNPSWENFAKGETKDAGERGTVSFVAGDFFAKDWEARLPDDQKKFDLIY DYTFLCALHPSMRRPWANRMAELLTPGGLLICLEFPLWKDVRLPGPPWGLQGVYWDLL ACGGNGLISEGREDTPPSVSDCVQFVRELYTKPERSYEQGRGEDMLSLWRRQGQEAVF CC84DRAFT_427957 MQGDIDKEFAHSGSNKGDISKWIRNLYHESRGAELPGTINPRVL ENMFRQQSEPWRNIATVYIERIGTAIQRFNEAIFAEKISDDELRMKLMAKLSHRHGQT LDKASQQLIIILNDKRGGILQTVNHYFTNTLSAIRKERVLARLEDAGVKDGFAVDLTH ILKSIHLSNEDQAINDIHNTLKAYYKVALKRFTDNVVL CC84DRAFT_1169979 MDLEYFTIRLIDGTEACIKNPMFIERCLIWRPHVTYREYWRLIY HCLYLSASYCLLWSRLKEVFSVRMVAKIICFGLGDMCRGPLEWYRRTIQHSVALTMAD MCTKEMLKGKGFLIIRPFRAGGFTEIKDDSIIFSAFADLESPCMKKMWDEYKRYDFLV LPEDVELLKSVS CC84DRAFT_1047274 SFSDYIWADSHGPWGTDRLTRALKQETGKRLGVALNTREYRHVT VGIRRVVIGESFSRGYQDEVSEEEEPKAKDESPLELQNSWTTATGVSVYSVPIDIVKH LSNRSIKTFRPLSEAWHNFLGLGKQMNGGQVSSLQVRQTYKRQREPESTPAGQPLNPH LKRAKLEPSNLQKNIKTAIQHVLKSSEVSFKSGEQEQAIHTIVQGQTPLVVVLPTSGG KSLLFTVPASMPGARVTILMVPFQALIEDHVQRISQSGVTYAKWT CC84DRAFT_1105865 MWQELLWVPDKDGRFSIRLNLIQDDITFSRRGSYFMNEENGLAD GLRSMLERAFKSKEGQGLRAPNGQWNIWQVKRYLRAVNHFLGKKLVAYHVFNGQPARG SELTAMRFRNGALQDRNQVVLDGVMMTVIRYYKSMSQWDSPKVIPRFLPARLGQITTI YLAYVQPFAEYLQV CC84DRAFT_1049810 MKDARELFPWQGNQKILASEFWASLDGQDESCQMEALLRVLAAF IFHKHNGFVFTSGLIHFTAILGIDADAGRLRPAKHYSYLLAGVVYCVRVLGAEVLLPA SQRDRQADNELAAFLTKRREFLADGSYSPISEILSLLAFSKHIAFNDGNAGAALWSQD KKILYYRGKP CC84DRAFT_1200436 MASTAPYGDADDKSGGGSAMFVNQNGGTPPQQQQQQHIPTDPEL QLREQLQMHQGSDMMHQAPHLQQMGAMNATHHHFQTPPRPVHSPQHMAQSAQSVMGID DHNAFADHDGATRKRSKVSRACDECRRKKIRCDATSENGPESCSSCKRTGARCQFSRQ PMKRGPSKGYIKELADRLNSLESQIQQPQAQAANYDFAALDQSLEASSQFSRKRTYSM SENFADPYNRPSWSAQDRGTYVTEDPPALISLTREEQSLNGANDMNNNRRVSFTEWTL VGNLITGSNEAIIKAYYSTMHSTLPLLSSESSALNRLTQCPSKLREALFLSLECSIRS SAPRSLPPTENSINQMLHQCFDTVDAAKHTLDDPDHARQFFNNLVYCQSLVLLFIASD RPKPGTVGNSAELLGRIAGVITEVGLDDAKTLTSLREQDIELYQAARQTFWVAFILDR FHASSRSKNLMLPIHEGSPSRDDHKLLGDEAYHLVRAADIVGQVASISKAGSVPELDP ASPFAFAALSVTSPATRYMNGQLSRFRESIEISPLPENASPYLAYQYLRVFITRLSDY SSSIELLSLTKDLLRNLGNPRVTPLHHIFASLVATSLGDLSDRLETQIEAHAAMKEMD DGLANEHIINASSDNLGWDAAIRDLLHQKKGPTPSHSAPEQTSPSQHLAGLQHLAAAA VGEREGTDARPASSSGNVIAAPTPSKFDNDVSAAIAAANEAAKAQAQAQDNGSVTQQR VHESSATEAHGTFNDTSTLVKDDAF CC84DRAFT_428025 MPIVDGEKYACQSCIKGHRVSGCNHTDRELHHINPKGRPVKQCE HCRGARKSKSHHAKCECGDRKDKHKDSRDAKGEQPVDLRTGEISNRAIVHDNHCGCHS GAKCMCGVKKEPADLRLDTTKQTLHQARARPKVTATHSESNLTVFANGHHRPCHRNNN TAHVSGAPYKIPRPHTLHGTAFEQYMKPSSYSQPEAPAQRSVDTFSLSNRDFYTMLGV APQNDALPLTPISGSLDTSNFQDSIFANRSAAFSQETCSPTETHLTDAMGAPQWPWSN SLASVARNFGYGSLSTSPSQDCLPNLDNEWPIPSAGLTHPTWSAGDLPLDTSNLADSV VQPISHSGESKHSAPELSTSSSPSEIGEQNLFSDLAPASAVSETLFWEDKMPVYCHGL SNEVRPPTSAPHYPASEAQGLELDLSKALGSVAPMPATTAGDMYAETPAIAMPNAIDE AACNDPWAFDQPNMSFPGFDTFDIPSTFPNWI CC84DRAFT_1182015 MATQAAPSVQSTHPFTCNTCQVAFRSGDLQRAHMQTDWHRYNLK RRVASLPPLSSEVFTEKVLANKASAAATAARASFEKSCGPCQKTYYSENAYNNHLNSQ KHRANVAKAGFDDAASVTGSVMSSAFSLGESMASTADSETTELDPEAEKDFSDVADGI NNTRSDASEPLSRRPARPHPSRAAAKPEHPLSPTAANESAREEDGSSVASSNKTQTDP LQDCLFCNYRSPNFSLNLHHMGRHHGMFIPEKEFLVEPESLIKHLHDKIYEQHECLKC HKHAHSSHGIQTHMRDRGHCMIGFETDEELVEIGEFYDFRSSYPDADRFNDMEQDIDS DDSTSTAGGGVKLGAARKTVTTTEDDEAVHSGEEEDEGWETDSTVSSVPTDEITAIPI DRTHRHKLLNKSKHHAHGDPRPHKSADGFHSHAHATPVAVYHDDYELHLPTGRVAGHR SLNKYYRQNLRNYPGVAERMEAAQRRAIAGAASSDSDGDVDMEDGGRGRQQLTTRANG GLGMIGVSDSKKAEIRAVEKREQKREQRHRTNYLAGNEKRANHQKHFRDHLLQ CC84DRAFT_1158506 MTAPHVGSSAVARDHVAAVADARRILESSQVARQREFQRLLRRY MSQILYGCTSTCCTTPTCLSCNKRLVSRPFRPPTQLTARALAFYLASQHDPHRRLCPH ALNVDPDTLEIEGAQGVYIQAADGTHDATCHVYPSIPTNNTTNNGSAARGQLGDAAHL VPIVDALEHRRQARKDPKSLGQNLYDTLTIIFAYSKHIPSPLSILPSLASPFDREPRH VGGSLPREAMSLPANKRQRGAEQTSSTASTSADATLSNVNARRISATQAAQAPKHVVP TSFNPDAFEILSHTPSDAPLHEPFGGARDGAVADKRTIVASRPMSSMQARVTTGASHP EPSMDNGRNVPARTYGGGQPATLPVISHLTCQIMDQLKGKVHHHRRDQSQASNFVVDY DANRRCRPAKPFVNRALYYSLSDAETLLKSFRDRLGDDFRYSPLPHLDAHYLSHAFRD WNQRNGALIFDSLYEALEALLRPPPELVTLKSPRLRAARKLTPPPQSAACNDAFGRYL STLEAAHLIMICIHALTSSIPVGWPHTWVQVRNLRGWGVVIPGAPLKTTQSDDFVHPW LDIVDQLEYEPAIRLATRLLQAIGTRRCHEHMLATIEARDEGQAPTESSAGVERLLPV LLGHLTQVEKAALQRKGKMGSAQIVDEDPGWTITATFMEWLRTVIVKQWDGNVDINKW GSVGTAVTIMTHFHANMEPLNLRPHMFLIPHFHEEIDCIQAPLDFLKYKERPNSFHVL QHPMFFTQDALVGYFRAINFNRMFKQFQKSARITNFQSRWDQIISNPRHWNVAMDHLE VSFTEYLVLDVTRHNPLEETLDQLWGQEKRKLLKPLKVRIGILEGEVGLDQGGVTYEF FRLILNEAFEPENGMFTVDAENGMTWFQPASLEPLWKFEMVGVLLSLAIYNGITLPVT FPVALYDYVLSEEHNSEDMMAVDFIADGWPTLAKSFREFLACPGEVADVFMRDYTFSF SVFGQNIDVDMQAFRNRTWPDSTCSLESSSPSADMPPCRSLHDASWRRPRDVRTNPLT VTNEDREQYVCDYVEWLTYRSVERQLEAFTRGFHTCLHRTSLSFFTPSMLRSLVEGSP TISIPLLRTQAVRYEAPYHATHPTIQDFWAVVEGYDDEERNALLEFVTANERIPITGY DSVKFEISRSGGDTESLPTSSTCFGKLYLPEYKDREKLGKKLGIAIRNSKGFGIV CC84DRAFT_1132402 MVSIENPLPRLRRVHRHNVETFTSTPLAELSGSLGDLGTLLPLM TALVITRSISLPATLLFTGAANILTGVAFGTPLPVQPMKAIAAVAIARKFTLEETAAA GIVVASLAGMLSVTGLINYANRLTPIPVIKGIQVGAGLSLCLSAGTKMLAPLSWTGPW WGDNLLWAIAAVVLLLFTFAYPKMPYTLIIFAVGIVLSILSPASSTSTPAVIDIPILH PSGQDFWKATTTASLGQLPLTLLNSVIAAAALASDLLPSPPYPAAPSVTELGVSVAAI NLVACWFGGMPTCHGSGGLAGQYRFGARSGASIIFLGALKFILGIIAFFEPGPIVSVL SGIPSSLLGVLILAAGVELAKVGESVNTNARDLRVLDRSNSWDGKRLKELGETERKER FTVMLVTVATLLAFRNDAVGFIAGLVWHFGFKAARRAEEWRARREGRPFWRSVGHRHE ESAGLLAHEEEQRIA CC84DRAFT_1210707 MSPRSQSPATTHYEFIAHTGIEDASAKQKLKTVRSHVMRNYLHQ QQRQSGQSSKSIVSERRQNKQRARSSRSASQDADSPKAAGTTRNPQNQLAPGYIGSFD VGLSGAPHGYVPQQDVVSCLAELYFTCVIRDKKDGYPQPSFDTLNAKGETMRVVQENL EMCGSDVPDTVLFAINILAYGCSANHEWNEALGHVEALQRLVETRNGMHNMEFDLQRI LTCSSFFIAAELLLPPQFPLPLYPSPIPFNLAFQDDAQIRAWRTVKRFPKNNSFVFDV VMRMHQFGLAVSPEWTDKIDKCALSNLYFEVMHTAVVVQLEEPWQGQLQGGTQGREGS IMFKVWAAGLPIYVGAALRHLRLRRDSNAMRYYHGPIFSRIQTILDENGGHHAWPRGR SLEPILATLFYALEACAWDDPWRTWCLHTMRKVSDLLKLKNSEEFKKVLDFFPTTERY QSLTDDIWAEITHLSVPSTLSCVLHVFGQH CC84DRAFT_1210708 MHMLKHLLTYAILALGVLWPCEALIIPQPNGTYTVALLTSTLVD SGRTDPYDPEHRERNITISLFYPIDRNECEQTCTVPYMPPVTSAYYDSLVASFGVTGD KIFESFRMQVCCIPSPKAAYHATDFPLVLFSAGLGGSRLIYNAMAQDLASTGYAVVTL DSTYDSVVVEYPDGTYVNGLNISFWCIEDPPGFCKPSANVPSLLETNVKDAQFVLETL GKYTPGKFPIAGAARGFNVERVAYGGHSFGGATAIRASMEDVRVAGSFNLDGAQFGNI TDSYAAVLLFGRGDPSPHSRNDDATWQETWDHLKGWRREFGLRGAEHTGFGDMSLLAK LGGWPATGKLQQLIGTSDGQRSFEVVLTYVRAFLGYVFEKKDSELLNGPSEAYPEVVV DGGV CC84DRAFT_1169989 MGLYNHHKSVEHPPALVPCSDMCGVVVAVGPCSKKTSDPLKNPA FQLKEGDRVISTFAATHLTGQVQASDVANGLGGPAPGVLTQYRVFPTYNVVKIPDYLT AEEASCLPIAAMTAWMSLNCMRPQGEIIGANETVVCQGTGGVSIAALQIAAAAGASVI VTSSSDSKLQRAQKLGAQTLVNYNSMPDWEASVLKATGDAGADVILEVGGAKTLRKSF DCVKFGGLIACIGYLSGKVDDDTDRTNTNLLCLRRNVTLKGILNGPRDRLEEMLRFYS DKEIRPVVDRVFKFEEGVEALKYLYSGGHFGKVVIQVKE CC84DRAFT_428187 MSQPHDFGNVLAPPMYEKDDTSSAGKKSDPELSPLDQTPTIHEG QEKFNRLGWKRLTICLIVEAIALGSLSVPSAFATVGMVAGVILTVGLGLVAIYTSYVV GQVKLRHPEVQHYSDAVGLIWGRFGYELTGAMFAIFLVLLVGSHALTGTIAFINIVDK ENMCALVWGIVSAIILLLLALPPTFAEFAILGYIDFVSIIVAIMVTIISTGVQAHNAP GGLAAVNWSVWPPPETTFYQAFLSTTNIIFAYSFAICQFSFMSEMHTPKDYVKSIWAL GLIEIFIYTVTGALIYAFVGNDVKSPALLSAGTTVSRIAFGIALPVIFISGSINGTVV GRYILDRAFPRSPIRYISGVRGWVVWVSLLTCLTLIAWVIAEAVPFFNALLGIMSALF ISGFTFYFPALFWFKLIKRGKWNEGMHNISLSILNACVFIIGIIILGCGTYASVQDII NQYNGNSVRSPFTCDSHSYT CC84DRAFT_1210711 MRVFLRVSWLFLAAYAAAESYYTLELTWTKGSPDGFERDMILIN GQFPGPHIELEQGDWAEITVINKMPFNTTIHSHGIHQTNTPWADGVPGLSQRPIQPND TFTYNWHADTYGSYFYHAHSRGQLDDGCYGPITIKPKAHVAKPFDKIALDDVASLEAA EAHATPLIISDWRHKTSSESWELELAAGLESAVCVDSLLVNGKGAVDCWPREDLTKYT SPALVPLLQHTNLSVTDKGCIPPEVLAVMLPSPDTNITALPPSAFDVCTPTRGSREVI RAPCSKKWMALDIISSASIGTYAVSIDKHPLWVYAVDGHYIEPLKVDALTLANGERYS VFVQLDKPASNYGIRIASLALAQLIDTTAVLSYEDTEQSYGNGSITPSSQPSINRAGG NATSDVVFFDQAKMVSFPPQFPQPAPEAEQTFLFSLVTAGSSFRWALNGTAYDHAIDD SEPPLMYRDPLAITTPGNLTLATKNNTWVDLIFHVATVGQPPHPLHKHSNKAFLIGQG QGNFTWSSVAEAAADTPENFNLITPPYRDGFVTPPSATGPTWLAVRYHVVNPGPFLLH CHIQSHLDGGMSMIILDGVDEWPEVPKKYMN CC84DRAFT_1264839 MANAAVLNDEDVMEPTLQSIIDQKTLRWIFVGGKGGVGKTTTSC SLAIQLAKHRKNVLLISTDPAHNLSDAFNQKFGKDARKVDGFDNLSAMEIDPNGSIQD LLAQGGESAEESMAALGGMGNMMQDLAFSIPGVDEAMSFAEVLKQVKSLSYEVIIFDT APTGHTLRFLQFPTVMEKALSKISQLSRQFGPMLNGFLGASGRLPNGQNMDELIEKME TLRSTISEVNEQFKNPDMTTFVCVCISEFLSLYETERMIQELNSYEIDTHAIVVNQLL FPKQDNPCEQCNSRRKMQKKYLDQIGELYEDFNVVKMPLLTEEVRGSEKLEKFSEMLV KPYQPPV CC84DRAFT_1264840 MAPSRTRDVDSDGPSTADVSANEDVEMKDADERVDGFNKFGYYQ ETPDYTDSDTNPNTTASSVAGDAPADGRKRRTDAHNMRKTIYGKKHDRLGASKEDDTV RRFRYLLGLTDLFRHFIDTNPNPRIKEVLAEIDREDIEEEKKAKASKLRKGGAAAERR RKTEQEEDAELVREEKHGGHNETVFRESPGYVKGGTMRDYQVAGLNWLISLHENGISG ILADEMGLGKTLQTISFIGYLRFICGIHGPHLVVVPKSTLDNWKREFAKWVPEIEVLV LQGTKDERADIIKTRLQDEKFDVCITSYEMILREQTHLKKLAWQYIIIDEAHRIKNEE SSLAQIIRVFNSRNRLLITGTPLQNNLHELWALLNFLLPDVFGDAAAFDDWFSSQDSD SDTVVQQLHKVLRPFLLRRVKADVEKSLLPKKEINLYIGMSDMQVNWYKKILEKDIDA VNGAAGKKESKTRLLNIVMQLRKCCNHPYLFEGAEPGPPYTTDEHLVNNAAKMVMLDK LLKRMKAQGSRVLIFSQMSRLLDILEDYSVFREYQYCRIDGSTAHEDRIAAIDDYNKE GSEKFLFLLTTRAGGLGINLTSADIVVLFDSDWNPQADLQAMDRAHRIGQTKQVYVYR FVTENAIEEKVLERAAQKLRLDQLVIQQGRAQQPVKNAAGKDELLTMIQHGAEKVFQS QGAIGAASGVTDDDFEEIMKRGEERTQELNNKYEKLGLDDLQKFTSDSAYEWNGEKFE PRKKEIGLNWINPSKRERKEQSYSMDKYYRQALMTGGRTEAKGPKVPRAPKQVVIHDY QFFPAKLADIQDKETAWFRKENNIKAPLPEGTEEDLETREADQQLAQAEIDNAEPLTE EELAEKERLINKGFPEWNKRDFQQFLNGSAKYGRTNYEGISEEVDGKTADEIAEYAKV FWKKYKDLNNWQKHLSVIEEGEDKVRSQQERKALVAKKVNMYRMPLQQMVIKYTVSTT NKKVYTEEEDRFLLVMLHKHGVEGDTIFEKIREDIRESPLFRFDWFFLSRTAQEIGRR CKTLVDSVQRELGEGDMKNGKGKRALDEEETEEEEEVPVKKKAKNGVKNKQLDTVKAK GSPASASTSRATSVVSNGPAPAKGKGRGKKK CC84DRAFT_1210713 MSGKHPKHPATVHFPLTFTFLTGVLDAIYLASVTPATSGTVATV FKTLDIAIPTSLLPTLSYYSTILTLLTAIPAVISGALELQPVIARDGFSSKKAQAGVS HALVNDIALFGAAYNWWVRRSTTGFVPDTTNVAISAALALPASFFAAYLGGQLVYQYG MGVGRGSSAARKAQ CC84DRAFT_1264842 MIEEHPKGATPVQVDWQSDQYWRNRRLSPAQLQVHDIRLEDSGW RTNSDEMLEMREVLSSTLLPALGNRDRLANAIPYEELTKNRSEQMNLRNLANEYGWMN CLRSKDVQQSVTDPESFRKCRWIHISSKFSDYLSGCLLALSDWNEKPSQVVTALQRLE HCVYQQERFSKHGRYFAPFFQYLHGGVVDKKDCTSDPMLLSVPFLDWSVEGEPPPLRF QIDPREGYQSSRSSSHLLRSILQHFYRLEDTADRESQQVFTKHKPWLTDRNLDLKVRR WYGHYPKSLNVDELWVLVIDARHIVTFSSNQSWKSRWPPLQLSARIMEISFRGIRNAF VNSSTEQDYTATTHVITCLSGSLGMLHRSFWSDIPLCLADRYASYLGHLQFRLHRSPS TSLVMHLLQVQEELNIIIQIMQQQMDLIKELQLASNSGRNRGYSHSSAREFHQQNATS IPRSGIATYRQMSFSHLSDPSAQLLENLQREYIDLCDLRDNSNTLINRTIQLVNIRLE DHGKAILVFTIITIIFLPLSFVSSFFGMNFADIRDMDRTQGFFWIIAGSLTAGTVAFA MFLAFYGSSILDWFIAWKENKKRRSRSKKTALKEAARGIKASGIKNFEVLDTMRPR CC84DRAFT_1132428 MKLSTLLFGSIATLVGAQLAAPYTDVKSNITFQAYRHSTGYFLG VALPENPAGNTDFIVTIGGKGNGWGGASLAGPMKNALLVLAWPNNNTIVSSFRKTNQY GSPPVTTGTFTQLPIANGTYTNSTHWTYTFVCSKCIQSDGTTFAANDNTTVLGYALSS AAPSQKGNAASSVSKHSSEGQATFDLVKARSKDFATWKSWAAPKVANVL CC84DRAFT_1234196 MGLPLLLRVLPLIALLNGASGETTAAIGTFADVNTECKACPHSL CPNILAYEQGESANVTCWTRGTRIIDTTLWLRSTSDCYVTEYDLNDNITDYTSALPYC GPGSEDEDLTLEDATLKYKTECRICPTISCDTVAYLKEDTDVELTCWTPDGQVIIDDP YWMKTTNNCYVAQKNLYSKPDITYLDPCGPIPYLEIEKHFNENGTSDVDKRDAAPEPG TFAPSYLINVTVGENYALCRSCAQETCRVERRYVFDQEVWLQCLVDTNGTWWSETTDF CYVKNSDFWQSPEGDYYRNPLCEYFEEPGAGPGDGDD CC84DRAFT_1169996 MPTLDVLKKVTGFTKIPRWYKEQTAIQIRGPTWVERSMLAKKQN AGHDDDIDMPGPREFPDPSTLTSMRRERDDVEKQNVPTNRVVPTLIDLDPPASLVDPV DTEEPASAVAQVLAPATTLTETEKKSVAPTVLRRNSQISLYSGTTNGSQASMQRSKPK YSFKKAKQNSALQPKLGLAASRYAPESEYVALSSCRTNEEAYWRRHNTNPTRGEGSSA GNAGTDQRAAVETSLL CC84DRAFT_1158537 MEGRGNEVLAVAILFLVLTWLTMSLRIYVRGFMLRTWGNDDWVM LATVFWYLCELLYVISSCTLKIALGIFYLRVALQRWHVFCIKLLMAGTVLFGFVYFFL VMFQCIPVSEFWNVHPATKRCIDTGPTLGITYALGAANAAADWAFGTLPIFIVWSLQM NLKMKALTAGILAFAAIGSIATMVRMRYIHTLTHGADFLYATVDVAIWSTVEPGIGMT AGNMATLRPLLQNFLWRLGLASAPNSTKERVVRRSGLISGKSEHNRNRRGFRRSLEAS DLTPDEGSTFTTITGPKQHPRKMSWPQLSRPLSVPTMELEKMVGGIQHSTVVEQEIEG PPRLHLRDSLRNSFTHGTILSKKPPVDESSNALCPKTREPARSQATQ CC84DRAFT_1234214 MTRSIISLLTVLGLAGAQSISHSSRNGRPTYDVPTILKAFKSCN NGGNVVFPAGNTYHINSRLNPVLNDVTIDWHGEWEFSQDLDYWRNNSYHIAFQNHAAG FVITGDHIRIDGYGTGGINGNGQLWYFDERGNPPVTPGATRPGRPMPFMFWNVSDVSV KNFHVVQPQLWSINIQNGTDMVFDNIYTNATSPEAPTGWNWVQNTDGFNTMDSRNIVL TNFVYQGGDDCIAIKPRSYNIFIRNVTCHSGNGIAIGSLGQYLEDSSVENVHIDQATI IKGGAQNSIGNAVYIKTWVGELVQGGDRDYESDYQPRGAGWGGVRNMLFSNFIVRGAK SGGVITQNSGDNGSFAGTSNMLVNNVAFVNFTGYLDGKTTTASVSCSTRQPCYNIDYK NYTLYTSSNMTSTGKASCKWTAEGGVHGVAC CC84DRAFT_1234228 MILSSSSPLRLTGNWWRAHSKATLSPIRFQLQRLAYCRHISLST ATTGKRLAGKVAIITGGGGGLGKGTARKFVEEGAQVIVAELKHESGSATAKELGCDFQ KCDVSKEGDWQALLKYVDTKYGRLDSVINNAGTSYRNKATVEVTENEFDLVFAVNVKA IYHSYNVLVPYIKKKGIGGSFVTIGSVAAVSPTLGLTWYNASKAAVMLASKSLALEYA SDKIRFNNVLPTFAPGTVIFRQNRFLGLPEDQKEFESNLPQPMGRGCTPRDIANACCY LCSDEADFVTGIDLPVDGGRLI CC84DRAFT_1191725 MRASSSLIALSLALATAAHPHHELSAREVAARSAINKRCAAQAG AFMMARKKRSLRKRSLGASIIPRDTTVNITTESPHYTTIQNDTCLLVPEVTAGPYVWP RSETLRQNMAEDQAGIPLYLDIGVLDVNTCEPAPNVLIDMWHCNATGSYSSFTGLSPN TPFEELLDQLNVTIGQGELDLHTDATTFLRGMWPTDDNGMMEMQTIFPGFYVERAIHI HVQAHTEWTVRTNGTIVTSNTRATGQIGFSEELSQKIMALAPYSQHTEIERTTNDEDS VFTETVGSGFDYEIAVEPLDGVDEANGMVGYITFGVNMTATSGSGGVEEDNRVVPVRG RGLPLWRRDWMYRSA CC84DRAFT_1169999 MAEGYKYEYFNVTFPAEYVAHVEINRPEKLNAFVEQMWLNLSTI VKRLSHDSNIRAIILTGSGDRAFTAGLDVTAASQGTLSQNSSDSARTATALRRHIFEF QDCITALEKCEKPVIAALHGISYGLALDLSLACDIRLCAADTRFSVKEVDIGLAADIG TLSRLPKAVGNFSWVKDVCLSARIFGSAEAEKVGLVSGVYGGKAEVVSEGLKLASLIA SKSPVAVQGTKEILNYSRDRSVEEGLRYTAVWNQAMLQTQDVKDAMLSGLQKKTPKFS KL CC84DRAFT_1105819 AKMPKFFCDYCDVYLTHDSMSVRKAHNAGRNHLRNVQAYYEQIS SEQTQLVINSITDAYNAEGQANPMTQNPMGGLGGFPPPGGPGFPPHGFPPPGPGGPMP PGGMPFPPPNMAGGPPPNMPFPFPPPGQAGSPPGGMPFPPPGAMPPRGFPPFPPPNAG PPGPPGR CC84DRAFT_1132441 MTSATQILLIGAGELGTAFLPHLSALPHTHTTVGVRTPEKYSHL SSCTVSVVPLDISGPSEALSQSFSNYDIVISATGFGQSSGTLLKLAKEILNAGLLRRN TNQSRLWFFPWQWGVDYDITGDGQGLMPLFGEQAAVRNLLRAEATQNNIKWTVVSTGI FMSFLFEQFWGVVVREGGGITVRALRNWDHGITVTDVSDIGKILAQIVAGGFDAENTV VYAAGDTVTYKELADIVERAAGQPVEREEWTIPYLEAELAKDPDDQIKKYRLVFARDG VCWDKSGTVNYKHSIPVIDVDTYARKLF CC84DRAFT_1170001 MAEQLVLRGTLEGHTGWVTSLATSLENPNMLLSASRDKTLIIWN LTRDETSYGYPKRSLHGHSHIVSDCVISSDGAYALSSSWDKTLRLWELSTGNTTRRFV GHTNDVLSVSFSADNRQIVSGSRDRTIKLWNTLGDCKYTITDKGHTEWVSCVRFSPNP QNPVIVSAGWDKLVKVWELASCRIQTDHIGHTGYINTVTISPDGSLCASGGKDGTTML WDLNESKHLYSLTAGDEIHALVFSPNRYWLCAATASSIIIFDLEKKSKVDELKPEYLE VGKKSREPECVSLAWSADGQTLFAGYTDNRIRCWGVMARA CC84DRAFT_1132446 MASVTSLDQDMKKLRLGRYTPQAASEARSWIEDMLGERLPPGDL LDALKDGTALCRLANLAVPNPGVKFKKSPMPFIQMENISHFLRACENAPLSMPSHDRF LTVDLYESKDPAQVLQCLSAFSRVANSVNPSNFPTTIGPRRGGGGPLSPAATGAGGFQ SGGSLNSPGFGRSRGPSTASNTSGSNTFNPLARPQPDRALSPHLTGGSSSSKTSNGIP KSPPGGVSSWSRKTDEGTTAPAWNIHQYGYMGGASQGNQGIAFGARRQITSAGPKVPS LAEKERKRREKEAEDERLRILAEEAEHKRRIERQAEEERQWEEETRKQKEAKQRQLDK QKQEWEEEERQWKLEEELRQREEQEEQERIAKESRRKRAGSDARLKGQYLSQYQAEQT STKPRSRRNSQYEPESNGEQDRIRELERQLEEAKERERQYQLEREERSERRRERARSK SRTRNRSQSRPRPQPPPRAPSPQDSTASWAQADDRDYLRKQWQETQRQPPRPLPEPTS PPTSTRPLPEPATSPRPLPDPAAYAKQSRTDRYLASNPPPVAPKPANHIPPELTSTSE RAAEDARRAASQSKTKAGGWASKSLLEREMERERERQQEWEDAQRALQSAPKDPNAGT GEGQSWDVNQYGYVGGDSQNKGGISFGGRRQILGPRPFGPR CC84DRAFT_1105869 MARQPGLVKRLWWSWKMLPLPWRRRTLAGFDLEGNTYWEWKIAK HARRTRRIVEYPGGAYNSDVHVPPQWAQWLRQTRAEAPTMEEQQAEAMRQERIKLLAA QADARWAAKPSAVDAPDKQQPVQMLESRDPSTGIRQMNADQETRDKAEPPKAVTEEAI REEAPQTDAAPTLKTRMPMQTEPKDSPWKKAAAKGNPGDEWQPSSWSPAPARK CC84DRAFT_428594 MFSEATPFVMTHLACLMQQPSRLPLVMQPCSSLNLTGLEKIELK LPTDGFFLLFKVSAPPFPTPNHCYYDETLIGAGAYLAHTRKLTLDFTSMNPWYNLGLG PWSEEDDGGMRPGDRATCTSGLVIDWILTYAWHYNYLQHIHSVELRGDIQDWVKEKWH RVFAGTHPDQDNVASRQCIHQIEHHGEPESVAMGERWIPTQHYPPACRCELNCSRLRR GIVEPEMPSTTWEDDTQDDLRPLDTLPWHNQMDTDG CC84DRAFT_428685 MRLNYKTFALGAASVAQAANSWIVPGAAWMSTSNTKIDAHGGMV YKQGNTFYWVGQSASHNEQPYMYTSTNLLDWTPASNPKNSIQYMWRPKVAKPNGNWWI YGQVDRNVQAMKATTIDASYALSGGKVTLPPSGYTYSDTGMFRDDADQTWYLLTSADH NTVQVNRINADGTVGDRVNYLAKGAYEAPGILKANGIYYLVVSGKTGWRSNPNQVFWT DKLVGGSWNGPVGIAPEAEKTYNSQNTFELTVVGSKKTTYIYMGDSWDSKGGPDSNYV WLPIGVDTSGKKLTLEYHAQWKIDVTTGEVQVPSLKRRYEAEHAALEGRAAIARCEHC VSSRGVHQLNGDGLVKFKNVTGLGGLQWVQFHYRVLPSSSAEAWVSVNEGHAVNISTL NHRAGFHDVVPIQLDLNEGSENTVTFGTSGGEDVVLDGIEVVED CC84DRAFT_1170006 MLSLLELVTQNQPHFQTHQALLVLGLQNDFILPDGKLPVNTRNG FLDRIHAIIPTFRKQSSNVIWTKTLYETDRLASDPTTGEGDAVVVGGLVDGVESSTDE DDDLPKDLIRPTQSRSSTSKHRLRALNLLKRVSARKSISATPREELQAVVEEDEELFL RQSAKNGPSCLPGTSGAEFADAVKPKIDPSDTVVQTTNYSAFQGTSLLLILRARLVTE VYICGCITNVNVLATVIDAARHGIRINVVGDCLGYRKQSRHKIALKRMVEFFDANIVT SQDVIDRNMPGCAPPFTPQKDSKDEQDLQYMIENLRMAGSQTTDQTNNATHIPRGTNP IVTVDNSKDEVERTSFVNGRARKPSDATSLADSRATSDTKLSDEQFTERLVQGAKIAK AERQPERQSLVKSKIRMRPRTSKDKDKDKDKDKQSEEKRTKNELSKKSGTIGPGISSL HAAEREPEKPAKTPQVAKTVDKEPTALTKAGSSDKLRHNTPKSERSLKLSASQPALSN SSSDKDITSRVRTALTRASKTESNEELSTRSPTSTAESAPAVARPIPPSEPKVPKASK LQSLATFPVLGPNDRIAEGDSRIIYDFFPPELYHPSDRSKPLREIIFTQIYNEVRWQK MHHQEGEVPRLVCAQGEFSADGSMPIYRHPADQTLPLLHFSPKVHLIRKRAEKLVGHP LNHVLIQLYRSGTDYISEHSDKSLDIARGSSIVNVSFGAQRTMRLRTKKLDKAEDSQR ETQRVAMPHNSMFVLGLKSNTKWLHGIMADKRMVSERSDAENAYEGMRISLTFRRIAT YLDAKCRTIWGQGATMKEQRDAADVINDDEEENQRLVRAFGRENHAPDFDWDDWYGDG FDVLHFKGSPKDLPILFTSNNEVENNMVKVYLAERNLEYSVIEAPKVSTQCEVDRQVG YRDNDKDRTEVTQAFIIIAYLERFYTHDTDERNRPCMAASYDIFIMTTGILKHWNNRC VPTYPHSFVDALEVLEERYAFTPGHFISGRRFAYSDCVAWVILDEIIRNWDEFSEEGF PGLTAYYRMIWKKKKSVQEFRPELPDIKKTNPTKEKERAEE CC84DRAFT_428338 MRVMASRPFCRSKRCICICSRVWHAAPSCSLGGGRWVRCPFSRG QREAPCSECSSAEAQTARGLQIDTAPTPAVAHNVNTCFEAAHWHWHWQWQWQWQWPRA VSRLRSSSRPAWRWPH CC84DRAFT_1170007 MCGRYALALRPSVVRQQLEQSQMPVDSAPDDNDVRQSYNFAPGY CGLVYRADGPDSGTSTLQNQHDELGTQNNITSVPAAGHMDGTKYKLQAMKWGLVPFWT KRNPDYGSMLKTINCRDDSLYDDRGMWTSMKKKKRCIVVAQGFYEWLKKNNGKEKIPH FTKRKDGQLMCFAGLYDCVQFDDSEKLLYTYTIITTDSNKQLKFLHDRMPVILDNGSK AVKTWLDPERKEWSTDLQSLLQPYDGELECFPVSGEVGKVGNNSPQFVVPVNSAANKS NIANFFGNQQKMAKAKKDEGIVGRAEHDDGKTTDQIAIKVEQDANEPRETTNQVQGSE DNAPLPVPAPIFPSRGEGLKGIKRERSEAEDDGDTASGARQQKRRMPGPGSSQSPQKR GTKAPAKKTRSATSNGSAAKASPSNQKRIESFFAK CC84DRAFT_1210728 MFSRAVPRVASRAAGLAQCEASQVAAGAPSWALRSPQHLSRRYR STFREQFREQFRRSPISFPFALVSIFALAGWGAYYIPWYYHNVIQKPYHNFPEPVAKK LRRAIFYSRGQHLDIREANKYFRQALQLATEMGMDPFSDEIMGVKYAIAALFEEQGYH AMACDVLEIMRTDTQRWIDEFSDKHITDGNRSRVKKNMVQINLKLGQLYDCPYVNEPQ DAEKRLVEAVEGALGELQRRETEGIKQGEGDWMTNDELGATLEALGQHYEHNDSHYLA LPLFLRALELCPPTSCHSVVLMNNISTCLAQQTPPPASLTSSSPSSFPNAPAPPRTAL VDQARQWADKALQRAGSITDQDEECNVGCAVATHNLGEFYEMEGKIQDARRKYKDAEQ LAKKIGFREGQANAKAGIARLKELEKAQQ CC84DRAFT_1105820 MRSAKRVSPRTVSSISRRCLNTESAPSLYSAHAKVVGARVGHVE GENLQVDLTMAKALGGKGDAGKTNPEELFAAGYGACFQSAMNAVAPSLGVKMPSKPED SIVEATVHLVGSMKDLDMGLRAELLVKVKGLAKEDLEKVVYKARQVCPYSRATKDNVY TTVRCETM CC84DRAFT_428909 MAITSVLSCAYPVDLQAKGYIEGLTYVDEKTYSPLAHFVGGIPY ALPPLGPFRFQRPRELPPCYRYGTASNPGRFTGGVGVCPQPGDAENKRRWDEDCLQAN IWIPTGRAPGTGWPVLVWYHGGFLQWGNPGDYGLSNMLAETAAKAIVVIPGYRLNVFG FLAGAKLAEEGADCTANNGFWDQRLALEWTWKNISYFGGDAGNITIGGYSAGSHSTFQ QLAYDLNLPDSKAIVRRALMLSNGPGMQPKSMADAQVQFDELCDALSLSAKMSVTEKL AVLRVLDAQTILDAASKIKHHQFRGVTDSIFIREGLLEELDSGLFAARMKKRSIKLIM GECRDEHFAYGQWLPPQNTYESLFERLQADYPLAACEALMDHYFPERSLPMAYKSWQD AFGHIYADIQVHHLERGMANALVAHGAGDLLYRYRIEWRAQCCDKDYPREWGVTHGTD LAIWFWGNNARLSNKEKVLVKKAFHDQFAKFLDNGKPQWGTEHPLQLRTLKPDGTIAI EEDAWLANSLKVWEILKQVGATDTKPSSRDSKL CC84DRAFT_429105 MSFSSDMSSSSADSLGGVSTAPSSPPSGLPDSQFYGCRTSHPPP QPNYKTLEKLLLSSVTLARRSFTRHSTFGIGTPTAHYLSLHARVNRFLKKYRKHDFVQ DGTYGYVVEIGILLRLAESALGMAHREIIGLECEEPEKQQDERQKLEKRCSRDVDYLV FGGRDLDVGRLPWNLTSEGATQEA CC84DRAFT_1182045 MPSGTLVIIHMCTRSVTDKAVACSEPETRVEPSKYFNLTASGNT RVIKTAGGRAGNCINELHTIDQASSIGMIVVLQHSECVWAPGDIEANVRSDIGTLKNS PYVRKGIPIIGYALNAATGQLKEVNIRRSTQDEAARQQVLQEFQDFAPFWG CC84DRAFT_1170011 MYARAAHETTNLQLMRSDMLSGSISGFISLPSSLLSLIALLPMS ASGQRATAATPSIPTMLSRTEHTQSMTTSYMSSPVSNTTAAATSTSTPISNDILPGAT NARDPTASAFRYYWLILVVFGLVIALFLWWINRRRIKRKELMRLSGRNALARDMEGWV NTRRWYHGAWRPNDIRASTRREEGFNENGEAPPPYRPKGDVTVTQDPATGLSIPLRTL SREQVDAGHPPEYHETLNRHSDDATRPAMADSGTNLHATTDSPPRSSIGDLVHVHYDR GTTAQL CC84DRAFT_1223647 MVKAVPGVLVKCDPSIKALILNLDKEFGNQYVIEDLGDEEHLLV KNDRIQELKRRVQEEISKRIETKLDEDE CC84DRAFT_1132473 MAQQGGCMCGNIRYSVEGEPVSKALCHCADCRKITGSTYSTNAI FPSKQFNLTRGTPKQHSKTADTGNKITSHFCGDCGSTLFRETSAFEGAKIVKIGTLDD ANALSDLKPGVELFAKNRIPWVEAVAGAQQKDAMS CC84DRAFT_1158581 MATPTVWANYVPPRGQCNYKPSIMSAKCPCLRFMLHPLKSTSSF ECDGCAHHASFHSMENKEEDEIRKRWEQEARDKAHWEQQGGLDRPRKRPREINYGRGD AASSQVRTIEAGIATPDEETASKSGSARVVAKGKGKGLRAKGRITEIPEDDEDYIELD CC84DRAFT_1170014 MATPTPSTNTPQKQHLHNLSSPAPRSVPPMNFDSPAVLNMLGEG GVGMGISMSGMGMSSLGLTASQLGRADEEERRRRLESIIALINKRKGRVSEEGIAALC KQEHIELMRDVKGDGTVLLVLGLGDEAVCEISLLNEDVTSVNLDLANDDDDVYKTSGS RLLKKSLLPPPGVRKINTTLDRFSLNLDKLMNMDKLSKANAGIPCYKAIFSVHASLKK LFEHEKKLALELIGSDAPNASYRAEREVLCKKSGRPRMNAGHCLGLSLEYWMDRRHLI PKKAQKAASLRDKDEDETDSRNLEAYPEEADPDSNRIYSLTVECESSPSSMYTPIRIP DSWIGDDIEKAPDAQPDADINNLLLNTPQSKIDWLEPKPTYLDSPSQGGDHEAMNIDN APGRLPNIRFVAKFNPPLVVPLSLYVTVLQSVGLEYNPQELRATTFVGLALRPEEADP GMSTGAGGHTPEVRSATKVLTIDHAGNESHTTHANTLYVPRLEYARTLDSLPFQHPRQ LVALLPTFRQYAFTTSLVQDVFGANAPPKAAPQQNPLSPPMTPKDDKQRGLQIDLTLA YTSPSPKLALHFPHPLSSAPETPLPSNASDFLSQLLAQNILSSSATAPHPPFRLVVDV GENAEVYISEQNAVDAETGASKTGEAGEVDMEGVEKAREKIKRLEKALDVCGDLGIWA EWIRREVVKDD CC84DRAFT_1170015 MAESTIISNAANLAKYLQLPQKGKITAEYVWIDGSNGVRSKSRT LNKKITKVEELPEWNFDGSSTGQAPGDNSDVYLKPVAFYPDPFRLGDNILVMTETYGA DGKPNKYNYRHDAAIVLNQHKDHDIWFGLEQEYTLLDVNGWPYGWPKNGFPAPQGPYY CGVGTGKVFCRDIVEAHYRACLYAEINISGTNAEVMPAQWEYQVGPVDGISMGDQLWM SRFILHRVAEEFGAKVTFAPKPIPGDWNGAGLHTNVSSKEMRQEGGMKHIEAAMEKLA KRQAEHMAVYGEDNHQRMSGKHETSSYDKFTWGVANRGSSVRIPRSVAAEGKGYFEDR RPASNGDPYQLTGIITETLYGKVEGADVAAFAEGTANVEELVVPVAKP CC84DRAFT_429275 MVLVPAERVAGRRRSGGTLPPLRTGSAWPRNHPSSYEKDSSIWR TPSARGIWGFTVSGRGRPRIFVGLSGSPQRSLFCPEDLSRTHEVKVTVFHISLSCCDC PRREVFTIS CC84DRAFT_1223652 MQHQRSRSDAGDVSSPRSSRAPSFSSDRPSLAGSVTFQMPSSVR PAPAYIAASVASQIVTDNHNAQLREDTAVSVNEEPGAGLSNALFSEQALSLLNAFLDH LLFAFLSTARSPSLTAIRPAIIDVLKPRLAREAMATADEELAGLLAGEDDEEFPAQQK NEGEPWDVEKVWKRTRLRIMVYTRLGELEDEDEERYVQQERGLSMDESDDEEAGLVSW ASAIFLTSIVEHVAEQTLLISGQAAFTRLSARMRKMAQQSPDSEEQQLERLIVEDFDV EKVALNSALGRLWRTWRKRVRSPITPLSPRGIHSMSSYTSLHRRHLSHDTDHGSMIDG VPEVPEYKPTETEIAANIPLPIGDNDIREIEVPGLARTFEEDESSGAETPVTRPQRPT SVIMLAPAELLRNRVAKERPLSVPPPQRKSLVLPVFSEQESEEEADDLPFETPMEAME PTSDDDSYIHDERQEDMHHDNEENGDHEADADMVAFAASTGMGFGMTPPGGPIRTEKK STLGKEEDSADTPTQSNYNGDSRVFVSKRMSLEKTGPPGIVRTYSTRSSSLRSQNRSQ PNSPGMTPNMEARSFLEDDNSEDDTPGPEAIGVAHTSNIPIPSPSPSAEVNGAEKALG HAKHPSHGGYVEVLPRQIAPTAIHVRSTPTPDGTRGPSEIPDARKEVQRRDVPQPYVH VPQPRPREFTPPGTRLSSLQETEAWQSKQQQHQPSIPVKSARRGSPSEGSGRYTSPQR AREPIVAVAERPAHLKSIEGSPRSRSGPTDGPADTKTSLKRVSSSSSSTAGRSVGTSI LHSGRESSTSLDGRPRGLSGRMSEEDRQREFDSLVRSDETVKVTLTPQNMRDMDEAAV VRRESPKPSPKPAKSSVTVYPRVNADKDSQFGSQHLPTRTSPRSNGPTPSSSSKGSMK KPLAREPQVRGDSMRDFADFIRSTGPAPGDEKPVQRFALSGTGAKPNNGSSSSIGGLG RKLSTRQTSSHSHSSSLAGDGPSAKPRIHMEPRSPAGQRSGNDDLIDFIRQGPPNSNN GQPRIPRSVAPFRTTVDSDQFDHMLDNGNVESAYGSQVSTVSKQSSQTSNSKTGLIPK QSVTQPAYSNTPQQLSGSMSNVEPQITRTRRRIKDPYAIDSDDEDDDLLTALPTSNKP VHREESMMDFLNSMEPTSNSPPQAFMLSPETIAAAKARAAAGKGGANGHSHTNSLTSS ISAPRNGTNSRAGPSQAHISTSVASNPPRGGYKPKLQARGAASEPRAGRSATNDLADF LRNSGPPEPPAPAPGATIRREENNIAALIQDIQNASPSTAYSTNTADLTPTSSTSHSY APPEQISKAVFYPKTTEDTSVILKACHRRRIAVTAYSGGTSLPGALTNSRGGVCVHFG GMDKVIGVSEGDLDVRVQPGIGWVELNEYLAPKGLFFPVDPAPGAKIGGMVAMSCSGT NAYRYGTMKEWVISLTVVLSDGTIVTTRRRPRKSSAGYDLTHLIIGSEGTLALVTEAV LKITPLPQNLHVGKATFPSLQQGVSSVKQILQSGNLLEAIELADKPSIVSINHSKLAK EHLEETPTLFVKFAGSKETVSSQIAFVMKTCKENGGLAFEASSDKQRIDVIWGARKCL GNALVTMKKIPTDLFISTDAAVPISAMATLIEETNEIIAKRPYDTSKWFCANVGHVGD GNVHTAIVCPVEDKEKAEQVLVEVQRLALTLEGTITGEHGVGLKLRDMLVEEVGEAGV GVMRAVKRALDPRGILNPDKVFRLEAEKGTIGGRLEKL CC84DRAFT_1170019 MPSQQLFVRFVFITLLLSWTSSTSFLPTSHRRSLHEKLLETRRN PKLLQREVYHNPHHDAVQAHLSDPGLTKLLTTNAKKTAVLEVARVDVFGLPFYMANFA FGTPPQPFAMLIDLGYGGAVVRSVDCPTHHHLNDCGQRVFAYNHSVSSTSNDMGLRFS VGLGGQTAQGSLFGDTMHIVSLQVQNATLGAIDVFYGENLLLEILAEFCDGAIGLARP NQTSSSYYDVQSRPNVLYELRESGVLARDVMTLSLPKRNNDRGSLTLGEEPPPAKYRI ALSEASEIGEGWIVDLGGIYFNGTTIPLNISYTASAAITLEPAFAIALPNEIVHTIFD YLGAHSSEDGEGGIIDCKLRESLPDLTLTLGGQTVTFPWWQYTGVWWYDGRPYCLVEI QPTWGDEGGAVLGLPLLRNFDLSFDMDRNEIGLIES CC84DRAFT_1170020 MTSLQQSPALPCPWRETPLNRSTLLSKHAGCQVYLKLENLQPSG SFKSRGIGNFLRSHLASANAEDRHLTHFYSSSGGNAGLACVHAAVTLGAAATIVVPMT TSAYTMDKMRAAGATEVLQEGASWYECDQYLRETVIPRAKEAGEIPVYVPPFDASEIW EGHATLVGETLAQMNQDGPPDAIVCSVGGGGLFCGIVQGLERAGLENVPVLAVETEGA HSLALSLDKGELSTLPGITSIATTLGARTVAPQAFEYGQRPNVTSVVLPDHAAKEGCV RFADDERIMVEAACGVSMALCYGGRLKKYLPNLTEKSKVVFVVCGGSNITAEMLSKWT AELKE CC84DRAFT_429343 MYAATSGLHGLITGWETWIPLYLGECIFEHKEESYALGRRGDAT CKRKSVNLSQDIITLKYPSILNSSAHVLLRIVKTGLRTGLSPVKDLVSGIRQGKEQRT LRIALTASASTRRRVSMNVNTPLYCFLDMPGIPWRASNSDCTFTSRSGTSQQT CC84DRAFT_1182054 MGQSNGKPVVFTDQVNLNHFRLLRVVGKGAFGKVRIVERKDTGL TFALKYIRKDEIVRSESVRNIIRERRMLEHLNHPFLCNLRYSFQDIEYLYIVVDLMNG GDLRFHISRKTFTEDAVRFWISELGCAVRYIHTQGIVHRDIKPDNVLLDSEGHVHLAD FNVASDFTPHKPLTSKSGTLAYLAPEVYEGKGYSCEVDWWSLGVLFYECIYNKRPFEA SSHDSLAAKISKGEPTYPVTNPPVSMPCLHAISSLLEKDRSKRIGAIGFDTFMDSPFF RPLDFGALERKEIEPVFRPSSDKTNFDATYDLEELLLEEAPLEARARRQKPREALKDD ATDAEIRAEELHKMIETLFEPFNYTTVPDQRPAAIGVGDPVDPLNVSRVSNNSNSENT PTSSTSHQDPWNRQQQEGDRDLRPTRSINTRSTTHSPNGSPPLAAAALSQQQASGSPT SQRGENADYFPTDGQPVSYSRPMNNRRGGPQRSTSMGGGVQVVLNETGSWSDMANQSS LLIQNPNAERPSGMLGFLSRKKGRDRSPKAKERGVLGKEGARVIISNT CC84DRAFT_429476 MIQGQQNLALPSASFPKRLRNSEFGRGASTQGFSRVKGNMNETG RIDNNTMLRKKLGADLVKAVHLPTCSLAGLIPVNFSDGRLDIYARQPTQQEWIAYNAR NSQRKVCGFYQMGQPCKTTPCGFDRRHLGLDALHILKFLLKNVPCVEEPSCRRLDCFS GHVCQKDGCRVTSADKIRRDNHTSDLWVSKFVKPDG CC84DRAFT_1210740 MLSDGSKGGPLAARLLREAVKEYLLRYLPDISNCRIMVQTFANL KQLSMDAAERGLLDNLSPSLGTFTSSFSSRVDCDFTVVQVKSVMQRKILNMCRIRSLE SNAYLSLLAERLKECVKDPSCAQVFLAAARCTSVHGIPRGCR CC84DRAFT_1182056 MGLLDLPPEIFQRIIQKHVAATGLCSAWKGRGVCETFNTYITEE VFARQPVKVYSKRRINGLYRRGLAVFLEYRLNTLHGAHDLIPSVIRNVVDKLMQITRL TSAEARSELNRDVIAAIVRLWKKADVAAVKPTKRMEKGCSKDSEEARLLCLVVSMGNL DLTKAVLQHCTDPWSETYCLGSPIEVAISAMDLEHVELLLADTKSRKSTRASIFARFL DKFFDAPVGDSQLEFVDQLLSLHYTFLGRPLSDYRVRWLGNFHMRGPTGEGVVTKILE MGFTSKLAALYRQRLFEWWGERFDRTTMKLFVTHKVFDMTQKYAFDDRYGDSAYSMQD ESVLAYAVRVGDVDLVEVALKAGANANGAVNPNGFCEYPISSALQNTRCKAKILELLL DHGADLYGDHSVFHYCDIKTMYLGGDYEKRKILDAAIERANYSYKGYS CC84DRAFT_1170022 MDGPPPPPPPHGENPKTTGGSHGTAGTGGLPPGNYDIFIIPPHS AGGGFVYLPSLQVQRNSFMAGVASTIASIAVWKMMEPTVKQWFFIVTQNVSAGAGGNN VLLLVIAMGIAGWAFGKSQTPGGFSGAFGGGPGPGGAGASGASGAGYERAPPPPPRGG YPNGSAPPPRGSGAGWSGQHQQRGAQSPPPEDDDFWADDADEEKTREEARKKKKQEEE EQNKKKEEARKKAEEERKRAEDAEKKKEAERKEAERKEAERKKEEERKEAEKRREAER VEAERRKEEERKAKEEADRLARAKAEKEKWEKMRAREKEQREREARVRLAKEREQKEK AAREKAEQEKQAKEAAERAKIEQEIREKLAAEQKAKAEEEAQKKAAEEAAAQEAAKAK AKADAERSERLKAARERAVRDREARLKAEAEKIKAQEAAATAQSSAGRRSTTYGGIGG GERLDPYAGARSPPAPSVTSTHSSPIKATVTPKKNYEKPSAKSYIGDESEHSFRPYDT ARPPKPPSHASSYTASSYAPSQSTAASTAPPSQRGPYSTNDPDKIQIKAVYLFNDLFP KPVAQLVSNVGKVTDGLILKIQTEGLFIDDDVRGIPQREWDVKAWTLKLVETGQQASK HLYILRACVRDGDGKKYVFLIDESESWKVSIGLQRLRKGSQVRSLANNTMTPSEVTRV LNSVPPLA CC84DRAFT_1264871 MTPLIIFTALLAVLAAALPSPSNQGAQTATATITFARNTQCTPD NTPELHVTAGAGCVAFPGGAQSLRVVGVSEGNSLRYNSCQSSMFGRAGATGGTEMAAN GW CC84DRAFT_1170023 MAGLQKLEKLSAPHCVLLAVHYANESNLAALRALTALRNTDFDV ELAFQILLTHLPESVPPAEYIEYLDELASGTRAPGDDPAAVLDIAPVEGLTNAQARKK RRKLELLPLVHPLYKAETELDPLTQFLIHRAHQIDAQTGLPNAIPELVAPFIGHSEYL RTWLISTALPLLRLMYEYYPESPAPSLDDFARLRGRRAIDLQLANLRQSHAGTREGEH AARDLRGVVGPWICGATERKRRKLSPDDRSASITEKPAQEPDDWEALFGWLVDTSKAD FALVSSAITEWDGPEDLDLGGFEEGRDYVDDDTQRKLERRYAQTALACLYLLDSNHTD TLQTAHGLLSRISDLLGLEPPPDLTVTVEALPSCAADMPVLQDLKASTLLEENITRTD NPLTEPKQDAVHLVELLIFSAFVLSSLQQPFSLREVARMFLRNDRSEQITLLHKIVHT LSTSAKLDGEQWRSNRARLLWLWNWGSSQTGNDRHAQGILGHIDSKTIETETLKGLLE GSQFPLVVQLYIRPASDRSALPLADVERTILTAVLQHYDNASNGNRTRGGMKRASDIV AAFKSHFPSSGRFRRMQALLAATHAMSFYSLILQHGVPFQPVNIRVSADPLSLIQKLL EQNLRSYTHLDDLISIGQNLIVAMPATIMDEDASHTHLDPAVFEKRKLAAERRVIGMT VEAALAEDDFETAYSYVVNRLTPKTPSPPVSPALSKSSQRFSFGSYDSDTKEDDGEDV AWRAAVQAGRHRSSLSSSQTWGSANTAARPDLRRLEQRMELLSQALLLAPPRHLEEVL AVWQECETEMTNLLAEETEAEERFNDAADRRLPGAFAEAVAIQPRREVGRGAVEEAPM GLFDVARGAAAAFSKSAFPLRGSGGSRAASGSESHGDTGRASVDLGSDSESMHSAGDE RLRRRDMVANAVTGGLASGLGWVLGATPVNERQ CC84DRAFT_1170024 MVSGESAGGYVSAESIIHAHPQLEVRALLLRYPMLRHYKRSDAH ESELPYMGRPQIKNDVQKFVQPLWDKTQEIRQKMKDRGLIDAAKGIFADILDRRSPPR GMNGAFLTSWFGTWSGFFGRSKLDILTELEQANAKGESVGPRDPPALFIYHGTEDGNV PLEVSTTFVREYERMLKSKNLAGKVPVVFKTIKRGHGFDYEFKGNEVFDDETTFKEFM DHLLKVWLRKG CC84DRAFT_1223662 MESPQDFWARKIGQLRGVQPPSSEPEKDLEEPTPAQASAPKKNG GSLAFKAPSKLPVTPSTTMKWADYDSEDEDEHQVKAEAEAEANDAHQKAHESGIVTNA VARQAKRLDETEATVERQAQRIDELSGEIKDQASRIVRLQATINEKTVHVADLKADVT EKDAHIVDLIRQAHEETHRAMQLEDELERKNRIIETLQLQIAESTSIPDSGSATEVEV DEGAVEASLSQPGPETSPIALEPKKLDELVDPADTAPGAMQNTLEKPAKSQATSSTAD VDFPALSPVEFPALGTPTPVRDLRLSPFVTAENIKKMPPPPPARTIKMAVDLSKYQKQ PAGGSKKFVFGSRHATEAPPKIDVSKDIRAMSKEERKPFGYGPTVQITMGNETVAAVP KYVFMQVSFKAFKHWTDNPNAAAIRFEGGSMGKDALNVVLDWMTMHTYCKSVFSIKLR PENSDRQNLQLVRCARVLGLHPMYVSQFTRGYCEQVRYGPSKELVALIEELVYTEDDP IFDCLANCMAMERSMIKPEHIGSWDDELARLPKLARKVQDVRAQKNFASGKTHSKEKK VIQPTKAAERVRATTTTITAEADIDPEAAWRATGPAYV CC84DRAFT_1234276 MVYEGVAHVQSPHGKQEVPDSGTAIIDAHLCSGYHSPRPRLYHQ RSDPSRQSAMVQQVLLLREVADCCGSVIHSKALTPRRSVVPRLQRGTRVDKAPEKWLF TSLLSSNPLYSTAGCHRLRSVTMLVTALVVAGLGLLPVRAWDKPVWQIDSLGLCGPAL NAHKKCVPDKITTQPILWICKAAQGTWEPTDELCEMKIRQDDEHQTADGDWHIGCDEP GHCGYYQDHPNKRSINRGPNSSARLIPARMSSQESLDPDWLNIPDRDGIDTRCSLTSI ELVEKFNGEHWVHHYKCPPGTSCTDILGSGACRAGPHEFTIPGRSYLWTFDCPDALED PSSCHFSDSMHSYGAPKPYKPYLTGTTRCNPNGRAVQYFDGDEWKDVYSCKAEASCVE REGRGGCLAGQSLSYPLNTRKSIPSPPVKRDDITSAEANRDTTVCDPDSDGICFIALG TTYHKYVNVVSEDNQPPTRCHPKEDGRVQFFSEWYHKWVAFHTCEYPNGCHNWMGKAQ CEDEDQIIVPFVELPNGVEGWHPNKASDCVPKPDDVLPPIQQKIARAEGTVHTRCEPL DDTSKLVEYLHPTAQQWLRFYECGGRCVQFPEFAACEEYTDKFVIPNPPTESITKRDA LQNLDSRLLRRQVLQNAKAPDFHTIDTRCNPFDLNEVQRYNGTHWIHHYQCTFLGSCI DVDGHGACRLQDNVYELPSANRTSVKHGEGENPLRVKTRCNKSNATEVLEWQGHSWEP QSVCLPPFECFDFDGRTDFALCVHNDAQYRRMWLPWPALSRSINSGQVTRCKDQERME QFKDNAWVPYRRCNGMFKCQDVEGGPNGGCAAPSLYDILTQPRIDIFRDPASRVAAPQ LPKRGGIDDSLLKYSEMPTEQGKILISEGEDLTEQSNPVLETFFGPRDKAASIEDFAA MDNHNSDIHSSSLNAKKPRATVVSDAAGNRAIRRRNTGGLIDESVGMHKQGAHLIEKG NKLVNQGGGF CC84DRAFT_429534 MLAMRTPTLTRSRMFQHEKYRCLGAQIPVGLISRVCCNLILVGV AFSSAFREDSAFVLYRICSVLCCSFLNPVVSRI CC84DRAFT_1170025 MMTKRPSCSHSTLLFEPSPFAELAGFERPDQRTRIAATSKSGRA PPAVRNNSQTTASLSKEAQKESQTYPGPLVLPHDALNYDPDGDEPAQSFRSWLHEKAR NKVTPERRTLYVGAPPTIDENVSFMKGWTTPNPAPDAAPSKTPSKKPRTEREPQSTTT NALQSPGVEETIAYLRAFYHGFDVAPLPIDLRWTSWENHGKGAKYRPVDTVRGIPTYI ALSYNGVATRIRARRAPDGAFAAQLNLDDILDAAIAMLPADAYAIVLLVDHDIYESPD DDFCAGRAYGGSRVSVVQSARYNPLLDATVGITQDHAWPASHCKSYIDSLCAVEEMEA KPATATQTRLSKSGPMRAAVNAVSKLVVSDSNADLEALWSSRVVRTVSHELGHCICLA HCFYYACIMQSTASLLEDIRQSPFLCPVCDSKVSHTVAVELQGGTEEDKKRWVRDRYS ALKSFCEGGDRLQTTLWAGFHGWLGEVLGDKG CC84DRAFT_1234277 MRKLLGTSGTRKHIKLANSYYSKDAPEIQIAKVLEGQKNMNGGH DLKNWSLSARKVLKGGCSAAILVLKGHGRDEQPWSYDAPKLAMIVTSPVIRDYFIQNP ESTDFPAINPQFKAKAIESVAHWLRILITVPELDNIRLPKLDPKMSVADLKDSLNMLG PEWNAFIGDFRNLMLAQAMKLTEARSLDKVKERKERNKVKKEKHSKEDLVVKEEKIEG AETAMKDKDTKETEQVVKH CC84DRAFT_1223666 MSLEFTAAQKQVLESYGKPFPVNADIRTWPIQHRTDLLFSCNLI TIFIEAVTSCDSVCSEVYVLPKYALAAVSPTFAEHLLQKPNLESLVFDIGRMDNNLQK EHRKAVDTLCIWLMSLATPLIFDLKAPTFYSQISLRHLARQLGNVHPSVAKQPS CC84DRAFT_1264875 MPKLKTLIAWPSPGRATPVHLSANESDSGDNRVGTRGRRLERSA VDEDEIGENKEDVEMEDCSRKRRRSRKGLDKKFECPHTDCGKQYSRAEHLYRHQLNHK PKQIYHCDFPDCNRCFVREDLCARHRERHTARGSQLLRKDTFSHNLTPIVSEAISGST SKFSAKSEIFPPFDCSITNSIPAISPPSVFGTSPTPSSAAFSGNASPKNTSIPILDQE PYLQPFVYLPFTEPLNSTDLSPVAGDHNSSDGERTHSLREQRSPSTDVTTVAASSPAG SFGDTLTFVDTKVNTDDRLLNPSKYIDNIEQLRQLVFQNSAIKCQEKASNISSDASDG YVLLRELCSLIFRTCRSLRSLQEAGFCEHFFSVLVLDKSRLNVAKLLPIEIARVEQLW EIFEETLLDAERGKVEKMKASRKEGTPGFNFKSNILQKLSSACRDLLSQLQVMPTVPE PPDPILLLATTVHFLDLAVLSYVGTHIGDAIELYRSQHDDTVHIPGRFGALQKTKWGE LHNGDHVTLRKRQLQCLDKFLGYRNVWVFQNHINPSRNERLYLSTDVTTLADVWGPLW KSKADAKSRRISRYDVGNGFVVPWDRNQEFDPLPSSHGEGGTEVFCHWICTREWDDDY VEQHQKEVFSKDFFESDRLLIGASVKDRLAVNDQCAIPMARKLQIKQAMKNAGNLRHR GTCKPRQEKGSQTYQVQASAAGFATLGTTLEYKRVEGFNIKDALLQRWRNGSRNIKYL EIWGGVEVSLCTENARRIQLLHLLRSPGLSRYLKTICFQWNSSECELAYFEALKDRRS FRKFWKEHPEWQRNIGDAINECFIALEDTGVDERDGALSALWVEVFENEDEREADGSA SEFAVAEEHLVVLRRSEHTWTGLLKDSPECITMAITESICLDFDDSDGYGMRCQSLRF SQDGRNARRHSPGYSVLQTAILVNEDLLEDSGQLCYRTSSRKRKIVECEEEKAQAEMK NGSRRKQHSEDYARYHMEYFGDASQSWNGYWDIKKWVPSLDGMAASSEHHG CC84DRAFT_429571 MHMLRSNQRSHMYRSNIHWAPTETTSRAAPRRHMNDRRDSCCQV CRRPDSVCQICHVSYIGTRV CC84DRAFT_429597 MEIYEPIDLERPAFRLLRLFRGGGQNNIECGLFQAYFDGDDLIP YHALSYTWGGTVTSSAVTVNGKMLGITENLYLALQHLRREDTDQILWVDAICINQDNK EERGHQVQQMTKIYSQAEEVIIWLGTAEYETNVLMDSLKELRNESLKYACRDWKLADE RWTTLWSSVRHKLERQHPNLPALHDKGLDLLLNRPWFERVWILQEVANAKRASVRCGS RSVPAYFFALAPLLRAKDHCQDVLGIMPPCQAVLDIMPGQSRQGSWWNEKRDLHTLLQ KFSNSKAGDPRDLVYALLGISSDSRKARYLRPDYNKTVQEVICNTAVFILEHSEISYA SLQSLIRTLPSLRKAYFDKNRIYLTSGTSQLVNTKKTVETAAANGKDGKEMLNLLEQY GAEIEITEAVVVAAANNEEGGPEVMQLLLQQRGIEVEITRAVVIAAANNKKSGVALME LLLLQRGAELRSIEVLVAGGTNGKDIMTLLLDRRGADVQITQEVIVAAAGNSSNGKXI ITLLLDRCGADVQITQEAVVAIVKEFDKDVVTLLLDHRGADVQITQEVLLAAARNSWN GKEIRTLLLDRRGADVQIT CC84DRAFT_1105972 RRQIKGRTLPLEQLDEWRGGAAFWSPARVQRARSSLSQQEAEAL ELQHQKARQKEISTSDKQLKARLLQERRVARAAAGVARARQRADEAADKRLRQQARKE QSQLQKRIKLSQKGKKELLKPPRRPLKQKSAPVGVAEPAETDERASIASTSTSPSGRA IRTPSRFL CC84DRAFT_1191749 MAAENFIHDLSGYLFAGNLSDLTLTFGETSWQVHRALACCHSIW FQKAVNIGFKASVITLHDDPEFADAIDCMVSYFYKAGYDVSQYDTSESLLHAQVATIA DKYDCASLYKLARTSFTDTVNAVESNDWFAVAALIYDHTTPDLSAHKELRGLVVAAVA DRPDVLKEILQLESTAGFLRSNADLATDLLLSRKRELEDEHKEA CC84DRAFT_1158609 MRPTRVGTTHLISRIANVNSGSSTRLNLRSQVPQQGLITGSNVG KKIEMQMIFQTMISPEGLRVGGGL CC84DRAFT_1264876 MTSLCSTIPMEQPQRSFRFLSYTGPPEPKPGPRRSQLKKVPPSD DEYPNIDEFLGEEPGSNSVRGNEEDLVRAAHGVGVVDSTCSADNEANYEIYGSGRGSG GVGSVSSTNEREDVSMATTQEASHTTPFRGSSEEDVIVINDSDDDRKAKGDDQSGSTC EPSGSAREGLFMIYESLNQGSNTRCSTVEFKPKNNDRQTYTPIDSDDNGGNRSVRAEI GSRKQESPSAAIPKPPSEECSIGPHNVIGPKSNDSRAHRSVSVPQQGLGFAPQTRAVS ESLYLTSSDGEAQDAQPRKIERVVDQSKPLPFANTGPV CC84DRAFT_429625 MLVTRVTGRATSRPRCRPRWTWTAWPTRRATRTMACRPMQTRLQ RWRTRRSTQTTRMAPPLTQSSSSRAPPPAAAAPRAAAPTTTSPSASRSWLAAAATSPS PLPWTPLPALASIRSIALTAHLDTATSWMSKRGGIMARGSSQAHGSTRRLRRPGTPCA ICRLGTATR CC84DRAFT_429622 MVLRAISRLTASGYLPGLPDAAGLMTSPSGSPMSWHCSCVAMGS TCIGSLSAQAGSRLASLVKQRYNMALSQLSSAAAFPLTALSLRMWRHLATISFSTSTS PTPFPPETLSQLSIQPLLPLDLPSHSFLLFRRRHSSYTRPKHQLQHDLLLLRLRLRRL HSLSWRRAQRGRHHNPSPRPRRRRAGEDGKEIVVLSRNWDWGVGRGCCNCSCRCRSGQ PGGLCRSNLDLGWGIVFFGYGHWALRLVLLFFFWLHRWALNGVRHGRVRAICIIPTRL RSPCSFYPHQHTSATGTYQGWNLLSSNSSCARTAWRSSHLSHPTSSTLSTPLE CC84DRAFT_1170028 MSKKRYTCFAVCVLHIAIPIPGGSNWSSQQWRVNILILSGACSC TPIATLQSAARCSVVSPLPVPLAQSFIPSY CC84DRAFT_429648 MDFSRLQNLQYELNSTNATAANLPSTLLELVVPGYSTISQLAFR LLGIDIGVIVTGWALIFGCHAAWRYLYDLVQGYFDEYYTSSIQVHDDDGLFDDVKAWL SEQQNRKSSRKLKAVTDYDDNKKDDNVQDEQGIYKYEVPMPRYELSYGTDDFFFHNGR RFKVVRSSVKDEAGSYMRETLEIYCYARTAEPVKDVLTHIRQWKINRETAMTSVYRAL SNGSRYWSRQSCRPSRPLQTVSLDQQQKDDIVEDINEYLRPATARWYAARGIPHRRGY LFHGPPGTGKTSLSFALAGVFGLEVYCVSLNDKDLTESDLCMLFNDLPDRCIVLLEDI DSAGLKREEEPVLVEMPEGGNADPGSSKDAKTATNKTDSSTGSISLSALLNVIDGAAS HEGHVLIMTTNTPGQLDAALIRPGRVDLQVAFTFATQDQIHEIFKRMYSQTPEEQTSG GRLSNSKPRPVSKIPDSKTLEGMARKFAQQFPADTFSPAEIQGYLLMRKKNPQKALND LEKWRDEILVSKKNGKRLVGAQ CC84DRAFT_429658 MRPQTQCQVSHYLQTPWILLTERLVIVPTPMAVRCDAYIQLYSA LHASEAFCTMAFGPDWGVRNWTDEETRDVIITRDMERCWKTRGMGDFAVGMRTDDHGT DIVAGQSGRCLNAEGTANLVRISDLDLGFLDRVKWAGYAGVRDATTTSMPEREAGDEP LPPWEEMVELRYGVHEKVWGKGVAAEAARAVMLWAMAERGVRRFIAETEKTNGRSGRV LQKMGFRENGTDYWKEPGETEWEKVAL CC84DRAFT_429644 MGELAHERAGRLRPPRSYEPRFGREEDDAISVTGHVTDVGELIA ALQGTLDTRSARDTAVIGHSRGAIVALHWAAQSSEAIGGLAFLGIGRVAGHISAARQC MSDLASAVRSKGIAFAADVAKKWNFDEET CC84DRAFT_1234294 MWYWQDPVPSKTYALETRFEPQVARGPNVAKSMINRRYACFAEF GSADIVSRLSDEMLELPLNESDAVEDIAEDYRRGISIVIFPHHFGSRDNIVGTILHHI DHEQDAGPAVSHHRRPDFGLQSISSSAQQWSDANPDVTTDEAHAAPRRRGVRRTCAFH RRPSNRRSNTVRWNRPNRTPPRHISELRASAQTSHRILSEAYRPECARQCSRRGPPFE QLLHDTAKGR CC84DRAFT_1210755 MAPKHARSQKASSGSRKKAKTYCCATGEVLRGLNRWHCDGDEVS EENPQDNNLKHENWSQIYACYTQRAANVPHDSFSFSITTKPSYPDWNTLISHESFVFP NPQRRRKHDLVLRKHALVWVHFEDDSEATSENLAIVLDIRYPLVHILWAYTKDDARAC KPAGKGKGRRPPVDVAAWPPPDKRGNSFVLSSHAEVVHWCNLADVSSAEDLAILACPQ RVLHPGVKKMEFVKVNLDSSVCAVAGAIRASLGHADSQNDCLMDHRGGRCAMQRAVDM PGSTNERHTDVEGHVDDGSEDEAEADADSTAPAARTTTPPSPSLRANESETLPAAADS DNEDPDGLESPPSPRPEH CC84DRAFT_1106051 AAYGGHTETLDSLITNGATSQLQDHYGRTPLWLAAAGGKTATVE ALIKKHSVDHQIADNLGRKPSWIAVKKGHGAVSKVLQAHDGESNTEPIVPPNGNHHQS RLECDVCTSKIPTTVFHYHCNLCAGGNWDICEDCRKCGATCVDPAHLLLKRTMLNGVW SEITTNHDS CC84DRAFT_1158620 MDPLSVAASIISVLQLSGKVLGYLNDVKDASKDRAKCAVEASNL HSLLLNLRFRLEEGSADPQWYTAVRALGVENGPLDQFKQALEALQIQMIGGGQLQQAG KALVWKFKKEEIAGILVRIERLKALVGIALQMDHFKLSQAIKDDTNILRLHVPAIHAG VDKIQQEQVDAKHIKMVEWISPTEYPAQQSDIIGRRQEGTGQWFLDAPEFTRWIGVPR GALFCPGIPGAGKTMVAAIAIDHLLNSAQSSSVGVAYVYCNYKVQAEQDASSMLAAIA KQLLQGRPSMVEPVERLHKQHTNRGTKPSPEEIFGALREIVAKYSTVYIVVDALDECR GNDGNHHLFLAKLRSLQAGHDVRLLATSRFIPEIEVEFKQTERLEVRASNEDVRRFVV GQMYRLPKCIQRDRTLQKMVEEEIPERVDGMFLLARLHIDSLSDKTTPKKIKTTLANL SKGSAALDDAYKDALQRIESQLDGHRELAQKVLSWITYAKRPLTTAELCCALAVEPKE PELDSDNLPDFEDLVSVCAGLVVVDRESAVIRLVHYTTQEYFERVRDMWNPDARVQIA STCLTYLSFDLFKTGSCSSDKDFKERLQQSKFLDYAAKHWGEHVAKVESDTCELAYSF LSNSCLVSSATQVLLVPTYTYSGHSQEYPKASTGAHLAARFGLSRILEALLLLEGQER EANLTKKDSGGHTLLYLAAANGHCITAELLIDKGAEVNTQGGYYGNTLQAASMGGHE CC84DRAFT_1170031 MGKLGTAIKLGQTAAQHARTAQDLHATSKNLHATATELRGMDAR DVGRAAGHTAYTQGADTGKTVAKTWLKTFEVVPRLVCRGLQFLFALIACGFYVGANSN EGAAVWLFAITISSLSAATAVLFALATPLAAIPFIGGKMKLVKTYRAFAWDLALFVCW LVAFGVFAGIFLHRDNGDVFKGAKPAPMKAAVWIDLLNAVLWLVSGVYGAVKTFLGDK ADAVTDKLGDKMFKKREVGAGPGKEAGYAESV CC84DRAFT_1200477 MPHHLLQRHWSRFIGPNPPSPHEKYQPHANPIFASPFGPFPPSP DNLNIHELCFPPRSPLPEDYPLFINAITQQSVSLHQFYTRTCALARVLRHDGPNPLSL GPSPTDDKHDGEILGLFSRNQIHYPMVVHACWRAGVVFGGISPASTPHELWWVLRKMQ VTSIMVHESLLGVLGKAIGLGTGAGDDMPLKLVLDVTKVVVLSEDGSMERVGGHRTVE SLVREGMRLPERAWAMRGGDDLAYLFQSSGTSGLPKAMMITHRNGYHSGIQTLTTAVQ GARFMGVEPFATPGITLGVIPMYHSYGMILWHLRVNLVRNTTILLPKWDLEQALQAIQ KFKITALPLVPPLIRQLAQSPLTEKYDLSSVIGAVSGAAYLPPDVAYQLAQKLPQGKD MPIPSGYGLSEAASIASPATPGIFGLETAMPGSIGYLLPGMEGRVVDPDTLQDVKKGE KGELWARGAVVTPGYFKDAKATSDIFTEPGWLRTGDLVMRDEFDRIHYLDRLKEMIKV KGLQVAATEVEDTLLEHPENLVRDACVAGVDNGRGDGSLFVRAWVVLTDNGRSFRKED VASKLDAWVKNRLSKHKWLTGGIEMVDSIPRTPSGKMLRREMRDQYHALLKREGQAKL CC84DRAFT_429785 MEQQITIELAEGGTIFNVENTINGNVVLRNAKPELIGSIRMVLS GRTKTKIVEKNNRNATYRGRHFLFIQKTVINAESTPLEPGQKYIWPFTIAFPTFLPPP DIRAASVDGSTPPTFRADDISFSVNAKCFVEYMIAAIIFKHQSRVVLHKREKVVKYVP NFPHHQELPPQMDKLWNQKFMARSLLLLPENEGRCLTRREKVKSVFQSSKLPCSAFQF NVSFPTCLLVGHKLRIFTTIAHLSEESTVIRPPQVTLATLSVVLKSRTSLQADGTFHP HNHVQQSTCWTRTWTNDGPFLESENWQQTFDATLPRTLHPTFNTYNISRSYYLKITGI LKCANEKFDVKVRGGYIDLHLYPPRLPAVSSEGDGLPTYREAMAIAPPPRLDSDSD CC84DRAFT_429805 MVVDNTHSIHDGADRKKGYTQRAEDNDAVAGQGDEEDPNEEERR QESRAQEDSGKKTCTEETCSEVRDHEEPGHSAARAASVLPGHQKSHVAPRSKAQEGTQ PPRHHRSHPPNAHRADHPPQKPSMLTRNTLLPDPSTLTPEQRAVVERHEKQLAWQKKE LARQKRASDKRAEEIRTKGYHYKGRWVPLQQRPDFVVKVEAGEEGEEILVKAEADDRA GSGMVRSALANRPRATRADIAQVTLSSLLATPHPSTTAGNRRRRVGGPDITKSFDKQY PLIAERVENEMLDEADDAGSLCEFVTADEWDDRENMYLDG CC84DRAFT_429851 MIHIYRCGEFTFSHNMWDAGYPTKYRLQTLATGKTLSEQTITSI AVSEVLPGSQTRFPGLGFLESPSSGALHTHAHQKSREVACTRYQARPSQEAATSARRP DMRKKHFEAHCALCVYYESKPSPSWRQNATLPYPVGVWERLERGFPLAKSSYCLAAV CC84DRAFT_1170034 MALKIEYTLREATEDDKKTLTIIELDAVALFKTIPELADLGESH ASPDQLSTWLSNGRIYIAEDNGKAVGFVAAVTMDTTLYIAEISTIREYQGKGVGLTLI AAVLDWARELSAATGRKPRVSLTTYREITWNAPYYRKRGFREVEAETLGPKHVEKMNH DQGERNLVRPGYTRCCMLWEEQ CC84DRAFT_1264888 MSALEEHKDPITSEPDHDVESGHDVDHGHLKELEVDVAKVLGDD QSFDFDSDHSPYPEVRAVVPEVDDPSMPVNTLRMWILGFIFTMIGAGVNNFFSLRQPS VHIVSLVAELLAFPCGIFLAHVLPIWSINLGPLGKWSLNPDRHFNIKEHCLITIMANV SIAWGTADATSVIQAAQAFYGFKLSAGFKILVVLCCQMLGFGIVGLCRRWVVEPANII WPGTLSICALLTTLHSRANAVANGWKISRIRFFMIVMTGAFVWFWFPGLIFQALSFFT WVCWIAPNNRIVNHLFGMQTGLGLSPITFDWTQVAYNTNPLLSPSWAALNVFLGFVGA FWIVVPAIYYTNTWWTGYLPLMSADVYDRYGADYNVSMMINADGTFNETAYQDYSPPF LGASFAFVYGLSFAAITSVLVHVALWHGGDLIDAWKGRTKLDIHARLMQSYKQTPWWM YAAIVVIMTVLSIVMVEVYDTKLPVYGVFLALVIPAVYMIPCCIIQGITNVDAQQLNV LSEFIGGYMFQGRPLANMIFKTLSQDVVQQGLYFAQDMKLGHYMKISPQLVFAAQASA TILGALTQVGTTTWMLGNIDKICTYDQPDRFSCPQGRTTYSSSVIWGLIGPSRLYSVG QIYSGLCHFFWIGALMPIVTWYAYKRTNIGWLRYVNWPLIFVGTYNVPPATGINYSSW WLVNFLFNSLLFRKAFAWWTKYNYILAAALDTGTAFSGIIIFFAVSYSGAVFPDWWGN TVFVNTADGDGVPYLDMPASGYFGPANGTWS CC84DRAFT_1223684 MEFFSMLEQAFPFSNQTTLLLGYLPDSNVVMTASLFGFAGALSK LAQAYVMSFLKAVDSSTKSGGLMAMFDLKNEFASSWSRLANAAGLSG CC84DRAFT_1234316 LVHDPEPASTVDGGVENSNVELAEPAFEPTNSEDDEIHYLVSSR HLMLASPWFRRTLTKEEFIEALKNPSDGQYHILARDWDEEARLILLNIFHV CC84DRAFT_1158638 MQGTPEKIYAVEHIPGKGRGVVALTDITKGTRVMSEKPIITISQ NITSIQQLRTCILDQVSSLSKYDRQEFFSMANVYGGINPLETWYGILRTNALPMGPNL DTGGIFLQACRINHACDGNAVHCWNENLNQLTIHAIRDIRKGEEITISYLATLRNRQA RQDELLHNFGFLCACQLCCLPLGESKCNDAALDRIHAIDDIIEKRGIKGLVKPAQKML SYVDEQVRIWKKTTIAEGGLTRAYPDAFQIAVANGDLARARVFAERCLRLYETSLGND SPDVAEYGAFVVNPATHKYYGMSTKWATTVDEIPYSLDTQSFEDWLWRR CC84DRAFT_429963 MSHFVFRPGGIPALVSVPHKHARWLCSLVVKVDELRQQAAVELH FSAKFAGLDGDGHVTLVHDADKLASATLDDAKAPPEHLRQQIARNNGRDLRLLALQAE QPCAVRWRRQLGEIDHADARCEQLAVLARATALQVTFDYAWLNPKKSAQFLSLVDASK QLAGFPVGTGLYAEADWAVFVPVDSVEAPPTYEDASLKRSRQSLPSSPQAPPPKRLLV DAAVDPGSPTEKATTTTASPSPRLPLSSPPPPHHVNAAIEAAVARLLPDALNAVLPGM LTRLLAVPTQPSRAPASSPAHEPPPTYAPKPPKHSPPRTANKSPPPPVDASPPHPLAP LHALLATHLHEHATALASELTSESHAHVLYLRDAADVDMQEQLEEQRVEFAVLREDAL MDVRRVCDVGLEELREKADALVDVVGEECERVCWEKGEEVERRVEALKDMLLARWLQT GSSVQGTRRAQSVPLEAGW CC84DRAFT_1200480 MSKTTPSIASSTPSHSWRGWLWDSAEVTQEERKFLFKLDATLLT FGTLGMLIKWIDTSNITNAFVSGMKEDLNLYGNQYNYIVVAWTVGYIIGQWPSNIILT RVPAHIWIPFQEVGWTVFTFALAGAKSYEALLGLRFVVGLFEAGYWPALYYVLGSWYN KRELGKRNGILQSAVSIAPIFSGFLQAGIYNGLNGNAGLAGWRWLFVINGVISLPIAV LAYFFLPDTPGTAKPNWIFTERDIQLAQERMARAGRKPEGKPYTARTILGFLTSWKTL LFTLIFTMQPFGSQPFQSFVFWLKAHNKKGQPQVYTVAQINEYPTIGNAFTAVYSLTC VWISDGPLRGRRWPIILFSNLIAIIVFVLLVVTPVMGPFSHRAPLYIVSSVGGSMVPL TMAWMAELISDNAEQRAFTAASMNTLQYTFNAWVPLVWFQQVHQPYVTPGNRAAAVIA GLNIIVFGAIALLAHREKLAKKRNNQASDVLDLQEPITPVNNSHKKGLGVEVLAL CC84DRAFT_1264892 MVQDVHFGSLVYEYQTLDVAGPFDLLNLASRRVAEYGLAHGADV SQETIDKSPNFIFHHIAESLEPVALMTSGFKITPTDTLDNCPDIDYLLIGGPMPETFE FPPKYVDFIKRHHAAGKTIFTTCTGASALASTGVLDGKNATVNNVEYNWIAKRYPNVN WSKDKKWVIDGNIWTGGGVIAGMDMFAHWLEKTYGKDVMVSAAMQLDYEPRDINGITG VIPLRYDASGKQISTTVFPK CC84DRAFT_1191760 MLSRIVLFDLPSQQGTSWSLNPWKTRLILNYKGIEYDTEWVEYP DIEPRLRSLGLAPNHKDAPGYFTDYSIPAIKYEDGTYEMDSWPIAQELERRYPSPSLH LNEPVTVKVRDQISKILSPIILQLLPRVPDQLPERSREYYNRTREEMFGRPLAELHKE ALENAEDGWKQLQEPVKEIADLLRKHDGPFFLGETVSYADFILVSMFHFVERFDEQAY QRLMSFDPAFSKVYEAAREWFVRDT CC84DRAFT_1182090 MDYCVKALMLQNSLIQVRLVKEVVSRGRFRPRFGRGRVPVGVTL TPDYVLKGHISRRLAHAKNYLEDRNSSSVYFAVFMEHLGFNSPGGSGFNVMELCWDAR PASTATSPAASQCTPSVTALSFENFDEELWYPGTACSSASSIRRGCRRSMRIRGNTMR SEAATGVGLYGSAVAIVLRHCRLSR CC84DRAFT_1106065 MEIKTVQAQIGYQFALPDRLRVALTAAHRSDIDGTSDDGNRGLA KLGMCAVDMIETYRTIVMKNGTSKDANIQNHWFKNKRKRASVCCTLGIDQQLTQSVRQ RDQAPSTDVLATALSAILGAIWLDLQTQNGGFPTIVEQTYAILCKLELVLTQVENTST PLTSFSTDAQLNAPLSHHFQQVQDGGDIESHYIALSSGMWSQYSDDLAHDQAQPYDTV FINNNLSNFDFGTDATENIWDCFDLPGMYEIAGDPNIMTSLGEKDVTAAKRGSIDVEY GIQPVAKMRKTQKKARSHQHDGRDESTLHQRLLEEELEKSNALSSQIRSGSEVLLDHP GISAVEPNSPHLPRLRLFYLTIGSSQTLLNFRAMLHTARNLSHIFSYIVTATLRPREI YAEICRLGNQEALCVLLRRYHVIQLFKTVQDSLFREHGLIVQTPSTHITGQRAMPGNP VNRAQANLTEEVLGMILPGPANGTPQYQKTRRHVSQLRRLANILTMWTDRYGFGVLAL LPSGSNESEFNFNDFT CC84DRAFT_1234334 MFASKGRLSTLLLRRWHRMLGLPRQSPPRWYRDRLREELYERRS ATTHWGKVSETSDVLFSISRALYDGVPTRQLPALSRHIPAYMYMVAKYTLRWKFYRVA GGMCHAPCRESIREVINPDRDHKLLEVALRHDIDPVSFKLAAKRLRRFWPLLP CC84DRAFT_1234337 MSVHEQELSNLQQSHEASHESKQSQGTDELNSVERPIIVGIYGI SGSGKTFLMDHLKQDLRETSFHFYEGSEAIANLVPGGLKAFQQMGELEKTQWREQAID TIRIRSNGDGKTTVVTGHFMLWPEEDETGHKVCTRSDLAAYTHILYLDIPVETIEQRR RNDASKPRPDTSVSHLLKWQQAEKAQLQSLCRQHGILFSALTSDASVKKRALELIRDF RVHTENHNEIQAQTRLDAAMASYRSPPSTILVLDGDKTLTAQDTGPLFWQKLSETGSM PISAPLKSLFGGPMGYSYKSFRQAMLLYEDAVDEHTYDAICQQVASDVEVHLEFKRLL RLVAEQEHLGAVVVSCGLHRVWEKVLGKEGLSQGIPIIAGGRLSDGFVVTAKTKAAVV NRLQTLHHAYVWAFGDSPLDIPMLMAADEAIVVVGDEITRSRSMDTVLSDMIGKGQLS ARQVNLPRTASSRLDTSMLPMIDLNNIEFLTDLLRRHDRSAKLAFYHATNKNAAKAIA TLMRDAAVAGPALRRAHRQAGWYLSQEYLTHIIGVEDCPISHVLGHGATGSRLMDEQK TTIVAIMRAGEPMASGVSEAFSLAMYVHAKSPSDLAPHHIQGQSQILLVDSVVNSGKT VIQFVQHVRNVSKYIHIVVVTGVIQGKCVSSRSKVYQALETCGNVSLVALRISSTKFT GSGTTDTGNRLFNTTHIL CC84DRAFT_1234343 MPPKKSKQVPKWSMHPQLHGDVSRLLAEDELHLSFYPNDSTSQC LKDYDTNIMGRFSCRNEACTASGWSSKKIATTIRLYSGGRYNARVYHQRCQQCNSLSQ PWLDDSYAERIAYRLKKWHGVEMEAPEYRRKNVKPHHRALCEGCKAGHCSES CC84DRAFT_1223692 MPGLVCKLLIFAAAIKIDYKGNVGPLLKDRRQEEMAPGTLEVHG IIGLLKIATSYFLISICDCEQVAQIRSKPSYKITNVALIPLSSQADADKAITSARDHV CC84DRAFT_1234346 MGPTGPPKVIQIADFHIATNCDKLQQEYQLVWPFEAGSVAEELD LGFQLDRDAGIWRTIGDIEDPSTNEILEVLARGAIQGQTSEEDLSISLDRLALNEEEY AWFVCHCVNGDIKQAALCLKGLDSIETCLRKKNSDGNTALALACVEGHYDMVVYLVEQ GSLINSVNDRGEAPLIISLEYGRIEVATYLIRHGASISSRDGCGVSREQRARCLRPR CC84DRAFT_1158649 MAPAWLENFITREDATPDPRRNSEQPTLEIHYTAIGGHRRILGV QGDTRPRYEVNRQAILGAWGSKCHITSPANEGKKVAIISFHSLPRAFIEIEFPQRHHQ IDISTSKQRFDASGGLGCLHWKGTGMEVCGHASWELRDETSLVMAVDIDQNQVNGVIR LWRQNLDAETVEELVVAAIAKIEEYKLTIRTSKTSFVGATATAGWLASS CC84DRAFT_1200484 MLSCEPISALCLLSGVIAVPTSLSKRADNPDEAVVLAECDNGKS GTEFATQDRMHYFSDDYARRNDGASQDTVTTIHDPARHDGFSGQYHIGWTSGTEENPV SATFPEDGRQFKAWGLTSDGEPEAPISGTATFGGAEFKCYKPGSDNKPWTTQDGYSCA AAYVCTRADRWIRHTSVKFDDKIAQVGSKEASCSGSTPAPLDAKEVFSKFKDIADKSW NAESGIDIGGGCKMVFPTVNVPSAEGMPGYDTNTPQKMADIFVEHVGAKIEETRTHNE RNCFLGGSQFGGGSYDHVIQEGVEYPHGGRFEITTAKQSDPKSLQVQMQVDFRVDCSC ESDSGLLNTIRNSLGLVGWIHPAFGVVGAAIGLYDGATC CC84DRAFT_1234364 MVNESEYSVVDYEGDYVLPDAKEAPLAIRLIQIQPREPDKSPFS STIKLKMRVDEQAPEHGRLGRLSRLASKLTLKAKVPEKDLTIVPMNEIPEGEPKMRDR FTWGNYVAMSYSWGKKEEWEMTDKDRKEAAEKEEEARQKRLNELSYDSSDDEKEDTNG QYTDGEVHHVLLDGRRIRIRYNLWAALLAFREMKPFQSIWLWNDALCINQQMTDAGFK ERARQIPLMSVIYRQAGNIIVHLASGYFRDEDTPWVLDYLQGIGANYRTEYYEALDHA EPTIAQSHRQKAKSQLERSAKEWVEVMKADLQKSARQGDNDYAMISLYDFFDRPYWRR LWIIQELAMAHHSAPILCGDFVTQWRYIRDGALLLSMMADTIRDAMQRALKRANRTMK SEPSFEHVAAIAELSVSGNRKSVQQTNELLLLLKPGVMEAKNHSNSLRLTSNGIGGMR MPLMKTLPGSPIQQSLTLAADAQCFMDKDRVLGLLAIPALSTLPLHNDTHLASLPTTD IYVQYAKACIQADHSLGIFAFLEGGMSNPHKGRRVPSWCPSFHLKSKIGRLQGDWHPH PRRPIYTRGDSESWEFEDEFAPKFEGDIMTCPGWVIDSVDVMQGVPTRIWSTLVGGTS THGKRAPDSFNCLLTSFPESRPRDSDFLYKRNWDFLQANRDLPIGGKPLSSYFTSAPT PTSGPDFRYRPAAPSDPTITEAHRAMEARTKFRRLMTTSNRPLMGLVPASTQQEDVVI ILWYHSRPLIAKAVKDSDSEGYMFLLKGEAFIPGIMDGELVDSGELEGMEMTNFKFY CC84DRAFT_1048759 GPFAVLYNTFEILDGSRVDPLDPNNRTRKLMLSSFYPVESSKCE QICTAPYVSQNIAPYVDQFGASIGIPSGKLDKVALQVCCKTTGDENKNASNIPLVFLL HGFGGTRLIHSALAEELASAGFAVVTMDHTFESLAVEFPDGSVVTGLNDPSWDPTIPG RLEPLQDIRVDDVRYVLSQLKSMEVAAKVLPGALTGFNTERVAVFGHSFGGSTSIKLL MTDSRFVGALNLDGQQFGTITDMQQPAVLFGTTGVTPNPHNSTIDVTWAETLRHLKGW RAEIGLNNITHFGFTDVSYLNGTGALTLSKEVVDSLVGKLNGRRSFEIVSTYVTAFLD SVLRGGNTTLFDGTSQKFPEVVV CC84DRAFT_1132547 MPSQGFAIRRGSSCLPQETICGDTVAPFRGCCPSGFACPKQYNI ACCPPGSNCTSGIALEPLCANATWDLYDNNGVFCCEPGAAGFKRGDSDGCAEYGLDLE NQQVTLLKLLQKGNLSSSDAFNPAPYSAPKENKSSTPVGAIVGGVIGGVALLALLAVA FYFLKRKRRDQGYPKAPMYVPGAHGQVAAYQLGEGSPVYEADARDPKMGHRELAGRQG PIELLTN CC84DRAFT_1170043 MNIYEFTKSRSQASATEVIYLPPEANIGSEVPAGPGSSQDLQGV AHHIPPYSALPGVVSTVSARSALSRTCAGLSIRASRFALLPWGCGLSR CC84DRAFT_1191767 MLSKRATLSASDLDIPWRYVDPGPQGRYDPDTNSSGVITQFTSA ENYLVQKEVTEYVKANVEIHEQVLGYIYSTAGGKELPAALAAHLNEYWKPWKPLSGEN IRITGAATALHEILGFSIADPGQGIMTSRPYYGRFELDFGMKAGLRIVAADTPVETCL QPGVIDAFEETLRKAKAEGIEVRALLIVNPHNPLGRCYPKETLIGLMRFCQKHNIHLI SDEIYSFSGFDSTEPGTTCFTSVLSLEPQIDEGLLHVIYGMAKDYAVPGLRLGALITR SGPLLRAVKSLIRFHNPSGPSVAIATAMLYDRTWMRSFIKMNRERVGEAYKYFTERLS RMGVRHLSHVNAGLFGFVDLSPWLSEDVGQGKAAREQELAQCALDEGLFLQPGEEHAF EAGWFRLVYTVERRVMDEGLRS CC84DRAFT_430172 MDFACAGAGVHRVFPRGAKDVHRVIFPKSLAIMSRTLHRDIYNL KVLGFQIENVKPLDPDPLTAPRYPCIYWIDHLCESEPRFWVDSVGDQHAKEDVDEFLR EQYLYRLEGLSLCNSLEKGVVSMTKFWSLVQVGSTESTYLCSITYSLT CC84DRAFT_1075487 LSPLVQDAQRFIMYHKGVIEGHPLQTYASALLFSPTGSLIRRIF QHEEPKQITIKPALSDNWSACLQILEGHSSSVNSVVFSPDLARLASVSYNRTVKIWDA SSGACLQTLD CC84DRAFT_1182102 MSHKTEFVLLFNPNIEENHLPDWLEAHEGRIDMLDALRGGSRNA QGLIRSTKMNEAPLVFSGMANGVEQEDDPEVRSVPLFKHRNFEAPNTLTNRPFDAPIA VAEQSSAGVRLRASDCTVDLLAYRFAKFNFFVASLLRHTEAYTVAHQLQETILRNVPF CSRTHIVTAISTPSAGRATNCNHVADVTCSRFEFF CC84DRAFT_1106027 MPTILGKEVGQIGYGLMGLTLRPDPISQEEAFAALKAALKNGMN LWNGGDFYGTLDYNSLVLLERYFEKYPEDADKVVLSIKGAVGPAGHHPDGSPEGIRAS VDNCMALLKGRKRIDIFECARRDQNTPLDVTFGVLDKEYVQTGKIGGIGLSEVKASTI IEASKLTKVVAVEAELSLFSMDVLHNGVAAACAELQIPLIAYSPLCRGLLSGRFKSLD DIPEKSLLRLFPRFQPETFPFNVQLANQVGALAKKKGCTLAQLSISWTIAQSRRPGLP TTVPIPGATSVSRIQENSKQVEITDAEVAELEATLDKLKAIGARYPDFVPIEG CC84DRAFT_1105984 MANNTSQNANGIAKAKAAKSSASSNTKSWQSLSLLLPQRNPDYD FWWGLTGPHLATMLENAGYSSEKQFEMLLFHFHWSVTYLGPRPRQGVDPNWKSLLEPD GSPIEYSWKWNAASSEPDVRFTMEPINEFSGTALDPLNQQPSLELLHRLAERLPSVDL TWVHHFYTSCFDHDKAKYVQEKASGVPIVTTTCLAFEFVRHGLSLKSYFLPRKLGQQG LLPLSEWEKTIRQLDPKNKALDMLLDFLSTSAEGKKMNPLNLAVDDVHPSQSRMKFYF QTPSTSFSSVRDVMTMDGRTTGLEKQLAELKDLIHAVTSLPADFPENLDVPVEEQYHP RVNGTIGEVPGQSGYLYYFDIRPGAKVPEIKFYTPVSRYGRDDHTLAKELTGWMKSRG RDAYCEGYLRMLENIAEHRRLDDGKGLQTYVSCMFKENDVLDITSYISPQVFHPSRLA QWGQR CC84DRAFT_1076081 ELLPRLSESAVLYAEDDPRFKEATARWQWYKAPTVNVVVEAGTD EDVSEIVKYANSQNVPFLAVNTGHGSTATLGKFNGIQISLNQLRQIDIQPGGDSAIFG GGVYGGPVMEELWEKGYVTNTGSCGCVGLLGPGLGGGHGRLQGLYGLISDGFVNLNVV LADGTLVHVSPKSHKDLFWCMKGAGHNFGIVTSFEAKIYPRKVDTWFSKICTFTEDKL ERFHELLNELSDKQPPELIHWTLYWNDPDVSTTKPVIHWSFEYIGSEEAARPYFEPFD ELGPVSCRSASLPYPKIPQNEGTSAQDPICGKGLQHMQFDAGLVKYNVTAQRAIYNLF AEKVKHDPSLEYSFIVMEGYSLQGVDNVDPAESAFALRDDKLLVAINVQYPPNASLDD FAIEWGKQTRKLFNDGQPGRRPTTYINYAFGDEPMEAVYGYEPWRLEKLRGLKKKYDP HGRFSYFNPI CC84DRAFT_1191771 MRHTFVYFHYTLLVQLGLSSAWQSPGRPCPKACSKAGDDPSKWT DLHGIGALKRCHEPVLFDTALWTDDDPLVPLTLRTCTAADEEVRVQVDYSPAPFTFGK PSEVLATTTKCTLNTTSNTQVKGYRANGQTFRNETILDIRIWDEDGLKATQGDGDKVS GAAKALHDYLAKRDDCSSTIKFVRYVTTSSALTVLQALTTCLKDRPDSLRLIAQACSG PAPATWMVGAYFDRKGDISAAQKVLRTWGMGDCIDDGSRVGTDTPMNLDLVRAISVPL RIGLYKGFGQINEPIELKDIATRSLEPRAECTAIQVQPKDGCWALSQRCGITQKDLES YNPENLDCNNVQTKAWVCCSKGTLPDMGPKPNPDGSCATYITQENDTCSSVAAAFGIT INKIKDYNKKTWGWSGCALLIGQKFCVSSGDPPMPAERDNAVCGPQKPGSTRPTDGMD LIDLNPCALNVCCNVWGQCGTTDNFCIFNPADTKNPGTTQPEKNSCVSSCGMNITNNK TPPEQFRKITYFEAWNKNRPCLHMTISDVPNNFTHIHFAFPQITADYKINITGMEDEF GKLKGIKDIKRIISFGGWAFSTEAPTYNIFREGVTDANRATLASNIAKFVTDNGLDGV GFDWEYPSAPDIPGIPPSAIAAGDQYKLFLQTVKRRLQKQTVSIAAPASYWYMKGFPI KDIAGIVDYIVYMTYDLHGQWDYGNKWSNPSCEEGNCLRSHINKTETQNSLAMITKAG VLSNKVVVGVASYGRSFYMEEASYISNAEIREIITESLLGSGGNATVSYDKDSDSDIL VYGGNDWVSYMSDKTKASRTDWYKGLNFGGTSDWAVDLDKDHGDDGVGSVDPDELEKE GVGPKCDSYGSYDTLEKISGNENLQPTCAAVYALGVLKKLLGEAMDKYNSVNDRYDGK FKSYTKYLKNGLPDVLGRHMDYMDGPSLPYFDCHWVGGGKDWRGACPVPNNVRGNQPF DVWKITQTLRDSDGFYKDLQNKTGILQDWIEFYTYKEHSNCQPEPCNNLDLTIEGQAR LKKVYDIPDPKDVVTKAMGNQGSLMHSLTVQIFSIGMGLWNGSIQDVVQSLAVPVFLV QNAIEGMEDAKELAAANGGLAIQTVVEDPSMAPFAVMEALSLGRLKTPKEYFYAAKFR TVMKEKDISALGSKYVSQDAMVQKIIKTCKK CC84DRAFT_1234383 MRLDQIPVDVLNLIFSNLCYHCQRPGNFVNADEYDTVEDKRSLA RLCRTSKAICTVVQPILYHYYATGNVRKAGTPYRGHWGKNDVEVWDSNFLPQFLRTII ARPDLAERVKSLQIILGRERVSENPPEATHPDLTETMSLLLNMAKERHLLPWRLRKDN LKRYSADTSLNLEELFLVVLQCTINVHTLLLAWPYGFREYLETLNETNWTLPPLLTFP SLRTLGVIRGSHDFWLRERGHFFNAAFNVDTLYICDGGGWSTDDHHNDIMIYPVARTS DAHLDCEQLGLLRLRKLSLNSRTPTDFMDLMFALTNGIEELEVEQNEKFPGIEDLTYY WTTWESYSDSFDEALQVWSKTLKRLCLSYIQPSKFEEIDRDRQWDPEDEYEYRPNQLN YDSISSLSMFPLLVDITIDLRSIYRETDPKVPYRLVSFLPPVIERLRITYVFREITKE LHQLGAQAPRDFPHLKAVVVGIPYKTETECHEGLENMKASGVDDLFESHGVSFSWTVD FMGADLRTMVPGMTIGLPLIPLPGIETRN CC84DRAFT_1234386 MDGLSGAASVIAVIDISAKIVSLCLQYSKAVRGAKDDIERVQGK VSDITHILEQIKQLLDSQDKTQLSATQGLFSSLTKCLKELENLQVELEPGKGRKTMSR IGFRALKWPFTSKQVDKIVSSLKGCEQTLSLALQVDQTTAVLSIDQKLDLAKLPIAHG ASYDSHTEEHNARCLPNTRTALLQDITKWAQDKDSKSIFWLSGMATSGYHPYLRGL CC84DRAFT_1106064 IPKWPLSLTLNTYISILLKVTSTALLLPILEALGQLKWSWFQGA RSKKI CC84DRAFT_1106008 RTISHLSAHTQLVQLENEDLRNVIRELKPSKNKWRRLDLQHRKE FHSTAVLWVPRKIRQAEER CC84DRAFT_1170045 MAEDVSKGQDDSELPPLDDRLVASAAASLDASKIPYVLWGNYML TIFGIPTVFNGMDFVVDDDFMDAAYDTLQGAGFKKCKSEGCNGNKKLFYAPTPHTHLH ITESERLGLYKRSDILWRLPNLSNVDGESITLASDPNQLPGPDILGRRGRFQQDLHPV RVPTVSQLVQVLLLLAKKDQNTYGGYWINWISYILEFCTENGVFDKSRLTGNYKTYIN AFLEGDHTSRDKAFECIGLTE CC84DRAFT_1106128 MEDWSEEYRDYAQGEYYSDPSPFHISTKLIEGCSVCDKGGLLRC DACYVVHYCRRIHQYAHRKQHKSVCTKIKKAQQAKLRAGPDGAQDWAHPLEDRWPHRL WIIHPMRQYLTARFAVVKLQMKLNTRTAVQTALKNILDMLNERRQDDFYLRDLAPGLF LRLGCDQECYDFVKWWTCRFDPRKTDMDTPYVDIKDADMFEAPDIFMEDTRPHVVPLL AVMLLKIRLLINLTELQRVKREAGPYVPQEIQDLITIHVVSPVVAAKGESFQRENLVP PIHDLKRQIRRLYDAVHKANKYIWLAMVRPGNHLTAMPWYSGTGTIQEMQTKLPYIYN AWSESTGAIGVIEELQKETRSCE CC84DRAFT_1264900 MSDSVDRVFSHALNTVNKIRTGSQKPPSATRLQLYGLYKQAMEG DVDGIMERPEGQTEEVKRAREKWDAWKQQNGLSRTEAKRRYITTLIDTMHKYASPSPD SRELVAELEFVWDQVKSNVPSSSSSSPLQSQTLSSMGLHIPPDYQGFATRRSDRGKAR AVGLGNEPDEDDEGPLQIKSPMSQSEEDLEQDEADAEREEEFVDAPDSQYNPHSEDAA VQVNLRPQEPLPYTPSKPPQQRSFISRLTPAIIPASAPHPPYESAADKKWRARVEQAL VKMTAEVAALREQLESRRLFSHSRKFLFLRFIWRIVWGSVKHIAIDMFILGIVLLWMR RKKDRRLEGAIRVLLGNAVAQVQKVGGAQLGKVKLPALVAKKGTSN CC84DRAFT_1223714 MSASSTVFGIPELLQQIASESPPLDLIHYHRVSRWQNSSRTLRS CSTSLDRKTLTYSVPRVKVDDLMPEVDFWNPACPSNPEAETAYQTKRYLCNIVKHLNL IIAASILEDVSKDPRYSFDPMQNMDYGGFRGVYAKFEAVLRWGEIYEFLNPFGLVLGG RVWQVGTGSILGPGMTFLSQQHGWMSNIVFYYELVTGAGHILQVNQQRYPDLFWAQRA GGNNFGIDTRFDLKTYPVGPIFALNKLFLGNTDQEFMDAMTAWPLRSGGHEDVKGELM PSTRYTTSSDTLDAAITARYDWNIDNPLAFENFTAIAGAVDIGSGVQNFSQLVNTTST QFGTGSFRWNWYSTSIRFSNRTMNILVETMVETAKELLTDLSGFLGVADEPISRYYAA AALSNGGDPYNLDPEAIEGGLLVADFYVASNLASEDAAVENYLQTLIERVEEKSNK CC84DRAFT_1106078 MKYLSLLLLPTVSAQYFSFGNYFSTGPVATNSWIRQATTTLVLP ALNSPHNGNLGLWPGMGTKFNSGADGHLVQGLAISTVGLHVHSAYSFTDKYNDATANF DQTVSVNGVKVSTLSTKSGYGAGWGTAMECQQANCGTVPEHHYVNTTLIMNTADPNYK NTIGLNGASGNLVTTDGGKTWTVADIKINLYTFT CC84DRAFT_1238472 MYILNNTNHPERLSYRELRDEATVNANALKHHGVCAGQITLVHF QSHRENMIWFWASILASCVPALSTPLVNNHEGRLSHFSHLHKMLLDPLVITSKELLRT DFAQNTVLRCVAAETLETPTPPKPSIEQLTQESTSLHDVAALMLTSGSTGSAKAVCLK HEQILAACRGKLSHMPLAPKDTVLNWIGLDHVGSLTELHLTAMVAGCDQIQVATADII TDPLLFLRLLSKHKVARTFAPNFMLAKLQQTLDSAAASDTSGIDLSHLRYLISGGEPN GVETCVQISTHLQKLGAPTPNIITPGFGMTETCAGSIYSRDCPNVDVASRTEFTALGT CIPGIDMRVSEGGLEVRGPIVFQRYFNNPEATKAAFTDDGWFRTGDTATIDASSVLRL VGRSKDLLIVNGVKYLPHELEMAIEQAEIAGVALSCVVCFAYRPADATTEHIQIVYER AYDTQDIEARMRALQGIIRTVASFTGAQPRVLPLSPGILERSTLGKLSRAKVRASLLK GDYRGEIDINTEALQMYREQHARQPDSITEKRLIKVFRDLELGSLDMGIDTPILDTGV TSVDLIRLKRVAQDTFDIKDIPLITIMTYTTIRTLATAIEVLQGSQAQRTTSYDPIIT LQPNGDKTPLFLVHPGIGEMLVFLGLVQYFPDRPIHAMRARGLNEGEQPFTSQDEIVT EYHRALKAKQSQGPYALAGYSYGSMLAFEIAKLLEKNGDIVQFLGSFNLPPHIKTRMR TLDWTAGMVHIAHFCSIITEQRSEELMLELRPLPHEQQVALLLAESNPKRCAELALTQ AGLHNWTDVSWSLQRIGWDYEPSSDVSHMDIFYCQPLKTVAKNRVEYRKNHLNRWVNF IRNDLKFWEVDGEHYTMIGPEHVPKFQQTLKMALAARGL CC84DRAFT_1223717 MSTSAEFRDLNHFIDQAGAAAWPIFIIATIVFILRTISRVFYSK ASLDWEDYVISISWVLDVVRMVTFQLALSATRKVDLNDLAGTLPNASFWSLFTDAWSF LSVTSPKVGVAFLLVRIFRPRKWVANTILGMSIGLFIVCIAGFFICFVQCNPIAGQWD PYSHPKTKCWPRNVQVDYSLMGSSTSAFLDLVFALYPGFVIWGLQLPLWKRLSTMGFM CLGVGAFAFAVVKVTSNTTLLGEPTVTEFAQKALHIALWNSIENDFVMLAACLPSVRP VLRQLGLFTRTHLSTSRPTRMTSPSISERSLRRGDHGEPYDGRAVELGHHPRSMNKSI QIKHDVSLEWEQAKPNFSNPSSQV CC84DRAFT_1170048 MTEQTPAANLVASGQDYEDESVHGVDALQVQQRYGEEAAKRMRQ DGNSQFVDVSLDGKFASFLSDPWVEKDMVKDVRAMFPNNECQVLILGAGFGGLLSAIR MVEVGIRPEDIRILDIAGGFGGTWYWNRYPGLSCDIESYCYLPLLEETGYIPHHRYSQ GEEIREYANLLAKKWGISDSAVFQTQAQKLVWDEKSKEWQVKLTQQRSGEEPRIIDVH AQFVVASNGVLNWPKLPGVPGILEYQGDIFHSSRWNYALTGGSPSDPLLTKLEGKRVA IVGTGATAIQIVPELARWAKHVYVVQRTPASVSDRQQRTTDEEWFRSNVATSKGWQRE RLRNFHQHFTLDQPPSTNLCDDGWTRAPALVGLAGNPAGPQSMEEIPAYMGKLHEVDL PRQQALRSHVESVVNDAAVAEKLKAWYPSWCKRPLFHDEYLSTFNRSNVTLVDTVGKG LDKITVDSIVVGDQTYPVDIIIFATGFRAPFIGSPAEKANVSIVGRDGKSMSEEWARE GPSTLHGVLDANFPNLFLSGPWQASNSPNYLFNLDFLAKHSAHILGDAKRQAEGKRFV VSVTKEATDAWGMQVLMHSFPGAAILGCTPGYFNVEGELARAPPERQMVIARSGVWGH GIESFCREVEAWYEKSNMHGIEVQTVL CC84DRAFT_1210777 MSRRRPHKKSRLGCQECKRRHVKCDEARPACRNCLTLDRTCIYP PSPAHAQRPPLAVSKLHPLSSSAGDVVSTPAATTPSTATTSAVAHISTPSTFVLSAET PSLAVTSPAQHDALYPPVNMRHMELLSNFIMVTGPSLAHGHQLDPTFFHVLMPIASSA PYLMHQILALSAMHLSHTRPELAQFYHEEAMALQTQALAFLNDSPIEINSANCAPMLV FTSFLGMHALAEAVASSKNHADTFLDKYLSYSNLHRGVRAITAQSWDLLMQSNISPIL TQAEQLIRTTSLNMQEQAKTVADRLYRLLDDACVSTSSEEACRDAVSHLQLVYQTEAS FDDSVPTEKQPAGLIWAWPILLSGDFTDLLLRRTPEALVILCYYAVLLHRHRDLWLVG PAGRMLIEAITGSLGTYWKEWLDWPNAITS CC84DRAFT_1158677 MALLLSFWAALVPSLSSVVAQHPIHSFETACSAFASAFNHPGVK VQFSQFLPQGYNLSITDYPQSCLDSQGSSPVQILGADVCRVAILVDTSANSSMTLEAW LPKAWTGRFLSGGNGGLSGCIQYTDLSYGSSSGFATVSGNNGHNGSSGAPFYTHPEVL EDYSYRAIYVGSIVGKQIAQAFYGRDASKSYFMGCSGGGRQGFKLAQSFPEVFDGIIA AAPALDFDSFIAWGAQLSSIAGFDTNSTEFISESLWKAIHEEVLDQCDGLDGALDGII EDTDLCHPQYERLICNETANDSSKCLTRAQVGKVRALYEPLYNDNGSLLYPKLQPGTE TTSFDTYFSGTLSSLFSDWFKYVVYNPTFDTETLSREDLIAAASYDPYNISTSKSDLS AFERRGGKLLTLHGFEDNIIPTDISTSYYHRVSEAMSRPPSSLDEFYRYFRVSGLSHC ALGNGAYSVGMYSFGLIPNAMEQDPDDNVLSRIVAWVERGEAPEYIRGTAFVGAYPGS AIAYKRKHCKYPATNKYVGQGSFTSENSWTCL CC84DRAFT_1238489 MPELLPINGGTYYLWKYVPSVPASAIFAIAWLVIAALLSWRMHT TRTWFCSAFIIGCFMEFVGYCARAAAGNHTNAIVPYVVQSTMILLPPALFAATIYMCL GRIILVVEASHLSIISPRWLTGLFVTGDVLSFIFLGNSAPLTILSTDHPFLAIIGKWA VIGGLAIQLISFSCFGITAVIFHSRIRKRPTEASFQVDQAWIKTLHMLYAVSLLIIVR SIFRIIEYVSGENSYLLTHEWPLYVFDTVTMFAVAIVFFFLYPSDIVIRKMSHTPLQD FERDEGLVPKCT CC84DRAFT_1170049 MAGSGQPCECRRRPLVWQSHHVLVFLCATFAPATVDSARKANGL RRHILEFQDCITSLEKCEKPVICMLHGISFGLALGMSLSCDVRISLRASWL CC84DRAFT_1264903 MIVRPIRVLQAARMISTLVGQSGREYNLQKVLQRHPKKPELSVC LALCDKTPYVVKPVSESILEHLQEFRAEFESHPRLRIHVDKIEKESILVYEYFKTDLL ALVENYPPLPLTARKKILKEIGNTLAEMHEKNWIHLDVKPDNIFLNWYVDSSDEFHLG KVVLGDMDCALKLKGEKLLNYKIGNVMWRSPEGQLGRGVGKPSEVFSFALLCLYVITG VQSLHFDFAELDTEPEVVVLFKLLSTFGPLPDALMKHVDDAKAGELLTNLWQAVKEND VNGDFADWTEDVFPNLTDEAKRLILRMTNLDPAKRATMSEIVKDSYWVEDEKS CC84DRAFT_1191782 MSYNKVISSKVIKTVNAGGKAIQGVQDEFCEALKKAGDVPAGAA IAILDEKEYPSEIDSKSHFTTVFEDSKGNHITTKHVYP CC84DRAFT_519888 MAEVLAIVGGVAGGIQMADAFLKLASELRHFIRTVRYAPQQVHQ FRRDLSNFSASLRMFGETSEKGLKYLTKPRERKQREKYIAGVFQECEVVKQGFKQLLS RFFEDVIELPSFHGYLDRVRWYLGRTSVAGLKMSLESAKSSITLFLSLHTVEFLHRKI DKLSRKSQEVPQDLVDALRKTERQLKEQRRATRDAHQLLFEYFKANLHSNQAPLVQDI RPIIQETRSIERSTTKTLRKESHRILRQSSSTSTSRYFDDISPSPSSESTAQEPQGPP VIPPAPGHTSISPKNIPSPPTPCSRARTPTARALSPNAGNSPSVSEKTEHMYVPREIS SLRDPSPSAISTVRSRSPPIFGPIIRDDDNSYPSPRVRTEIFTT CC84DRAFT_1074759 SRWFTRGWTLEELLAPYTVTFFSKEWHWLGDKKTLERRIHETIG IPIWALQGAPLDKFSKEDRLSWSEGHHTTRKED CC84DRAFT_1238499 MRAMFNDDNVKGSFFLATLPDTMDNVIDNLATRQLTAFQDIEPK ILDISEKHSLDTTNLLSAYAARQTAAQQQNAQSSQRPFPTTNKCTWCRKYNLTFISHV YTNCNELRKHKE CC84DRAFT_1238508 MLDDSNEDGQASTLLQLLAAFIFEPYVCSPFESRLVHFMAVLGF DTEMGCLRTAKNYAYMIAGVVYCVRVIGVEYLLPSAHREQQGIAEWERFLEMRGNFLA DGSYSPMSQLLSLLAYGKYIALNSGNSGSAFWSEDKTIFYPRAATGVSTESHLLGMEY SR CC84DRAFT_1182122 MSTWLQAIGRICRYGQTHPCLVIQLYMHNTFNENQNVHATNNFL STFWAGLDVENLDQIGIDHNRMDGNYCFWKNKIYPKDDPSLPTVIRKHPLTSNQLVHK LFSMQDHVTVEIKETGIGLEGVKGKDVMTRSQVVEHKATPPLTPKKPRAPVIDNDALA STALAAITSPH CC84DRAFT_1223731 MAAEEKQLCKEAAEKKAANKSKYANPHLSKYARWFDECKDHLFL RQFFTDIDDGMQIEFYSAKTNEKGYKTFWTKKYSRPDESPTNNPNNTASTIKATKYL CC84DRAFT_1182124 MECWSRKRDKTKSFLTKWIAANKHKKVFKDIRFDPTKPIIECLE QLFNCAHIVIGDELHTIKNPSVNMHTAFRFISAEAHFVLLMTATPTPWSHEDLVGQLN VIYNPQLNDNVSDLTIEECRQLYMDACLLERRALHLTANAYAKAFPSVFKDKVAIGNA CC84DRAFT_1223732 MSKKGQRPLDDDCGKMMGWKPETVTKLKYDRDVMELILYAINQS KEGGKFYKADRLTTLDILHKKFAEKSKINTTSGTANNVNEELQEMRKTETRLMLYVEE QAKILDSLPNDDDALEEFMDAFTRKPCPVPTQDSDTEDDDEITKDEYEAMFKKFSYSN KVKAISPGSSRHYLRQQFLLKTLRTDRYKKQQWNPRWQWTYVDEEGHERPIGSSHLEI ATNWEKKDTLLPGLPAAWVDRDSHGNPRPDPYDNPSRPDGQDVDPVSLFIYDARLQGE DLANNAKRQ CC84DRAFT_1182126 MELAEHVTFPGFNIPQNAFSKPILIISRHNLKLSELSGHLTFHS YHTALEKFIDSYWDRGFLDPSRFYWDLGIQTGSNLPGTTTLRKSYYLRQWTSFFNHSN TASRSKPRFYTFTRTDVGSAELKLRYSNQFYYARIRHVKAYNTIKEITYAPIRGYGLF TNPQLELLGATQQFLNKLKFSDTGRTEQTIVYIK CC84DRAFT_1182127 MPPKLNLTSEEWASRKKALKQKLNRAYKSFAKGTLSSTSEQLEY YFYPTPTSSQNLEPMPTIPPVSSVITPAIPPNLTPPLPIPLLVSSDTAAQLPTRSPRV PTSLLPLHILQSGPRTIDATTLPI CC84DRAFT_1223736 MDLSTILNPINSKTSDAQIITTSSLQTATFAMEAPRQASHLVHT AQDYHSGSPPASGPAPYHPEPPSRHPQPASRPRVSLATLQPYLTSIPALPDPLAEGRA KLELPHPGTGAALADYDYHCPSCIVPSPPCIVNGYVPLYRTRAWAACDRCHGNQPLLS SNPFSYESGLARCRESAAHRTLGHSSDSALSAYQGDAIMPAPPAAYPPLPEHFLHSDV RHTPGLYFGSDDEGGTQEQDQQREEPSRDGCGNAGAAGAGAAIPLEVVGNVTFGRWVS RYQAKGREEREFVSIG CC84DRAFT_1182129 MGIKAFQPHLEGKYSVPNLGQSIALQQRSIIFSIVDHSVAVKAI ACDLTHRKIVLTSTANAPISEQIARFPKLMLPGYDKSNSSSPLILAGDAASRVPTLAA RTKLSSRVMLLSPERRTRAAMPSLQSQSTQNTRGGITKLQLNPFDIFEPDVRILATNE ETTTIICWFVPIATPLGPPVSAQLCTRSAFATHPGE CC84DRAFT_1182130 MGTSGWREQLIPAALEALKLRARSLVQVFRGLLRQSASEADEFP KKAPGRLQVDDRGEILTPPCHRRRDPGNAHQNPFRGIAIVLACCRGRQGVRYRLTASP QDTMSLGKASKKREQTSNATPGLTSAEQAMSSELKGPKNAMLKELSVVASVQGAFEKT EDHLRGLHRATAVIRETSAQLSNRLVGV CC84DRAFT_1238518 MPTKLLDLSDELLSRICSHGMVHEHHQQNLPQTLQHPIARHEYL ETNVVVLNLSDIRGSASRLEFGYGAAQMIMTGNPGPLLRSLVHVQLSVSVTGTQGRSL AREAEDLKRLMDSCRNLRTLHFFVQADESVLHGSAAELRQGDVVALRSPDSRDRRVAE AVVNLAKSVPLRVVTVSFCPWRRGAVVVQMVDRDTADVVDACLAGGIDQTYEGLIARV R CC84DRAFT_1238521 FGICYIYLYFVSVYIYLLPALSTIHHRISADLRSPVVRTQIIPR VQSMEEDQPKARVEDQHATENFREVQLQNTISPNSPSTIEEDEGHPLSSEQQDGAVQP DPVHRNCGPMPGIWASSSPLSPTPAPTEPNENGKRPLSPTSDSEDSAPDNKRQKTQPS DKKVDLGLQWGKGVKRWVRRFLGAGSEGDERQLEAKRAEGVVEPVRGDPGGQDVGPTD GRSTGTPSEEASSLSIEEGVPVTRDMKGAGGKNIDSASESSFDGIRNGNNEMQDEIQE ESSWEEDSEDGDVVEDGDVVEDGDVVEDGDVVEDGDVVEDEDSE CC84DRAFT_1210780 MPPVQSDLSPVAQLVDSLTQSLEASIRAKDTAAAREAFSEHLDR LKPLRESATVGEREEFWVAAAWWGVHHLARLKVATLAKRTAPVDVDLVTESRKLTAIA DIYQSWGKSALDSLRESLPLPSRPGRDFVEQFRRVAAHSTLDEVTQKLPELYYNRLPQ LRQPNRPVFLQCDGKHSVITSDLKAYFRKEIEPAILAQKPVARVSARASRRKRRKTEA HGSVDVLPEPNGDSDVEIERPRAAPSPLLASSPPRIPNPDVRTETPPVAPSPLPSSNR SRALIPDVHSEEPRVSLLPLPPQSPSGVSITVNIDQGSDISAATRRSSLNIYQQVDDI LTRENDRPDGAHTVERPSYGATPVRRNSPGHAMQRQPQSSPNSQCESPAAGRREEARQ KMRNAIQRAPKPALDVLHFICQLTQSEDELQGRNLGARKALANAEAELKKVEKAVSKL VTSEETLDDFLASSKERLASTRNFRKRFDASLRDASNIAAQAGITNLFPPSMHTDQWA EVEQKQEKILETAHDLQGNLNSASAALDAKRVELNKVEEEKKDVQEQRAATVEILRLA DSKWPFSELADLYFQAQGPA CC84DRAFT_1238526 MEISAKPPPMLGQEGREHGLTEEALVRRLDDATRLRPSALQPAA ERYWVDDWSFEVRKLSMSRRHLALCDEPTRDRDQLTKHGTLDIISPPPAALQALAPYE CGLPRSVNALLKPPSQCGSLSGCDACCRGRVCQCVCSPRCVQPPLAAERSFSSPPRLT ATRPVPDPDSNTAPVQHRTPSWNVEWAKLSDCPVAVRGWRQRGTSPGDEEHNPPQNKK SGPKRMHRTSSTLEGHRHRSPADGFPHKRLSLRLVNRGDEPERVDPPDEASRHPRAQS RCTVSNKPAKDNGASSPKRKRFRILNKRDKSKPSAYPDDDDEDLTMVLDKAPSQRKHE LVARMMRLKKCGWKPKPIRGGAATSSPLTSHSRPPTPTALVLDAFSKRAEITVDAFLA TLAKIPPAAQKTFADIQLQFAPALDHIDVQALRDMSFDEKAKLLSGMDLDLDVHPRGE RDIALLELYIGEGWAADMARNPETYPPLVYQRLYCFARDKVDA CC84DRAFT_1182135 MFSLNSLGMKVQRNETVNFLDYGKVMKIISDGSKRNASVMVINV ESTPSCTTSIQHGKRDFDTDTPRDINSLKVSVLPTDLELVPRHEAVDPRVGDYFVIEE VVKALYGNLGAYFAGKVPIPTAPKAFSLEKGLLLELHGFICSQGMFYLINGTLFMRPW VFIDGCSEIVGLAFVETGCWKAGWVDETGLARRKLRRYFKPYIDSDFQFYDLDNDAEN CGQVGHKCPEGSSCVGSACTSPTCSGPSSAGSAYGTCLGSGGFDDVKFDLPSAFPPIV GPGSSPKSGWEMSFESLDSYLANDFSLPKDWYLVYDGKRTINTKCVVVGELPFATINA FPENAILTFQTQVVS CC84DRAFT_1106145 LTKKALPPIREMTRRFAAEMAHEHVGDGWVTRLIQRNKDYLISK WTKAMDAVRRHTN CC84DRAFT_1170054 MEYSYITTDDGCKIAFQSSQPINYLPLERNYGTLTLLIHGFSGS SLYFQRNYSQLSQQAWVIGVDLRGHGNSGRPKGGYHVARLAADLKALILHVRNAVPDV KIVPVGCSIGAAILWTYVELFSDDDFAGMVFVDQAPLQDRSPFGSWDESKAHRGCFDE KSMLGVQKAWIQNAESAFKGLVADCLGYRYDPLPGDDASEERKSEDERFFTSQSRRCD PVWLARLLADHTRYDHREACEQIEVPVLVMAGRRTGCFPLDGMKETVRRVEEGRRARG GGYQPGWSVFEGGHWLFWEEPERFNEEINQFVDTVSIATAEQRI CC84DRAFT_1106131 MTGKLILKSFAATRIFPIDREAVLKRFCPTTPRTLEEDDKQEPQ SSPFIKMRRVIKQVIKDGEQRKAQKIADFVHHVQVTNELLREENNGLQKALKLKQMHK KKGKVLNLQQRAEYHSSAVFWSPRKLKEAEYREAVRLQEEKEESLRASLATATTTLPH YPIVHLATSTMHITIKTPQRLFTTDPENWLGESEYFRKLFSGKWSDKQEDGSYFIGSD AYVFEHIL CC84DRAFT_1106147 MDAAASVALDVGVRALNALNDCLEEKDTAISWYGKEACKTFTGR SWSLFPRKWKKFSHVKITKVFRIRANDWQKEDSGECCWLPIYHALPKQTQKLCEAEPK RSLGSLGILKSLPAARMDAWGLTVLAIANGARPEVTYAENGGYFASFNAKDFVLTMWQ DNIAAPVIGHIEPKQIPSLDRDLLTSPEWNMLLWQGHSFSQHNHISGWPLNGVGQKEP PEDLVTHSRDEEIMQLVMDNEWVERLQNQFRNALYRCYDSWERLQRHPRNQGHIDSNR IDLIKRQLLAFKLILVDGEEPAKIHSNLEMLEIRKEDILHYALEQLKFITEIMHKNKT DSLHDAAEQVSDGEMNGQQ CC84DRAFT_1170055 MVEPQTPHRAPMHKPPSMRRSPRLAGLCPGKTYAGEDVERERRS RTKFQPELVAFHKSLRLSGLNGHDIQAYRGRGLVHWHPELYQEWNDTCEDKQKLRAQW KFIRRRMTAAESLEMQNARGELQEQKKREAFQANLNRIGEDLEKAIRKTTLDRQTATY MARETIDITIDNNEL CC84DRAFT_1170056 MMVAFHFDAFYLVNIRFGNPYLDYIGNLDSVAFDPATTTIDDFA KFEEHGPFRIGDEEDMVAFMKLCLAIVLSLMAETVEGRALITKLERELDALTKALSQP GLEKLQILAQVHGEDLLGKLERLEEVHRGDFLDLAVERELYRARLRRLLGSYRLEAAF CC84DRAFT_1264908 MDVTDEADRRARDQLDPRRAALVPAHMPDHLHDVLHPLPSVPDR HTINWARRIGDCASTIARQLRADTAPPVVQIREPCSGDVYQESLDQATWDVTPERVIW GSPFIFLVDDFCGGFPRRDVTGCIVPIRPQPEQPKQDEPLHRAELFCALALLHRQLKR NRPDDDRPRPYRYFVAMHVTVVAVTQDRIRIHEASVGDSTKTGGASVIRIVKRLDLSI RDVTGEANTMCDNGHAVGDNWLDVMSYMCFADEDHVSPDLVSRPTDAPTTEPMRNTLA ETAPPYATTLAPVCASSAEAASLDAATITPVSYPPVKAASPDAVASEPEPNASTQIAP IPQTPKPRTPLAPIPHGNAINTQRVGKAGSPKKNKSPVKGAPAPPAPSREDTA CC84DRAFT_1223750 MSPPGYCRSFRQLPRLVLERAKDHHLEPFPKRVILLEDGDVLTI GSASGRRPALANNARFSGSLDKDHAVIRSVRPGALQICNTSTTHGVVVDGLRLSFGQK WRLEQGSVITLEDESDLLYQLKPVKISVFCIPGTGG CC84DRAFT_1238536 MAQRSDARYLTALQPVAGRGLGVVAEADIQRGTRILAESPLLST RHSTLCEPQAVIEQFEGLDRSSQALYLELYSYEESPFKLAYEQLMAKSWHELLPVHQK AYLIWTANAFGDSNAVYLHASRFNHSCIPNVEVHWNPALEKQTCHAIRDIKAGEELTI TYIPLYLARIERQARLHHWGFQCACAACEDTELGKATEAKRMQLIKYADELSNGLRDG SETPWTKSLVRAAKLAKLQVAEGLLGGRSLRPR CC84DRAFT_520049 MPAKRVRSESPAAARCKRPRRSAHREGESDRTPNAAECPTAPCP PPQPLPEAAPETLLTTGGSASDTESGRSRSRSPAARESPPGSPAPPQPLTEANLEALN RSSLPEASCLSESAPSMSASAASTSADDIAARLKLQAYRIHVDTTVSMPVELQAHITT HITKARRPEEKVSPYAQRVVDKRADAAKVREDSAIRSLEPALMAGLHGDDEHSFPGVA ADFKCKISRALLPCGSDEVRSAYGPLA CC84DRAFT_520077 MGCGMQGPVSRSGGMQRPVSRSGGCVHCCTALPQRVCCIIPALH PSHASLAKPVSHSSRLSIRLRTITSCRRPYCSVRRLRARRLEKTVSERMLIAHMSLAG SVFASQHILSLPNPSLAERLSRHSSAVGVTTGLIQLSAPSTSHPHAAEADAGPPRGPN ARDTNNYWAAIWQSVDQTHQPWNFVLQSRPGVRWRRQLLTGHGFSQHKEATDPPGFPD CIPTASGRISPFPS CC84DRAFT_1182145 MAGLGHNPGGLVVSCRRVAAVLLCVPLPAPSRTPREPLLLLFPL TCSILWFSFPQWPPNAAVLGATPWCRDFPFAMITTKLRRRSKSLFSSSAAPTSSPSLL RAVADAPSRLSINPSIQNPVPASRSYSIGNMPQLRTGHIHQPPFASDSESNQVPSPEF GRFLYPRRAEATPVPDDFYVIPSSLRLPPSELRPLEPRLPSSLCISSSPLHRVAGSAR VSRAARTYDTATQVPVQRGCAAKLKRPSFLPRGDVVPTERLLPELLYKRDSGSFAMGI ARGGQSQNWAPELQGLLAWDGMHWPSPPAPPGSPFKGRPRTRRSGTVEEKLPERRKGE TGRVNQRGKNGRVARRKARGRETKQVRSVARRA CC84DRAFT_1182146 MSSPGSFNRVHDLALQQSQHDVEKLGAYKGSIEALTRDEATLRT RIDDLQKKGGNHSRTSITRDRESSSLIHRTANMETNDPAVKQLEREAARRSAKVMDLR KREERRTIANAHRRSSLEKQAQKSPMAAGGPSAQNEQYTLGGHNTEQNQTTQTDKHEA ILWESPRAPSGPRAPPGVDPLGEAEEDWVPCGFWARFIRVCYL CC84DRAFT_1223756 MAALRMAAASPALSTSAATFITCVASLTPAGSNMASDCALNRAQ SPEREEPHPLEDFSWQSIHPSLQPGLLSFEPSEPPPASLHGPRFYENIALPHLTTDAL REHDRQLWEHDQRIHAETKSSPNTGPPTRTVSAATVQETAEEQTAPSYQKLTSASDDM PPKTKKESVTEKSSPYDANFEAKLRAFHIFFPADIYDGETELEDAADADAIITKLKQP LTDLEHVTKDTLHRLKALCSMGETKTIASGLYALLAPEVSARYDTDFVFNNLAPLTRA ADALTAAKPDYCVGATASEISKEVRDAIDRYIQPVHQKR CC84DRAFT_520102 MLRRNGTRSSCEPCRKAKVKCDHHSPFCQRCEARGISASCVYHP APLTRSPAPDVRRTHKLSTQQYQTCFGVLDLSGDRLSEPTLPSTQASFTAPLAPPAKM QIYTNAASNAIHSRPPSSFGGSDVELNAQSAPKAAGSGYYGSTSYYSVFDRVDHVPTA TTDSQPSGPAGDTLSAGIRDDDPGVSRSLQLGSWILQNLQHVSIIQSLVDMYIRTSQV SPTATLIPLKAVETLQHLASGDVHALAQKTTRNTQKPLQVPPEMHASTFSTLFTGDNL RWEFLGLVFAWAGLALSMSLLNEKGSATTPDGISKTSFAQLLTACSDACITLCRQNAH TNDILSWCLYENLILLTFQHGEASHASWQRLGDLSTDILALGLHKEPAATSTSPIFLV QARKKLFSAAFRVDKSISTFFGRPPRIPGHYCDVGLPLDVDDNLFHQENFCVDHLRSV LNTAGWNIDCKIRPASWIRMRHTVGKVTEEILELSLGGAQDNLVYKVQDILTRCNETW NRIPSHLQYKHSCWNDGTPPGTCLMLLLVYLEHLQNEFQLQRLLCRQQRCASDALINI SMRMLTAAMILPGIQVDSVNIHRDRAWILLYYALPSAGVLAIDLRMRMQQGSNPPRSI SKSEVIRHTSVLAASLQWASEPSGGNHNLCAGAAKTLSRILDEILDSSGQRSEMSTSG DVGGTMSGTVSGNMSPMAMDMDYSMLVEHDVDSETFLNWFDNLEWETMHQPVPLQV CC84DRAFT_520111 MSRHDCPGTNLRLSQVQDEDLKSYIRRCDDIGMPCLMLQLIGAA QYIIDRAHPNGRAPPLSKDWLSRWLERNPDCRRMKQKSQNINWTAMATFEVYSHYFTE LKKVIYTHGITTYDTYNMDETGFRIGVGGSQWIVTMEMNRPQVSASETSRDYITSIEA ISGDGQVLNPMLVVQGVNHLHQ CC84DRAFT_1106159 MSLPPKTDDSFHGQTIQIAELPPGLNSQCSSALDFLDWAARLVC VGSGGRHAATVQIILPAQDGCIVRADILRQRLAISKSIERVEEFVSLDQHMTAFQESQ GASTDLLSILSYAAGAILEQQHIQSSPSVNQELQARLSFPWICPAPWTPKRLVLVGCR PEVIMEKWLTAAFNLGIHITIVGQHGRWLQHQGFCQSVEKYIDIDMELDGGLADRIAD ALIKDGTAYDGITTFTDTYFVDVARAAIRLKLPTAPLSAVETAVDKYKTRSLFPGDCN SFQVDSSAELRQSMSDGLVTAYPLIVKPSQGWASEGVSKVTNEAELFAAVAALEPAKH GTHIVIETYLDGPEVDANFVLQDGKILFYELVDDFPCTSERDDLPGQENFLETEMLYP SNLPPNESDIIRDELRSILVKLGFHTGVFHLEARITNSSMAYTSANGVDLRPHGKRLT KAPTATLLEINQRAPGIMAQYITAFKSGVDWTALQLLAALKDTVRFTALAHEYAPEAQ PISAIVFINTEFPGTYNGECFAVDLKRRRPDLGAMVYQGGSYYHYTNPIATAPNLVGH LLVSSDKGRKHILAAAKEMRREIRLPITPFRA CC84DRAFT_1200494 MPTMASWAFSVSITTRSRTQLATDPRAENYIQNRRDDPLRPGAL GLGQDIASLMINCFTLVSQVTPLATAAIADSYLGHYKTLRTCFCMYAVGLTILLITSL PPLLRQGSGIPGLIVALIIISLGVGGVKSTLPPFLAEQCHASKTRIRISKTGERVIVD REATVEYAFNVYYWCVNIGGQAIVASTLLEKNIGFWVAFLICTCAIFLGLTLLYLGRK HYVNLPPDGSVLPSAWKVIYIAVRNGFRLDAARPEKVADDTGKTVKWRDSFIEEMKKA LVAARIGVPFVVYWLCQNQLTSNTISQAANMETSGVPNDLMPDLNAITVLLCLPLATH GLYPLLRRLRISFPPVTRIALGFLLEAMAMGFAAGVQGYIYASGPCYDHPRACPASPD GSLPNRVSVAMQIPIYVFEGLGEIFSNPAMYEYAFTEAPHSMKAVLQAFFALTAAAGS ALGLALTPTYRDPYLLAMYASLAGAMFVGAVGVYALLGPRRRRADSEAGS CC84DRAFT_1170063 MQRKPTYSRLTKKCEFRLLILHPGEDEQDIQCELAHSLLHKRPQ FDALSYEWGAQPGSTEISCNAQLTFVTNNLHAALQSLRLPDKQRVLWVDALCINQADK EEKSHQIAMMQQIYSSATRVLIWLGKETQLVRAAFEIMKPLALLWLDRATKGVDEMNY LAARIFQRPKNKSILGTITDCFHFSYDLKLYDLQRKIECSDDEIFKFCDADIWQTIDN IFQNTYFQRCWIVQEVAVADVPYVVYGNLHMPWEVFRNAHYGRRLIAFVGQKSYEDGP LTCVEDARKRFRNPMTSTDLASALATFTYAHQSCEQDHVYAALGLVKTGSIISPDYTK TPQQVFLEAATCIIRDRKDLYLLGNKTLFAKRTMPDIPTWVPEWTGPTTESSTEHYSH NLSQCIDGKIEIQDRSLFVNALLLDSIERVYPIADDEMILQAFSGIKEEFEKADISLF DAYVADNRRGSASASPKCSIDSWIDNLGQVFALITRLPRVPQLLLEIFRDFGRFTPHE LDGTTLNIESLWSAMVPQSSLRPRTEAPICEKLFLAVQVIFSLTNTSRTGVMHTKGLP KGYGPWMLAATLIAHTEISLTPAFREIYSKHALRSNTEDECIFITSSGYLGRAPYPAI SKGQIITILGGGYVPYVLERHDNHYKLISHAYVEGVMHLQRIPDDMTMERLEIR CC84DRAFT_1191786 MLLGVSKRRGYEKALAWGNVQKWAKIIIQDGARNEVNPWLKRIG WMPYLVGMERPDLLASEAMDGLVRFSQSSIIHHIGIFVRLEVICTKKHQTYPKYQFTK RQREAWEAFVKEARGVVGGEEEDKEQPGATLDNKMTDDADSIVGEMEEAPVVGVGNMG ELETLLSIKKACLAFYITLLN CC84DRAFT_1106182 LDLYFYEENKDKDNKDKVLFTASYIRGDIGEWIQPYITKYLDIN DDNVDPNYV CC84DRAFT_1170064 MASFPDCPTELIDSILQRLSFRDLLAVGVVSKRLHKCATPLCYS QIDLSIRRDNPHSIIYLCRSIFNKPELAAHIDSVRLRDGEPADGRRYCNISAIPKASP PRPTNKDGMSKFVTFVSHSGLAYADIWTEKLRIGDLNAFVALFLSRLPNLKSFRVGNL VVLPDPEKKELSKDPPTAARDMENQFLGKIFQSAIFDPSNHGISRFQYLEHISFPWSM TPWPGKNPDFCKPEDMMALLSLPSVCSISGWCLNPESLPFSWPVGPPELAHLTSLSLT HIHVDFLAQILERTRALKELRWVWKHTPDVDPLNTDTMNLDRFVEVLLAVQDTLEDLN ISVDIICPWFNPEFQNLEIRGCLSGLRNMVNIKRFKAPFGLLLPDWDGQRDSTTRRLE DSIPPNVEVVTISDDDTNDYLPFTDREDEWPKLRSWLSETAWKTTPHLKEVRLFLQKS SDAVHFNRLDELEAIFKGIDLPHKLIHESETSHRWDWDM CC84DRAFT_1223772 MAHHKVSHPISDLQLIKIAMDGFMDMLRNGELYEVGKKALPGVL TAFYFDNQIILASSQKGGSALTYTQGNGLDELVRACVHPDDKSNQAKCGEMSALQTFK HLYPTKPIRAQNIVTVTVRCGNDEVRNSNVYKCKEEDLEVYSPCNPPQGGGCELLIGP KKLIERHIPPTTWTNNGNAAVTYSKNGWETTPLDRLSLAAPAQQ CC84DRAFT_1223773 MLLKNFLFLGLAVVSAASPNLGHLETRAKTGTAVGTKAGSNGKS GGATCPNNIAITGATCPGAKFTAVEIEKAVKQAKAMKVKGKTGNGLHFPAKYKPSKET KAKGVKKAKGPKDGKAVKREEHPLQARRARGGRTRTKTRPKTTRPKKTPKKKKKKTPK KTTPKKTPKKTPKKTLKKTKNNCKAGGKAAKVGKGVWMFPILDKGVWKPGVFPDISYI ILDSKFNYVKTTERKPGSAEEYQVCAENPQSKRGGKPKGGAGKGKTV CC84DRAFT_1158708 MDNDGRTPLSRAAERGHIKVVELLIKDNRVNPDLRDKEGRTPLS WAVQSGDVQIMQLLQRNGASPDFKDRDGRTPLSQAAEHGQEMMIKHLLAQNSVDPDSI DKHGRTPLWWAAAAGHSLSTRLLLERHEVDPGSKDDNGGTPLSRAVENGHKGTIREFI GKDKVTLYMLAAKGNLTHLRILIKEGYQVDTRGVDGQAPLHAAASSGHVNITEELLSR KALINCKDYSGRTPLQLAIQYRHQEIIRLLLENSAKTTGILPSQWLVAFNREASDILE LSQISRGKKIVQFSQGRPLQLSKSTRRISLFAHYSMWSKYSTWNLNSSHQCHMDVSLQ RGPSEMTYKIQGCKNYLGISVTGSFPTEQKERYQEQSVELHWDKCAIAWTMVCSSKTP VGWQSMDHFSMLPHCWLPEDGANFFALFVQQLKTKWLMFCTSADERLSQRRLDQLCSK GNSIELIHYLAEDAQEWAKLRKALRTQVQAVRDFIRDYCRYCEQEYSKTMRLPIDQFD TDVNEKINQLDQTVKDLLQFEFAWVSINEAHRSTSIATSMKRLSWITFIFLPAMFTSS LFGMNVDILQSNPDWRWYLLFSGGCLILTVLVWLLSRHGEIKRFIEKYPSNRFQRRPN SPTEHKQRLKTDLQILPIYSQVSRVWDTRGNAS CC84DRAFT_1106210 PPYSPDLNPIEHLWWALKKRVSKDYPYLHKMGQGGEDWEHFREC LKASWRRIPRRPIKALIMSMPRRLSSVGRAKGWQTKY CC84DRAFT_520216 MTAIHQLNFTKLKAIRKDNDQVLLNIIVVHSLRDHPQGTWEAAV SASHSGNGSDMTKLPKSLRSLFKRSMVRPSGTNASSSLPPSTIFWPEDYLAQDVAQTQ IWTYGYNLDVKIHSFQEVCGISGIKGLQEKVMNDFSSKLDFPQTLETVESINVDHMQM ARYSSRDDEGYRAILSVFRGYISNELRSKAKARIESRTLRRRTHLRHPTLTGWQPF CC84DRAFT_1223776 MAIFPVRLWRFFHRPKNTAAEFQEWFQNITQDGIRNQFLAYFEE GNECWDPLCPKADREYFDERIAGLVAASDDEIPLTSIIDNLSQVHPSKADVIQSIAPM LERLIVAYASFPFSTPVTLTKDALCRAMILLTERGESCWSQGRSKGSKQQIRPRPEKR QLSFLYSALMRPPTGAPTQDDVLDVICKTAYPCDVIGYTIINGDNWLDRHHYADFVPL AERLEPVQDAQPLEPLPASQIQLLQTFVSAFTPPYCKEPVYPGFRYSESIDEEEFITW ATEISLLFCLHQLFKVYLPPRGGRFG CC84DRAFT_1170066 MRDLCAAFEFLQKPHQTSKIGDDHTKDVVCDTLLDLTIGIKSRR VASPKGLSLVLGQD CC84DRAFT_1132611 MSIQPIEIWGHWGAANPSKVRMVLEQLQLPYIVHLKEFTEIKNN DYLKINPNGQLPAIRDPNSGLTLWETGAIIQYLVDQYDTEGKISYKTFPEKHLTQQWL AFETSGQSPYFAEATYFVRFNPEKIPSAIDRYVNEILRVTGVLDKAIKANGTGWLVGS KMTYADLSFEAWSTIGEGLLKHLGKWEGFEQQFPTYTAWLASMRSLESVKVVEEEAAR GMAAHGL CC84DRAFT_1106213 WDHLETLVVDVGGGSGNLARILTSSTNHISSFVQDHAHVIAHGA GMVPAELQSRIEFQAHDFLERQPTTGVDVFVFARIFLNWSDKYCVQILRALDPAMKTG ARC CC84DRAFT_1170068 MGDIGSVTTQKAKPIIVAVAFPAFGHNSGMIHISSHLVKLGFKV YFVSGSDFEGPIKESGAQYIENTFNFVTPDWLEGVSQLDGIDSFLFALKEVFLKSTPT GFPVLRATLERVRDENPGRQVVMFHESMASCVVPYYYGAPLPKGYDQLPKMINFHTTI NFEQSSDVFPIGPALPPATSDRERATVKEMWDSVISKSTTLNDYASDIYKALGATKDV TDFYFDRLMNIGEVTLLPYSPSMEFPRSDVNPKIRFIGTLPVNPTRLDLVYPSWWPEI EANARLPVDSTQRKKVVFITQGTVTVDHTELLIPALCALASRSDLIVIATLGSRGKTL PSNVKVGANARILDYFSYDAVLQKADVFVTNGGFGSYSHGVVHGVPMVLAGAQLDKAD VSARAEYCGIAINLRTQNPTEEALAEAVDKVLREPKYKMRSVEIKKENEALDALGTLE KILLSYA CC84DRAFT_1106215 MVSFPRWRLWKGWPSLQIDQKMLCQMFKGSVPVAISLGFYQSTA VSEVYTSLGFLVSIIAVVTVNVLPRAKLIETTFNICLFTAIAIPITMLSTWSGIQARQ HTDPQNLYKYNSSQSAVTGIWLFANILVSNTLQARYPALLIPTILYNIFVIVQYTSCS RFHTWIQCWDLIYLTARCYYTGVAISFVSGMLIYPVTCRTEIFEVQETFLHAVRDMLT SAGGFVHTLQDNPTFPRERLDGTSHNGLELRRRMIEVKKTYVKMHEELSMAKREIAWG KLTAKDIGYVSDLCRRILMPLSGLGHLPDILGRVQEIGGWHPYDFESEVETSNAGLES SARMDYSHEHDMVWQHCINILLDSANSTNELMKDGLEHAGLQLEIVPRPGANKLFGFI PRLSKSKETDIESDGQTIRPGGSRFSETLEQGLGHFMERRVDALNAWANSEGRTSSTS DEMTSQGRCDFDGQVRSVHLHRDRQQLYLILYIQHMIHSIGLAVLDLSKFSDDLVNKG TMSRNRLIIPSTRRIWNWFLSTYDTTNTPIPDDDRSSSKNETHMLWCTANQISRDIEH LPPRNAYERLGLRVRRFQTILQGPELSFGFRSACATMSCAILAYLHQTQEIFTHYRLI WSVIIAAIGGNMSAGQSGVSYVLRILGSFAALIICYAVWYIPNGEVPGVIVIMWFVAF LQMYFLLRWPQYIIGWLVIFITEVLTIGYELQVAKIGVAAAESGGIYVFPPYYVAALR VACVLWGTCASIFFTYLPYPITARGLLRTQISVIMELLANYHAVVHATIRSRLRGTEG DANNKHSHGHILSHTRKAMFNKIIYLDSRAIHNIYLQKYEPSLGGRFPVVTFQKILSH LMLIQIPRNSLLEYVSLLSHATQAWSTNTSDAAQLYHTCDRKWLEDLSKLIGPLNATV ESSTSVLCQLSATISTGRSLPPRIELPKAYHLSEKLRGLDPDILSTLYMKEAGYSAFA VMEILSNMITSDLEVLVVEIETLVGVIDFTKLDSDHTRKSQ CC84DRAFT_1182162 MHTAFHFISAKARFVLLIMVTPTPWSHEDLVGQLNVIHNPQLND NVSDLTMEECHQLYTDTYLLERRALYLTANAYAKAFPSVFKDKVTIGNVQRMIYKAFT ICRDYRSSYKVLYHEVHGSKEHSLNAVIPTCSDLQELHDQEAEMFYKDIATPASKEDP NKMVINGRKVRILQIMASIIHFYFTKNLHNVKVNEEQLGELPAKPPDSNMSSDGDDSD AGPKAPTTSQKCRSKAKKKAPKRAKKKKILSKATVKDDSDSDKLPRQSARDTKRTRQM AAEEKQLYKEAAEKKAVNKSKYANPYLSKYTRWFNEYKDHLFLR CC84DRAFT_1182163 MRTEVKRMCRWGGAGRPPALAPDNPQQPIVALKASYLALGDLYL NTTPYLLNNQSIRNRYLTTNSRSLNLNRVLTYDSSTKIVMASDETSSPGPTPLVTAEQ GHVQDDSDVSLDLDEMRESYVHIPNTSSILVIQQPFQETVLWKQTIMSKKGQRPSDDD CGKMMGWKPETVTKLKYDRDVTELILYAINQSKEGGKFYKADRLTTLDILHKKFVEVA LPQP CC84DRAFT_1182164 MPPKLNLTSKERASRKKALKQKSNRAYKSFIKGILSLTSKQLEY HFYPTPTSSQNLKPVPIIPPVSSVITPAIPLNLIPPLPIPLPVSSNTAAQLPTRSPRV PTSLLPLHILQSGPRTIDATTPPTQHSSILLIILLSLAYKNTYTTLEAPSTLSLKGLA IEDLDITTEFGCDRTKQAPSISDSFNFSPWINTDFAALLDDIYISDNPHSHRDTSPAP SAVDLDRVAH CC84DRAFT_1106225 LLNLITLFMLVTFSNKPFSNVLIHYLAILGINMDTHQLRTTKNY LYILTGVIYYI CC84DRAFT_1106246 PHSVGWLIPNEGSIYKPVFIAHSVVSAIWLLYKTLPEGTLPNNA WGRLVCAAKALALEEEEEEEGQGSEPPPKRPSPLAIVSTPRRARTDTNEALSIHSQPS KPSKPDKQPEDLPRHRMVVSNNNRRYEWECRKRLALLYERERLTLGLVAPNNRATTKE KAAYASITQ CC84DRAFT_1238611 MKVTSSVVFWLLASAAALSVKKRQDGPVDPNTDPDCDWYDTPKS ESDSCEFLEGFWGVSHAEFVAWNPSIKDDCSGLTIGHSYCVEVARKPTTTSSSSVTPS PTNTPKPSPVQDGVIDTCTTWYFAIVNDDCKKIARKFGTFSVAVFIKWNPAVGSDCKS LWTETYYCVGIPGTPTAPISSSTVQPSSTNVGTSKPSPTQDGLIESCQTFYFAGKSSS TTILDETCATILKKYGTFSVKEFITWNPAVGEDCRALWAETYYCVGIPGTPTAPPPTS TLSPPSTPGNGISTPLPTQPSMVSNCDAFYFTSATPTPTGCTMAHPEPTQPDSLCKCK QWYLPAKNEYCADIEKKFGISATQFRVTWNPSIGTSCGGMWAGTYVCVKA CC84DRAFT_1264921 MASAPDPDVPAADSSLAPEAAYGKLPFDQFVLDSWEYTIPVEQD QKQWNEIAIQWLGMDYTSRRAYHVTALKLSNVTSDDARMPTPDQIHRVLMPHQTTEER NRALTVPHCLWIRTCYEPNLTSVFADMTRFMVAPNANFLIDNQTLYDVGDDWSKVFLR MPHIPDVEMYYADPDVVDYEVEYEPPEEVDLQPLYDADLKMKCVHFLLDKEALQRQLL KVLWLDRHGKLVWWNWMAPSNVQAFEGLLNGLGIGLSRIMEMAEVDAQYREKGVVVPY DDY CC84DRAFT_1106241 MYATNRSRSWCILSVLFTIGSLTNFVTAIKLYPSPASLPSQVPA GCRAALSTDITCGPRFILASDLVREIPFNNTFLGDYCSSACSTSFNSFTTNINTRCGN TVYDFGYNNNKTGNDLIAPLKWARDMACLTGTSSIDYCLPKIHNHSVGYCDDCTLKYF AGMLSNAAGARVISEKGFSSIASSCNVSPTKYPHSTITIQKPPPALALDRFLYQNSID FGCKTLNVGNSVCIRDACKTYTIQANQTCKAIASRLGFTRTELVQWNPILESNCDKLD VLKGRTVCITPPGTKSYSFTPTATWNDTWTWPDGSWVTGPTAGVPLANRTTTLSGEFS MTTETLSLVESPIASLADYFKYCPLTDQIWDDGFDWEGLSTGCTNLLNKYCNPVLTGT PLPSTSFPPNCFPPYPTD CC84DRAFT_1075110 SKHGFCGFDGEHCTPECQNEDKSQCGTVDRKTCSESTDAMSAEV RTAYYGAWSAGRSCDSMEPENIPAGVLTHVNVAFEFITADHEITDEVGAIVGRVSRLK NIYPGLRVNVAIGGWVFNDPPTQTRFSDMASTVPNRRKFIESLIRYIQKYALDGVDLD WEYPVADDRGGTPLDSSNLVLLASEIREAFDTYDPGWQLTLTLPASYWYLKGFDIKRL EEHVDWFNVMTYDIHGLWDQGNIWTGPYLKGHTNITEIEDGLDLLWRNHISPDKVVMG YGFYGRGFTMTDVSCSTPPSCTFDGPGFAGDCTNEPGILSYNGKSSITPLYFFTDVSM LRVHYDEASSVKYMVYGSNQWISYDDAESFERKKKYMFSRCLKGLMIWELGLDTANND ALVGLFGEEAVKAGKRDTSLNPEERDKLAFDLSAFTGQNCYVASGCTDGKKEEGNSTC VAGYSAIEVGHSLLSMDQDVTFALSCEKGQYNRICCPTKAMPKNCEWIGAPERSVFGC SGECGASQFELNRDYSTDQWGNGECGSGQRRLCCDSTEVLRKCKWTDCEWSSSENGNC KDDEVQVAKRFDTDDGENCKMQRGEDCKWSNDPEYFKGKGDGHLWSCPNYPNKPADYC DKLGVCKNRMCYKGSVRITEAGYTEPAHELAASDPDFTSCALGEDNPNMPFGLCCAPP SRFTKDWPVNPAYLWAKAYADKDDDVTWEWANNFGNNHADTHPNNLEDDPGDDPYGFV MLDGPPGSIAKQFSKQFTVVTDDEPVHVVPRSFVTTNQTQLDATFDHAEEDFLVYCNY PHNSPHCNEVFYKGAIDTIIKLPHHVGDGPWARIVSMEPEYTPKDLPGWAIRKRAFDG VPRNGIYRVKIDYNFNLIRRDDGPVNMRVDYTNLQGYWDDVTDEPGSTKHKPHLGRRW WGKFTAWLEKLTTITKEGAGNLPMGLSKVFNIYSGRLQCANPSGVTITAGLDITADVR LQMGTRYAYYFSGTVVPPNIIDTYVFLGAQPSISSTVEIRGNAQLEYESEVKKLISTI TYPGLSIKGIATVGPSLDLLGQIYGKITVAGNLKVGAKYTMDPIEMYMPNDDKTREKA SNKVQFSKDAGLQPIFEAGVQATIGLQLRVTPRINCGIRVGGDIGIRKEPLVLAQVAV YMNTTLYFEAHVTAETTGLTSNWEYRYKVELWWRI CC84DRAFT_1182168 MGSYQTKRVREDLMKLAFGPIARRNDNLSQSLHSMSLSRDVGAP VAVGAEDLGFKKRHDMLGLRVDLEKARQRGKKEIRLIKAQIKNLIKTLSHLKLQEKMV AYFEHRAWIDTMRPQLILSAFEATGIWPLNPQRILDRFHQSTPSCRDTPNSDTSALSA SDWRKINQLLKAVVGVGGDSQAKKLSQTVHHITIQKQLLDEENKHLREALLTKKRRSK KGRPLPLDQSYGGAIFWSPSKVQRARDLEHQLDQVEKQKERQQADQIEARRANQLLNA RLAEERRVARVADREARRRQRADAAIQRRLINRPAGPNNNTNDRSR CC84DRAFT_1238618 MGLALRTVVIGWLTYYILSCIHCRYFHPLSKFPGPFWASISNFW KLYILSTKESHTRGIEYHKKYGPAIRVAPNFLVFSDPKLVPVVYHRNADKSDFYSPGV LGRLRPPFQTQDHKEHAAKRKRVAASFQISNLRKMESDVNNMIEKLLSQFNERYVRTE EPLDLSEWVKWFVYDTISAMAFGKSVGFVEQGKDVQELIQNFHDMAPLAGLVAALPNW VNPLMNAPILGDYLMPGPGDGTGTGKIMKYRDDMLRERFQNRHAMAHGDFLDNLMNAK NADGSYMTEAEIKAEAFVLMVAGPDTTAAFIGPFVNYVIQNPVIHARLRQEIQEFEAD GTLSKGVVTFEETQKLPYFMACVQETLRFCPSTPVVLPRLVSKGGLEVNGKYVPEGTE IAANPYVIHRDKNIFGEDAFEFNPDRWLEGEERTRNMNKYIMSWGYGARQCLGKNVAQ LITQKLCLEMFRRFDFQSRTPEKPWRAENLALMIYWDQWVRLTPAKELK CC84DRAFT_1238621 MALLIDGVAIVTGAGSGIGRECCFAYASHGARAVVMADSNYSTA LETAHQSNLLATHADYESLAIQVDVGDPESIAKMVTATVQRFGRIDYSVNSAGVGVRK PAPVDDVDLEEMNRFWKVNVLGTLNCIKAVSKVMKLQGIRKATTRGKERDVGRGVILN LGSCSSFMATAEMLPYTTSKHAVMGMTKNAALDLAKHGIRVNAICPSWVETPMVNAAV EGNPSLSSLMEASIPMARIAKVEEIADLVVLMTSPLASYVTGVGWIVDGGTTLQLKTS CC84DRAFT_1106262 RLREEGKFTDLIFTHDCENFPFHRVIVCSQSKVFRKACDGGFKE QSEGVIDLSHIASEQLERMIRFFYKMDYDGDLPEGEIQSNLQLHARMFALGDQYDIAG VCASAEAKYWQMCIKAWDPLDFLSSIPVIYESTPTSVLGLRKTACNAVRKYLPTMLDN TDTAECFEETISENPAFATDLLRSYINNPLFGYCRVCRLDCGMDPLQTRCQKCNKGQS SSQTHWDMAW CC84DRAFT_1191795 MATIFCCSFFTPYRPRPLDHDGKFTKFTRWSTSQKTSAVVDDNP SSLVDTAPYAVQLVRQINFGPQESVRYFVPTTGDEFVETTEDSLIEANFEKLNSFKNF RCSSHDKFFEVNLYQKNPSNTHHWRSNLARPSREIDLAFRRQNVMTHPKLENGSSATK GENERNVTVPDGSASDSKPQGSLPQIEDVSRDVLTRALRFVLPKFGQIPGSDSLTSLL CLEIISTKLNLNLNFDELWKVEINSSKVIRFLADCIEADSDRKIRKEALTRVEDVIVS AICRTGREETANGKIRLQKIVERVLDSSCQLDWAPLHRASILSVFVSGPSEKLLDLCR RNDDDHMRARPCEESGGTAADKLKKYDLAFDYGRADSDYEPMKDLTACDKECGYCGEC DY CC84DRAFT_1210798 MGKTYCSRCNRDFPSPRALQQHLDDASNHYRCEVCGYDASTWDK WLKHHLKTKHRVVCQGCNDGEGSTWVAGSQEYLAHLEEENVCRTCEEHFQNPSNLNHH KMVHLDLSIECYGCYRKFTTYPAMILHLESGTCPSNIDIIELNESAAMCYQWGAYVDR DFRTELLNRDDLQSEYSGPVYPFRCPVCDVGFTKLSGLFQHVYSKSCDQGLYDGTMAK LIKWLGNRHDIDEDQ CC84DRAFT_1238632 MPRTLKPHAIPRTKHYKDHLPNCETCGKKPGRKSVQLGCSNCRK LLCINCRTQPVSSKDNALRQGAPPSAATQPARAAENFSPREDGGARVLRQVGERVRRA ISDAEVDSPVLAITDEQLHEMRRSGQKLPARVSWVLVPTRPGRKIDDTFEVFQSQCKR WDTTIEYQQYTQSSGNSKEEDDLTGFMLLEDFFARVTERGQHTELQRIEAEGKDPVNV LSLSAEITGSAFREPEAITTLRYRLLSVLSKRSIGHSTETGVGKLSVQPVRLVDQEAC LKFLLYGERGSFAGYPHGCAQRNLGPVQDRAEIVAGLLWSMG CC84DRAFT_1238634 ACLQTLEGHSDQLTSVAFSPDSTRLASASTDGTVKVWDAGSGAC LQTLDIGYPLQTMSFNASGSGLLTNIGVINLGPLLSSNITTTTQELESPKYQVTALSS DGTWITYNS CC84DRAFT_1051343 GTAVLALSDDGKRVLKFPLTFETQHCNAQVAARARSHMQESRMM LEREKEIYQHLGLRAGILAPLEISSVGLVFPFFKNGDLRVHLQKTWGEVGQDQLMLWA EEAVERISFTQSMGVYQCDISTRNFLVGDDLSLVLNDFSGSRIGDKAELVGPETSYAK ALQEDQYGTVQGSMEGEVFSIGSLLYEILTGQKPYNDLGNSEITDLFQQGSFPPTSTL ALGSIIRDCWMGRFSSAE CC84DRAFT_1238638 GQTLLIIVLPTGGGKSLLFIVPAFILGARVTILMVPFQALIEDH VQRIL CC84DRAFT_1048875 IEALLRILVAFIFYKYNGFIFTSGLIHFIAILGINVDVGRLRPV KHYSYLLAGVVYYVRVLRAEVLL CC84DRAFT_1106280 MEALLYILVAFIFYKYNRFIFTSRLIHFIAILGINVDIGRLRPI KHYLYLLARVVYCIWVLRVEVLLLANNKLAAFLIKRREFLVDGLYSPISEILSLLAFS KHITFNDRNASVALWL CC84DRAFT_608671 MPGDFDENTSVPDGYMRSPSSVPLADREIAIMGPKLSLIPMRMP DESLLIASHIRWDS CC84DRAFT_1044875 MAFDYRTASEEDFTRFCSRLNPTRMIISELDGGLSIIKVSNDVV IKCGVGVTQQEADNQEKAFHLIDQTIIRVPRVYRYVAWSNIGYLVMEFIDGEPLHVFD DPNICTAIAAVLDHFAYIRSDQPGPLGAGPARGILWTACDSISPSSIVDIEDYYNTRQ LQRHRKLSLQSFPLSLCHLDLVPRNVLQLKDGSLCLLDWASAGFYPRFFEICTLRINC P CC84DRAFT_1170075 MFYTLRVCIHTTGRQPNGMSDNHVSVFLLLGENEGSVRLNMTTE EGDRSGVLVWSQEVYQLSNSQITHFDYRLRYTMQVGALYAWIRSWGLQRYVFSGGGSG CRFWVYTLLLKLKEIQYLDDGLPEDAWHKLSWQYSREAAPRVIEIKQGTWLSLKEWED EWE CC84DRAFT_1132635 MSEAEDNDDFARLAGLLRKSPRIVCIVGAGLSAPSGLATWRGTN GLWNDEDIRSLASPKRFAEDPVTVWSFYGERLLESLAAQPNAAHHALAALASWHDGWL TVNQNVDGLLERTSHPVSRLLSIHGFLQLVRCTVCDYNIYVRTMEDLPFLASLSTASN RSQFTLSDLPHCPACTKLLRPGVVWFGERLAAGAPDNIESLLEDGIDLVIAAGTSLKV HPAAEWVHRAQADGASIAIIDLDDNHEMVDDLDTGDLFFKQDTTIVLPEVLNLLRSYW NIRI CC84DRAFT_1182178 MPTPLKHHAAGQRQGYQDDSPKGGGNITITKEDRRTAAGNNENK GDADLARLVSIRSGSRSPTPRNRAPQKEGLGGTPGSRDEVQHTSKVPGTPMGPGTPMA WRDPNEESEDHKGWDDWYIVPDIL CC84DRAFT_1158748 MAGSLGCERCRWMKLCCFVDVASGCCAGCILVHAECSLFVLESD WQRIQDEEEETWLALLRARAEAACLELALAEVEQKKRSYAR CC84DRAFT_1241066 MATPASSAGSRATTGSSASGPTSNQATVLAAQASEIQQLKEQMA ELIGQLQVQAAGAVATNIAPKIQFKVPELFNGDKEKLKPFLTNMDLYCRYNKQTLATD QDKILAAGMHMTRRAAKWMQPLTDDYLKNENTIAKCGTETKKTFKD CC84DRAFT_1182180 DEDAITSIYSVTITKEDRGTAAGNNENKGDADLARPVSIRSGSR SPTPRDRAPQKEGLGGTPGSRDEVQHTSKVPGTPMGPGTPMAWRDPDEESEDREGWDD WYIVPDIL CC84DRAFT_608754 MESTIPNERRLRQITVATLLPAFPLIVASGAMYNYMYGWNLTVI YFGLIPTFFSAITSVIALKAKHDPLAKRAPWVTGLWVLNDLFLALANLAILIPVWITD PVLMRCHANWMMLETYATVFLMSNMFIHAYLALYPFKSFSFKFQGKECPHCHGKLGTS VESIGTKREGYSLLRSENYLDEQEAEASTGAIRLSTDSDA CC84DRAFT_1241084 MNGQRRKIRTFLCLVVVLLLVLANFHTSLLAPLSHARQLLGIRA IEILTRTAAGNRTLGFHSIKFINIPTRFDRLDAVRLQAYLSGLDVTEQPAVLPSEINS VGMPPSSNPQDLKPGEKGCWRAHANIWSEIVEKKLPPTLIIESDAAWDIDVRRIMALL NEQFTGLLHQLGSKPIYTHGVEDNMVETDDKKGHQNVQVESVLRENPDDPWHSDHWDI LSLGNCFDQQKSPTSYLKYKDPYVPEGKDYFGQKLGKERVVHQSGGFVCTTAYAITQR GAAKLLLRTAFDFNVQVDLIIKDMIADGELVAYTTIPTVMAQWHYVDGLGMEDRGANS DIQGTKGEPSTNNGNEGWGLPMGAEVDMSGWEKAKKAKSVWMVKPSHPDTAFSRMALE VAWDIIFSEEKNIEHRFP